diff --git a/Advanced Synthesis Cookbook/arbitration/arbiter.v b/Advanced Synthesis Cookbook/arbitration/arbiter.v new file mode 100644 index 0000000..194341d --- /dev/null +++ b/Advanced Synthesis Cookbook/arbitration/arbiter.v @@ -0,0 +1,45 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + + +// baeckler - 02-13-2007 +// +// 'base' is a one hot signal indicating the first request +// that should be considered for a grant. Followed by higher +// indexed requests, then wrapping around. +// + +module arbiter ( + req, grant, base +); + +parameter WIDTH = 16; + +input [WIDTH-1:0] req; +output [WIDTH-1:0] grant; +input [WIDTH-1:0] base; + +wire [2*WIDTH-1:0] double_req = {req,req}; +wire [2*WIDTH-1:0] double_grant = double_req & ~(double_req-base); +assign grant = double_grant[WIDTH-1:0] | double_grant[2*WIDTH-1:WIDTH]; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arbitration/arbiter_tb.v b/Advanced Synthesis Cookbook/arbitration/arbiter_tb.v new file mode 100644 index 0000000..569cf6a --- /dev/null +++ b/Advanced Synthesis Cookbook/arbitration/arbiter_tb.v @@ -0,0 +1,99 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + + +//baeckler - 02-13-2007 + +module arbiter_tb (); + +reg [15:0] req; +reg [3:0] base; + +wire [15:0] grant, grant_two; +reg fail; + +// weaker unit for testing +reference_arbiter arb (.req(req),.base(base),.grant(grant)); + +// convert the encoded base to one hot +// ideally it would be generated in one hot +reg [15:0] decoded_base; +always @(*) begin + decoded_base = 0; + decoded_base[base] = 1'b1; +end + +// device under test +arbiter a2 (.req(req),.grant(grant_two),.base(decoded_base)); + defparam a2 .WIDTH = 16; + +always begin + #100 + req = $random & $random & $random; + base = $random; + + #5 + if (grant !== grant_two) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end +end + +initial begin + fail = 0; + #1000000 if (!fail) $display ("PASS"); + $stop(); +end + +endmodule + + +///////////////////////////////////////// +// Less efficient easier to understand +// unit for reference +///////////////////////////////////////// +module reference_arbiter ( + req,grant,base +); + +input [15:0] req; +output [15:0] grant; +input [3:0] base; + +// rotate the request lines +wire [15:0] b0 = base[0] ? {req[0],req[15:1]} : req[15:0] ; +wire [15:0] b1 = base[1] ? {b0[1:0],b0[15:2]} : b0[15:0] ; +wire [15:0] b2 = base[2] ? {b1[3:0],b1[15:4]} : b1[15:0] ; +wire [15:0] b3 = base[3] ? {b2[7:0],b2[15:8]} : b2[15:0] ; + +// pick the lowest one for a grant +wire [15:0] rotated_grant = b3 & ~(b3-1); + +// unrotate the grant +wire [15:0] b4 = base[0] ? {rotated_grant[14:0],rotated_grant[15]} : rotated_grant[15:0] ; +wire [15:0] b5 = base[1] ? {b4[13:0],b4[15:14]} : b4[15:0] ; +wire [15:0] b6 = base[2] ? {b5[11:0],b5[15:12]} : b5[15:0] ; +wire [15:0] b7 = base[3] ? {b6[7:0],b6[15:8]} : b6[15:0] ; + +assign grant = b7; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arbitration/bitscan.v b/Advanced Synthesis Cookbook/arbitration/bitscan.v new file mode 100644 index 0000000..af09fdb --- /dev/null +++ b/Advanced Synthesis Cookbook/arbitration/bitscan.v @@ -0,0 +1,31 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module bitscan (req,sel); +parameter WIDTH = 16; +input [WIDTH-1:0] req; +output [WIDTH-1:0] sel; + +assign sel = req & ~(req-1); + +endmodule + diff --git a/Advanced Synthesis Cookbook/arbitration/bitscan_tb.v b/Advanced Synthesis Cookbook/arbitration/bitscan_tb.v new file mode 100644 index 0000000..04eca12 --- /dev/null +++ b/Advanced Synthesis Cookbook/arbitration/bitscan_tb.v @@ -0,0 +1,63 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + + +module bitscan_tb (); +parameter WIDTH = 16; +reg [WIDTH-1:0] req; +wire [WIDTH-1:0] sel; + +bitscan b (.req(req),.sel(sel)); + defparam b .WIDTH = WIDTH; + +initial begin + req = 16'h8000; +end + +integer n; +reg [WIDTH-1:0] result; +reg fail = 0; + +always begin + #100 req = $random & $random & $random; + #10 + result = 0; + for (n=0; n 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/arbitration/prio_encode.cpp b/Advanced Synthesis Cookbook/arbitration/prio_encode.cpp new file mode 100644 index 0000000..f69ae4b --- /dev/null +++ b/Advanced Synthesis Cookbook/arbitration/prio_encode.cpp @@ -0,0 +1,78 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//baeckler - 11-14-2006 + +#include + +int log2 (int n) +{ + int bits = 0; + while (n) + { + n >>= 1; + bits++; + } + return (bits); +} + +int main (void) +{ + unsigned int num_ins = 6; + unsigned int num_outs = log2(num_ins); + + unsigned int num_cases = (1<>=1; + out_val += 1; + } + fprintf (stdout," %d'd%d: out = %d;\n",num_ins,n,out_val); + } + fprintf (stdout," endcase\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"endmodule\n"); + return (0); +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arbitration/prio_encode.v b/Advanced Synthesis Cookbook/arbitration/prio_encode.v new file mode 100644 index 0000000..fadf0e8 --- /dev/null +++ b/Advanced Synthesis Cookbook/arbitration/prio_encode.v @@ -0,0 +1,103 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//baeckler - 11-14-2006 +// priority encoder +// no requests - output = 0 +// request bit 0 (highest priority) - output = 1 +// request bit 5 (lowest priority) - output = 6 +module prio_encode (reqs,out); +input [5:0] reqs; +output [2:0] out; +reg [2:0] out; + + always @(*) begin + case(reqs) + // 0 is special, no reqs + 6'd0: out = 0; + + 6'd1: out = 1; + 6'd2: out = 2; + 6'd3: out = 1; + 6'd4: out = 3; + 6'd5: out = 1; + 6'd6: out = 2; + 6'd7: out = 1; + 6'd8: out = 4; + 6'd9: out = 1; + 6'd10: out = 2; + 6'd11: out = 1; + 6'd12: out = 3; + 6'd13: out = 1; + 6'd14: out = 2; + 6'd15: out = 1; + 6'd16: out = 5; + 6'd17: out = 1; + 6'd18: out = 2; + 6'd19: out = 1; + 6'd20: out = 3; + 6'd21: out = 1; + 6'd22: out = 2; + 6'd23: out = 1; + 6'd24: out = 4; + 6'd25: out = 1; + 6'd26: out = 2; + 6'd27: out = 1; + 6'd28: out = 3; + 6'd29: out = 1; + 6'd30: out = 2; + 6'd31: out = 1; + 6'd32: out = 6; + 6'd33: out = 1; + 6'd34: out = 2; + 6'd35: out = 1; + 6'd36: out = 3; + 6'd37: out = 1; + 6'd38: out = 2; + 6'd39: out = 1; + 6'd40: out = 4; + 6'd41: out = 1; + 6'd42: out = 2; + 6'd43: out = 1; + 6'd44: out = 3; + 6'd45: out = 1; + 6'd46: out = 2; + 6'd47: out = 1; + 6'd48: out = 5; + 6'd49: out = 1; + 6'd50: out = 2; + 6'd51: out = 1; + 6'd52: out = 3; + 6'd53: out = 1; + 6'd54: out = 2; + 6'd55: out = 1; + 6'd56: out = 4; + 6'd57: out = 1; + 6'd58: out = 2; + 6'd59: out = 1; + 6'd60: out = 3; + 6'd61: out = 1; + 6'd62: out = 2; + 6'd63: out = 1; + endcase + end +endmodule diff --git a/Advanced Synthesis Cookbook/arbitration/tx_4channel_arbiter.v b/Advanced Synthesis Cookbook/arbitration/tx_4channel_arbiter.v new file mode 100644 index 0000000..4541bd9 --- /dev/null +++ b/Advanced Synthesis Cookbook/arbitration/tx_4channel_arbiter.v @@ -0,0 +1,172 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 10-03-2008 + +module tx_4channel_arbiter # ( + parameter NUM_DAT_WORDS = 8, + parameter LOG_DAT_WORDS = 4, // enough bits to represent 0..#dat inclusive + parameter CHANID0 = 8'h0, + parameter CHANID1 = 8'h1, + parameter CHANID2 = 8'h2, + parameter CHANID3 = 8'h3 +) +( + input clk, arst, + + // four input ports + input [LOG_DAT_WORDS-1:0] num_chan0words_valid, + input [64*NUM_DAT_WORDS-1:0] chan0words, + input chan0sop, + input [3:0] chan0eopbits, + output chan0ready, + input chan0valid, + + input [LOG_DAT_WORDS-1:0] num_chan1words_valid, + input [64*NUM_DAT_WORDS-1:0] chan1words, + input chan1sop, + input [3:0] chan1eopbits, + output chan1ready, + input chan1valid, + + input [LOG_DAT_WORDS-1:0] num_chan2words_valid, + input [64*NUM_DAT_WORDS-1:0] chan2words, + input chan2sop, + input [3:0] chan2eopbits, + output chan2ready, + input chan2valid, + + input [LOG_DAT_WORDS-1:0] num_chan3words_valid, + input [64*NUM_DAT_WORDS-1:0] chan3words, + input chan3sop, + input [3:0] chan3eopbits, + output chan3ready, + input chan3valid, + + // output port + output reg [LOG_DAT_WORDS-1:0] num_datwords_valid, + output reg [64*NUM_DAT_WORDS-1:0] datwords, + output reg [7:0] chan, + output reg sop, + output reg [3:0] eopbits, + input ready, + output valid +); + +localparam ARB_CHANS = 4; + +reg [ARB_CHANS-1:0] qualified_req; +always @(posedge clk or posedge arst) begin + if (arst) begin + qualified_req <= 0; + end + else begin + qualified_req[0] <= chan0valid & (|num_chan0words_valid); + qualified_req[1] <= chan1valid & (|num_chan1words_valid); + qualified_req[2] <= chan2valid & (|num_chan2words_valid); + qualified_req[3] <= chan3valid & (|num_chan3words_valid); + end +end + +///////////////////////////////////// +// arbiter with rotating fairness + +reg [ARB_CHANS-1:0] base,grant; +wire [ARB_CHANS-1:0] grant_w; +reg [1:0] enc_grant; + +always @(posedge clk or posedge arst) begin + if (arst) begin + base <= 1; + grant <= 0; + enc_grant <= 0; + end + else begin + if (ready) begin + base <= {base[ARB_CHANS-2:0],base[ARB_CHANS-1]}; + grant <= grant_w; + enc_grant <= {grant_w[3] | grant_w[2], grant_w[3] | grant_w[1]}; + end + end +end + +arbiter arb ( + .req(qualified_req), + .grant(grant_w), + .base(base) +); +defparam arb .WIDTH = ARB_CHANS; + +///////////////////////////////////// +// ready the winner if any + +always @(posedge clk or posedge arst) begin + if (arst) begin + num_datwords_valid <= 0; + datwords <= 0; + chan <= 0; + sop <= 0; + eopbits <= 0; + end + else begin + if (ready) begin + if (enc_grant == 2'b00) begin + num_datwords_valid <= num_chan0words_valid; + datwords <= chan0words; + sop <= chan0sop; + eopbits <= chan0eopbits; + chan <= CHANID0; + end + else if (enc_grant == 2'b01) begin + num_datwords_valid <= num_chan1words_valid; + datwords <= chan1words; + sop <= chan1sop; + eopbits <= chan1eopbits; + chan <= CHANID1; + end + else if (enc_grant == 2'b10) begin + num_datwords_valid <= num_chan2words_valid; + datwords <= chan2words; + sop <= chan2sop; + eopbits <= chan2eopbits; + chan <= CHANID2; + end + else begin + num_datwords_valid <= num_chan3words_valid; + datwords <= chan3words; + sop <= chan3sop; + eopbits <= chan3eopbits; + chan <= CHANID3; + end + + if (~|grant) num_datwords_valid <= 0; + end + end +end + +assign chan0ready = grant[0] & ready; +assign chan1ready = grant[1] & ready; +assign chan2ready = grant[2] & ready; +assign chan3ready = grant[3] & ready; +assign valid = |num_datwords_valid; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arbitration/tx_4channel_arbiter_tb.sv b/Advanced Synthesis Cookbook/arbitration/tx_4channel_arbiter_tb.sv new file mode 100644 index 0000000..78e0217 --- /dev/null +++ b/Advanced Synthesis Cookbook/arbitration/tx_4channel_arbiter_tb.sv @@ -0,0 +1,293 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module tx_4channel_arbiter_tb (); + +parameter NUM_DAT_WORDS = 2; +parameter LOG_DAT_WORDS = 2; + +reg clk, arst; + +// four input ports +reg [LOG_DAT_WORDS-1:0] num_chan0words_valid; +reg [64*NUM_DAT_WORDS-1:0] chan0words; +reg chan0sop; +reg [3:0] chan0eopbits; +wire chan0ready; +reg chan0valid; + +reg [LOG_DAT_WORDS-1:0] num_chan1words_valid; +reg [64*NUM_DAT_WORDS-1:0] chan1words; +reg chan1sop; +reg [3:0] chan1eopbits; +wire chan1ready; +reg chan1valid; + +reg [LOG_DAT_WORDS-1:0] num_chan2words_valid; +reg [64*NUM_DAT_WORDS-1:0] chan2words; +reg chan2sop; +reg [3:0] chan2eopbits; +wire chan2ready; +reg chan2valid; + +reg [LOG_DAT_WORDS-1:0] num_chan3words_valid; +reg [64*NUM_DAT_WORDS-1:0] chan3words; +reg chan3sop; +reg [3:0] chan3eopbits; +wire chan3ready; +reg chan3valid; + +// outport +wire [LOG_DAT_WORDS-1:0] num_datwords_valid; +wire [64*NUM_DAT_WORDS-1:0] datwords; +wire [7:0] chan; +wire sop; +wire [3:0] eopbits; +reg ready; +wire valid; + +tx_4channel_arbiter # +( + .NUM_DAT_WORDS(NUM_DAT_WORDS), + .LOG_DAT_WORDS(LOG_DAT_WORDS) +) +dut +(.*); + +//////////////////////////////////////// +// Chan 0 driver +//////////////////////////////////////// +reg chan0state; +always @(posedge clk or posedge arst) begin + if (arst) begin + num_chan0words_valid <= 2'd2; + chan0words <= 0; + chan0sop <= 0; + chan0eopbits <= 0; + chan0valid <= 1'b1; + chan0state <= 0; + end + else begin + if (chan0ready) begin + if (chan0state) begin + num_chan0words_valid <= 2'd2; + chan0words <= {"chan0abc","chan0def"}; + chan0sop <= 1'b1; + chan0eopbits <= 0; + end + else begin + num_chan0words_valid <= 2'd2; + chan0words <= {"chan0ghi","chan0jki"};; + chan0sop <= 0; + chan0eopbits <= 4'b1000; + end + chan0state <= chan0state + 1'b1; + end + end +end + +//////////////////////////////////////// +// Chan 1 driver +//////////////////////////////////////// +reg chan1state; +always @(posedge clk or posedge arst) begin + if (arst) begin + num_chan1words_valid <= 2'd2; + chan1words <= 0; + chan1sop <= 0; + chan1eopbits <= 0; + chan1valid <= 1'b1; + chan1state <= 0; + end + else begin + if (chan1ready) begin + if (chan1state) begin + num_chan1words_valid <= 2'd2; + chan1words <= {"chan1abc","chan1def"}; + chan1sop <= 1'b1; + chan1eopbits <= 0; + end + else begin + num_chan1words_valid <= 2'd2; + chan1words <= {"chan1ghi","chan1jki"};; + chan1sop <= 0; + chan1eopbits <= 4'b1000; + end + chan1state <= chan1state + 1'b1; + end + end +end + +//////////////////////////////////////// +// Chan 2 driver +//////////////////////////////////////// +reg chan2state; +always @(posedge clk or posedge arst) begin + if (arst) begin + num_chan2words_valid <= 2'd2; + chan2words <= 0; + chan2sop <= 0; + chan2eopbits <= 0; + chan2valid <= 1'b1; + chan2state <= 0; + end + else begin + if (chan2ready) begin + if (chan2state) begin + num_chan2words_valid <= 2'd2; + chan2words <= {"chan2abc","chan2def"}; + chan2sop <= 1'b1; + chan2eopbits <= 0; + end + else begin + num_chan2words_valid <= 2'd2; + chan2words <= {"chan2ghi","chan2jki"};; + chan2sop <= 0; + chan2eopbits <= 4'b1000; + end + chan2state <= chan2state + 1'b1; + end + end +end + +//////////////////////////////////////// +// Chan 3 driver +//////////////////////////////////////// +reg chan3state; +always @(posedge clk or posedge arst) begin + if (arst) begin + num_chan3words_valid <= 2'd2; + chan3words <= 0; + chan3sop <= 0; + chan3eopbits <= 0; + chan3valid <= 1'b1; + chan3state <= 0; + end + else begin + if (chan3ready) begin + if (chan3state) begin + num_chan3words_valid <= 2'd2; + chan3words <= {"chan3abc","chan3def"}; + chan3sop <= 1'b1; + chan3eopbits <= 0; + end + else begin + num_chan3words_valid <= 2'd2; + chan3words <= {"chan3ghi","chan3jki"};; + chan3sop <= 0; + chan3eopbits <= 4'b1000; + end + chan3state <= chan3state + 1'b1; + end + end +end + +//////////////////////////////////////// +// simulate sink readiness and XON/XOFF +//////////////////////////////////////// +reg all_off; +always @(negedge clk) begin + ready <= $random | $random; + all_off <= $random & $random; + if (all_off) begin + chan0valid <= 1'b0; + chan1valid <= 1'b0; + chan2valid <= 1'b0; + chan3valid <= 1'b0; + end + else begin + chan0valid <= $random | $random; + chan1valid <= $random | $random; + chan2valid <= $random | $random; + chan3valid <= $random | $random; + end +end + +//////////////////////////////////////// +// Inspect the recovered data +//////////////////////////////////////// +reg fail = 1'b0; +reg [64*NUM_DAT_WORDS-1:0] last_chan0,last_chan1,last_chan2,last_chan3; +always @(posedge clk) begin + #1 + if (ready && chan == 8'h0 && |num_datwords_valid) begin + last_chan0 <= datwords; + if (datwords == last_chan0) begin + $display ("Repeated data on channel 0 at time %d",$time); + fail = 1; + end + if (datwords[64*NUM_DAT_WORDS-1:64*NUM_DAT_WORDS-5*8] != "chan0") begin + $display ("Channel 0 data tag mismatch"); + fail = 1; + end + end + if (ready && chan == 8'h1 && |num_datwords_valid) begin + last_chan1 <= datwords; + if (datwords == last_chan1) begin + $display ("Repeated data on channel 1 at time %d",$time); + fail = 1; + end + if (datwords[64*NUM_DAT_WORDS-1:64*NUM_DAT_WORDS-5*8] != "chan1") begin + $display ("Channel 1 data tag mismatch"); + fail = 1; + end + end + if (ready && chan == 8'h2 && |num_datwords_valid) begin + last_chan2 <= datwords; + if (datwords == last_chan2) begin + $display ("Repeated data on channel 2 at time %d",$time); + fail = 1; + end + if (datwords[64*NUM_DAT_WORDS-1:64*NUM_DAT_WORDS-5*8] != "chan2") begin + $display ("Channel 2 data tag mismatch"); + fail = 1; + end + end + if (ready && chan == 8'h3 && |num_datwords_valid) begin + last_chan3 <= datwords; + if (datwords == last_chan3) begin + $display ("Repeated data on channel 3 at time %d",$time); + fail = 1; + end + if (datwords[64*NUM_DAT_WORDS-1:64*NUM_DAT_WORDS-5*8] != "chan3") begin + $display ("Channel 3 data tag mismatch"); + fail = 1; + end + end +end + +//////////////////////////////////////// +// clock driver +//////////////////////////////////////// +initial begin + clk = 0; + arst = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + +always begin + #5 clk = ~clk; +end + + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arithmetic/adder_tree.v b/Advanced Synthesis Cookbook/arithmetic/adder_tree.v new file mode 100644 index 0000000..ef50b93 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/adder_tree.v @@ -0,0 +1,106 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-02-2007 + +module adder_tree (clk,in_words,out,extra_bit_in,extra_bit_out); + +parameter NUM_IN_WORDS = 5; +parameter NUM_IN_PAIRS = NUM_IN_WORDS / 2; +parameter NUM_IN_ODD = NUM_IN_WORDS - NUM_IN_PAIRS * 2; +parameter BITS_PER_IN_WORD = 13; +parameter OUT_BITS = 29; +parameter SIGN_EXT = 1; // bool - sign vs 0 extend +parameter REGISTER_MIDDLE = 0; // bool - register within adders or not +parameter REGISTER_OUTPUT = 1; // bool - register adder outputs or not +parameter SHIFT_DIST = 1; // for multiplication - a shift between words +parameter EXTRA_BIT_USED = 0; // extra bit to pass along the pipeline + +// properties of the 1st layer output +// Guess the number of output bits, if the guess is more than +// the final requirement cap it. +parameter LAYER_OUT_WORDS = NUM_IN_PAIRS + NUM_IN_ODD; +parameter LAYER_OUT_EST_BITS = BITS_PER_IN_WORD + 1 + SHIFT_DIST; +parameter LAYER_OUT_BITS = (OUT_BITS < LAYER_OUT_EST_BITS) ? OUT_BITS : LAYER_OUT_EST_BITS; + +input clk; +input extra_bit_in; +output extra_bit_out; + +input [NUM_IN_WORDS*BITS_PER_IN_WORD-1:0] in_words; +output [OUT_BITS-1:0] out; + +generate + if (NUM_IN_WORDS == 1) begin + if (OUT_BITS > BITS_PER_IN_WORD) begin + // the output needs to be extended more + initial begin + $display ("Excess output width not currently supported"); + $stop(); + end + end + + // no more pipe, just tie off the wires and terminate recursion + assign out = in_words; + assign extra_bit_out = extra_bit_in; + end + else begin + // knock out one horizontal slice of pairs + wire [LAYER_OUT_WORDS*LAYER_OUT_BITS-1:0] layer_out; + wire next_extra_bit; + + adder_tree_layer al ( + .clk(clk), + .in_words(in_words), + .out_words(layer_out), + .extra_bit_in(extra_bit_in), + .extra_bit_out(next_extra_bit) + ); + defparam al .NUM_IN_WORDS = NUM_IN_WORDS; + defparam al .BITS_PER_IN_WORD = BITS_PER_IN_WORD; + defparam al .BITS_PER_OUT_WORD = LAYER_OUT_BITS; + defparam al .SIGN_EXT = SIGN_EXT; + defparam al .REGISTER_OUTPUT = REGISTER_OUTPUT; + defparam al .REGISTER_MIDDLE = REGISTER_MIDDLE; + defparam al .SHIFT = SHIFT_DIST; + defparam al .EXTRA_BIT_CONNECTED = EXTRA_BIT_USED; + + // recurse on the remaining words + adder_tree at ( + .clk(clk), + .in_words(layer_out), + .out(out), + .extra_bit_in(next_extra_bit), + .extra_bit_out(extra_bit_out) + ); + defparam at .NUM_IN_WORDS = LAYER_OUT_WORDS; + defparam at .BITS_PER_IN_WORD = LAYER_OUT_BITS; + defparam at .OUT_BITS = OUT_BITS; + defparam at .SIGN_EXT = SIGN_EXT; + defparam at .REGISTER_OUTPUT = REGISTER_OUTPUT; + defparam at .REGISTER_MIDDLE = REGISTER_MIDDLE; + defparam at .SHIFT_DIST = SHIFT_DIST * 2; + defparam at .EXTRA_BIT_USED = EXTRA_BIT_USED; + end +endgenerate + +endmodule diff --git a/Advanced Synthesis Cookbook/arithmetic/adder_tree_layer.v b/Advanced Synthesis Cookbook/arithmetic/adder_tree_layer.v new file mode 100644 index 0000000..3920848 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/adder_tree_layer.v @@ -0,0 +1,110 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-02-2007 +// a horizontal slice of an adder tree + +module adder_tree_layer (clk,in_words,out_words,extra_bit_in,extra_bit_out); + +parameter NUM_IN_WORDS = 5; +parameter NUM_IN_PAIRS = NUM_IN_WORDS / 2; +parameter NUM_IN_ODD = NUM_IN_WORDS - NUM_IN_PAIRS * 2; +parameter NUM_OUT_WORDS = NUM_IN_PAIRS + NUM_IN_ODD; + +parameter BITS_PER_IN_WORD = 16; +parameter BITS_PER_OUT_WORD = 17; +parameter SIGN_EXT = 1; +parameter REGISTER_MIDDLE = 0; +parameter REGISTER_OUTPUT = 1; +parameter SHIFT = 1; // apply to odd numbered words + +parameter EXTRA_BIT_CONNECTED = 0; // pass extra bit along pipeline + +input clk; +input [NUM_IN_WORDS * BITS_PER_IN_WORD - 1 : 0] in_words; +output [NUM_OUT_WORDS * BITS_PER_OUT_WORD -1 :0] out_words; +input extra_bit_in; +output extra_bit_out; +reg extra_bit_m,extra_bit_out; + +genvar i; +generate + if (EXTRA_BIT_CONNECTED) begin + if (REGISTER_MIDDLE) begin + always @(posedge clk) extra_bit_m <= extra_bit_in; + end + else begin + always @(*) extra_bit_m = extra_bit_in; + end + if (REGISTER_OUTPUT) begin + always @(posedge clk) extra_bit_out <= extra_bit_m; + end + else begin + always @(*) extra_bit_out = extra_bit_m; + end + end + else begin + always @(*) extra_bit_out = 1'b0; + end + + // process the pairs as binary adder nodes with optional pipeline + for (i=0; i +#include + +//////////////////////////////////////// +// convert float to fixed point signed binary +// values must be in the range -2..2 +//////////////////////////////////////// +void conv_binary (double val, int bits) +{ + double f; + int n = 0; + + fprintf (stdout,"%d'b",bits); + + // handle the top bit to become positive + if (val < 0.0) + { + fprintf (stdout,"1"); + val += 2.0; + } + else + { + fprintf (stdout,"0"); + } + + // handle remaining bits + for (n=0; n= f) + { + fprintf (stdout,"1"); + val -= f; + } + else + { + fprintf (stdout,"0"); + } + } +} + +//////////////////////////////////////// +// generate an arctan table for CORDIC +//////////////////////////////////////// +int main (void) +{ + double f = 1.0, at=0.0; + double pi = 3.14159265358979; + double gain = 1.0, gain_term; + + int const bits = 16; + int const rounds = 16; + + int n = 0; + + // ROM content + for (n=0; n 8) significant_error = 1'b1; + + a = a >> 16; + b = b >> 16; + end + end +endfunction + + +// test device +reg clk,sclr; +reg [4*16-1:0] xin,yin,zin,rot; +reg [4*16-1:0] xout,yout,zout; +wire xo,yo,zo; + +cordic dut +( + .clk, + .sclr, + .xi(xin[0]), + .yi(yin[0]), + .zi(zin[0]), + .rot(rot[0]), + .valid(valid), + .xo, + .yo, + .zo +); + +always begin + #5 clk = ~clk; +end + +// constants for testing +reg [15:0] gain = 16'b0110100101100100; // 1.64676026 +reg [15:0] inv_gain = 16'b0010011011011101; // 0.60725294 +reg [15:0] pi_over_8 = 16'b0001100100100001; // 0.39269908 +reg [15:0] sin_pi_over_8 = 16'b0001100001111101; // 0.38268343 +reg [15:0] cos_pi_over_8 = 16'b0011101100100000; // 0.92387953 +reg [15:0] neg_pi_over_3 = 16'b1011110011111010; // -1.04719755 +reg [15:0] sin_neg_pi_over_3 = 16'b1100100010010011; // -0.86602540 +reg [15:0] cos_neg_pi_over_3 = 16'b0010000000000000; // 0.50000000 +reg [15:0] pi_over_4 = 16'b0011001001000011; // 0.78539816 +reg [15:0] neg_pi_over_4 = 16'b1100110110111100; // -0.78539816 +reg [15:0] gained_vec_len = 16'b0010010101000011; // 0.58221767 + + +reg [4*16-1:0] expected_x; +reg [4*16-1:0] expected_y; +reg [4*16-1:0] expected_z; +reg fail = 1'b0; + +initial begin + clk = 0; + sclr = 0; + + // Test questions : + // find angle for [2,-2] + // find angle for [1, 1] + // find sin,cos for -pi / 3 + // find sin,cos for pi / 8 + xin = {16'h1000, 16'h1000, inv_gain, inv_gain}; + yin = {16'hf000, 16'h1000, 16'h0000, 16'h0000}; + zin = {16'h0000, 16'h0000, neg_pi_over_3,pi_over_8}; + rot = 1'b1; + + expected_x = {gained_vec_len,gained_vec_len,cos_neg_pi_over_3,cos_pi_over_8}; + expected_y = {16'h0000,16'h0000,sin_neg_pi_over_3,sin_pi_over_8}; + expected_z = {neg_pi_over_4,pi_over_4,16'h0000,16'h0000}; + + @(negedge clk) sclr = 1'b1; + @(negedge clk) sclr = 1'b0; + + @(posedge valid); + @(posedge valid); + @(posedge valid); + rot = 1'b0; + @(posedge valid); + @(posedge valid); + @(negedge valid); + + // check outputs + if (significant_error (xout,expected_x)) begin + $display ("Significant deviation between actual and expected X"); + fail = 1'b1; + end + if (significant_error (yout,expected_y)) begin + $display ("Significant deviation between actual and expected Y"); + fail = 1'b1; + end + if (significant_error (zout,expected_z)) begin + $display ("Significant deviation between actual and expected Z"); + fail = 1'b1; + end + + if (!fail) $display ("PASS"); + $stop(); +end + +always @(posedge clk) begin + if (valid) begin + xin <= {xin[0],xin[4*16-1:1]}; + yin <= {yin[0],yin[4*16-1:1]}; + zin <= {zin[0],zin[4*16-1:1]}; + + xout <= {xo,xout[4*16-1:1]}; + yout <= {yo,yout[4*16-1:1]}; + zout <= {zo,zout[4*16-1:1]}; + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/arithmetic/divider.v b/Advanced Synthesis Cookbook/arithmetic/divider.v new file mode 100644 index 0000000..c38734a --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/divider.v @@ -0,0 +1,163 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-13-2006 +// unsigned iterative divider, 1 and 2 bit per clock +// tick versions + +//////////////////////////////////////////////////// +// 1 bit per tick version +//////////////////////////////////////////////////// + +module divider (clk,rst,load,n,d,q,r,ready); + +`include "log2.inc" + +parameter WIDTH_N = 32; +parameter WIDTH_D = 32; +localparam LOG2_WIDTH_N = log2(WIDTH_N); +localparam MIN_ND = (WIDTH_N > 1; + +endmodule + +//////////////////////////////////////////////////// +// 2 bits per tick (radix 4) version +//////////////////////////////////////////////////// + +module divider_rad4 (clk,rst,load,n,d,q,r,ready); + +`include "log2.inc" + +parameter WIDTH_N = 32; // assumed to be EVEN +parameter WIDTH_D = 32; +localparam LOG2_WIDTH_N = log2(WIDTH_N); +localparam MIN_ND = (WIDTH_N > 1); + denom <= d; + triple_denom <= (d + (d << 1)); + end + else begin + if (!cntr_zero) begin + cntr <= cntr - 1; + working <= {appro_minus[WIDTH_D-1:0],lower_working,quot_bits}; + end + end + end +end + +assign q = lower_working; +assign r = upper_working >> 2; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/arithmetic/divider_tb.v b/Advanced Synthesis Cookbook/arithmetic/divider_tb.v new file mode 100644 index 0000000..8f6e7d6 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/divider_tb.v @@ -0,0 +1,142 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//////////////////////////////////////////////////// +// sanity check for divider.v +//////////////////////////////////////////////////// + +module divider_tb (); + +parameter WIDTH_N = 10; +parameter WIDTH_D = 10; + +reg clk,rst,load; + +reg [WIDTH_N-1:0] n; +reg [WIDTH_D-1:0] d; +wire [WIDTH_N-1:0] q_a,q_b; +wire [WIDTH_D-1:0] r_a,r_b; +wire ready_a,ready_b; + +///////////////// +// radix 4 DUT +///////////////// +divider_rad4 dva (.clk(clk),.rst(rst),.load(load), + .n(n),.d(d),.q(q_a),.r(r_a),.ready(ready_a)); + + defparam dva .WIDTH_N = WIDTH_N; + defparam dva .WIDTH_D = WIDTH_D; + +///////////////// +// plain DUT +///////////////// +divider dvb (.clk(clk),.rst(rst),.load(load), + .n(n),.d(d),.q(q_b),.r(r_b),.ready(ready_b)); + + defparam dvb .WIDTH_N = WIDTH_N; + defparam dvb .WIDTH_D = WIDTH_D; + +///////////////// +// simple model +///////////////// +integer expected_q = 0, expected_r = 0; +always @(posedge clk or posedge rst) begin + if (rst) begin + expected_q <= 0; + expected_r <= 0; + end + else begin + if (load) begin + expected_q <= n/d; + expected_r <= n%d; + end + end +end + +///////////////// +// start test +///////////////// +reg fail; +initial begin + clk = 0; + rst = 0; + fail = 0; + load = 0; + #10 rst = 1; + #10 rst = 0; + #10000000 if (!fail) $display ("PASS"); else $display ("FAIL"); + $display ("%d correct answers",tests); + $stop; +end + + +always begin + #100 clk = ~clk; +end + +//////////////////// +// stim generation +//////////////////// +integer tests = 0; +always @(posedge clk) begin + #10 + if (!load & ready_a & ready_b) + begin + @(negedge clk); + load = 1; + n = $random; + d = $random; + // don't divide by zero + if (d == 0) d = 1; + + @(posedge clk); + @(negedge clk); + load = 0; + tests = tests + 1; + end +end + +//////////////////// +// answer checking +//////////////////// +always @(posedge ready_a) +begin + #10 + if (q_a != expected_q || r_a != expected_r) begin + $display ("Mismatch on unit A at time %d : %d %d vs %d %d", + $time,q_a,r_a,expected_q,expected_r); + fail = 1; + end +end + +always @(posedge ready_b) +begin + #10 + if (q_b != expected_q || r_b != expected_r) begin + $display ("Mismatch on unit B at time %d : %d %d vs %d %d", + $time,q_b,r_b,expected_q,expected_r); + fail = 1; + end +end + +endmodule + diff --git a/Advanced Synthesis Cookbook/arithmetic/double_addsub.v b/Advanced Synthesis Cookbook/arithmetic/double_addsub.v new file mode 100644 index 0000000..dec8ce4 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/double_addsub.v @@ -0,0 +1,151 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-09-06 +// +// This computes the sum of +/- A and +/- B in a single ternary adder chain. +// -A is equivalent to ~A + 1 (2's complement) +// This can be implemented in Stratix II hardware using a ternary adder +// where two channels handle the positive or inverted data and the third +// adjusts for 0,1,or 2 +1's +// +// A and B are treated as unsigned, output is signed 2's comp +// + +module double_addsub (a,b,negate_a,negate_b,sum); + +parameter WIDTH = 8; +parameter HW_CELLS = 1'b1; + +input [WIDTH-1:0] a; +input [WIDTH-1:0] b; +input negate_a, negate_b; + +output [WIDTH+1:0] sum; +wire [WIDTH+1:0] sum; + +genvar i; +generate + +if (HW_CELLS) begin + + wire [WIDTH+1:0] cin,sin; + + assign cin[0] = 1'b0; + assign sin[0] = 1'b0; + for (i=0; i LATENCY)) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end +end + +initial begin + #1000000 if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #100 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arithmetic/lc_mult_signed.v b/Advanced Synthesis Cookbook/arithmetic/lc_mult_signed.v new file mode 100644 index 0000000..c330e03 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/lc_mult_signed.v @@ -0,0 +1,161 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler -01-02-2007 +module lc_mult_signed (clk,a,b,o); + +parameter WIDTH_A = 16; +parameter WIDTH_B = 13; +parameter WIDTH_O = WIDTH_A + WIDTH_B; +parameter REGISTER_LAYERS = 1; +parameter REGISTER_MIDPOINTS = 1; + +///////////////////////////////////// +// these are just for sanity - to +// display the actual latency in +// simulation. +///////////////////////////////////// +function integer layer_latency; + input integer words; + begin + layer_latency = 2; + while (words > 1) begin + words = (words/2) + (words - (2*(words/2))); + layer_latency = layer_latency + 1; + end + end +endfunction + +function integer midpoint_latency; + input integer words; + begin + midpoint_latency = 0; + while (words > 1) begin + words = (words/2) + (words - (2*(words/2))); + midpoint_latency = midpoint_latency + 1; + end + end +endfunction + +input clk; +input [WIDTH_A-1:0] a; +input [WIDTH_B-1:0] b; +output [WIDTH_O-1:0] o; + +wire b_negative = b[WIDTH_B-1]; +wire [WIDTH_O-1:0] o_wire; +reg [WIDTH_O-1:0] o; + +genvar i; +wire [WIDTH_B * WIDTH_A - 1:0] partials; + +// report the latency in simulation +generate + if (REGISTER_LAYERS) begin + initial begin + $display ("lc_mult_signed layer latency for WIDTH_B=%d is %d", + WIDTH_B,layer_latency(WIDTH_B)); + end + end + if (REGISTER_MIDPOINTS) begin + initial begin + $display ("lc_mult_signed midpoint latency for WIDTH_B=%d is %d", + WIDTH_B,midpoint_latency(WIDTH_B)); + end + end +endgenerate + +///////////////////////////////////// +// Construct the partial products +///////////////////////////////////// + +// for all but the last word do simple AND gates +generate + for (i=0; i<(WIDTH_B-1); i=i+1) + begin : ps + assign partials[i*WIDTH_A+WIDTH_A-1:i*WIDTH_A] = a & {WIDTH_A{b[i]}}; + end +endgenerate + +// for the last word and with !A +// this is analagous to B and (B xor A) +assign partials[WIDTH_B*WIDTH_A-1:WIDTH_B*WIDTH_A-WIDTH_A] = ~a & {WIDTH_A{b[WIDTH_B-1]}}; + +reg [WIDTH_B * WIDTH_A - 1:0] partials_r; +reg b_negative_r; +generate + if (REGISTER_LAYERS) begin + always @(posedge clk) begin + partials_r <= partials; + b_negative_r <= b_negative; + end + end + else begin + always @(*) begin + partials_r = partials; + b_negative_r <= b_negative; + end + end +endgenerate + +///////////////////////////////////// +// Sum the partial products +///////////////////////////////////// +wire [WIDTH_O-1:0] sum; +wire b_negative_final; +adder_tree at (.clk(clk), + .in_words(partials_r), + .extra_bit_in(b_negative_r), + .extra_bit_out(b_negative_final), + .out(sum)); + defparam at .NUM_IN_WORDS = WIDTH_B; + defparam at .BITS_PER_IN_WORD = WIDTH_A; + defparam at .OUT_BITS = WIDTH_O; + defparam at .SIGN_EXT = 1; + defparam at .REGISTER_OUTPUT = REGISTER_LAYERS; + defparam at .REGISTER_MIDDLE = REGISTER_MIDPOINTS; + defparam at .SHIFT_DIST = 1; + defparam at .EXTRA_BIT_USED = 1; + +///////////////////////////////////// +// final signed correction +///////////////////////////////////// +assign o_wire = sum + (b_negative_final << (WIDTH_B-1)); + +///////////////////////////////////// +// optional output registers +///////////////////////////////////// +generate + if (REGISTER_LAYERS) begin + always @(posedge clk) begin + o <= o_wire; + end + end + else begin + always @(*) begin + o = o_wire; + end + end +endgenerate + +endmodule + diff --git a/Advanced Synthesis Cookbook/arithmetic/lc_mult_signed_tb.v b/Advanced Synthesis Cookbook/arithmetic/lc_mult_signed_tb.v new file mode 100644 index 0000000..9eed89b --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/lc_mult_signed_tb.v @@ -0,0 +1,135 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-03-2006 + +module lc_mult_signed_tb (); + +parameter WIDTH_A = 16; +parameter WIDTH_B = 13; +parameter WIDTH_O = WIDTH_A + WIDTH_B; +parameter LATENCY_L = 6; +parameter LATENCY_LM = 10; + +reg clk; + +////////////////////////////////// +// unit under test - no pipeline +////////////////////////////////// +reg [WIDTH_A-1:0] a; +reg [WIDTH_B-1:0] b; +wire [WIDTH_O-1:0] o; +lc_mult_signed m (.clk(clk),.a(a),.b(b),.o(o)); + defparam m .WIDTH_A = WIDTH_A; + defparam m .WIDTH_B = WIDTH_B; + defparam m .WIDTH_O = WIDTH_O; + defparam m .REGISTER_LAYERS = 0; + defparam m .REGISTER_MIDPOINTS = 0; + +////////////////////////////////// +// unit under test - layer pipelined +////////////////////////////////// +wire [WIDTH_O-1:0] op; +lc_mult_signed mp (.clk(clk),.a(a),.b(b),.o(op)); + defparam mp .WIDTH_A = WIDTH_A; + defparam mp .WIDTH_B = WIDTH_B; + defparam mp .WIDTH_O = WIDTH_O; + defparam mp .REGISTER_LAYERS = 1; + defparam mp .REGISTER_MIDPOINTS = 0; + +////////////////////////////////// +// unit under test - layer and middle pipelined +////////////////////////////////// +wire [WIDTH_O-1:0] opp; +lc_mult_signed mpp (.clk(clk),.a(a),.b(b),.o(opp)); + defparam mpp .WIDTH_A = WIDTH_A; + defparam mpp .WIDTH_B = WIDTH_B; + defparam mpp .WIDTH_O = WIDTH_O; + defparam mpp .REGISTER_LAYERS = 1; + defparam mpp .REGISTER_MIDPOINTS = 1; + +///////////////////// +// reference unit +///////////////////// +wire signed [WIDTH_A-1:0] as; +wire signed [WIDTH_B-1:0] bs; +wire signed [WIDTH_O-1:0] os; +assign as = a; +assign bs = b; +assign os = as * bs; + +//////////////////////////////// +// history for reference unit +//////////////////////////////// +reg [WIDTH_O*LATENCY_LM-1:0] pipe_history; +reg [LATENCY_LM-1:0] pipe_flushed; +wire [WIDTH_O-1:0] osp,ospp; +initial begin + pipe_history = 0; + pipe_flushed = 0; +end +always @(posedge clk) begin + pipe_history <= (pipe_history << WIDTH_O) | os; + pipe_flushed <= (pipe_flushed << 1) | 1'b1; +end +assign osp = pipe_history[WIDTH_O*LATENCY_L-1:WIDTH_O*LATENCY_L-WIDTH_O]; +assign ospp = pipe_history[WIDTH_O*LATENCY_LM-1:WIDTH_O*LATENCY_LM-WIDTH_O]; + +///////////////////// +// stim +///////////////////// +reg fail; +initial begin + a = 0; + b = 0; + clk = 0; + fail = 0; + #1000000 + if (!fail) $display ("PASS"); + $stop(); +end + +always @(negedge clk) begin + a = $random; + b = $random; +end + +always begin + #100 clk = ~clk; +end + +always @(posedge clk) begin + #10 if (os !== o) begin + $display ("Mismatch in unregistered unit at time %d",$time); + fail = 1; + end + if (&pipe_flushed && (osp !== op)) begin + $display ("Mismatch in layer pipelined unit at time %d",$time); + fail = 1; + end + if (&pipe_flushed && (ospp !== opp)) begin + $display ("Mismatch in layer and midpoint pipelined unit at time %d",$time); + fail = 1; + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/arithmetic/log2.inc b/Advanced Synthesis Cookbook/arithmetic/log2.inc new file mode 100644 index 0000000..20309bd --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/log2.inc @@ -0,0 +1,41 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-12-2006 +// helper function to compute LOG base 2 +// +// NOTE - This is a somewhat abusive definition of LOG2(v) as the +// number of bits required to represent "v". So log2(256) will be +// 9 rather than 8 (256 = 9'b1_0000_0000). I apologize for any +// confusion this may cause. +// + +function integer log2; + input integer val; + begin + log2 = 0; + while (val > 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/arithmetic/make_comp.cpp b/Advanced Synthesis Cookbook/arithmetic/make_comp.cpp new file mode 100644 index 0000000..403a283 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/make_comp.cpp @@ -0,0 +1,48 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +#include + +int const num_ins = 3; + +int main (void) +{ + unsigned int n = 0, k = 0, sum = 0; + + fprintf (stdout," case (data)\n"); + for (n=0; n<(1< + +int const num_ins = 3; // per word to add +int const num_outs = num_ins + 1; + +int main (void) +{ + unsigned int n = 0, k = 0, sum = 0; + unsigned int mask = (1 << num_ins) - 1; + + fprintf (stdout," case (data)\n"); + for (n=0; n<(1<<(num_ins*2)); n++) + { + sum = (n >> num_ins) & mask; + sum += (n & mask); + + fprintf (stdout," %d'd%d: sum=%d'd%d;\n",2*num_ins,n,num_outs,sum); + } + fprintf (stdout," default: sum=0;\n"); + fprintf (stdout," endcase\n"); + + return (0); +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arithmetic/mult_3tick.v b/Advanced Synthesis Cookbook/arithmetic/mult_3tick.v new file mode 100644 index 0000000..a6e1dff --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/mult_3tick.v @@ -0,0 +1,396 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 06-11-2007 + +// Latency 3 pipelined DSP block based multiplier. +// input width up to 36x36 with input, output, and pipeline registers. +// +// This was derived from a pipelined LPM MULT VQM. +// The awkward flow is necessary to be super explicit about +// where the registers are intended to be. The tools +// are too clever for their own good sometimes. +// + +module mult_3tick ( + clk, + a_in, + b_in, + o +); + +parameter IN_WIDTH = 36; +parameter OUT_WIDTH = 2 * IN_WIDTH; + +input clk; +input [IN_WIDTH-1:0] a_in, b_in; +output [OUT_WIDTH-1:0] o; + +// ENHANCEMENT - shift these left +wire [35:0] a = 36'b0 | a_in; +wire [35:0] b = 36'b0 | b_in; +wire [71:0] o_int; +assign o = o_int[OUT_WIDTH-1:0]; + +wire gnd; +wire vcc; +assign gnd = 1'b0; +assign vcc = 1'b1; + +wire [35:0] mult1_out; +stratixii_mac_mult mult1_i ( + .signa(gnd), + .signb(gnd), + .sourcea(gnd), + .sourceb(gnd), + .round(gnd), + .saturate(gnd), + .dataa({a[17:0]}), + .datab({b[17:0]}), + .clk({clk_unconnected_wire_0,clk_unconnected_wire_1,clk_unconnected_wire_2,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(mult1_out[35:0]) +// .observabledataa_regout(), +// .observabledatab_regout()); +); + +defparam mult1_i .dataa_width = 18; +defparam mult1_i .datab_width = 18; +defparam mult1_i .dataa_clock = "0"; +defparam mult1_i .datab_clock = "0"; +defparam mult1_i .signa_clock = "none"; +defparam mult1_i .signb_clock = "none"; +defparam mult1_i .dataa_clear = "0"; +defparam mult1_i .datab_clear = "0"; +defparam mult1_i .signa_clear = "none"; +defparam mult1_i .signb_clear = "none"; +defparam mult1_i .output_clock = "0"; +defparam mult1_i .output_clear = "0"; +defparam mult1_i .round_clock = "none"; +defparam mult1_i .round_clear = "none"; +defparam mult1_i .saturate_clock = "none"; +defparam mult1_i .saturate_clear = "none"; +defparam mult1_i .mode_clock = "none"; +defparam mult1_i .zeroacc_clock = "none"; +defparam mult1_i .mode_clear = "none"; +defparam mult1_i .zeroacc_clear = "none"; +defparam mult1_i .dynamic_mode = "no"; +defparam mult1_i .bypass_multiplier = "no"; +defparam mult1_i .signa_internally_grounded = "true"; +defparam mult1_i .signb_internally_grounded = "true"; + +wire [35:0] mult2_out; +stratixii_mac_mult mult2_i ( + .signa(gnd), + .signb(gnd), + .sourcea(gnd), + .sourceb(gnd), + .round(gnd), + .saturate(gnd), + .dataa(a[35:18]), + .datab(b[35:18]), + .clk({clk_unconnected_wire_3,clk_unconnected_wire_4,clk_unconnected_wire_5,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(mult2_out[35:0]) +// .observabledataa_regout(), +// .observabledatab_regout()); +); +defparam mult2_i .dataa_width = 18; +defparam mult2_i .datab_width = 18; +defparam mult2_i .dataa_clock = "0"; +defparam mult2_i .datab_clock = "0"; +defparam mult2_i .signa_clock = "none"; +defparam mult2_i .signb_clock = "none"; +defparam mult2_i .dataa_clear = "0"; +defparam mult2_i .datab_clear = "0"; +defparam mult2_i .signa_clear = "none"; +defparam mult2_i .signb_clear = "none"; +defparam mult2_i .output_clock = "0"; +defparam mult2_i .output_clear = "0"; +defparam mult2_i .round_clock = "none"; +defparam mult2_i .round_clear = "none"; +defparam mult2_i .saturate_clock = "none"; +defparam mult2_i .saturate_clear = "none"; +defparam mult2_i .mode_clock = "none"; +defparam mult2_i .zeroacc_clock = "none"; +defparam mult2_i .mode_clear = "none"; +defparam mult2_i .zeroacc_clear = "none"; +defparam mult2_i .dynamic_mode = "no"; +defparam mult2_i .bypass_multiplier = "no"; +defparam mult2_i .signa_internally_grounded = "false"; +defparam mult2_i .signb_internally_grounded = "false"; + +wire [35:0] mult3_out; +stratixii_mac_mult mult3_i ( + .signa(gnd), + .signb(gnd), + .sourcea(gnd), + .sourceb(gnd), + .round(gnd), + .saturate(gnd), + .dataa(a[35:18]), + .datab(b[17:0]), + .clk({clk_unconnected_wire_6,clk_unconnected_wire_7,clk_unconnected_wire_8,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(mult3_out[35:0]) +// .observabledataa_regout(), +// .observabledatab_regout()); +); +defparam mult3_i .dataa_width = 18; +defparam mult3_i .datab_width = 18; +defparam mult3_i .dataa_clock = "0"; +defparam mult3_i .datab_clock = "0"; +defparam mult3_i .signa_clock = "none"; +defparam mult3_i .signb_clock = "none"; +defparam mult3_i .dataa_clear = "0"; +defparam mult3_i .datab_clear = "0"; +defparam mult3_i .signa_clear = "none"; +defparam mult3_i .signb_clear = "none"; +defparam mult3_i .output_clock = "0"; +defparam mult3_i .output_clear = "0"; +defparam mult3_i .round_clock = "none"; +defparam mult3_i .round_clear = "none"; +defparam mult3_i .saturate_clock = "none"; +defparam mult3_i .saturate_clear = "none"; +defparam mult3_i .mode_clock = "none"; +defparam mult3_i .zeroacc_clock = "none"; +defparam mult3_i .mode_clear = "none"; +defparam mult3_i .zeroacc_clear = "none"; +defparam mult3_i .dynamic_mode = "no"; +defparam mult3_i .bypass_multiplier = "no"; +defparam mult3_i .signa_internally_grounded = "false"; +defparam mult3_i .signb_internally_grounded = "true"; + +wire [35:0] mult4_out; +stratixii_mac_mult mult4_i ( + .signa(gnd), + .signb(gnd), + .sourcea(gnd), + .sourceb(gnd), + .round(gnd), + .saturate(gnd), + .dataa(a[17:0]), + .datab(b[35:18]), + .clk({clk_unconnected_wire_9,clk_unconnected_wire_10,clk_unconnected_wire_11,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(mult4_out[35:0]) +// .observabledataa_regout(), +// .observabledatab_regout()); +); +defparam mult4_i .dataa_width = 18; +defparam mult4_i .datab_width = 18; +defparam mult4_i .dataa_clock = "0"; +defparam mult4_i .datab_clock = "0"; +defparam mult4_i .signa_clock = "none"; +defparam mult4_i .signb_clock = "none"; +defparam mult4_i .dataa_clear = "0"; +defparam mult4_i .datab_clear = "0"; +defparam mult4_i .signa_clear = "none"; +defparam mult4_i .signb_clear = "none"; +defparam mult4_i .output_clock = "0"; +defparam mult4_i .output_clear = "0"; +defparam mult4_i .round_clock = "none"; +defparam mult4_i .round_clear = "none"; +defparam mult4_i .saturate_clock = "none"; +defparam mult4_i .saturate_clear = "none"; +defparam mult4_i .mode_clock = "none"; +defparam mult4_i .zeroacc_clock = "none"; +defparam mult4_i .mode_clear = "none"; +defparam mult4_i .zeroacc_clear = "none"; +defparam mult4_i .dynamic_mode = "no"; +defparam mult4_i .bypass_multiplier = "no"; +defparam mult4_i .signa_internally_grounded = "true"; +defparam mult4_i .signb_internally_grounded = "false"; + +stratixii_mac_out out5 ( + .multabsaturate(gnd), + .multcdsaturate(gnd), + .signa(gnd), + .signb(gnd), + .dataa(mult1_out), + .datab(mult2_out), + .datac(mult3_out), + .datad(mult4_out), + .clk({clk_unconnected_wire_9,clk_unconnected_wire_10,clk_unconnected_wire_11,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + // synthesis translate off + // simulation only ports + .saturate(1'b0), + .saturate1(1'b0), + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .zeroacc1(1'b0), + .mode0(1'b0), + .mode1(1'b0), + .accoverflow(), + // synthesis translate on + + .round0(1'b0), + .round1(1'b0), + .addnsub0(1'b0), + .addnsub1(1'b0), + + .dataout(o_int)); +defparam out5 .operation_mode = "36_bit_multiply"; +defparam out5 .dataa_width = 36; +defparam out5 .datab_width = 36; +defparam out5 .datac_width = 36; +defparam out5 .datad_width = 36; +defparam out5 .addnsub0_clock = "none"; +defparam out5 .addnsub1_clock = "none"; +defparam out5 .addnsub0_pipeline_clock = "none"; +defparam out5 .addnsub1_pipeline_clock = "none"; +defparam out5 .addnsub0_clear = "none"; +defparam out5 .addnsub1_clear = "none"; +defparam out5 .addnsub0_pipeline_clear = "none"; +defparam out5 .addnsub1_pipeline_clear = "none"; +defparam out5 .round0_clock = "none"; +defparam out5 .round1_clock = "none"; +defparam out5 .round0_pipeline_clock = "none"; +defparam out5 .round1_pipeline_clock = "none"; +defparam out5 .round0_clear = "none"; +defparam out5 .round1_clear = "none"; +defparam out5 .round0_pipeline_clear = "none"; +defparam out5 .round1_pipeline_clear = "none"; +defparam out5 .saturate_clock = "none"; +defparam out5 .multabsaturate_clock = "none"; +defparam out5 .multcdsaturate_clock = "none"; +defparam out5 .saturate_pipeline_clock = "none"; +defparam out5 .multabsaturate_pipeline_clock = "none"; +defparam out5 .multcdsaturate_pipeline_clock = "none"; +defparam out5 .saturate_clear = "none"; +defparam out5 .multabsaturate_clear = "none"; +defparam out5 .multcdsaturate_clear = "none"; +defparam out5 .saturate_pipeline_clear = "none"; +defparam out5 .multabsaturate_pipeline_clear = "none"; +defparam out5 .multcdsaturate_pipeline_clear = "none"; +defparam out5 .mode0_clock = "none"; +defparam out5 .mode1_clock = "none"; +defparam out5 .zeroacc1_clock = "none"; +defparam out5 .saturate1_clock = "none"; +defparam out5 .mode0_pipeline_clock = "none"; +defparam out5 .mode1_pipeline_clock = "none"; +defparam out5 .zeroacc1_pipeline_clock = "none"; +defparam out5 .saturate1_pipeline_clock = "none"; +defparam out5 .mode0_clear = "none"; +defparam out5 .mode1_clear = "none"; +defparam out5 .zeroacc1_clear = "none"; +defparam out5 .saturate1_clear = "none"; +defparam out5 .mode0_pipeline_clear = "none"; +defparam out5 .mode1_pipeline_clear = "none"; +defparam out5 .zeroacc1_pipeline_clear = "none"; +defparam out5 .saturate1_pipeline_clear = "none"; +defparam out5 .output1_clock = "none"; +defparam out5 .output2_clock = "none"; +defparam out5 .output3_clock = "none"; +defparam out5 .output4_clock = "none"; +defparam out5 .output5_clock = "none"; +defparam out5 .output6_clock = "none"; +defparam out5 .output7_clock = "none"; +defparam out5 .output1_clear = "none"; +defparam out5 .output2_clear = "none"; +defparam out5 .output3_clear = "none"; +defparam out5 .output4_clear = "none"; +defparam out5 .output5_clear = "none"; +defparam out5 .output6_clear = "none"; +defparam out5 .output7_clear = "none"; +defparam out5 .dataa_forced_to_zero = "no"; +defparam out5 .datac_forced_to_zero = "no"; +defparam out5 .output_clock = "0"; +defparam out5 .zeroacc_clock = "none"; +defparam out5 .signa_clock = "none"; +defparam out5 .signb_clock = "none"; +defparam out5 .zeroacc_pipeline_clock = "none"; +defparam out5 .signa_pipeline_clock = "none"; +defparam out5 .signb_pipeline_clock = "none"; +defparam out5 .zeroacc_clear = "none"; +defparam out5 .signa_clear = "none"; +defparam out5 .signb_clear = "none"; +defparam out5 .output_clear = "0"; +defparam out5 .zeroacc_pipeline_clear = "none"; +defparam out5 .signa_pipeline_clear = "none"; +defparam out5 .signb_pipeline_clear = "none"; + +endmodule diff --git a/Advanced Synthesis Cookbook/arithmetic/mult_shift.v b/Advanced Synthesis Cookbook/arithmetic/mult_shift.v new file mode 100644 index 0000000..42a2960 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/mult_shift.v @@ -0,0 +1,391 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-31-2006 +// +// mult_32_32 : 32 x 32 pipelined multiply with sign control +// +// mult_shift_32_32 : 32 x 32 pipelined multiply with sign control +// and shift-rot mode. Derived from NIOS ALU design. +// + +/////////////////////////////////////////// +// 18 x 18 mult native building block +/////////////////////////////////////////// + +module mac_mult_18_18 (clk,ena,rst,sign_a,sign_b,data_a,data_b,data_o); +input clk,ena,rst; +input sign_a,sign_b; +input [17:0] data_a; +input [17:0] data_b; +output [35:0] data_o; + +parameter GND_SIGNA = "false"; +parameter GND_SIGNB = "false"; + +stratixii_mac_mult mult_a ( + .signa(sign_a), + .signb(sign_b), + .sourcea(1'b0), + .sourceb(1'b0), + .round(1'b0), + .saturate(1'b0), + .clk({1'b0,1'b0,1'b0,clk}), + .aclr({1'b0,1'b0,1'b0,rst}), + .ena({1'b1,1'b1,1'b1,ena}), + .dataa(data_a), + .datab(data_b), + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(data_o) + ); + +defparam mult_a .dataa_width = 18; +defparam mult_a .datab_width = 18; +defparam mult_a .dataa_clock = "0"; +defparam mult_a .datab_clock = "0"; +defparam mult_a .signa_clock = "0"; +defparam mult_a .signb_clock = "0"; +defparam mult_a .output_clock = "none"; +defparam mult_a .dataa_clear = "0"; +defparam mult_a .datab_clear = "0"; +defparam mult_a .signa_clear = "0"; +defparam mult_a .signb_clear = "0"; +defparam mult_a .output_clear = "none"; +defparam mult_a .round_clock = "none"; +defparam mult_a .saturate_clock = "none"; +defparam mult_a .mode_clock = "none"; +defparam mult_a .zeroacc_clock = "none"; +defparam mult_a .round_clear = "none"; +defparam mult_a .saturate_clear = "none"; +defparam mult_a .mode_clear = "none"; +defparam mult_a .zeroacc_clear = "none"; +defparam mult_a .bypass_multiplier = "no"; +defparam mult_a .dynamic_mode = "no"; +defparam mult_a .signa_internally_grounded = GND_SIGNA; +defparam mult_a .signb_internally_grounded = GND_SIGNB; +endmodule + +/////////////////////////////////////////// +// 36 x 36 MAC output building block +/////////////////////////////////////////// + +module mac_out (clk,ena_in,ena_out,rst,sign_a,sign_b,data_a,data_b,data_c,data_d,data_o); +input clk,ena_in,ena_out,rst; +input sign_a,sign_b; +input [35:0] data_a,data_b,data_c,data_d; +output [71:0] data_o; + +stratixii_mac_out m_out ( + .multabsaturate(1'b0), + .multcdsaturate(1'b0), + .signa(sign_a), + .signb(sign_b), + .clk({1'b0,1'b0,clk,clk}), + .aclr({1'b0,1'b0,rst,1'b0}), + .ena({1'b1,1'b1,ena_out,ena_in}), + .dataa(data_a), + .datab(data_b), + .datac(data_c), + .datad(data_d), + .dataout(data_o), + + // synthesis translate off + // simulation only ports + .saturate(1'b0), + .saturate1(1'b0), + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .zeroacc1(1'b0), + .mode0(1'b0), + .mode1(1'b0), + .accoverflow(), + // synthesis translate on + + .round0(1'b0), + .round1(1'b0), + .addnsub0(1'b0), + .addnsub1(1'b0) +); +defparam m_out .operation_mode = "36_bit_multiply"; +defparam m_out .dataa_width = 36; +defparam m_out .datab_width = 36; +defparam m_out .datac_width = 36; +defparam m_out .datad_width = 36; +defparam m_out .dataout_width = 72; +defparam m_out .addnsub0_clock = "none"; +defparam m_out .addnsub1_clock = "none"; +defparam m_out .zeroacc_clock = "none"; +defparam m_out .signa_clock = "0"; +defparam m_out .signb_clock = "0"; +defparam m_out .round0_clock = "none"; +defparam m_out .round1_clock = "none"; +defparam m_out .saturate_clock = "none"; +defparam m_out .multabsaturate_clock = "none"; +defparam m_out .multcdsaturate_clock = "none"; +defparam m_out .mode0_clock = "none"; +defparam m_out .mode1_clock = "none"; +defparam m_out .zeroacc1_clock = "none"; +defparam m_out .saturate1_clock = "none"; +defparam m_out .output_clock = "1"; +defparam m_out .addnsub0_pipeline_clock = "none"; +defparam m_out .addnsub1_pipeline_clock = "none"; +defparam m_out .zeroacc_pipeline_clock = "none"; +defparam m_out .signa_pipeline_clock = "none"; +defparam m_out .signb_pipeline_clock = "none"; +defparam m_out .round0_pipeline_clock = "none"; +defparam m_out .round1_pipeline_clock = "none"; +defparam m_out .saturate_pipeline_clock = "none"; +defparam m_out .multabsaturate_pipeline_clock = "none"; +defparam m_out .multcdsaturate_pipeline_clock = "none"; +defparam m_out .mode0_pipeline_clock = "none"; +defparam m_out .mode1_pipeline_clock = "none"; +defparam m_out .zeroacc1_pipeline_clock = "none"; +defparam m_out .saturate1_pipeline_clock = "none"; +defparam m_out .addnsub0_clear = "none"; +defparam m_out .addnsub1_clear = "none"; +defparam m_out .zeroacc_clear = "none"; +defparam m_out .signa_clear = "1"; +defparam m_out .signb_clear = "1"; +defparam m_out .round0_clear = "none"; +defparam m_out .round1_clear = "none"; +defparam m_out .saturate_clear = "none"; +defparam m_out .multabsaturate_clear = "none"; +defparam m_out .multcdsaturate_clear = "none"; +defparam m_out .mode0_clear = "none"; +defparam m_out .mode1_clear = "none"; +defparam m_out .zeroacc1_clear = "none"; +defparam m_out .saturate1_clear = "none"; +defparam m_out .output_clear = "1"; +defparam m_out .addnsub0_pipeline_clear = "none"; +defparam m_out .addnsub1_pipeline_clear = "none"; +defparam m_out .zeroacc_pipeline_clear = "none"; +defparam m_out .signa_pipeline_clear = "none"; +defparam m_out .signb_pipeline_clear = "none"; +defparam m_out .round0_pipeline_clear = "none"; +defparam m_out .round1_pipeline_clear = "none"; +defparam m_out .saturate_pipeline_clear = "none"; +defparam m_out .multabsaturate_pipeline_clear = "none"; +defparam m_out .multcdsaturate_pipeline_clear = "none"; +defparam m_out .mode0_pipeline_clear = "none"; +defparam m_out .mode1_pipeline_clear = "none"; +defparam m_out .zeroacc1_pipeline_clear = "none"; +defparam m_out .saturate1_pipeline_clear = "none"; +defparam m_out .output1_clock = "none"; +defparam m_out .output2_clock = "none"; +defparam m_out .output3_clock = "none"; +defparam m_out .output4_clock = "none"; +defparam m_out .output5_clock = "none"; +defparam m_out .output6_clock = "none"; +defparam m_out .output7_clock = "none"; +defparam m_out .output1_clear = "none"; +defparam m_out .output2_clear = "none"; +defparam m_out .output3_clear = "none"; +defparam m_out .output4_clear = "none"; +defparam m_out .output5_clear = "none"; +defparam m_out .output6_clear = "none"; +defparam m_out .output7_clear = "none"; +defparam m_out .dataa_forced_to_zero = "no"; +defparam m_out .datac_forced_to_zero = "no"; +endmodule + +/////////////////////////////////////////// +// 32x32 mult with sign control +/////////////////////////////////////////// + +module mult_32_32 (clk,ena_in,ena_out,rst,a_signed,b_signed,data_a,data_b,data_o); +input clk,ena_in,ena_out,rst; +input a_signed,b_signed; +input [31:0] data_a,data_b; +output [63:0] data_o; + +wire [35:0] m0_out,m1_out,m2_out,m3_out; +wire [71:0] m_out; + +mac_mult_18_18 m0 (.clk(clk),.ena(ena_in),.rst(rst), + .sign_a(a_signed), .sign_b(b_signed), + .data_a({data_a[13:0],4'b0}), + .data_b({data_b[13:0],4'b0}), + .data_o(m0_out)); + defparam m0 .GND_SIGNA = "true"; + defparam m0 .GND_SIGNB = "true"; + +mac_mult_18_18 m1 (.clk(clk),.ena(ena_in),.rst(rst), + .sign_a(a_signed), .sign_b(b_signed), + .data_a(data_a[31:14]), + .data_b(data_b[31:14]), + .data_o(m1_out)); + defparam m1 .GND_SIGNA = "false"; + defparam m1 .GND_SIGNB = "false"; + +mac_mult_18_18 m2 (.clk(clk),.ena(ena_in),.rst(rst), + .sign_a(a_signed), .sign_b(b_signed), + .data_a(data_a[31:14]), + .data_b({data_b[13:0],4'b0}), + .data_o(m2_out)); + defparam m2 .GND_SIGNA = "false"; + defparam m2 .GND_SIGNB = "true"; + +mac_mult_18_18 m3 (.clk(clk),.ena(ena_in),.rst(rst), + .sign_a(a_signed), .sign_b(b_signed), + .data_a({data_a[13:0],4'b0}), + .data_b(data_b[31:14]), + .data_o(m3_out)); + defparam m3 .GND_SIGNA = "true"; + defparam m3 .GND_SIGNB = "false"; + +mac_out mo (.clk(clk),.ena_in(ena_in),.ena_out(ena_out),.rst(rst), + .sign_a(a_signed), .sign_b(b_signed), + .data_a(m0_out),.data_b(m1_out),.data_c(m2_out),.data_d(m3_out), + .data_o(m_out)); + +assign data_o = m_out[71:8]; + +endmodule + + +/////////////////////////////////////////////////// +// 32x32 mult with sign control / shift / rotate +/////////////////////////////////////////////////// + +module mult_shift_32_32 (clk,ena_in,ena_out,rst, + shift_not_mult,direction_right,shift_not_rot, + a_signed,b_signed, + data_a,data_b,data_o +); +input clk,ena_in,ena_out,rst; +input a_signed,b_signed; +input shift_not_mult,direction_right,shift_not_rot; +input [31:0] data_a,data_b; +output [63:0] data_o; + +wire [35:0] m0_out,m1_out,m2_out,m3_out; +wire [71:0] m_out; + +wire [31:0] data_b_adj; + +// ignore sign A when rotating +wire a_sign_int = a_signed & (!shift_not_mult | shift_not_rot); + +// ignore sign B when rotating or shifting +wire b_sign_int = b_signed & !shift_not_mult; + +// compute 2^n using 5 bits of input B for shift and rotate modes +// before the 1st clock edge. +wire [31:0] data_b_twon /* synthesis keep */; +genvar i; +generate + for (i=0; i<32; i=i+1) + begin : twon + assign data_b_twon[i] = (!direction_right && (data_b[4:0] == i)) || + (direction_right && (data_b[4:0] == (32-i))) || + (direction_right && (data_b[4:0] == 0) && (i == 0)); + end +endgenerate +assign data_b_adj = shift_not_mult ? data_b_twon : data_b; + +// create delayed shift controls. +reg shift_not_mult_r,direction_right_r,shift_not_rot_r; +reg shift_not_mult_rr,direction_right_rr,shift_not_rot_rr; +always @(posedge clk or posedge rst) begin + if (rst) begin + shift_not_mult_r <= 1'b0; + direction_right_r <= 1'b0; + shift_not_rot_r <= 1'b0; + shift_not_mult_rr <= 1'b0; + direction_right_rr <= 1'b0; + shift_not_rot_rr <= 1'b0; + end + else begin + if (ena_in) begin + shift_not_mult_r <= shift_not_mult; + shift_not_rot_r <= shift_not_rot; + + // if some joker asks for right 0 change it to + // left 0. + direction_right_r <= (|data_b[4:0]) && direction_right; + end + + if (ena_out) begin + shift_not_mult_rr <= shift_not_mult_r; + direction_right_rr <= direction_right_r; + shift_not_rot_rr <= shift_not_rot_r; + end + end +end + +// 32 x 32 MAC multiplier +mac_mult_18_18 m0 (.clk(clk),.ena(ena_in),.rst(rst), + .sign_a(a_sign_int), .sign_b(b_sign_int), + .data_a({data_a[13:0],4'b0}), + .data_b({data_b_adj[13:0],4'b0}), + .data_o(m0_out)); + defparam m0 .GND_SIGNA = "true"; + defparam m0 .GND_SIGNB = "true"; + +mac_mult_18_18 m1 (.clk(clk),.ena(ena_in),.rst(rst), + .sign_a(a_sign_int), .sign_b(b_sign_int), + .data_a(data_a[31:14]), + .data_b(data_b_adj[31:14]), + .data_o(m1_out)); + defparam m1 .GND_SIGNA = "false"; + defparam m1 .GND_SIGNB = "false"; + +mac_mult_18_18 m2 (.clk(clk),.ena(ena_in),.rst(rst), + .sign_a(a_sign_int), .sign_b(b_sign_int), + .data_a(data_a[31:14]), + .data_b({data_b_adj[13:0],4'b0}), + .data_o(m2_out)); + defparam m2 .GND_SIGNA = "false"; + defparam m2 .GND_SIGNB = "true"; + +mac_mult_18_18 m3 (.clk(clk),.ena(ena_in),.rst(rst), + .sign_a(a_sign_int), .sign_b(b_sign_int), + .data_a({data_a[13:0],4'b0}), + .data_b(data_b_adj[31:14]), + .data_o(m3_out)); + defparam m3 .GND_SIGNA = "true"; + defparam m3 .GND_SIGNB = "false"; + +mac_out mo (.clk(clk),.ena_in(ena_in),.ena_out(ena_out),.rst(rst), + .sign_a(a_sign_int), .sign_b(b_sign_int), + .data_a(m0_out),.data_b(m1_out),.data_c(m2_out),.data_d(m3_out), + .data_o(m_out)); + +// output side shifter logic +assign data_o = !shift_not_mult_rr ? m_out[71:8] : + (shift_not_rot_rr ? (direction_right_rr ? m_out[71:40] : m_out[39:8]) + : (m_out[71:40] | m_out[39:8])); + +endmodule diff --git a/Advanced Synthesis Cookbook/arithmetic/mult_shift_tb.v b/Advanced Synthesis Cookbook/arithmetic/mult_shift_tb.v new file mode 100644 index 0000000..6150165 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/mult_shift_tb.v @@ -0,0 +1,254 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +/////////////////////////////////////////// +// Testbench +/////////////////////////////////////////// +// Needs the altmult_add model in quartus/eda/sim_lib/altera_mf.v +// as well as the stratix II atom models. + +module mult_shift_tb (); + +reg fail; +reg clk,ena_in,ena_out,rst,a_signed,b_signed; +reg shift_not_mult,direction_right,shift_not_rot; +reg [31:0] data_a,data_b; +wire [63:0] data_o_w, data_o_x, data_o_y, data_o_z; + +mult_shift_32_32 w ( + .clk(clk),.ena_in(ena_in),.ena_out(ena_out),.rst(rst), + .a_signed(a_signed),.b_signed(b_signed), + .data_a(data_a),.data_b(data_b), + .data_o(data_o_w), + .shift_not_mult(shift_not_mult), + .direction_right(direction_right), + .shift_not_rot(shift_not_rot) +); + +mult_32_32 x (.clk(clk),.ena_in(ena_in),.ena_out(ena_out),.rst(rst), + .a_signed(a_signed),.b_signed(b_signed), + .data_a(data_a),.data_b(data_b), + .data_o(data_o_x)); + +cpu_mult_cell y (.A_en(ena_out), + .E_ctrl_mul_cell_src1_signed(a_signed), + .E_ctrl_mul_cell_src2_signed(b_signed), + .E_src1_mul_cell(data_a), + .E_src2_mul_cell(data_b), + .M_en(ena_in), + .clk(clk), + .reset_n(!rst), + .A_mul_cell_result(data_o_y) +); + + +/////////////////////////////////////////// +// functional model +/////////////////////////////////////////// +reg mult_showing_r, mult_showing_rr; +wire signed [32:0] tmp_a; +wire signed [32:0] tmp_b; +wire signed [71:0] tmp_mult; +assign tmp_a = {a_signed & data_a[31],data_a}; +assign tmp_b = {b_signed & data_b[31],data_b}; +assign tmp_mult = tmp_a * tmp_b; + +wire [31:0] tmp_shr = data_a >> data_b[4:0]; +wire [31:0] tmp_shl = data_a << data_b[4:0]; +wire [63:0] double_a = {data_a,data_a}; +wire [63:0] signext_a = {{32{a_signed & data_a[31]}} ,data_a}; +wire [31:0] tmp_ror = (double_a >> data_b[4:0]); +wire [31:0] tmp_rol = (double_a << data_b[4:0]) >> 32; +wire [31:0] tmp_asr = (signext_a >> data_b[4:0]); + +wire [63:0] tmp_result = !shift_not_mult ? tmp_mult[63:0] : + {32'b0, + (shift_not_rot ? (direction_right ? + (a_signed ? tmp_asr : tmp_shr) : tmp_shl) : + (direction_right ? tmp_ror : tmp_rol) + )}; + +reg [63:0] wait_x,wait_y; + +always @(posedge clk or posedge rst) begin + if (rst) begin + wait_x <= 0; + wait_y <= 0; + mult_showing_r <= 1'b0; + mult_showing_rr <= 1'b0; + + end + else begin + if (ena_in) begin + wait_x <= tmp_result[63:0]; + mult_showing_r <= !shift_not_mult; + end + if (ena_out) begin + wait_y <= wait_x; + mult_showing_rr <= mult_showing_r; + end + end +end +assign data_o_z = wait_y; + + +/////////////////////////////////////////// +// test stim and verify +/////////////////////////////////////////// + +initial begin + clk = 1; + rst = 0; + ena_in = 1; + ena_out = 1; + fail = 0; + a_signed = 0; + b_signed = 0; + #10 rst = 1; + #10 rst = 0; + #5000000 if (!fail) $display ("PASS"); + $stop(); +end + +always @(negedge clk) begin + ena_in = $random; + ena_out = $random; + shift_not_mult = $random; + direction_right = $random; + shift_not_rot = $random; + a_signed = $random; + b_signed = $random; + data_a = $random; + data_b = $random; +end + +always begin + #500 clk = ~clk; +end + +always @(negedge clk) begin + + // compare pure multiplier against altmult version + #10 if (data_o_x !== data_o_y) begin + $display ("Simple MULT Mismatch at time %d",$time); + fail = 1; + end + + // compare multshift unit against functional model + if (data_o_w !== data_o_z) begin + $display ("Shift MULT unit Mismatch at time %d",$time); + fail = 1; + end + + // when in multiply mode compare between mult and multshift + // units + if (mult_showing_rr) begin + if (data_o_x !== data_o_z) begin + $display ("Mult showing - cross unit mismatch at time %d",$time); + fail = 1; + end + end +end + +endmodule + +/////////////////////////////////////////////////////// +// altmult based multiplier used by NIOS, for +// testing purposes. +// +// The altmult_add model is in the quartus/eda/sim_lib/altera_mf.v +// library. +/////////////////////////////////////////////////////// + +module cpu_mult_cell ( + // inputs: + A_en, + E_ctrl_mul_cell_src1_signed, + E_ctrl_mul_cell_src2_signed, + E_src1_mul_cell, + E_src2_mul_cell, + M_en, + clk, + reset_n, + + // outputs: + A_mul_cell_result + ) +; + + output [ 63: 0] A_mul_cell_result; + input A_en; + input E_ctrl_mul_cell_src1_signed; + input E_ctrl_mul_cell_src2_signed; + input [ 31: 0] E_src1_mul_cell; + input [ 31: 0] E_src2_mul_cell; + input M_en; + input clk; + input reset_n; + + wire [ 63: 0] A_mul_cell_result; + wire mul_clr; + assign mul_clr = ~reset_n; + altmult_add the_altmult_add + ( + .aclr0 (mul_clr), + .aclr1 (mul_clr), + .clock0 (clk), + .clock1 (clk), + .dataa (E_src1_mul_cell), + .datab (E_src2_mul_cell), + .ena0 (M_en), + .ena1 (A_en), + .result (A_mul_cell_result), + .signa (E_ctrl_mul_cell_src1_signed), + .signb (E_ctrl_mul_cell_src2_signed) + ); + + defparam the_altmult_add.addnsub_multiplier_aclr1 = "UNUSED", + the_altmult_add.addnsub_multiplier_pipeline_aclr1 = "UNUSED", + the_altmult_add.addnsub_multiplier_register1 = "CLOCK0", + the_altmult_add.dedicated_multiplier_circuitry = "YES", + the_altmult_add.input_aclr_a0 = "ACLR0", + the_altmult_add.input_aclr_b0 = "ACLR0", + the_altmult_add.input_register_a0 = "CLOCK0", + the_altmult_add.input_register_b0 = "CLOCK0", + the_altmult_add.input_source_a0 = "DATAA", + the_altmult_add.input_source_b0 = "DATAB", + the_altmult_add.lpm_type = "altmult_add", + the_altmult_add.multiplier1_direction = "ADD", + the_altmult_add.multiplier_register0 = "UNREGISTERED", + the_altmult_add.number_of_multipliers = 1, + the_altmult_add.output_aclr = "ACLR1", + the_altmult_add.output_register = "CLOCK1", + the_altmult_add.signed_aclr_a = "ACLR0", + the_altmult_add.signed_aclr_b = "ACLR0", + the_altmult_add.signed_pipeline_register_a = "UNREGISTERED", + the_altmult_add.signed_pipeline_register_b = "UNREGISTERED", + the_altmult_add.signed_register_a = "CLOCK0", + the_altmult_add.signed_register_b = "CLOCK0", + the_altmult_add.width_a = 32, + the_altmult_add.width_b = 32, + the_altmult_add.width_result = 64; + + +endmodule + diff --git a/Advanced Synthesis Cookbook/arithmetic/pipeline_add.v b/Advanced Synthesis Cookbook/arithmetic/pipeline_add.v new file mode 100644 index 0000000..5116d5e --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/pipeline_add.v @@ -0,0 +1,81 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 09-01-2006 +// +// Adder with one level of pipeline (embedded in the carry chain). +// This is the most efficient way to speed up arithmetic when +// latency is available. +// +// For some additional speed in return for more area you can duplicate +// the more significant chain and do carry-select. Shorten the less +// significant half to balance the delay. +// + +module pipeline_add (clk,rst,a,b,o); + +parameter LS_WIDTH = 10; +parameter MS_WIDTH = 10; +parameter WIDTH = LS_WIDTH + MS_WIDTH; + +input [WIDTH-1:0] a,b; +input clk,rst; +output [WIDTH-1:0] o; +reg [WIDTH-1:0] o; + + // Build the less significant adder with an extra bit on the top to get + // the carry chain onto the normal routing. + reg [LS_WIDTH-1+1:0] ls_adder; + wire cross_carry = ls_adder[LS_WIDTH]; + always @(posedge clk or posedge rst) begin + if (rst) ls_adder <= 1'b0; + else ls_adder <= {1'b0,a[LS_WIDTH-1:0]} + {1'b0,b[LS_WIDTH-1:0]}; + end + + // the more significant data needs to wait a tick for the carry + // signal to be ready + reg [MS_WIDTH-1:0] ms_data_a,ms_data_b; + always @(posedge clk or posedge rst) begin + if (rst) begin + ms_data_a <= 0; + ms_data_b <= 0; + end + else begin + ms_data_a <= a[WIDTH-1:WIDTH-MS_WIDTH]; + ms_data_b <= b[WIDTH-1:WIDTH-MS_WIDTH]; + end + end + + // Build the more significant adder with an extra low bit to incorporate + // the carry from the split lower chain. + wire [MS_WIDTH-1+1:0] ms_adder; + assign ms_adder = {ms_data_a,cross_carry} + + {ms_data_b,cross_carry}; + + // collect the sum back together and register, drop the two internal bits + always @(posedge clk or posedge rst) begin + if (rst) o <= 0; + else o <= {ms_adder[MS_WIDTH:1],ls_adder[LS_WIDTH-1:0]}; + end + +endmodule + diff --git a/Advanced Synthesis Cookbook/arithmetic/pipeline_add_msb.v b/Advanced Synthesis Cookbook/arithmetic/pipeline_add_msb.v new file mode 100644 index 0000000..552f6ee --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/pipeline_add_msb.v @@ -0,0 +1,83 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// liu - 06-11-2006 +// +// Adder with one level of pipeline (embedded in the carry chain). +// This is the most efficient way to speed up arithmetic when +// latency is available. +// +// Modification to expose the unregistered most significant bit +// for use in carry select +// + +module pipeline_add_msb (clk,rst,a,b,o,msb); + +parameter LS_WIDTH = 10; +parameter MS_WIDTH = 10; +parameter WIDTH = LS_WIDTH + MS_WIDTH; + +input [WIDTH-1:0] a,b; +input clk,rst; +output [WIDTH-1:0] o; +output msb; +reg [WIDTH-1:0] o; + + // Build the less significant adder with an extra bit on the top to get + // the carry chain onto the normal routing. + reg [LS_WIDTH-1+1:0] ls_adder; + wire cross_carry = ls_adder[LS_WIDTH]; + always @(posedge clk or posedge rst) begin + if (rst) ls_adder <= 1'b0; + else ls_adder <= {1'b0,a[LS_WIDTH-1:0]} + {1'b0,b[LS_WIDTH-1:0]}; + end + + // the more significant data needs to wait a tick for the carry + // signal to be ready + reg [MS_WIDTH-1:0] ms_data_a,ms_data_b; + always @(posedge clk or posedge rst) begin + if (rst) begin + ms_data_a <= 0; + ms_data_b <= 0; + end + else begin + ms_data_a <= a[WIDTH-1:WIDTH-MS_WIDTH]; + ms_data_b <= b[WIDTH-1:WIDTH-MS_WIDTH]; + end + end + + // Build the more significant adder with an extra low bit to incorporate + // the carry from the split lower chain. + wire [MS_WIDTH-1+1:0] ms_adder; + assign ms_adder = {ms_data_a,cross_carry} + + {ms_data_b,cross_carry}; + + assign msb = ms_adder[MS_WIDTH]; + + // collect the sum back together and register, drop the two internal bits + always @(posedge clk or posedge rst) begin + if (rst) o <= 0; + else o <= {ms_adder[MS_WIDTH:1],ls_adder[LS_WIDTH-1:0]}; + end + +endmodule + diff --git a/Advanced Synthesis Cookbook/arithmetic/pipeline_add_tb.v b/Advanced Synthesis Cookbook/arithmetic/pipeline_add_tb.v new file mode 100644 index 0000000..0f80095 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/pipeline_add_tb.v @@ -0,0 +1,82 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-04-2007 + +module pipeline_add_tb (); + parameter LS_WIDTH = 15; + parameter MS_WIDTH = 20; + parameter WIDTH = LS_WIDTH + MS_WIDTH; + + reg [WIDTH-1:0] a,b; + reg rst,clk; + wire [WIDTH-1:0] oa; + reg first = 1'b1; + + // functional model + reg [WIDTH-1:0] ob,ob_delay; + always @(posedge clk or posedge rst) begin + if (rst) begin + ob_delay <= 0; + ob <= 0; + end + else begin + ob_delay <= ob; + ob <= a + b; + end + end + + // DUT + pipeline_add s (.clk(clk),.rst(rst),.a(a),.b(b),.o(oa)); + defparam s .LS_WIDTH = LS_WIDTH; + defparam s .MS_WIDTH = MS_WIDTH; + + // run the clock and spin A and B data + always begin + #100 clk = ~clk; + end + always @(negedge clk) begin + a = {$random,$random}; + b = {$random,$random}; + end + + // verify - ignore the very first tick while the + // pipe clears. + always @(posedge clk) begin + #10 if (!first && oa !== ob_delay) begin + $display ("Mismatch at time %d",$time); + $stop(); + end + end + + initial begin + clk = 0; + rst = 0; + #10 rst = 1; + #10 rst = 0; + @(posedge clk) + @(negedge clk) first = 0; + + #1000000 $display ("PASS"); + $stop(); + end +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arithmetic/select_add.v b/Advanced Synthesis Cookbook/arithmetic/select_add.v new file mode 100644 index 0000000..ea24563 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/select_add.v @@ -0,0 +1,214 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-15-2006 + +//////////////////////////////////////////////// + +module car_select_add (clk,a,b,o); + +parameter BLOCK_SIZE = 14; +parameter NUM_BLOCKS = 4; + +localparam DAT_WIDTH = BLOCK_SIZE * NUM_BLOCKS; + +input clk; +input [DAT_WIDTH-1:0] a,b; +output [DAT_WIDTH:0] o; + +// take care of the 1st block of adder chain +wire [BLOCK_SIZE:0] first_add; +reg [BLOCK_SIZE-1:0] first_add_r; +assign first_add = a[BLOCK_SIZE-1:0] + b[BLOCK_SIZE-1:0]; +wire first_co = first_add[BLOCK_SIZE]; + +// generate the following select blocks +wire [NUM_BLOCKS-1:0] car; +assign car[0] = first_co; +reg last_c_r; +genvar i; +generate + for (i=1; i 3 +tern_node x (.clk(clk),.a(a),.b(b),.c(c),.o(part0)); + defparam x .WIDTH = WIDTH; +tern_node y (.clk(clk),.a(d),.b(e),.c(f),.o(part1)); + defparam y .WIDTH = WIDTH; +tern_node z (.clk(clk),.a(g),.b(h),.c(i),.o(part2)); + defparam z .WIDTH = WIDTH; + +// output layer 3=> 1 +tern_node o (.clk(clk),.a(part0),.b(part1),.c(part2),.o(out)); + defparam o .WIDTH = WIDTH+2; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arithmetic/thirtysix_six_comp.v b/Advanced Synthesis Cookbook/arithmetic/thirtysix_six_comp.v new file mode 100644 index 0000000..afdd614 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/thirtysix_six_comp.v @@ -0,0 +1,61 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 2-13-2006 +// compute sum of 36 bit lines +// +// uses nine 6:3 compressors = 27 six-luts +// plus a 5 bit carry propagate output adder (one bit falls through) + +module thirtysix_six_comp (data,sum); + +input [35:0] data; +output [5:0] sum; +wire [5:0] sum; + +wire [5:0] word_l; +wire [5:0] word_m; +wire [5:0] word_h; + +wire [2:0] sa,sb,sc,sd,se,sf; +wire [2:0] slo,sme,shi; + +six_three_comp a (.data(data[5:0]),.sum(sa)); +six_three_comp b (.data(data[11:6]),.sum(sb)); +six_three_comp c (.data(data[17:12]),.sum(sc)); +six_three_comp d (.data(data[23:18]),.sum(sd)); +six_three_comp e (.data(data[29:24]),.sum(se)); +six_three_comp f (.data(data[35:30]),.sum(sf)); + +six_three_comp lo (.data({sa[0],sb[0],sc[0],sd[0],se[0],sf[0]}),.sum(slo)); +six_three_comp me (.data({sa[1],sb[1],sc[1],sd[1],se[1],sf[1]}),.sum(sme)); +six_three_comp hi (.data({sa[2],sb[2],sc[2],sd[2],se[2],sf[2]}),.sum(shi)); + +wire [7:0] tmp_sum; +ternary_add t (.a({3'b0,slo}), + .b({2'b0,sme,1'b0}), + .c({1'b0,shi,2'b0}), + .o(tmp_sum)); + defparam t .WIDTH = 6; +assign sum = tmp_sum[5:0]; + +endmodule diff --git a/Advanced Synthesis Cookbook/arithmetic/three_two_comp.v b/Advanced Synthesis Cookbook/arithmetic/three_two_comp.v new file mode 100644 index 0000000..6a0c953 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/three_two_comp.v @@ -0,0 +1,48 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-13-2006 +// +// Three input two output compressor (full adder) +// area cost is two 3-LUTs. + +module three_two_comp (data,sum); + +input [2:0] data; +output [1:0] sum; + +reg [1:0] sum; + +always @(data) begin + case (data) + 0: sum=0; + 1: sum=1; + 2: sum=1; + 3: sum=2; + 4: sum=1; + 5: sum=2; + 6: sum=2; + 7: sum=3; + default: sum=0; + endcase +end +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/arithmetic/twelve_four_comp.v b/Advanced Synthesis Cookbook/arithmetic/twelve_four_comp.v new file mode 100644 index 0000000..870f7f7 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/twelve_four_comp.v @@ -0,0 +1,38 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler -09-15-2008 +// This is intended to use exactly ten LUTs in two levels. + +module twelve_four_comp (data,sum); + +input [11:0] data; +output [3:0] sum; + +wire [3:0] sum /* synthesis keep */; +wire [2:0] sum_a,sum_b; + +six_three_comp ca (.data(data[5:0]),.sum(sum_a)); +six_three_comp cb (.data(data[11:6]),.sum(sum_b)); +sum_of_3bit_pair st (.a(sum_a),.b(sum_b),.sum(sum)); + +endmodule diff --git a/Advanced Synthesis Cookbook/arithmetic/wide_compress.v b/Advanced Synthesis Cookbook/arithmetic/wide_compress.v new file mode 100644 index 0000000..ca541d4 --- /dev/null +++ b/Advanced Synthesis Cookbook/arithmetic/wide_compress.v @@ -0,0 +1,106 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + + +// baeckler - 03-14-2006 + +//////////////////////////////////////////////////////// +// wide 3:2 compressor +//////////////////////////////////////////////////////// +module compress_32 (a,b,c,oo,ot); +parameter WIDTH = 16; +input [WIDTH-1:0] a,b,c; +output [WIDTH-1:0] oo,ot; + +genvar i; +generate + for (i=0; i +#include + +void panic (char * msg) +{ + fprintf (stdout,"PANIC: %s\n",msg); + exit(1); +} + +int const word_out_bits = 67; +int const word_in_bits = 40; +int const storage_len = word_out_bits-1+word_in_bits; +bool storage [storage_len]; +int holding = 0; + +void init_storage () +{ + int n = 0; + for (n=0; n=storage_len-word_out_bits; n--) + { + storage[n] = true; + } + holding = word_out_bits; +} + +// bool OK? +bool shl_storage (int shift_dist) +{ + int n = 0; + + for (n=storage_len-1; n>=storage_len-shift_dist; n--) + { + if (storage[n]) + { + //panic ("SHL is losing most significant bits"); + return (false); + } + } + for (n=storage_len-1; n>=shift_dist; n--) + { + storage[n] = storage[n-shift_dist]; + } + for (n=0; n 0 && !storage[word_in_bits+shift_dist]) + { + // not adjoining exitsting residue + return (false); + } + for (n=0; n= storage_len) + { + // ("Access out of range"); + return (false); + } + if (storage[n+shift_dist]) + { + // ("New data is stomping old"); + return (false); + } + storage[n+shift_dist] = true; + } + holding += word_in_bits; + + return (true); +} + +// scratch area for solution +int dat_shift [100]; +int store_shift [100]; +int extract_point [100]; + +// bool found a solution? +bool search (int phase) +{ + bool viable = true; + bool last_storage [storage_len]; + int last_holding; + int n,a,b,c,bb,aa; + + if (phase == word_out_bits+1) return (true); + + // save state + for (n=0; n= word_out_bits) + { + if (!extract_word (a)) + { + viable = false; + bb = 100; // if A doesn't work all B,C are wash + } + } + else + { + // extract point a is a don't care + a = 0; + } + + if (viable) + { + if (!shl_storage (b)) + { + viable = false; + c = 100; // if B doesn't work all C are wash + } + } + if (viable) + { + if (!insert_data (c)) viable = false; + } + + // if it looks OK keep searching + if (viable) + { + fprintf (stdout,"phase %d - %d %d %d works\n",phase,a,b,c); + if (search (phase+1)) + { + fprintf (stdout,"sol phase %d ext %d shl storage %d shl data %d\n", + phase,a,b,c); + + dat_shift [phase] = c; + store_shift [phase] = b; + extract_point [phase] = a; + + return (true); + } + } + + // restore state + for (n=0; n +#include +#include + +void panic (char * msg) +{ + fprintf (stdout,"PANIC: %s\n",msg); + exit(1); +} + +int const word_out_bits = 40; +int const word_in_bits = 67; +int const storage_len = word_out_bits-1+word_in_bits; +bool storage [storage_len]; +int holding = 0; + +void init_storage () +{ + int n = 0; + for (n=0; n=storage_len-word_out_bits; n--) + { + storage[n] = true; + } + holding = word_out_bits; +} + +// bool OK? +bool shl_storage (int shift_dist) +{ + int n = 0; + + for (n=storage_len-1; n>=storage_len-shift_dist; n--) + { + if (storage[n]) + { + //panic ("SHL is losing most significant bits"); + return (false); + } + } + for (n=storage_len-1; n>=shift_dist; n--) + { + storage[n] = storage[n-shift_dist]; + } + for (n=0; n 0 && !storage[word_in_bits+shift_dist]) + { + // not adjoining exitsting residue + return (false); + } + for (n=0; n= storage_len) + { + // ("Access out of range"); + return (false); + } + if (storage[n+shift_dist]) + { + // ("New data is stomping old"); + return (false); + } + storage[n+shift_dist] = true; + } + holding += word_in_bits; + + return (true); +} + +// scratch area for solution +int dat_shift [100]; +int store_shift [100]; +int extract_point [100]; + +// bool found a solution? +bool search (int phase) +{ + bool viable = true; + bool last_storage [storage_len]; + int last_holding; + int n,a,b,c,bb,aa; + + if (phase == 68) return (true); + + // save state + for (n=0; n= word_out_bits) + { + if (!extract_word (a)) + { + viable = false; + bb = 100; // if A doesn't work all B,C are wash + } + } + else + { + // extract point a is a don't care + a = 0; + } + + if (viable) + { + if (!shl_storage (b)) + { + viable = false; + c = 100; // if B doesn't work all C are wash + } + } + if (viable) + { + if (holding >= word_out_bits) + { + // skip the insert this round + c = 100; + } + else + { + if (!insert_data (c)) viable = false; + } + } + + // if it looks OK keep searching + if (viable) + { + //fprintf (stdout,"phase %d - %d %d %d works\n",phase,a,b,c); + if (search (phase+1)) + { + fprintf (stdout,"sol phase %d holding %d ext %d shl storage %d shl data %d\n", + phase,last_holding,a,b,c); + + dat_shift [phase] = (c == 100) ? -1 : c; + store_shift [phase] = b; + extract_point [phase] = a; + + return (true); + } + } + + // restore state + for (n=0; n 6'd22) || (!schedule[2] && top_ptr == 6'd22); + +always @(posedge clk or posedge arst) begin + if (arst) begin + top_ptr <= 0; + storage <= 0; + loword_valid <= 0; + schedule <= 3'b001; + mv_hi <= 0; + mv_md <= 0; + dout_valid <= 0; + hiword <= 0; + midword <= 0; + loword <= 0; + end + else begin + + // always take in new data - 20 bits + storage <= {storage[21:0],din}; + loword_valid <= enough_bits; + + // read 22 to hi, 22 to mid, 23 to low to form 67 + mv_hi <= schedule[0]; + mv_md <= schedule[1]; + dout_valid <= schedule[2] & enough_bits; + + if (loword_valid && mv_hi) hiword <= loword[22:1]; + if (loword_valid && mv_md) midword <= loword[22:1]; + + // pull out 22 or 23 bits of data from the register + case (top_ptr) + 6'd42: loword <= storage[41:19]; + 6'd41: loword <= storage[40:18]; + 6'd40: loword <= storage[39:17]; + 6'd39: loword <= storage[38:16]; + 6'd38: loword <= storage[37:15]; + 6'd37: loword <= storage[36:14]; + 6'd36: loword <= storage[35:13]; + 6'd35: loword <= storage[34:12]; + 6'd34: loword <= storage[33:11]; + 6'd33: loword <= storage[32:10]; + 6'd32: loword <= storage[31:9]; + 6'd31: loword <= storage[30:8]; + 6'd30: loword <= storage[29:7]; + 6'd29: loword <= storage[28:6]; + 6'd28: loword <= storage[27:5]; + 6'd27: loword <= storage[26:4]; + 6'd26: loword <= storage[25:3]; + 6'd25: loword <= storage[24:2]; + 6'd24: loword <= storage[23:1]; + 6'd23: loword <= storage[22:0]; + 6'd22: loword <= {storage[21:0],1'b0}; // 16 hex + + default: loword <= 0; // not X, just for simulation sanity + endcase + + // we are always gaining 20 and losing either 0, 22 or 23 bits + top_ptr <= top_ptr + (!enough_bits ? 6'd20 : (schedule[2] ? -6'd3 : -6'd2)); + + // when successful advance to next word + if (enough_bits) schedule <= {schedule[1:0],schedule[2]}; + + // Optional slip to find properly framed words + if (slip_to_frame & loword_valid & mv_hi & (~loword[21] ^ loword[20])) + schedule <= 3'b001; + + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/communication/gearbox_20_67_tb.sv b/Advanced Synthesis Cookbook/communication/gearbox_20_67_tb.sv new file mode 100644 index 0000000..49c773b --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_20_67_tb.sv @@ -0,0 +1,69 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 09-19-2008 + +// Note : This testbench is for observation only. +// See testbench gearbox_67_20_tb + +module gearbox_20_67_tb (); + +reg clk,arst; +reg [19:0] din; +wire [66:0] dout; +wire dout_valid; + +gearbox_20_67 dut ( + .* +); + +initial begin + clk = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + +always begin + #5 clk = ~clk; +end + +reg [4*67-1:0] data_stream = { + 3'b010, 64'h1234567812345670, + 3'b010, 64'habcdef12abcdef11, + 3'b010, 64'h1234567812345679, + 3'b010, 64'habcdef12abcdef13 +}; + +integer n = 4*67-1; +integer k; + +always @(negedge clk) begin + #2 if (!arst) begin + din = 0; + for (k=19;k>=0;k=k-1) begin + din[k] = data_stream[n]; + if (n > 0) n = n - 1; + end + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_32_33.v b/Advanced Synthesis Cookbook/communication/gearbox_32_33.v new file mode 100644 index 0000000..b53a9fe --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_32_33.v @@ -0,0 +1,82 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-08-2009 + +module gearbox_32_33 ( + input clk,arst, + input [31:0] din, // bit 0 is sent first + input din_valid, + input din_slip, // drop bit 0 of the current din + output [32:0] dout, // bit 0 is sent first + output reg dout_valid +); + +reg [63:0] storage; +reg [5:0] holding; + +// make it explicit that holding will never be greater than 32 +// to help synthesis with the shifter +wire [63:0] aligned_din = holding[5] ? + {din,32'b0} : + (din << holding[4:0]); + +always @(posedge clk or posedge arst) begin + if (arst) begin + holding <= 0; + storage <= 0; + dout_valid <= 1'b0; + end + else begin + dout_valid <= 1'b0; + if (din_valid) begin + + if (din_slip) begin + // with 31 in and 33 out, holding decreases by 2, mod 33 + if (holding == 0) holding <= 6'd31; + else if (holding == 1) holding <= 6'd32; + else holding <= holding - 6'd2; + end + else begin + // with 32 in and 33 out, holding decreases by 1, mod 33 + if (holding == 0) holding <= 6'd32; + else holding <= holding - 6'd1; + end + + // when you are holding 32 bits there is no output, + // don't shift the storage, otherwise remove the low + // order 33 bits. + storage <= (holding[5] ? storage : (storage >> 6'd33)) | + (din_slip ? (aligned_din >> 1'b1) : aligned_din); + + // the output will be valid unless we are not holding any + // bits at all. + dout_valid <= (holding == 0) ? 1'b0 : + (din_slip && holding == 6'd1) ? 1'b0 : + 1'b1; + end + end +end + +assign dout = storage [32:0]; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_32_66.v b/Advanced Synthesis Cookbook/communication/gearbox_32_66.v new file mode 100644 index 0000000..7fdcc45 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_32_66.v @@ -0,0 +1,95 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-08-2009 + +module gearbox_32_66 ( + input clk,arst, + input [31:0] din, // bit 0 is sent first + input din_valid, + input slip_to_frame, + output [65:0] dout, // bit 0 is sent first + output dout_valid, + + // this is the number of bit slips used to find the lock + // intended for debug / test + output reg [6:0] slip_count +); + +//////////////////////////////////// +// workhorse 32 to 33 unit + +reg gb33_slip; +wire [32:0] gb33_dout; +wire gb33_dout_valid; + +gearbox_32_33 gb33 ( + .clk(clk), + .arst(arst), + .din(din), // bit 0 is sent first + .din_valid(din_valid), + .din_slip(gb33_slip), // drop bit 0 of the current din + .dout(gb33_dout), // bit 0 is sent first + .dout_valid(gb33_dout_valid) +); +wire correct_framing = ^gb33_dout[1:0]; + +//////////////////////////////////// +// alternate 33 bit halves with slip control + +reg first_half; +reg [32:0] prev_word; + +always @(posedge clk or posedge arst) begin + if (arst) begin + first_half <= 1'b1; + gb33_slip <= 1'b0; + prev_word <= 33'b0; + slip_count <= 7'h0; + end + else begin + if (din_valid) gb33_slip <= 1'b0; + + // alternate reading 33 bit halves + if (gb33_dout_valid) begin + first_half <= ~first_half; + if (first_half) prev_word <= gb33_dout; + end + + // when in search mode check the framing bits + // they should be different if the alignment + // is correct. + + if (slip_to_frame) begin + if (gb33_dout_valid & first_half & !correct_framing) begin + // this isn't right, do a slip + gb33_slip <= 1'b1; + slip_count <= slip_count + 1'b1; + end + end + end +end + +assign dout = {gb33_dout,prev_word}; +assign dout_valid = gb33_dout_valid & !first_half; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_32_66_tb.sv b/Advanced Synthesis Cookbook/communication/gearbox_32_66_tb.sv new file mode 100644 index 0000000..4d3a579 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_32_66_tb.sv @@ -0,0 +1,130 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module gearbox_32_66_tb (); + +reg clk,arst; +wire [31:0] din; // bit 0 is sent first +reg din_valid = 1'b1; +reg slip_to_frame = 1'b1; + +//////////////////////////////////// +// provide some simple framed data + +localparam SAMPLE_WORDS = 9; + +reg [66*SAMPLE_WORDS-1:0] sample_data = { + "be going", 2'b01, + "y I must", 2'b01, + "me to sa", 2'b01, + "tay I ca", 2'b10, + "cannot s", 2'b01, + "going. I", 2'b01, + "must be ", 2'b10, + "Hello I ", 2'b01, + 64'hffff_ffff_ffff_ffff, 2'b10 +}; + +always @(posedge clk) begin + if (!arst) begin + sample_data <= { + sample_data[31:0], + sample_data [66*SAMPLE_WORDS-1:32] + }; + end +end + +////////////////////////////////////////////////////// +// the gearbox should be able to lock on ANY 32 bit +// view of the sample data. Try 66 offsets. + +wire [64*66-1:0] douts; +wire [8*66-1:0] slip_counts; + +genvar i; +generate + for (i=0; i<66; i=i+1) + begin : dut_lp + wire [65:0] dout; // bit 0 is sent first + wire dout_valid; + wire [6:0] slip_count; + + // trim off the framing so the hex / ASCII is readable + reg [64:0] trimmed_dout; + always @(posedge clk) begin + if (dout_valid) trimmed_dout <= dout >> 2; + end + + // test unit + gearbox_32_66 dut ( + .clk,.arst, + .din(sample_data[31+i:i]), // bit 0 is sent first + .din_valid, + .slip_to_frame, + .dout, // bit 0 is sent first + .dout_valid, + .slip_count + ); + + // gather up the outputs for observation + assign douts [64*(i+1)-1:64*i] = trimmed_dout; + assign slip_counts [8*(i+1)-1:8*i] = {1'b0,slip_count}; + end +endgenerate + +//////////////////////////////////// +// sanity check that the gearboxes find the appropriate +// indices. They may be offset by 66 if they hit false +// framing matches. + +integer n; +reg fail = 1'b0; +initial begin + #5000 + for (n=0; n<66; n=n+1) begin + if (((2*66-n) % 66) != (slip_counts[8*(n+1)-1-:8] % 66)) + begin + $display ("DUT %d is not at the expected slip index",n); + fail = 1'b1; + end + end + if (!fail) $display ("PASS"); + $stop(); +end + +//////////////////////////////////// +// clock driver + +always begin + #5 clk = ~clk; +end + +initial begin + clk = 0; + arst = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + + +endmodule + diff --git a/Advanced Synthesis Cookbook/communication/gearbox_33_32.v b/Advanced Synthesis Cookbook/communication/gearbox_33_32.v new file mode 100644 index 0000000..df4de48 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_33_32.v @@ -0,0 +1,83 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-09-2008 + +module gearbox_33_32 ( + input clk,arst, + + input [32:0] din, + input din_valid, + output din_ready, + + output [31:0] dout, + output reg dout_valid, + input dout_ready +); + +reg [4:0] holding; +reg holding_32; +reg [63:0] storage; + +assign din_ready = dout_ready & !holding_32; + +// holding will never be >= 32 +wire [63:0] aligned_din = (din << holding); + +always @(posedge clk or posedge arst) begin + if (arst) begin + storage <= 0; + holding <= 0; + holding_32 <= 0; + dout_valid <= 1'b0; + end + else begin + if (dout_ready) dout_valid <= 1'b0; + + if (holding_32) begin + holding <= 0; + holding_32 <= 0; + storage <= (storage >> 32); + dout_valid <= 1'b1; + end + else begin + if (din_ready & din_valid) begin + storage <= (storage >> 32) | aligned_din; + + // when holding 31, 33 in, there are enough + // bits for TWO words out. + if (&holding) begin + holding <= 0; + holding_32 <= 1'b1; + end + else begin + holding <= holding + 1'b1; + end + dout_valid <= 1'b1; + end + end + end +end + +assign dout = storage [31:0]; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_33_32_tb.sv b/Advanced Synthesis Cookbook/communication/gearbox_33_32_tb.sv new file mode 100644 index 0000000..3d91bc5 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_33_32_tb.sv @@ -0,0 +1,74 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module gearbox_33_32_tb (); + +reg clk,arst; +reg [32:0] din = 0; +wire din_ready; +wire [31:0] dout; + +gearbox_33_32 dut ( + .* +); + +integer n; +wire [32:0] recovered; +wire recovered_valid; +reg din_slip; +initial begin + din_slip = 1'b1; + for (n=0; n<34; n=n+1) begin + @(negedge clk); + end + din_slip = 1'b0; + +end + +gearbox_32_33 dut_b ( + .clk,.arst, + .din(dout), // bit 0 is sent first + .din_valid(1'b1), + .din_slip(din_slip), // drop bit 0 of the current din + .dout(recovered), // bit 0 is sent first + .dout_valid(recovered_valid) +); + +always @(posedge clk) begin + if (din_ready) din <= din + 1'b1; +end + +//////////////////////////////////// +// clock driver + +always begin + #5 clk = ~clk; +end + +initial begin + clk = 0; + arst = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_40_66.v b/Advanced Synthesis Cookbook/communication/gearbox_40_66.v new file mode 100644 index 0000000..61d058c --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_40_66.v @@ -0,0 +1,165 @@ +// Copyright 2010 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// 40 in 66 out +// baeckler - 07-21-2010 + +module gearbox_40_66 ( + input clk, + input slip_to_frame, // look for the least significant 2 bits being opposite + input [39:0] din, // lsbit first + output [65:0] dout, + output reg dout_valid, + + output reg slipping, // pulses on position change + output reg word_locked // lock detect with history +); + +initial dout_valid = 1'b0; + +reg [5:0] gbstate = 0 /* synthesis preserve */; +reg [103:0] stor = 0 /* synthesis preserve */; +assign dout = stor[65:0]; +reg [39:0] din_r = 0; +reg din_extra = 0; + +// framing acquisition controls +reg odd = 1'b0 /* synthesis preserve */; // select a 1 bit shift of the input data +reg drop40 = 1'b0 /* synthesis preserve */; // slip by 1 input word + +always @(posedge clk) begin + din_extra <= din[39]; + din_r <= odd ? {din[38:0],din_extra} : din[39:0]; + + gbstate <= drop40 ? gbstate : (gbstate[5] ? 6'h0 : (gbstate + 1'b1)); + dout_valid <= 1'b0; + + if (gbstate[5]) begin + stor[65:26] <= din_r[39:0]; stor[25:0] <= stor[91:66]; dout_valid <= 1'b1; + end // now holding 0 + else begin + case (gbstate[4:0]) + 5'h0 : begin stor[39:0] <= din_r[39:0]; end // now holding 40 + 5'h1 : begin stor[79:40] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 14 + 5'h2 : begin stor[53:14] <= din_r[39:0]; stor[13:0] <= stor[79:66]; end // now holding 54 + 5'h3 : begin stor[93:54] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 28 + 5'h4 : begin stor[67:28] <= din_r[39:0]; stor[27:0] <= stor[93:66]; dout_valid <= 1'b1; end // now holding 2 + 5'h5 : begin stor[41:2] <= din_r[39:0]; stor[1:0] <= stor[67:66]; end // now holding 42 + 5'h6 : begin stor[81:42] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 16 + 5'h7 : begin stor[55:16] <= din_r[39:0]; stor[15:0] <= stor[81:66]; end // now holding 56 + 5'h8 : begin stor[95:56] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 30 + 5'h9 : begin stor[69:30] <= din_r[39:0]; stor[29:0] <= stor[95:66]; dout_valid <= 1'b1; end // now holding 4 + 5'ha : begin stor[43:4] <= din_r[39:0]; stor[3:0] <= stor[69:66]; end // now holding 44 + 5'hb : begin stor[83:44] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 18 + 5'hc : begin stor[57:18] <= din_r[39:0]; stor[17:0] <= stor[83:66]; end // now holding 58 + 5'hd : begin stor[97:58] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 32 + 5'he : begin stor[71:32] <= din_r[39:0]; stor[31:0] <= stor[97:66]; dout_valid <= 1'b1; end // now holding 6 + 5'hf : begin stor[45:6] <= din_r[39:0]; stor[5:0] <= stor[71:66]; end // now holding 46 + 5'h10 : begin stor[85:46] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 20 + 5'h11 : begin stor[59:20] <= din_r[39:0]; stor[19:0] <= stor[85:66]; end // now holding 60 + 5'h12 : begin stor[99:60] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 34 + 5'h13 : begin stor[73:34] <= din_r[39:0]; stor[33:0] <= stor[99:66]; dout_valid <= 1'b1; end // now holding 8 + 5'h14 : begin stor[47:8] <= din_r[39:0]; stor[7:0] <= stor[73:66]; end // now holding 48 + 5'h15 : begin stor[87:48] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 22 + 5'h16 : begin stor[61:22] <= din_r[39:0]; stor[21:0] <= stor[87:66]; end // now holding 62 + 5'h17 : begin stor[101:62] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 36 + 5'h18 : begin stor[75:36] <= din_r[39:0]; stor[35:0] <= stor[101:66]; dout_valid <= 1'b1; end // now holding 10 + 5'h19 : begin stor[49:10] <= din_r[39:0]; stor[9:0] <= stor[75:66]; end // now holding 50 + 5'h1a : begin stor[89:50] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 24 + 5'h1b : begin stor[63:24] <= din_r[39:0]; stor[23:0] <= stor[89:66]; end // now holding 64 + 5'h1c : begin stor[103:64] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 38 + 5'h1d : begin stor[77:38] <= din_r[39:0]; stor[37:0] <= stor[103:66]; dout_valid <= 1'b1; end // now holding 12 + 5'h1e : begin stor[51:12] <= din_r[39:0]; stor[11:0] <= stor[77:66]; end // now holding 52 + 5'h1f : begin stor[91:52] <= din_r[39:0]; dout_valid <= 1'b1; end // now holding 26 + endcase + end +end + +// out pattern [32:0] 110110101101011010110101101011010 + +///////////////////////////////////////////// +// handle the details of slipping + +reg [3:0] grace = 0 /* synthesis preserve */; +wire bad_frame = ~^dout[1:0]; + +always @(posedge clk) begin + drop40 <= 1'b0; + slipping <= 1'b0; + + if (slip_to_frame && bad_frame && !grace[3]) begin + slipping <= 1'b1; + if (odd) begin + odd <= 1'b0; + drop40 <= 1'b1; + end + else begin + odd <= 1'b1; + end + grace <= 4'b1111; + end + else begin + grace <= {grace[2:0],1'b0}; + end +end + +///////////////////////////////////////////// +// word alignment control + +reg [4:0] err_cnt = 1'b0; +reg [5:0] wrd_cnt = 1'b0; +reg wrd_cnt_max = 1'b0; +initial word_locked = 1'b0; + +always @(posedge clk) begin + wrd_cnt_max <= &wrd_cnt; + + if (word_locked) begin + if (dout_valid) begin + wrd_cnt <= wrd_cnt + 1'b1; + if (bad_frame) begin + // count bad frames, saturate at 16 + if (!err_cnt[4]) err_cnt <= err_cnt + 1'b1; + end + if (wrd_cnt_max) begin + // if there are more than 16 per 64 wrong lose lock + if (err_cnt[4]) word_locked <= 1'b0; + else err_cnt <= 0; + end + end + end + else begin + err_cnt <= 0; + if (dout_valid) begin + if (bad_frame) begin + wrd_cnt <= 0; + end + else begin + // if there are 64 good frames acquire lock + wrd_cnt <= wrd_cnt + 1'b1; + if (wrd_cnt_max) word_locked <= 1'b1; + end + end + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/communication/gearbox_40_67.v b/Advanced Synthesis Cookbook/communication/gearbox_40_67.v new file mode 100644 index 0000000..aefd337 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_40_67.v @@ -0,0 +1,239 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-28-2008 + +module gearbox_40_67 ( + input clk,arst, + input slip_to_frame, + input [39:0] din, + output reg [66:0] dout, + output reg dout_valid +); + +// input reg +reg [39:0] din_r; +always @(posedge clk or posedge arst) begin + if (arst) din_r <= 0; + else din_r <= din; +end + +// decide when to use the slip permission +// when the framing is wrong, and I haven't slipped in a few cycles +reg [2:0] prev_slip; +wire slip_now = prev_slip[0]; + +always @(posedge clk or posedge arst) begin + if (arst) begin + prev_slip <= 0; + end + else begin + prev_slip <= {prev_slip[1:0],1'b0}; + if (slip_to_frame) begin + if (dout_valid & ~^dout[65:64]) begin + // the framing is wrong, and I have a slip permit + if (~|prev_slip) prev_slip[0] <= 1'b1; + end + end + end +end + +// where are we in the schedule? +// to slip just fail to advance the phase once +reg [6:0] phase; +always @(posedge clk or posedge arst) begin + if (arst) phase <= 0; + else begin + if (!slip_now) begin + if (phase == 66) phase <= 0; + else phase <= phase + 1'b1; + end + end +end + +// shift the input word left 0..13 inclusive to enter storage +// at the right place +reg [52:0] positioned_data; +reg [3:0] dshift /* synthesis preserve */; +always @(posedge clk or posedge arst) begin + if (arst) positioned_data <= 0; + else positioned_data <= din_r << dshift; +end + +// on every tick shift storage left by one of a couple of distance +// choices, and merge in the positioned data +reg [105:0] storage; +reg [1:0] sshift /* synthesis preserve */; +always @(posedge clk or posedge arst) begin + if (arst) storage <= 0; + else begin + case (sshift) + 2'b00 : storage <= (storage << 33) | positioned_data; + 2'b01 : storage <= (storage << 34) | positioned_data; + 2'b10 : storage <= (storage << 40) | positioned_data; + 2'b11 : storage <= (storage << 47) | positioned_data; + endcase + end +end + +// extract an output word from one of a couple of choices +reg [1:0] epoint /* synthesis preserve */; +always @(posedge clk or posedge arst) begin + if (arst) begin + dout <= 0; + dout_valid <= 0; + end + else begin + case (epoint) + 2'b00: begin + dout_valid <= 1'b0; + dout <= 0; + end + 2'b01: begin + dout_valid <= 1'b1; + dout <= storage [78:78-66]; + end + 2'b10: begin + dout_valid <= 1'b1; + dout <= storage [92:92-66]; + end + 2'b11: begin + dout_valid <= 1'b1; + dout <= storage [105:105-66]; + end + endcase + end +end + +// The schedule - expected to map into one 6LUT per output bit +// if it goes to ROM be sure to suppress +reg [3:0] ds /* synthesis preserve */; +reg [3:0] dsalt; +reg [1:0] ss,ep /* synthesis preserve */; +reg [1:0] ssalt,epalt; +always @(posedge clk or posedge arst) begin + if (arst) begin + ds <= 0; + dsalt <= 0; + ss <= 0; + ssalt <= 0; + ep <= 0; + epalt <= 0; + end + else begin + case (phase[5:0]) + 6'h00 : begin ds <= 4'hd; ss <= 2'h3; ep <= 2'h1; end + 6'h01 : begin ds <= 4'hd; ss <= 2'h3; ep <= 2'h0; end + 6'h02 : begin ds <= 4'hd; ss <= 2'h2; ep <= 2'h2; end + 6'h03 : begin ds <= 4'h7; ss <= 2'h2; ep <= 2'h0; end + 6'h04 : begin ds <= 4'h0; ss <= 2'h1; ep <= 2'h3; end + 6'h05 : begin ds <= 4'h0; ss <= 2'h0; ep <= 2'h0; end + 6'h06 : begin ds <= 4'h7; ss <= 2'h2; ep <= 2'h3; end + 6'h07 : begin ds <= 4'h1; ss <= 2'h3; ep <= 2'h1; end + 6'h08 : begin ds <= 4'h8; ss <= 2'h1; ep <= 2'h0; end + 6'h09 : begin ds <= 4'h1; ss <= 2'h3; ep <= 2'h2; end + 6'h0a : begin ds <= 4'h1; ss <= 2'h0; ep <= 2'h0; end + 6'h0b : begin ds <= 4'h8; ss <= 2'h2; ep <= 2'h3; end + 6'h0c : begin ds <= 4'h2; ss <= 2'h3; ep <= 2'h1; end + 6'h0d : begin ds <= 4'h9; ss <= 2'h1; ep <= 2'h0; end + 6'h0e : begin ds <= 4'h2; ss <= 2'h3; ep <= 2'h2; end + 6'h0f : begin ds <= 4'h2; ss <= 2'h0; ep <= 2'h0; end + 6'h10 : begin ds <= 4'h9; ss <= 2'h2; ep <= 2'h3; end + 6'h11 : begin ds <= 4'h3; ss <= 2'h3; ep <= 2'h1; end + 6'h12 : begin ds <= 4'ha; ss <= 2'h1; ep <= 2'h0; end + 6'h13 : begin ds <= 4'h3; ss <= 2'h3; ep <= 2'h2; end + 6'h14 : begin ds <= 4'h3; ss <= 2'h0; ep <= 2'h0; end + 6'h15 : begin ds <= 4'ha; ss <= 2'h2; ep <= 2'h3; end + 6'h16 : begin ds <= 4'h4; ss <= 2'h3; ep <= 2'h1; end + 6'h17 : begin ds <= 4'hb; ss <= 2'h1; ep <= 2'h0; end + 6'h18 : begin ds <= 4'h4; ss <= 2'h3; ep <= 2'h2; end + 6'h19 : begin ds <= 4'h4; ss <= 2'h0; ep <= 2'h0; end + 6'h1a : begin ds <= 4'hb; ss <= 2'h2; ep <= 2'h3; end + 6'h1b : begin ds <= 4'h5; ss <= 2'h3; ep <= 2'h1; end + 6'h1c : begin ds <= 4'hc; ss <= 2'h1; ep <= 2'h0; end + 6'h1d : begin ds <= 4'h5; ss <= 2'h3; ep <= 2'h2; end + 6'h1e : begin ds <= 4'h5; ss <= 2'h0; ep <= 2'h0; end + 6'h1f : begin ds <= 4'hc; ss <= 2'h2; ep <= 2'h3; end + 6'h20 : begin ds <= 4'h6; ss <= 2'h3; ep <= 2'h1; end + 6'h21 : begin ds <= 4'hd; ss <= 2'h1; ep <= 2'h0; end + 6'h22 : begin ds <= 4'h6; ss <= 2'h3; ep <= 2'h2; end + 6'h23 : begin ds <= 4'h6; ss <= 2'h0; ep <= 2'h0; end + 6'h24 : begin ds <= 4'hd; ss <= 2'h2; ep <= 2'h3; end + 6'h25 : begin ds <= 4'h7; ss <= 2'h3; ep <= 2'h1; end + 6'h26 : begin ds <= 4'h7; ss <= 2'h1; ep <= 2'h0; end + 6'h27 : begin ds <= 4'h7; ss <= 2'h2; ep <= 2'h2; end + 6'h28 : begin ds <= 4'h7; ss <= 2'h2; ep <= 2'h0; end + 6'h29 : begin ds <= 4'h1; ss <= 2'h2; ep <= 2'h3; end + 6'h2a : begin ds <= 4'h8; ss <= 2'h1; ep <= 2'h1; end + 6'h2b : begin ds <= 4'h8; ss <= 2'h3; ep <= 2'h0; end + 6'h2c : begin ds <= 4'h8; ss <= 2'h2; ep <= 2'h2; end + 6'h2d : begin ds <= 4'h8; ss <= 2'h2; ep <= 2'h0; end + 6'h2e : begin ds <= 4'h2; ss <= 2'h2; ep <= 2'h3; end + 6'h2f : begin ds <= 4'h9; ss <= 2'h1; ep <= 2'h1; end + 6'h30 : begin ds <= 4'h9; ss <= 2'h3; ep <= 2'h0; end + 6'h31 : begin ds <= 4'h9; ss <= 2'h2; ep <= 2'h2; end + 6'h32 : begin ds <= 4'h9; ss <= 2'h2; ep <= 2'h0; end + 6'h33 : begin ds <= 4'h3; ss <= 2'h2; ep <= 2'h3; end + 6'h34 : begin ds <= 4'ha; ss <= 2'h1; ep <= 2'h1; end + 6'h35 : begin ds <= 4'ha; ss <= 2'h3; ep <= 2'h0; end + 6'h36 : begin ds <= 4'ha; ss <= 2'h2; ep <= 2'h2; end + 6'h37 : begin ds <= 4'ha; ss <= 2'h2; ep <= 2'h0; end + 6'h38 : begin ds <= 4'h4; ss <= 2'h2; ep <= 2'h3; end + 6'h39 : begin ds <= 4'hb; ss <= 2'h1; ep <= 2'h1; end + 6'h3a : begin ds <= 4'hb; ss <= 2'h3; ep <= 2'h0; end + 6'h3b : begin ds <= 4'hb; ss <= 2'h2; ep <= 2'h2; end + 6'h3c : begin ds <= 4'hb; ss <= 2'h2; ep <= 2'h0; end + 6'h3d : begin ds <= 4'h5; ss <= 2'h2; ep <= 2'h3; end + 6'h3e : begin ds <= 4'hc; ss <= 2'h1; ep <= 2'h1; end + 6'h3f : begin ds <= 4'hc; ss <= 2'h3; ep <= 2'h0; end + endcase + + case (phase[1:0]) + 2'h0 : begin dsalt <= 4'hc; ssalt <= 2'h2; epalt <= 2'h2; end + 2'h1 : begin dsalt <= 4'hc; ssalt <= 2'h2; epalt <= 2'h0; end + 2'h2 : begin dsalt <= 4'h6; ssalt <= 2'h2; epalt <= 2'h3; end + + // this one is actually don't care + // selected to minimize + 2'h3 : begin dsalt <= 4'h6; ssalt <= 2'h2; epalt <= 2'h3; end + endcase + end +end + +// phase 64,5,6 use the alternate schedule +reg use_alt; +always @(posedge clk or posedge arst) begin + if (arst) begin + sshift <= 0; + dshift <= 0; + epoint <= 0; + use_alt <= 0; + end + else begin + sshift <= use_alt ? ssalt : ss; + dshift <= use_alt ? dsalt : ds; + epoint <= use_alt ? epalt : ep; + use_alt <= phase[6]; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_40_67_tb.sv b/Advanced Synthesis Cookbook/communication/gearbox_40_67_tb.sv new file mode 100644 index 0000000..93c488d --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_40_67_tb.sv @@ -0,0 +1,146 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module gearbox_40_67_tb (); + +reg clk,arst; + +localparam TEST_SAMPLES = 16; +reg [TEST_SAMPLES*67-1:0] test = { + 3'b010,64'h00000000_00000000, + 3'b110,64'hffffffff_ffffffff, + 3'b010,64'h02234567_89abcdef, + 3'b110,64'h03234567_89abcdef, + 3'b010,64'h04234567_89abcdef, + 3'b110,64'h05234567_8900cdef, + 3'b010,64'h06234567_8901cdef, + 3'b010,64'h07234567_8902cdef, + 3'b110,64'h08234567_8903cdef, + 3'b010,64'h09234567_8904cdef, + 3'b010,64'h0a234567_8905cdef, + 3'b110,64'h0b234567_8906cdef, + 3'b010,64'h0c234567_8907cdef, + 3'b110,64'h0d234567_89abcd99, + 3'b010,64'h0e234567_89abcdef, + 3'b110,64'h0f234567_89abcdef +}; + +reg [TEST_SAMPLES*67-1:0] expected; + +////////////////////////////////////////// +// DUTs +////////////////////////////////////////// + +wire din_ready; +wire [39:0] mid; + +gearbox_67_40 dut_a ( + .clk,.arst, + .din(test[66:0]), + .din_ready, + .dout (mid) +); + +// recover the sender side data for observation +integer holding = 41; +reg [100:0] history; +reg [66:0] recovered; +always @(posedge clk) begin + #1 + history = (history << 40) | mid; + holding = holding + 40; + if (holding >= 67) begin + recovered = history >> (holding-67); + holding = holding - 67; + end +end + +always @(posedge clk) begin + if (din_ready) test <= + {test [(TEST_SAMPLES-1)*67-1:0], + test[TEST_SAMPLES*67-1:(TEST_SAMPLES-1)*67]}; +end + +wire [66:0] dout; +wire dout_valid; + +gearbox_40_67 dut_b ( + .clk,.arst, + .slip_to_frame(1'b1), + .din(mid), + .dout, + .dout_valid +); + +////////////////////////////////////////// +// Follow the recovered data +////////////////////////////////////////// + +initial expected = test; +wire match = (dout_valid & (dout == expected[66:0])); + +integer match_count = 0; +integer trial_count = 0; + +always @(posedge clk) begin + #1 if (match) begin + expected <= + {expected [(TEST_SAMPLES-1)*67-1:0], + expected[TEST_SAMPLES*67-1:(TEST_SAMPLES-1)*67]}; + match_count <= match_count + 1; + end + if (dout_valid) trial_count <= trial_count + 1'b1; +end + +initial begin + #400 // allow some time to lock + @(negedge clk) trial_count = 0; + match_count = 0; + #100000 + $display ("%d trials %d matches\n",trial_count,match_count); + + // Note : If there is a problem these will deviate + // wildly. Off by one is OK, but it doesn't seem + // to happen in this test. + + if (trial_count == match_count) begin + $display ("PASS"); + end + $stop(); +end + +////////////////////////////////////////// +// clock driver +////////////////////////////////////////// + +always begin + #5 clk = ~clk; +end + +initial begin + clk = 0; + arst = 0; + #1 arst = 1; + @(negedge clk) arst = 0; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_66_20.v b/Advanced Synthesis Cookbook/communication/gearbox_66_20.v new file mode 100644 index 0000000..560beca --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_66_20.v @@ -0,0 +1,111 @@ +// Copyright 2010 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +// BLOCK 5,4 +// baeckler - 12-14-2009 + +module gearbox_66_20 ( + input clk, + input sclr, // fixes the state, although not the data registers for reduced fanout + input [65:0] din, // lsbit sent first + output reg din_ack, + output reg [19:0] dout +); + +reg [65:0] din_r = 0 /* synthesis preserve */; +always @(posedge clk) begin + if (din_ack) din_r <= din; +end + +reg [5:0] gbstate = 0 /* synthesis preserve */; +always @(posedge clk) begin + if (gbstate[5] | sclr) gbstate <= 6'b0; + else gbstate <= gbstate + 1'b1; +end + +reg [3:0] muxop = 0 /* synthesis preserve */; +always @(posedge clk) begin + case (gbstate) + 6'h0 : muxop <= 4'h1; // (66 in to position shl 0) 20 out, residue 46 + 6'h1 : muxop <= 4'h0; // 20 out, residue 26 + 6'h2 : muxop <= 4'h0; // 20 out, residue 6 + 6'h3 : muxop <= 4'h4; // (66 in to position shl 6) 20 out, residue 52 + 6'h4 : muxop <= 4'h0; // 20 out, residue 32 + 6'h5 : muxop <= 4'h0; // 20 out, residue 12 + 6'h6 : muxop <= 4'h7; // (66 in to position shl 12) 20 out, residue 58 + 6'h7 : muxop <= 4'h0; // 20 out, residue 38 + 6'h8 : muxop <= 4'h0; // 20 out, residue 18 + 6'h9 : muxop <= 4'ha; // (66 in to position shl 18) 20 out, residue 64 + 6'ha : muxop <= 4'h0; // 20 out, residue 44 + 6'hb : muxop <= 4'h0; // 20 out, residue 24 + 6'hc : muxop <= 4'h0; // 20 out, residue 4 + 6'hd : muxop <= 4'h3; // (66 in to position shl 4) 20 out, residue 50 + 6'he : muxop <= 4'h0; // 20 out, residue 30 + 6'hf : muxop <= 4'h0; // 20 out, residue 10 + 6'h10 : muxop <= 4'h6; // (66 in to position shl 10) 20 out, residue 56 + 6'h11 : muxop <= 4'h0; // 20 out, residue 36 + 6'h12 : muxop <= 4'h0; // 20 out, residue 16 + 6'h13 : muxop <= 4'h9; // (66 in to position shl 16) 20 out, residue 62 + 6'h14 : muxop <= 4'h0; // 20 out, residue 42 + 6'h15 : muxop <= 4'h0; // 20 out, residue 22 + 6'h16 : muxop <= 4'h0; // 20 out, residue 2 + 6'h17 : muxop <= 4'h2; // (66 in to position shl 2) 20 out, residue 48 + 6'h18 : muxop <= 4'h0; // 20 out, residue 28 + 6'h19 : muxop <= 4'h0; // 20 out, residue 8 + 6'h1a : muxop <= 4'h5; // (66 in to position shl 8) 20 out, residue 54 + 6'h1b : muxop <= 4'h0; // 20 out, residue 34 + 6'h1c : muxop <= 4'h0; // 20 out, residue 14 + 6'h1d : muxop <= 4'h8; // (66 in to position shl 14) 20 out, residue 60 + 6'h1e : muxop <= 4'h0; // 20 out, residue 40 + 6'h1f : muxop <= 4'h0; // 20 out, residue 20 + 6'h20 : muxop <= 4'h0; // 20 out, residue 0 + default : muxop <= 4'h0; + endcase +end + +reg [18+66-1:0] storage = 0; +always @(posedge clk) begin + if (sclr) din_ack <= 1'b0; + else din_ack <= |muxop; + case (muxop) + 4'h0 : storage <= {18'h0,storage[83:20]}; + 4'h1 : storage <= {18'h0,din_r}; + 4'h2 : storage <= {16'b0,din_r,storage[21:20]}; // din shl 2 + 4'h3 : storage <= {14'b0,din_r,storage[23:20]}; // din shl 4 + 4'h4 : storage <= {12'b0,din_r,storage[25:20]}; // din shl 6 + 4'h5 : storage <= {10'b0,din_r,storage[27:20]}; // din shl 8 + 4'h6 : storage <= {8'b0,din_r,storage[29:20]}; // din shl 10 + 4'h7 : storage <= {6'b0,din_r,storage[31:20]}; // din shl 12 + 4'h8 : storage <= {4'b0,din_r,storage[33:20]}; // din shl 14 + 4'h9 : storage <= {2'b0,din_r,storage[35:20]}; // din shl 16 + 4'ha : storage <= {din_r,storage[37:20]}; // din shl 18 + default : storage <= {18'h0,storage[83:20]}; + endcase +end + +initial dout = 20'b0; +always @(posedge clk) begin + dout <= storage [19:0]; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_66_20_tb.sv b/Advanced Synthesis Cookbook/communication/gearbox_66_20_tb.sv new file mode 100644 index 0000000..eba997b --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_66_20_tb.sv @@ -0,0 +1,96 @@ +// Copyright 2010 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +module gearbox_66_20_tb (); + +reg clk = 0 ; +reg [65:0] din = 0; +wire din_ack; +wire [19:0] dout_20; + +gearbox_66_20 dut_a ( + .clk, + .sclr(1'b0), + .din, // lsbit sent first + .din_ack, + .dout(dout_20) +); + +reg [39:0] history; +always @(posedge clk) begin + history <= (history >> 20) | (dout_20 << 20); +end +wire [19:0] shifted_dout_20 = history >> 3; + +wire [65:0] recovered; +wire recovered_valid; + +gearbox_20_66 dut_b ( + .clk, + .slip_to_frame (1'b1), // look for ethernet framing, [1:0] opposite + .din(shifted_dout_20), // lsbit used first + .dout(recovered), + .dout_valid(recovered_valid) +); + +reg [63:0] payload; +initial payload = {$random,$random}; + +always @(posedge clk) begin + if (din_ack) begin + din <= {payload,2'b10}; + payload <= {payload[62:0],payload[63]}; + end +end + +reg [65:0] last_recover = 0; +reg recover_err = 1'b1; +always @(posedge clk) begin + if (recovered_valid) begin + last_recover <= recovered; + recover_err <= (recovered[65:2] !== {last_recover[64:2],last_recover[65]}) ? + 1'b1 : 1'b0; + end +end + +always begin + #5 clk = ~clk; +end + +reg grace = 1'b1; +initial begin + grace = 1'b1; + #10000 grace = 1'b0; +end + +reg fail = 1'b0; +always @(posedge clk) begin + if (!grace & recover_err) fail <= 1'b1; +end + +initial begin + #100000 if (!fail) $display ("PASS"); + $stop(); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_66_32.v b/Advanced Synthesis Cookbook/communication/gearbox_66_32.v new file mode 100644 index 0000000..cf10ff4 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_66_32.v @@ -0,0 +1,67 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-09-2009 + +module gearbox_66_32 ( + input clk,arst, + input [65:0] din, + input din_valid, + output din_ready, + output[31:0] dout, + input dout_ready, + output dout_valid +); + +/////////////////////////////// +// Cut in half to 33 bit words + +wire [32:0] gb33_dout; +wire gb33_dout_ready, gb33_dout_valid; + +two_to_one tto ( + .clk(clk), + .arst(arst), + .din(din), + .din_valid(din_valid), + .din_ready(din_ready), + .dout(gb33_dout), + .dout_ready(gb33_dout_ready), + .dout_valid(gb33_dout_valid) +); +defparam tto .WORD_LEN = 33; + +/////////////////////////////// +// Convert 33 to 32 + +gearbox_33_32 gb32 ( + .clk(clk), + .arst(arst), + .din(gb33_dout), + .din_valid(gb33_dout_valid), + .din_ready(gb33_dout_ready), + .dout(dout), + .dout_ready(dout_ready), + .dout_valid(dout_valid) +); + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_66_32_tb.sv b/Advanced Synthesis Cookbook/communication/gearbox_66_32_tb.sv new file mode 100644 index 0000000..313bdb6 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_66_32_tb.sv @@ -0,0 +1,142 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module gearbox_66_32_tb (); + +reg clk,arst; +reg slip_to_frame = 1'b1; + +//////////////////////////////////// +// provide some simple framed data + +localparam SAMPLE_WORDS = 9; + +reg [66*SAMPLE_WORDS-1:0] sample_data = { + "be going", 2'b01, + "y I must", 2'b01, + "me to sa", 2'b01, + "tay I ca", 2'b10, + "cannot s", 2'b01, + "going. I", 2'b01, + "must be ", 2'b10, + "Hello I ", 2'b01, + 64'hffff_ffff_ffff_ffff, 2'b10 +}; + +wire din_ready; + +always @(posedge clk) begin + if (!arst) begin + if (din_ready) begin + sample_data <= { + sample_data[65:0], + sample_data [66*SAMPLE_WORDS-1:66] + }; + end + end +end + +wire [65:0] sample_word_66 = sample_data[65:0]; +wire [63:0] trimmed_sample_word_66 = sample_word_66 >> 2; + +////////////////////////////////////////////////////// +// DUT - convert the 66 bit words into 32 bits + +wire [31:0] sample_word_32; +wire sample_word_valid; + +gearbox_66_32 dut ( + .clk, + .arst, + .din(sample_word_66), + .din_valid(1'b1), + .din_ready, + .dout(sample_word_32), + .dout_valid(sample_word_valid), + .dout_ready(1'b1) +); + +////////////////////////////////////////////////////// +// recover the 66 to check + +reg fail = 0; +reg [4:0] flushing; + +always @(posedge clk or posedge arst) begin + if (arst) flushing <= 0; + else if (~&flushing) flushing <= flushing + 1'b1; +end + +wire [65:0] recovered_66; +wire recovered_66_valid; +reg [63:0] trimmed_dout; +always @(posedge clk) begin + if (recovered_66_valid) trimmed_dout <= recovered_66 >> 2; + + if (&flushing && + trimmed_dout[63:56] !== "b" && + trimmed_dout[63:56] !== "y" && + trimmed_dout[63:56] !== "m" && + trimmed_dout[63:56] !== "t" && + trimmed_dout[63:56] !== "c" && + trimmed_dout[63:56] !== "g" && + trimmed_dout[63:56] !== "H" && + trimmed_dout[63:56] !== 8'hff) + begin + $display ("Bad recovered data at time %d",$time); + fail = 1; + end +end + +wire [6:0] slip_count; + +gearbox_32_66 rec ( + .clk,.arst, + .din(sample_word_32), // bit 0 is sent first + .din_valid(sample_word_valid), + .slip_to_frame, + .dout(recovered_66), // bit 0 is sent first + .dout_valid(recovered_66_valid), + .slip_count +); + +//////////////////////////////////// +// clock driver + +initial begin + #100000 if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #5 clk = ~clk; +end + +initial begin + clk = 0; + arst = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + + +endmodule diff --git a/Advanced Synthesis Cookbook/communication/gearbox_66_40.v b/Advanced Synthesis Cookbook/communication/gearbox_66_40.v new file mode 100644 index 0000000..1dc12e3 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_66_40.v @@ -0,0 +1,113 @@ +// Copyright 2010 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// 66 in 40 out +// baeckler - 07-21-2010 + +module gearbox_66_40 ( + input clk, + input sclr, // fixes the state, not the data for min fanout + input [65:0] din, // lsbit first + output reg din_ack, + output reg din_pre_ack, + output reg din_pre2_ack, + output [39:0] dout +); + +reg [5:0] gbstate = 0 /* synthesis preserve */; +reg [103:0] stor = 0 /* synthesis preserve */; +assign dout = stor[39:0]; +reg [65:0] din_r = 0; + +always @(posedge clk) begin + din_r <= din[65:0]; + + gbstate <= (sclr | gbstate[5]) ? 6'h0 : (gbstate + 1'b1); + + if (gbstate[5]) begin + stor <= {40'h0,stor[103:40]}; // holding 0 + end + else begin + case (gbstate[4:0]) + 5'h0 : begin stor[65:0] <= din[65:0]; end // holding 26 + 5'h1 : begin stor[91:26] <= din[65:0]; stor[25:0] <= stor[65:40]; end // holding 52 + 5'h2 : begin stor <= {40'h0,stor[103:40]}; end // holding 12 + 5'h3 : begin stor[77:12] <= din[65:0]; stor[11:0] <= stor[51:40]; end // holding 38 + 5'h4 : begin stor[103:38] <= din[65:0]; stor[37:0] <= stor[77:40]; end // holding 64 + 5'h5 : begin stor <= {40'h0,stor[103:40]}; end // holding 24 + 5'h6 : begin stor[89:24] <= din[65:0]; stor[23:0] <= stor[63:40]; end // holding 50 + 5'h7 : begin stor <= {40'h0,stor[103:40]}; end // holding 10 + 5'h8 : begin stor[75:10] <= din[65:0]; stor[9:0] <= stor[49:40]; end // holding 36 + 5'h9 : begin stor[101:36] <= din[65:0]; stor[35:0] <= stor[75:40]; end // holding 62 + 5'ha : begin stor <= {40'h0,stor[103:40]}; end // holding 22 + 5'hb : begin stor[87:22] <= din[65:0]; stor[21:0] <= stor[61:40]; end // holding 48 + 5'hc : begin stor <= {40'h0,stor[103:40]}; end // holding 8 + 5'hd : begin stor[73:8] <= din[65:0]; stor[7:0] <= stor[47:40]; end // holding 34 + 5'he : begin stor[99:34] <= din[65:0]; stor[33:0] <= stor[73:40]; end // holding 60 + 5'hf : begin stor <= {40'h0,stor[103:40]}; end // holding 20 + 5'h10 : begin stor[85:20] <= din[65:0]; stor[19:0] <= stor[59:40]; end // holding 46 + 5'h11 : begin stor <= {40'h0,stor[103:40]}; end // holding 6 + 5'h12 : begin stor[71:6] <= din[65:0]; stor[5:0] <= stor[45:40]; end // holding 32 + 5'h13 : begin stor[97:32] <= din[65:0]; stor[31:0] <= stor[71:40]; end // holding 58 + 5'h14 : begin stor <= {40'h0,stor[103:40]}; end // holding 18 + 5'h15 : begin stor[83:18] <= din[65:0]; stor[17:0] <= stor[57:40]; end // holding 44 + 5'h16 : begin stor <= {40'h0,stor[103:40]}; end // holding 4 + 5'h17 : begin stor[69:4] <= din[65:0]; stor[3:0] <= stor[43:40]; end // holding 30 + 5'h18 : begin stor[95:30] <= din[65:0]; stor[29:0] <= stor[69:40]; end // holding 56 + 5'h19 : begin stor <= {40'h0,stor[103:40]}; end // holding 16 + 5'h1a : begin stor[81:16] <= din[65:0]; stor[15:0] <= stor[55:40]; end // holding 42 + 5'h1b : begin stor <= {40'h0,stor[103:40]}; end // holding 2 + 5'h1c : begin stor[67:2] <= din[65:0]; stor[1:0] <= stor[41:40]; end // holding 28 + 5'h1d : begin stor[93:28] <= din[65:0]; stor[27:0] <= stor[67:40]; end // holding 54 + 5'h1e : begin stor <= {40'h0,stor[103:40]}; end // holding 14 + 5'h1f : begin stor[79:14] <= din[65:0]; stor[13:0] <= stor[53:40]; end // holding 40 + endcase + end +end + +// this is the pattern as corresponding to the states +// wire [32:0] in_pattern = 33'b010110101101011010110101101011011; + +// this is adjusted for latency +wire [32:0] in_pattern = 33'b101011010110101101011010110101101; + +always @(posedge clk) begin + if (sclr) din_ack <= 1'b0; + else din_ack <= (64'h0 | in_pattern) >> gbstate; +end + +wire [32:0] in_pattern2 = 33'b110101101011010110101101011010110; + +always @(posedge clk) begin + if (sclr) din_pre_ack <= 1'b0; + else din_pre_ack <= (64'h0 | in_pattern2) >> gbstate; +end + +wire [32:0] in_pattern3 = 33'b011010110101101011010110101101011; + +always @(posedge clk) begin + if (sclr) din_pre2_ack <= 1'b0; + else din_pre2_ack <= (64'h0 | in_pattern3) >> gbstate; +end + + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_66_40_tb.sv b/Advanced Synthesis Cookbook/communication/gearbox_66_40_tb.sv new file mode 100644 index 0000000..ca0087d --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_66_40_tb.sv @@ -0,0 +1,91 @@ +// Copyright 2010 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module gearbox_66_40_tb (); + +reg clk = 1'b0; +reg [65:0] din = 0; // lsbit first +reg sclr = 1'b0; +wire din_ack; +wire [39:0] dout; +wire din_pre_ack; +wire din_pre2_ack; + +gearbox_66_40 dut_a (.*); + +reg [79:0] history = 0; +always @(posedge clk) begin + history <= {dout,history[79:40]}; +end + +wire [39:0] word_locked,slipping; + +genvar i; +generate + for (i=0; i<40; i=i+1) begin + + wire [65:0] recover; + wire recover_valid; + + gearbox_40_66 dut_b ( + .clk, + .slip_to_frame(1'b1), + .din (history[i+39:i]), + .dout (recover), + .dout_valid (recover_valid), + .slipping(slipping[i]), + .word_locked (word_locked[i]) + ); + end +endgenerate + +reg send_rand = 1'b1; +reg [31:0] cntr = 0; + +always @(posedge clk) begin + if (din_ack) din <= send_rand ? {$random,$random,din[13],din[13]^1'b1} : + {30'h0,cntr,2'b00,2'b01}; +end + +wire all_locked = &word_locked; + +reg fail = 1'b0; +initial begin + #100 @(posedge all_locked); + @(negedge all_locked); + $display ("Unexpected loss of lock"); + fail = 1'b1; +end + +initial begin + @(posedge all_locked); + $display ("locked at time %d",$time); + send_rand = 1'b0; + #100000 if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #5 clk <= ~clk; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/communication/gearbox_67_20.v b/Advanced Synthesis Cookbook/communication/gearbox_67_20.v new file mode 100644 index 0000000..4ffe20c --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_67_20.v @@ -0,0 +1,188 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 09-19-2008 +// Convert a 67 bit stream to a 20 bit stream +// Note : requires a specific din_valid schedule to avoid overflow. +// +module gearbox_67_20 ( + input clk,arst, + input [66:0] din, + input din_valid, + output [19:0] dout +); + +// worst case : 19 bits surplus, and 67 arriving = 86 bits + +reg [85:0] storage; +reg [4:0] wr_ptr /* synthesis preserve */; +reg [4:0] next_wr_ptr; +reg [85:0] aligned_din; + +////////////////////////////////////////////////////// +// This is a debug only sanity check +////////////////////////////////////////////////////// +// synthesis translate off +reg [85:0] aligned_din_mask; +reg [85:0] storage_mask; + +always @(*) begin + case (wr_ptr) + 5'd19 : aligned_din_mask = {67'h7ffffffffffffffff,19'b0}; + 5'd18 : aligned_din_mask = {1'b0,67'h7ffffffffffffffff,18'b0}; + 5'd17 : aligned_din_mask = {2'b0,67'h7ffffffffffffffff,17'b0}; + 5'd16 : aligned_din_mask = {3'b0,67'h7ffffffffffffffff,16'b0}; + 5'd15 : aligned_din_mask = {4'b0,67'h7ffffffffffffffff,15'b0}; + 5'd14 : aligned_din_mask = {5'b0,67'h7ffffffffffffffff,14'b0}; + 5'd13 : aligned_din_mask = {6'b0,67'h7ffffffffffffffff,13'b0}; + 5'd12 : aligned_din_mask = {7'b0,67'h7ffffffffffffffff,12'b0}; + 5'd11 : aligned_din_mask = {8'b0,67'h7ffffffffffffffff,11'b0}; + 5'd10 : aligned_din_mask = {9'b0,67'h7ffffffffffffffff,10'b0}; + 5'd9 : aligned_din_mask = {10'b0,67'h7ffffffffffffffff,9'b0}; + 5'd8 : aligned_din_mask = {11'b0,67'h7ffffffffffffffff,8'b0}; + 5'd7 : aligned_din_mask = {12'b0,67'h7ffffffffffffffff,7'b0}; + 5'd6 : aligned_din_mask = {13'b0,67'h7ffffffffffffffff,6'b0}; + 5'd5 : aligned_din_mask = {14'b0,67'h7ffffffffffffffff,5'b0}; + 5'd4 : aligned_din_mask = {15'b0,67'h7ffffffffffffffff,4'b0}; + 5'd3 : aligned_din_mask = {16'b0,67'h7ffffffffffffffff,3'b0}; + 5'd2 : aligned_din_mask = {17'b0,67'h7ffffffffffffffff,2'b0}; + 5'd1 : aligned_din_mask = {18'b0,67'h7ffffffffffffffff,1'b0}; + 5'd0 : aligned_din_mask = {19'b0,67'h7ffffffffffffffff}; + default : aligned_din_mask = 0; // could be X for QOR + endcase +end + +always @(posedge clk or posedge arst) begin + if (arst) begin + storage_mask <= 0; + end + else begin + if (din_valid) begin + storage_mask <= (storage_mask << 7'd20) | aligned_din_mask; + if (|((storage_mask << 7'd20) & aligned_din_mask)) + $display ("Warning - TX gearbox lost one or more bits"); + end + else + storage_mask <= (storage_mask << 7'd20); + end +end + +wire [19:0] dout_mask; +assign dout_mask = storage_mask [85:85-19]; + +reg [4:0] flushing; +always @(posedge clk or posedge arst) begin + if (arst) flushing <= 5'b11111; + else if (|flushing) flushing <= flushing - 1'b1; +end + +always @(posedge clk) begin + #1 if (din_valid & ~(din[65] ^ din[64]) & (~|flushing)) begin + // the data in to the gearbox should have 10 or 01 framing bits + // possibly ignoring some pipe flush at reset time + $display ("Warning - TX gearbox din is not properly framed"); + end + if (~&dout_mask & (~|flushing)) begin + // sim only check for gearbox sending out "missing" bits + // possibly ignoring some pipe flush + $display ("Warning - some TX gearbox dout bits are invalid"); + end +end + +// synthesis translate on +////////////////////////////////////////////////////// +// End of sanity check +////////////////////////////////////////////////////// + +assign dout = storage [85:85-19]; + +// semi barrel shifter to align incomming data words +always @(*) begin + case (wr_ptr) + 5'd19 : aligned_din = {din,19'b0}; + 5'd18 : aligned_din = {1'b0,din,18'b0}; + 5'd17 : aligned_din = {2'b0,din,17'b0}; + 5'd16 : aligned_din = {3'b0,din,16'b0}; + 5'd15 : aligned_din = {4'b0,din,15'b0}; + 5'd14 : aligned_din = {5'b0,din,14'b0}; + 5'd13 : aligned_din = {6'b0,din,13'b0}; + 5'd12 : aligned_din = {7'b0,din,12'b0}; + 5'd11 : aligned_din = {8'b0,din,11'b0}; + 5'd10 : aligned_din = {9'b0,din,10'b0}; + 5'd9 : aligned_din = {10'b0,din,9'b0}; + 5'd8 : aligned_din = {11'b0,din,8'b0}; + 5'd7 : aligned_din = {12'b0,din,7'b0}; + 5'd6 : aligned_din = {13'b0,din,6'b0}; + 5'd5 : aligned_din = {14'b0,din,5'b0}; + 5'd4 : aligned_din = {15'b0,din,4'b0}; + 5'd3 : aligned_din = {16'b0,din,3'b0}; + 5'd2 : aligned_din = {17'b0,din,2'b0}; + 5'd1 : aligned_din = {18'b0,din,1'b0}; + 5'd0 : aligned_din = {19'b0,din}; + default : aligned_din = 0; // could be X for QOR + endcase +end + +// figure out where the next word will need to be loaded +always @(*) begin + case (wr_ptr) + 5'd19 : next_wr_ptr = 5'd12; // residue 0 + 67 new = 7 leftover + 5'd18 : next_wr_ptr = 5'd11; // residue 1 + 67 new = 8 leftover + 5'd17 : next_wr_ptr = 5'd10; // residue 2 + 67 new = 9 leftover + 5'd16 : next_wr_ptr = 5'd9; // residue 3 + 67 new = 10 leftover + 5'd15 : next_wr_ptr = 5'd8; // residue 4 + 67 new = 11 leftover + 5'd14 : next_wr_ptr = 5'd7; // residue 5 + 67 new = 12 leftover + 5'd13 : next_wr_ptr = 5'd6; // residue 6 + 67 new = 13 leftover + 5'd12 : next_wr_ptr = 5'd5; // residue 7 + 67 new = 14 leftover + 5'd11 : next_wr_ptr = 5'd4; // residue 8 + 67 new = 15 leftover + 5'd10 : next_wr_ptr = 5'd3; // residue 9 + 67 new = 16 leftover + 5'd9 : next_wr_ptr = 5'd2; // residue 10 + 67 new = 17 leftover + 5'd8 : next_wr_ptr = 5'd1; // residue 11 + 67 new = 18 leftover + 5'd7 : next_wr_ptr = 5'd0; // residue 12 + 67 new = 19 leftover + 5'd6 : next_wr_ptr = 5'd19; // residue 13 + 67 new = 0 leftover + 5'd5 : next_wr_ptr = 5'd18; // residue 14 + 67 new = 1 leftover + 5'd4 : next_wr_ptr = 5'd17; // residue 15 + 67 new = 2 leftover + 5'd3 : next_wr_ptr = 5'd16; // residue 16 + 67 new = 3 leftover + 5'd2 : next_wr_ptr = 5'd15; // residue 17 + 67 new = 4 leftover + 5'd1 : next_wr_ptr = 5'd14; // residue 18 + 67 new = 5 leftover + 5'd0 : next_wr_ptr = 5'd13; // residue 19 + 67 new = 6 leftover + default : next_wr_ptr = 5'd0; + endcase +end + +always @(posedge clk or posedge arst) begin + if (arst) begin + wr_ptr <= 7'd19; + storage <= 0; + end + else begin + if (din_valid) begin + storage <= (storage << 7'd20) | aligned_din; + wr_ptr <= next_wr_ptr; + end + else begin + storage <= (storage << 7'd20); + end + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/gearbox_67_20_tb.sv b/Advanced Synthesis Cookbook/communication/gearbox_67_20_tb.sv new file mode 100644 index 0000000..1cbc25c --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_67_20_tb.sv @@ -0,0 +1,126 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 09-19-2008 + +module gearbox_67_20_tb (); + +reg clk,arst,late_arst; +reg [66:0] din; +reg din_valid; +wire [19:0] dout; +wire [66:0] recovered; +wire recovered_valid; + +gearbox_67_20 dut ( + .* +); + +gearbox_20_67 dut_b ( + .clk, + .arst(late_arst), + .din(dout), + .slip_to_frame(1'b1), + .dout(recovered), + .dout_valid(recovered_valid) +); + +initial begin + clk = 0; + #1 arst = 1'b1; late_arst = 1'b1; + @(negedge clk) arst = 1'b0; + @(negedge clk) late_arst = 1'b0; + +end + +always begin + #5 clk = ~clk; +end + +reg [20*67-1:0] data_stream = { + 3'b010, 64'h1234167812345670, + 3'b010, 64'h2bcd2f12abcdef12, + 3'b010, 64'h3234367812345679, + 3'b010, 64'h4bcd4f12abcdef13, + 3'b010, 64'h5234567812345670, + 3'b010, 64'h6bcd6f12abcdef11, + 3'b010, 64'h7234767812345674, + 3'b010, 64'h8bcd8f12abcdef13, + 3'b010, 64'h9234967812345670, + 3'b010, 64'habcdaf12abcdef11, + 3'b010, 64'hb234b67812345679, + 3'b010, 64'hcbcdcf12abcdef13, + 3'b010, 64'hd234d67812345670, + 3'b010, 64'hebcdef12abcdef11, + 3'b010, 64'hf234f67812345679, + 3'b010, 64'h0bcd0f12abcdef13, + 3'b010, 64'h1234167812345670, + 3'b010, 64'h2bcd2f12abcdef11, + 3'b010, 64'h3234367812345679, + 3'b010, 64'h4234467812345679 +}; + +reg [20*67-1:0] data_stream_readback; + +reg [66:0] schedule = 67'b1001001000100100100010010010001001001000100100100010010010001001000; + +////////////////////////////// +// Loop the sample data in +////////////////////////////// +integer n = 0; +always begin + #2 if (!arst) begin + din = 0; + for (n=0;n<67;n=n+1) begin + din = data_stream[66:0]; + din_valid = schedule[66-n]; + @(negedge clk); + if (din_valid) data_stream = + {data_stream[66:0],data_stream[20*67-1:67]}; + end + end +end + +////////////////////////////// +// verify recovery +////////////////////////////// +reg fail = 0; +always @(posedge clk or posedge arst) begin + if (arst) data_stream_readback = data_stream; + else begin + #1 if (recovered_valid) begin + if (recovered !== data_stream_readback[66:0]) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end + data_stream_readback = + {data_stream_readback[66:0],data_stream_readback[20*67-1:67]}; + end + end +end + +initial begin + #1000000 if (!fail) $display ("PASS"); + $stop(); +end + +endmodule diff --git a/Advanced Synthesis Cookbook/communication/gearbox_67_40.v b/Advanced Synthesis Cookbook/communication/gearbox_67_40.v new file mode 100644 index 0000000..07f7859 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/gearbox_67_40.v @@ -0,0 +1,223 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-28-2008 + +module gearbox_67_40 ( + input clk,arst, + input [66:0] din, + output din_ready, + output reg [39:0] dout +); + +// input reg +reg [66:0] din_r; +always @(posedge clk or posedge arst) begin + if (arst) din_r <= 0; + else if (din_ready) din_r <= din; +end + +// where are we in the schedule? +reg [6:0] phase; +always @(posedge clk or posedge arst) begin + if (arst) phase <= 0; + else begin + if (phase == 66) phase <= 0; + else phase <= phase + 1'b1; + end +end + +// shift the input word left to enter storage at the right place +reg [96:0] positioned_data; +reg [3:0] dshift /* synthesis preserve */; +always @(posedge clk or posedge arst) begin + if (arst) positioned_data <= 0; + else begin + case (dshift) + 4'h0 : positioned_data <= din_r << 0; + 4'h1 : positioned_data <= din_r << 1; + 4'h2 : positioned_data <= din_r << 2; + 4'h3 : positioned_data <= din_r << 3; + 4'h4 : positioned_data <= din_r << 4; + 4'h5 : positioned_data <= din_r << 13; + 4'h6 : positioned_data <= din_r << 14; + 4'h7 : positioned_data <= din_r << 15; + 4'h8 : positioned_data <= din_r << 16; + 4'h9 : positioned_data <= din_r << 17; + 4'ha : positioned_data <= din_r << 26; + 4'hb : positioned_data <= din_r << 27; + 4'hc : positioned_data <= din_r << 28; + 4'hd : positioned_data <= din_r << 29; + 4'he : positioned_data <= din_r << 30; + 4'hf : positioned_data <= 0; // no din on this tick + endcase + end +end +assign din_ready = ~&dshift; + +// on every tick shift storage left by one of a couple of distance +// choices, and merge in the positioned data +reg [105:0] storage; +reg sshift /* synthesis preserve */; +always @(posedge clk or posedge arst) begin + if (arst) storage <= 0; + else begin + case (sshift) + 1'b0 : storage <= (storage << 40) | positioned_data; + 1'b1 : storage <= (storage << 45) | positioned_data; + endcase + end +end + +// extract an output word from one of a couple of choices +reg [1:0] epoint /* synthesis preserve */; +always @(posedge clk or posedge arst) begin + if (arst) begin + dout <= 0; + end + else begin + case (epoint) + 2'b00: dout <= storage [95:95-39]; + 2'b01: dout <= storage [100:100-39]; + 2'b10: dout <= storage [105:105-39]; + + // this one is don't care + 2'b11: dout <= storage [105:105-39]; + endcase + end +end + +// The schedule - expected to map into one 6LUT per output bit +// if it goes to ROM be sure to suppress +reg [3:0] ds /* synthesis preserve */; +reg [3:0] dsalt; +reg ss /* synthesis preserve */; +reg [1:0] ep /* synthesis preserve */; +reg ssalt; +reg [1:0] epalt; +always @(posedge clk or posedge arst) begin + if (arst) begin + ds <= 0; + dsalt <= 0; + ss <= 0; + ssalt <= 0; + ep <= 0; + epalt <= 0; + end + else begin + case (phase[5:0]) + 6'h00 : begin ds <= 4'h2; ss <= 1'h0; ep <= 2'h2; end + 6'h01 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h0; end + 6'h02 : begin ds <= 4'h7; ss <= 1'h0; ep <= 2'h0; end + 6'h03 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h0; end + 6'h04 : begin ds <= 4'hc; ss <= 1'h0; ep <= 2'h0; end + 6'h05 : begin ds <= 4'h1; ss <= 1'h0; ep <= 2'h0; end + 6'h06 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h0; end + 6'h07 : begin ds <= 4'h6; ss <= 1'h0; ep <= 2'h0; end + 6'h08 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h0; end + 6'h09 : begin ds <= 4'hb; ss <= 1'h0; ep <= 2'h0; end + 6'h0a : begin ds <= 4'h0; ss <= 1'h0; ep <= 2'h0; end + 6'h0b : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h0; end + 6'h0c : begin ds <= 4'h5; ss <= 1'h0; ep <= 2'h0; end + 6'h0d : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h0; end + 6'h0e : begin ds <= 4'ha; ss <= 1'h0; ep <= 2'h0; end + 6'h0f : begin ds <= 4'h4; ss <= 1'h0; ep <= 2'h0; end + 6'h10 : begin ds <= 4'hf; ss <= 1'h1; ep <= 2'h0; end + 6'h11 : begin ds <= 4'h9; ss <= 1'h0; ep <= 2'h1; end + 6'h12 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h13 : begin ds <= 4'he; ss <= 1'h0; ep <= 2'h1; end + 6'h14 : begin ds <= 4'h3; ss <= 1'h0; ep <= 2'h1; end + 6'h15 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h16 : begin ds <= 4'h8; ss <= 1'h0; ep <= 2'h1; end + 6'h17 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h18 : begin ds <= 4'hd; ss <= 1'h0; ep <= 2'h1; end + 6'h19 : begin ds <= 4'h2; ss <= 1'h0; ep <= 2'h1; end + 6'h1a : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h1b : begin ds <= 4'h7; ss <= 1'h0; ep <= 2'h1; end + 6'h1c : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h1d : begin ds <= 4'hc; ss <= 1'h0; ep <= 2'h1; end + 6'h1e : begin ds <= 4'h1; ss <= 1'h0; ep <= 2'h1; end + 6'h1f : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h20 : begin ds <= 4'h6; ss <= 1'h0; ep <= 2'h1; end + 6'h21 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h22 : begin ds <= 4'hb; ss <= 1'h0; ep <= 2'h1; end + 6'h23 : begin ds <= 4'h0; ss <= 1'h0; ep <= 2'h1; end + 6'h24 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h25 : begin ds <= 4'h5; ss <= 1'h0; ep <= 2'h1; end + 6'h26 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h1; end + 6'h27 : begin ds <= 4'ha; ss <= 1'h0; ep <= 2'h1; end + 6'h28 : begin ds <= 4'h4; ss <= 1'h0; ep <= 2'h1; end + 6'h29 : begin ds <= 4'hf; ss <= 1'h1; ep <= 2'h1; end + 6'h2a : begin ds <= 4'h9; ss <= 1'h0; ep <= 2'h2; end + 6'h2b : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + 6'h2c : begin ds <= 4'he; ss <= 1'h0; ep <= 2'h2; end + 6'h2d : begin ds <= 4'h3; ss <= 1'h0; ep <= 2'h2; end + 6'h2e : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + 6'h2f : begin ds <= 4'h8; ss <= 1'h0; ep <= 2'h2; end + 6'h30 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + 6'h31 : begin ds <= 4'hd; ss <= 1'h0; ep <= 2'h2; end + 6'h32 : begin ds <= 4'h2; ss <= 1'h0; ep <= 2'h2; end + 6'h33 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + 6'h34 : begin ds <= 4'h7; ss <= 1'h0; ep <= 2'h2; end + 6'h35 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + 6'h36 : begin ds <= 4'hc; ss <= 1'h0; ep <= 2'h2; end + 6'h37 : begin ds <= 4'h1; ss <= 1'h0; ep <= 2'h2; end + 6'h38 : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + 6'h39 : begin ds <= 4'h6; ss <= 1'h0; ep <= 2'h2; end + 6'h3a : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + 6'h3b : begin ds <= 4'hb; ss <= 1'h0; ep <= 2'h2; end + 6'h3c : begin ds <= 4'h0; ss <= 1'h0; ep <= 2'h2; end + 6'h3d : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + 6'h3e : begin ds <= 4'h5; ss <= 1'h0; ep <= 2'h2; end + 6'h3f : begin ds <= 4'hf; ss <= 1'h0; ep <= 2'h2; end + endcase + + case (phase[1:0]) + 2'h0 : begin dsalt <= 4'ha; ssalt <= 1'h0; epalt <= 2'h2; end + 2'h1 : begin dsalt <= 4'hf; ssalt <= 1'h0; epalt <= 2'h2; end + 2'h2 : begin dsalt <= 4'hd; ssalt <= 1'h0; epalt <= 2'h2; end + + // this one is actually don't care + 2'h3 : begin dsalt <= 4'hd; ssalt <= 1'h0; epalt <= 2'h2; end + endcase + end +end + +// phase 64,5,6 use the alternate schedule +reg use_alt; +always @(posedge clk or posedge arst) begin + if (arst) begin + sshift <= 0; + dshift <= 0; + epoint <= 0; + use_alt <= 0; + end + else begin + sshift <= use_alt ? ssalt : ss; + dshift <= use_alt ? dsalt : ds; + epoint <= use_alt ? epalt : ep; + use_alt <= phase[6]; + end +end + +endmodule + diff --git a/Advanced Synthesis Cookbook/communication/parallax_gps.v b/Advanced Synthesis Cookbook/communication/parallax_gps.v new file mode 100644 index 0000000..405f412 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/parallax_gps.v @@ -0,0 +1,357 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-30-2008 + +module parallax_gps ( + input clk,rst, + input s_din, + output s_dout, + output s_oe, + + output reg [7:0] hw_version, + output reg info_valid, + output reg [3:0] sats, + output reg [23:0] gmt_time, // H, M, S + output reg [23:0] gmt_date, // M, D, Y + output reg [39:0] lattitude, // Deg, Min, Min/FFFF, 0=N,1=S + output reg [39:0] longitude, // Deg, Min, Min/FFFF, 0=E,1=W + output reg [15:0] altitude, // 1/10 M + output reg [15:0] speed, // 1/10 knots + output reg [15:0] heading, // 1/10 degrees + + // diagnostic information + output [3:0] current_query, + output [3:0] timeouts +); + +///////////////////////////// +// SIO regs +///////////////////////////// +reg din_r /* synthesis altera_attribute = "FAST_INPUT_REGISTER=ON" */; +reg dout_r /* synthesis altera_attribute = "FAST_OUTPUT_REGISTER=ON" */; +reg oe_r /* synthesis altera_attribute = "FAST_OUTPUT_ENABLE_REGISTER=ON" */; + +wire dout_w; +reg oe_w; +assign s_dout = dout_r; +assign s_oe = oe_r; + +always @(posedge clk) begin + din_r <= s_din; + dout_r <= dout_w; + oe_r <= oe_w; +end + +///////////////////////////// +// 4800 N81 Uart +///////////////////////////// + +reg [7:0] tx_data; +reg tx_data_valid; +wire tx_data_ack,txd; +wire [7:0] rx_data; +wire rx_data_fresh; +reg forced_idle; + +assign dout_w = forced_idle | txd; + +uart ur ( + .clk(clk), + .rst(rst), + .tx_data(tx_data), + .tx_data_valid(tx_data_valid), + .tx_data_ack(tx_data_ack), + .txd(txd), + .rx_data(rx_data), + .rx_data_fresh(rx_data_fresh), + .rxd(din_r) +); +defparam ur .BAUD = 4800; +defparam ur .CLK_HZ = 50_000_000; + +///////////////////////////// +// Insert some idle bits +// to deal with RX and TX handoff +// on the same line. +///////////////////////////// +reg [13:0] idle_timer; +reg idle_max; +always @(posedge clk) begin + if (rst) begin + idle_timer <= 0; + idle_max <= 1'b0; + end + else begin + if (forced_idle) idle_timer <= idle_timer + 1'b1; + idle_max <= &idle_timer; + end +end + +///////////////////////////// +// capture read bytes +///////////////////////////// +reg [8*5-1:0] rx_history; + +always @(posedge clk) begin + if (rst) rx_history <= 0; + else if (rx_data_fresh) begin + rx_history <= (rx_history << 4'h8) | rx_data; + end +end + +///////////////////////////// +// commands +///////////////////////////// +reg [3:0] cmd_reg; +reg inc_cmd; +always @(posedge clk) begin + if (rst) cmd_reg <= 0; + else begin + if (inc_cmd) begin + if (cmd_reg == 4'h9) cmd_reg <= 0; + else cmd_reg <= cmd_reg + 1'b1; + end + end +end + +///////////////////////////// +// reply timer +// wait until either +// the transmitter finishes 512 dummy chars +// the receiver gets the appropriate number of bytes +///////////////////////////// +reg [9:0] reply_cntr; +reg clr_reply_cntr, reply_cntr_max, prompt_complete; +reg [2:0] expected_bytes; +reg [3:0] timeout_counter; + +always @(posedge clk) begin + if (rst) timeout_counter <= 0; + if (rst | clr_reply_cntr) begin + reply_cntr <= 0; + reply_cntr_max <= 0; + if ((cmd_reg == 0) || + (cmd_reg == 1) || + (cmd_reg == 2)) expected_bytes <= 3'd1; + else if ((cmd_reg == 3) || + (cmd_reg == 4)) expected_bytes <= 3'd3; + else if ((cmd_reg == 5) || + (cmd_reg == 6)) expected_bytes <= 3'd5; + else expected_bytes <= 3'd2; + prompt_complete <= 1'b0; + end + else begin + // make sure you're past the !GPSn prompt string + // before counting bytes + if (rx_history[23:8] == "PS") prompt_complete <= 1'b1; + + if (tx_data_ack) reply_cntr <= reply_cntr + 1'b1; + if (rx_data_fresh & prompt_complete) expected_bytes <= expected_bytes - 1'b1; + + reply_cntr_max <= 1'b0; + if (&reply_cntr) begin + reply_cntr_max <= 1'b1; + if (!reply_cntr_max) timeout_counter <= timeout_counter + 1'b1; + end + if (~|expected_bytes) begin + reply_cntr_max <= 1'b1; + end + end +end + +///////////////////////////// +// Data regs +///////////////////////////// +reg grab_data; + +always @(posedge clk) begin + if (rst) begin + hw_version <= 0; + info_valid <= 0; + sats <= 0; + gmt_time <= 0; + gmt_date <= 0; + lattitude <= 0; + longitude <= 0; + altitude <= 0; + speed <= 0; + heading <= 0; + end + else if (grab_data) begin + if (cmd_reg == 4'h0) hw_version <= rx_history [7:0]; + if (cmd_reg == 4'h1) info_valid <= rx_history [0]; + if (cmd_reg == 4'h2) sats <= rx_history [3:0]; + if (cmd_reg == 4'h3) gmt_time <= rx_history [23:0]; + if (cmd_reg == 4'h4) gmt_date <= rx_history [23:0]; + if (cmd_reg == 4'h5) lattitude <= rx_history [39:0]; + if (cmd_reg == 4'h6) longitude <= rx_history [39:0]; + if (cmd_reg == 4'h7) altitude <= rx_history [15:0]; + if (cmd_reg == 4'h8) speed <= rx_history [15:0]; + if (cmd_reg == 4'h9) heading <= rx_history [15:0]; + end +end + +///////////////////////////// +// Cycle through data requests +///////////////////////////// +reg [3:0] state /* synthesis preserve */; +reg [3:0] next_state; + +parameter + ST_INIT = 0, + ST_PRESEND = 1, + ST_PRESEND1 = 2, + ST_PRESEND2 = 3, + ST_PRESEND3 = 4, + ST_SEND = 5, + ST_SEND1 = 6, + ST_SEND2 = 7, + ST_SEND3 = 8, + ST_SEND4 = 9, + ST_TX_PENDING = 10, + ST_PRELISTEN = 11, + ST_LISTEN = 12, + ST_REPORT = 13, + ST_NEXT_CMD = 14; + +always @(*) begin + next_state = state; + tx_data = 0; + tx_data_valid = 0; + oe_w = 1'b0; + clr_reply_cntr = 1'b0; + inc_cmd = 1'b0; + grab_data = 1'b0; + forced_idle = 1'b0; + + case (state) + ST_INIT : begin + next_state = ST_PRESEND; + end + ST_PRESEND : begin + // force the line to idle for 1 char + oe_w = 1'b1; + forced_idle = 1'b1; + tx_data = 0; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_PRESEND1; + end + ST_PRESEND1 : begin + // force the line to idle for 1 char + oe_w = 1'b1; + forced_idle = 1'b1; + tx_data = 0; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_PRESEND2; + end + ST_PRESEND2 : begin + // force the line to idle for 1 char + oe_w = 1'b1; + forced_idle = 1'b1; + tx_data = 0; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_PRESEND3; + end + ST_PRESEND3 : begin + // force the line to idle for 1 char + oe_w = 1'b1; + forced_idle = 1'b1; + tx_data = 0; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_SEND; + end + ST_SEND : begin + oe_w = 1'b1; + tx_data = "!"; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_SEND1; + end + ST_SEND1 : begin + oe_w = 1'b1; + tx_data = "G"; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_SEND2; + end + ST_SEND2 : begin + oe_w = 1'b1; + tx_data = "P"; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_SEND3; + end + ST_SEND3 : begin + oe_w = 1'b1; + tx_data = "S"; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_SEND4; + end + ST_SEND4 : begin + oe_w = 1'b1; + tx_data = {4'h0,cmd_reg}; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_TX_PENDING; + end + ST_TX_PENDING : begin + // wait until the last command + // byte is done sending + oe_w = 1'b1; + tx_data = 0; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_PRELISTEN; + end + ST_PRELISTEN : begin + // force the line to idle for 1 char + clr_reply_cntr = 1'b1; + oe_w = 1'b1; + forced_idle = 1'b1; + tx_data = 0; + tx_data_valid = 1'b1; + if (tx_data_ack) next_state = ST_LISTEN; + end + ST_LISTEN : begin + // wait until reply complete or timeout + forced_idle = 1'b1; + tx_data = 0; + tx_data_valid = 1'b1; + if (reply_cntr_max) next_state = ST_REPORT; + end + ST_REPORT : begin + grab_data = 1'b1; + next_state = ST_NEXT_CMD; + end + ST_NEXT_CMD : begin + inc_cmd = 1'b1; + next_state = ST_PRESEND; + end + endcase +end + +always @(posedge clk) begin + if (rst) state <= ST_INIT; + else state <= next_state; +end + +// diagnostic info +assign current_query = cmd_reg; +assign timeouts = timeout_counter; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/scrambler.v b/Advanced Synthesis Cookbook/communication/scrambler.v new file mode 100644 index 0000000..60595f8 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/scrambler.v @@ -0,0 +1,60 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps + +// baeckler - 12-17-2008 +// Unrolled scrambler LFSR + +module scrambler # ( + parameter WIDTH = 512 +)( + input clk,arst,ena, + input [WIDTH-1:0] din, // bit 0 is to be sent first + output reg [WIDTH-1:0] dout +); +localparam SCRAM_INIT = 58'h3ff_ffff_ffff_ffff; + +reg [57:0] scram_state = SCRAM_INIT; +wire [WIDTH+58-1:0] history; +assign history [57:0] = scram_state; + +genvar i; +generate + for (i=58; i> 4); k=k+1) begin : stim + data_in = (data_in << 16) | cntr; + cntr = cntr + 1'b1; + end + end +end + +reg [WIDTH-1:0] last_data_in, last2_data_in; +reg [3:0] flushing; +always @(posedge clk or posedge arst) begin + if (arst) begin + last_data_in <= 0; + last2_data_in <= 0; + flushing <= 4'b1111; + end + else begin + last_data_in <= data_in; + last2_data_in <= last_data_in; + flushing <= {flushing[2:0],1'b0}; + end +end + +// 1 bit LFSR model +integer n; +reg fbk; +reg [57:0] lfsr; +reg [WIDTH-1:0] lfsr_out; +always @(posedge clk or posedge arst) begin + if (arst) begin + lfsr_out = 0; + lfsr = 58'h3ff_ffff_ffff_ffff; + end + else begin + for (n=0; n 16'hffff) begin + // This rate is too slow for the TX and RX sample + // counter resolution + $display ("Error - Increase the size of the sample counters"); + $stop(); + end +end + +output txd; +input clk, rst, rxd; +input [7:0] tx_data; +input tx_data_valid; +output tx_data_ack; +output [7:0] rx_data; +output rx_data_fresh; + +uart_tx utx ( + .clk(clk),.rst(rst), + .tx_data(tx_data), + .tx_data_valid(tx_data_valid), + .tx_data_ack(tx_data_ack), + .txd(txd)); + +defparam utx .BAUD_DIVISOR = BAUD_DIVISOR; + +uart_rx urx ( + .clk(clk),.rst(rst), + .rx_data(rx_data), + .rx_data_fresh(rx_data_fresh), + .rxd(rxd)); + +defparam urx .BAUD_DIVISOR = BAUD_DIVISOR; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/uart_hw_test.v b/Advanced Synthesis Cookbook/communication/uart_hw_test.v new file mode 100644 index 0000000..f17ff2c --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/uart_hw_test.v @@ -0,0 +1,85 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-16-2007 +module uart_hw_test (clk,rst_n,txd,rxd); + +input clk,rst_n; +input rxd; +output txd; + +reg [7:0] tx_data; +reg tx_data_valid; +wire tx_data_ack; +wire txd,rxd; +wire [7:0] rx_data; +wire rx_data_fresh; + +reg [7:0] rst_cntr; +reg rst; +always @(posedge clk or negedge rst_n) begin + if (!rst_n) begin + rst_cntr <= 0; + rst <= 1'b1; + end + else begin + if (&rst_cntr) begin + rst <= 1'b0; + end + else begin + rst <= 1'b1; + rst_cntr <= rst_cntr + 1'b1; + end + end +end + +uart u (.clk(clk), + .rst(rst), + .tx_data(tx_data), + .tx_data_valid(tx_data_valid), + .tx_data_ack(tx_data_ack), + .txd(txd), + .rx_data(rx_data), + .rx_data_fresh(rx_data_fresh), + .rxd(rxd)); + +defparam u .CLK_HZ = 100_000_000; +defparam u .BAUD = 115200; + +// add one to each RX byte and send it out again +always @(posedge clk) begin + if (rst) begin + tx_data <= 1'b0; + tx_data_valid <= 1'b0; + end + else begin + if (rx_data_fresh) begin + tx_data <= rx_data + 1'b1; + tx_data_valid <= 1'b1; + end + else if (tx_data_ack) begin + tx_data_valid <= 1'b0; + end + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/communication/uart_tb.v b/Advanced Synthesis Cookbook/communication/uart_tb.v new file mode 100644 index 0000000..19231d3 --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/uart_tb.v @@ -0,0 +1,97 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//////////////////////////////////////////////////////////////////// + +module uart_tb (); + +reg clk, rst; +reg [7:0] tx_data; +reg tx_data_valid; +wire tx_data_ack; +wire txd,rxd; +wire [7:0] rx_data; +wire rx_data_fresh; + +uart u ( + .clk(clk), + .rst(rst), + .tx_data(tx_data), + .tx_data_valid(tx_data_valid), + .tx_data_ack(tx_data_ack), + .txd(txd), + .rx_data(rx_data), + .rx_data_fresh(rx_data_fresh), + .rxd(rxd) +); + +assign rxd = txd; + +initial begin + clk = 0; + rst = 1; + tx_data_valid = 1'b0; + @(posedge clk); + @(negedge clk); + rst = 0; +end + +always begin + #50 clk = ~clk; +end + +// tx data driver +always begin + #1000 @(negedge clk) tx_data_valid = $random; +end + +always @(posedge clk) begin + if (rst) tx_data <= "a"; + else if (tx_data_ack) begin + if (tx_data == "z") tx_data <= "a"; + else tx_data <= tx_data + 1'b1; + end +end + +// rx data checker +reg fail = 0; +reg [7:0] expected_rx; +always @(posedge clk) begin + if (rst) expected_rx <= "a"; + else if (rx_data_fresh) begin + if (rx_data !== expected_rx) begin + $display ("Mismatch at time %d",$time); + fail = 1; + #1000 + $stop(); + end + if (expected_rx == "z") expected_rx <= "a"; + else expected_rx <= expected_rx + 1'b1; + end +end + +initial begin + #1000000 if (!fail) $display ("PASS"); + $stop(); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/communication/x4_decoder_8b10b.v b/Advanced Synthesis Cookbook/communication/x4_decoder_8b10b.v new file mode 100644 index 0000000..bc0cd1b --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/x4_decoder_8b10b.v @@ -0,0 +1,101 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module x4_decoder_8b10b ( + input clk, + input rst, + input [39:0] din_dat, // 10b data input + output [31:0] dout_dat, // data out + output [3:0] dout_k, // special code + output [3:0] dout_kerr, // coding mistake detected + output [3:0] dout_rderr, // running disparity mistake detected + output [3:0] dout_rdcomb, // running dispartiy output (comb) + output [3:0] dout_rdreg // running disparity output (reg) +); + +parameter METHOD = 1; + +decoder_8b10b dec3( + .clk (clk), + .rst (rst), + .din_ena(1'b1), // Data (or code) input enable + .din_dat(din_dat[39 : 30]), // 8b data in + .din_rd(dout_rdreg[0]), // running disparity input + .dout_val(), + .dout_kerr(dout_kerr[3]), + .dout_dat(dout_dat[31 : 24]), // data out + .dout_k(dout_k[3]), + .dout_rderr(dout_rderr[3]), + .dout_rdcomb(dout_rdcomb[3]), // running disparity output (comb) + .dout_rdreg(dout_rdreg[3]) // running disparity output (reg) +); +defparam dec3.METHOD = METHOD; + +decoder_8b10b dec2( + .clk (clk), + .rst (rst), + .din_ena(1'b1), // Data (or code) input enable + .din_dat(din_dat[29 : 20]), // 8b data in + .din_rd(dout_rdcomb[3]), // running disparity input + .dout_val(), + .dout_kerr(dout_kerr[2]), + .dout_dat(dout_dat[23 : 16]), // data out + .dout_k(dout_k[2]), + .dout_rderr(dout_rderr[2]), + .dout_rdcomb(dout_rdcomb[2]), // running disparity output (comb) + .dout_rdreg(dout_rdreg[2]) // running disparity output (reg) +); +defparam dec2.METHOD = METHOD; + +decoder_8b10b dec1( + .clk (clk), + .rst (rst), + .din_ena(1'b1), // Data (or code) input enable + .din_dat(din_dat[19 : 10]), // 8b data in + .din_rd(dout_rdcomb[2]), // running disparity input + .dout_val(), + .dout_kerr(dout_kerr[1]), + .dout_dat(dout_dat[15 : 8]), // data out + .dout_k(dout_k[1]), + .dout_rderr(dout_rderr[1]), + .dout_rdcomb(dout_rdcomb[1]), // running disparity output (comb) + .dout_rdreg(dout_rdreg[1]) // running disparity output (reg) +); +defparam dec1.METHOD = METHOD; + +decoder_8b10b dec0( + .clk (clk), + .rst (rst), + .din_ena(1'b1), // Data (or code) input enable + .din_dat(din_dat[9 : 0]), // 8b data in + .din_rd(dout_rdcomb[1]), // running disparity input + .dout_val(), + .dout_kerr(dout_kerr[0]), + .dout_dat(dout_dat[7 : 0]), // data out + .dout_k(dout_k[0]), + .dout_rderr(dout_rderr[0]), + .dout_rdcomb(dout_rdcomb[0]), // running disparity output (comb) + .dout_rdreg(dout_rdreg[0]) // running disparity output (reg) +); +defparam dec0.METHOD = METHOD; + +endmodule diff --git a/Advanced Synthesis Cookbook/communication/x4_encoder_8b10b.v b/Advanced Synthesis Cookbook/communication/x4_encoder_8b10b.v new file mode 100644 index 0000000..824f4ff --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/x4_encoder_8b10b.v @@ -0,0 +1,93 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module x4_encoder_8b10b ( + input clk, + input rst, + input [3:0] kin_ena, // Data in is a special code, not all are legal. + input [31 : 0] ein_dat, // 8b data in + output [39 : 0] eout_dat // data out +); + +parameter METHOD = 1; + +wire [3:0] eout_rdcomb; +wire [3:0] eout_rdreg; +wire [3:0] eout_val; // not used, since ein_ena not used in cascaded version + +encoder_8b10b enc3( + .clk (clk), + .rst (rst), + .kin_ena(kin_ena[3]), // Data in is a special code, not all are legal. + .ein_ena(1'b1), // Data (or code) input enable + .ein_dat(ein_dat[31 : 24]), // 8b data in + .ein_rd(eout_rdreg[0]), // running disparity input + .eout_val(eout_val[3]), // data out is valid + .eout_dat(eout_dat[39 : 30]), // data out + .eout_rdcomb(eout_rdcomb[3]), // running disparity output (comb) + .eout_rdreg(eout_rdreg[3]) // running disparity output (reg) +); + defparam enc3.METHOD = METHOD; + +encoder_8b10b enc2( + .clk (clk), + .rst (rst), + .kin_ena(kin_ena[2]), // Data in is a special code, not all are legal. + .ein_ena(1'b1), // Data (or code) input enable + .ein_dat(ein_dat[23 : 16]), // 8b data in + .ein_rd(eout_rdcomb[3]), // running disparity input + .eout_val(eout_val[2]), // data out is valid + .eout_dat(eout_dat[29 : 20]), // data out + .eout_rdcomb(eout_rdcomb[2]), // running disparity output (comb) + .eout_rdreg(eout_rdreg[2]) // running disparity output (reg) +); + defparam enc2.METHOD = METHOD; + +encoder_8b10b enc1( + .clk (clk), + .rst (rst), + .kin_ena(kin_ena[1]), // Data in is a special code, not all are legal. + .ein_ena(1'b1), // Data (or code) input enable + .ein_dat(ein_dat[15 : 8]), // 8b data in + .ein_rd(eout_rdcomb[2]), // running disparity input + .eout_val(eout_val[1]), // data out is valid + .eout_dat(eout_dat[19 : 10]), // data out + .eout_rdcomb(eout_rdcomb[1]), // running disparity output (comb) + .eout_rdreg(eout_rdreg[1]) // running disparity output (reg) +); + defparam enc1.METHOD = METHOD; + +encoder_8b10b enc0( + .clk (clk), + .rst (rst), + .kin_ena(kin_ena[0]), // Data in is a special code, not all are legal. + .ein_ena(1'b1), // Data (or code) input enable + .ein_dat(ein_dat[7 : 0]), // 8b data in + .ein_rd(eout_rdcomb[1]), // running disparity input + .eout_val(eout_val[0]), // data out is valid + .eout_dat(eout_dat[9 : 0]), // data out + .eout_rdcomb(eout_rdcomb[0]), // running disparity output (comb) + .eout_rdreg(eout_rdreg[0]) // running disparity output (reg) +); + defparam enc0.METHOD = METHOD; + +endmodule diff --git a/Advanced Synthesis Cookbook/communication/x4_encoder_tb.sv b/Advanced Synthesis Cookbook/communication/x4_encoder_tb.sv new file mode 100644 index 0000000..335b52d --- /dev/null +++ b/Advanced Synthesis Cookbook/communication/x4_encoder_tb.sv @@ -0,0 +1,182 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module x4_encoder_tb (); + +reg clk; +reg rst_n; +reg kin_ena; +reg ein_ena; +reg [7:0] ein_dat; +wire ein_rd; + +wire eout_val_a; +wire [9:0] eout_dat_a; +wire eout_rdcomb_a, eout_rdreg_a; + +/////////////////////////////////////////// +// x1 test units +/////////////////////////////////////////// + +encoder_8b10b dut_a ( + .clk(clk), + .rst(!rst_n), + .kin_ena(kin_ena), + .ein_ena(ein_ena), + .ein_dat(ein_dat), + .ein_rd(ein_rd), + .eout_val(eout_val_a), + .eout_dat(eout_dat_a), + .eout_rdcomb(eout_rdcomb_a), + .eout_rdreg(eout_rdreg_a) +); +assign ein_rd = eout_rdreg_a; + +wire k_c,kerr_c,rderr_c; +wire [7:0] dat_c; + +decoder_8b10b dut_c ( + .clk(clk), + .rst(!rst_n), + .din_ena(1'b1), + .din_dat(eout_dat_a), + .din_rd(rdreg_c), + .dout_val(), + .dout_dat(dat_c), + .dout_k(k_c), + .dout_kerr(kerr_c), + .dout_rderr(rderr_c), + .dout_rdcomb(), + .dout_rdreg(rdreg_c) +); + +/////////////////////////////////////////// +// x4 test units +/////////////////////////////////////////// + +reg x4_clk; +reg [31:0] x4_ein_dat; +reg [3:0] x4_kin_ena; +wire [39:0] x4_eout_dat; + +always @(posedge clk or negedge rst_n) begin + if (!rst_n) begin + x4_ein_dat <= 0; + x4_kin_ena <= 0; + end + else begin + x4_ein_dat <= (x4_ein_dat << 8) | ein_dat; + x4_kin_ena <= (x4_kin_ena << 1) | kin_ena; + end +end + +x4_encoder_8b10b dut_b ( + .clk(x4_clk), + .rst(!rst_n), + .kin_ena(x4_kin_ena), // Data in is a special code, not all are legal. + .ein_dat(x4_ein_dat), // 8b data in + .eout_dat(x4_eout_dat) // data out +); + +// break up result for visibility +wire [9:0] w0,w1,w2,w3; +assign {w0,w1,w2,w3} = x4_eout_dat; + +wire [31:0] x4_dat_recovered; +wire [3:0] x4_k_recovered; +wire [3:0] x4_kerr,x4_rderr; + +x4_decoder_8b10b dut_d( + .clk(x4_clk), + .rst(!rst_n), + .din_dat(x4_eout_dat), // 10b data input + .dout_dat(x4_dat_recovered), // data out + .dout_k(x4_k_recovered), // special code + .dout_kerr(x4_kerr), // coding mistake detected + .dout_rderr(x4_rderr), // running disparity mistake detected + .dout_rdcomb(), // running dispartiy output (comb) + .dout_rdreg() // running disparity output (reg) +); + +/////////////////////////////////////////// +// not all data for transmit is legal. +/////////////////////////////////////////// +reg [3:0] tmp; +always @(negedge clk or negedge rst_n) begin + ein_ena = $random; + kin_ena = !ein_ena; + tmp = $random % 4'hd; + + if (kin_ena) begin + case (tmp) + // valid K signals + 4'h0 : ein_dat = 8'b000_11100; + 4'h1 : ein_dat = 8'b000_11100; + 4'h2 : ein_dat = 8'b001_11100; + 4'h3 : ein_dat = 8'b010_11100; + 4'h4 : ein_dat = 8'b011_11100; + 4'h5 : ein_dat = 8'b100_11100; + 4'h6 : ein_dat = 8'b101_11100; + 4'h7 : ein_dat = 8'b110_11100; + 4'h8 : ein_dat = 8'b111_11100; + 4'h9 : ein_dat = 8'b111_10111; + 4'ha : ein_dat = 8'b111_11011; + 4'hb : ein_dat = 8'b111_11101; + 4'hc : ein_dat = 8'b111_11110; + // 4'hd : ein_dat = 8'b111_11111; + default : ein_dat = 0; + endcase + end + else + ein_dat = $random; +end + +/////////////////////////////////////////// +// clock driver +/////////////////////////////////////////// +initial begin + clk = 0; + x4_clk = 1; + rst_n = 1; + #1 rst_n = 0; + @(negedge clk) rst_n = 1; +end + +always begin + #5 clk = ~clk; +end + +always begin + @(posedge clk); + if (!rst_n) begin + @(negedge clk); + @(negedge clk); + end + @(negedge clk); + x4_clk = ~x4_clk; + @(negedge clk); + @(negedge clk); + x4_clk = ~x4_clk; + @(negedge clk); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/carry_and.v b/Advanced Synthesis Cookbook/compare/carry_and.v new file mode 100644 index 0000000..806b246 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/carry_and.v @@ -0,0 +1,129 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-01-2006 + +module carry_and (dat,out); + +parameter WIDTH = 32; +parameter METHOD = 4; + +input [WIDTH-1:0] dat; +output out; + +// figure out pairs and triples of inputs +localparam NEXT_EVEN_WIDTH = (WIDTH & 1) ? WIDTH + 1 : WIDTH; +localparam HALF_WIDTH = NEXT_EVEN_WIDTH >> 1; +localparam NEXT_DIV3_WIDTH = WIDTH + (((WIDTH % 3) & 1) << 1) + (((WIDTH % 3) & 2) >> 1); +localparam THIRD_WIDTH = NEXT_DIV3_WIDTH / 3; + +wire [WIDTH + 2 : 0] ext_dat = {3'b111,dat}; + +genvar i; +generate + if (METHOD == 0) begin + /////////////////////// + // Generic style + /////////////////////// + assign out = &dat; + end + else if (METHOD == 1) begin + /////////////////////// + // 1 bit per cell carry chain + /////////////////////// + wire [WIDTH:0] result; + assign result = dat + 1'b1; + assign out = result[WIDTH]; + end + else if (METHOD == 2) begin + //////////////////////////////// + // 2 bit per cell carry chain + //////////////////////////////// + wire [HALF_WIDTH-1:0] pairs; + wire [HALF_WIDTH:0] result; + + assign pairs = ext_dat[HALF_WIDTH-1:0] & + ext_dat[2*HALF_WIDTH-1:HALF_WIDTH]; + assign result = pairs + 1'b1; + assign out = result[HALF_WIDTH]; + end + else if (METHOD == 3) begin + //////////////////////////////// + // 3 bit per cell carry chain + // may not absorb fully. + // it will also be very tempting + // for synthesit to unmap to 6 LUT. + // Use Method = 4; + //////////////////////////////// + wire [THIRD_WIDTH-1:0] triplets; + wire [THIRD_WIDTH:0] result; + + assign triplets = ext_dat[THIRD_WIDTH-1:0] & + ext_dat[2*THIRD_WIDTH-1:THIRD_WIDTH] & + ext_dat[3*THIRD_WIDTH-1:2*THIRD_WIDTH]; + assign result = triplets + 1'b1; + assign out = result[THIRD_WIDTH]; + end + else if (METHOD == 4) begin + ////////////////////////////////////////// + // 3 bit per cell Wide AND carry chain + // WYSIWYG version + ////////////////////////////////////////// + wire [THIRD_WIDTH:0] result; + wire [THIRD_WIDTH+1:0] cin; + + assign cin[0] = 1'b0; + + for (i=0; i> 1) because the LSB A is unused + dcb_less_const_mask[n] = ((n>>1) < const_val) ? 1 : 0; + end + end +endfunction + +function [15:0] dcb_eq_const_mask; + input [2:0] const_val; + integer n; + begin + for (n=0; n<16; n=n+1) + begin + // (n >> 1) because the LSB A is unused + dcb_eq_const_mask[n] = ((n>>1) == const_val) ? 1 : 0; + end + end +endfunction + +function [15:0] dc_eq_const_mask; + input [1:0] const_val; + integer n; + begin + for (n=0; n<16; n=n+1) + begin + // (n >> 2) because the LSB A,B both unused + dc_eq_const_mask[n] = ((n>>2) == const_val) ? 1 : 0; + end + end +endfunction + +function [15:0] dc_greater_const_mask; + input [1:0] const_val; + integer n; + begin + for (n=0; n<16; n=n+1) + begin + // (n >> 2) because the LSB A,B both unused + dc_greater_const_mask[n] = ((n>>2) > const_val) ? 1 : 0; + end + end +endfunction + +function [15:0] dc_ge_const_mask; + input [1:0] const_val; + integer n; + begin + for (n=0; n<16; n=n+1) + begin + // (n >> 2) because the LSB A,B both unused + dc_ge_const_mask[n] = ((n>>2) >= const_val) ? 1 : 0; + end + end +endfunction + +function [15:0] dc_less_const_mask; + input [1:0] const_val; + integer n; + begin + for (n=0; n<16; n=n+1) + begin + // (n >> 2) because the LSB A,B both unused + dc_less_const_mask[n] = ((n>>2) < const_val) ? 1 : 0; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/compare/compare_tb.v b/Advanced Synthesis Cookbook/compare/compare_tb.v new file mode 100644 index 0000000..34b6c3d --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/compare_tb.v @@ -0,0 +1,170 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module compare_tb (); + +parameter WIDTH = 64; +parameter CONST_X = 64'h123456781234567a; + +wire a,b,c,d,e; +reg [WIDTH-1:0] dat; +reg fail; + +less_than_const ca (.dat(dat),.out(a)); + defparam ca .WIDTH = WIDTH; + defparam ca .METHOD = 0; + defparam ca .CONST_VAL = CONST_X; +less_than_const cb (.dat(dat),.out(b)); + defparam cb .WIDTH = WIDTH; + defparam cb .METHOD = 1; + defparam cb .CONST_VAL = CONST_X; +less_than_const cc (.dat(dat),.out(c)); + defparam cc .WIDTH = WIDTH; + defparam cc .METHOD = 2; + defparam cc .CONST_VAL = CONST_X; +less_than_const cd (.dat(dat),.out(d)); + defparam cd .WIDTH = WIDTH; + defparam cd .METHOD = 3; + defparam cd .CONST_VAL = CONST_X; +less_than_const ce (.dat(dat),.out(e)); + defparam ce .WIDTH = WIDTH; + defparam ce .METHOD = 4; + defparam ce .CONST_VAL = CONST_X; + +parameter WIDTH_2 = 9; +parameter CONST_Y = 9'b100101010; + +wire q,r,s,t,u; +reg [WIDTH_2-1:0] dat_2; + +less_than_const da (.dat(dat_2),.out(q)); + defparam da .WIDTH = WIDTH_2; + defparam da .METHOD = 0; + defparam da .CONST_VAL = CONST_Y; +less_than_const db (.dat(dat_2),.out(r)); + defparam db .WIDTH = WIDTH_2; + defparam db .METHOD = 1; + defparam db .CONST_VAL = CONST_Y; +less_than_const dc (.dat(dat_2),.out(s)); + defparam dc .WIDTH = WIDTH_2; + defparam dc .METHOD = 2; + defparam dc .CONST_VAL = CONST_Y; +less_than_const dd (.dat(dat_2),.out(t)); + defparam dd .WIDTH = WIDTH_2; + defparam dd .METHOD = 3; + defparam dd .CONST_VAL = CONST_Y; +less_than_const de (.dat(dat_2),.out(u)); + defparam de .WIDTH = WIDTH_2; + defparam de .METHOD = 4; + defparam de .CONST_VAL = CONST_Y; + +reg over; +wire w,x,y,z; +parameter UPPER = 9'b011001001; +parameter LOWER = 9'b000010010; + +over_under oa (.dat(dat_2),.over(over),.out(w)); + defparam oa .WIDTH = WIDTH_2; + defparam oa .METHOD = 0; + defparam oa .UPPER_BOUND = UPPER; + defparam oa .LOWER_BOUND = LOWER; +over_under ob (.dat(dat_2),.over(over),.out(x)); + defparam ob .WIDTH = WIDTH_2; + defparam ob .METHOD = 1; + defparam ob .UPPER_BOUND = UPPER; + defparam ob .LOWER_BOUND = LOWER; +over_under oc (.dat(dat_2),.over(over),.out(y)); + defparam oc .WIDTH = WIDTH_2; + defparam oc .METHOD = 2; + defparam oc .UPPER_BOUND = UPPER; + defparam oc .LOWER_BOUND = LOWER; +over_under od (.dat(dat_2),.over(over),.out(z)); + defparam od .WIDTH = WIDTH_2; + defparam od .METHOD = 3; + defparam od .UPPER_BOUND = UPPER; + defparam od .LOWER_BOUND = LOWER; + +wire ww,xx,yy,zz; + +parameter UPPER_3 = 8'b11001001; +parameter LOWER_3 = 8'b00010010; + +over_under pa (.dat(dat_2),.over(over),.out(ww)); + defparam pa .WIDTH = WIDTH_2-1; + defparam pa .METHOD = 0; + defparam pa .UPPER_BOUND = UPPER_3; + defparam pa .LOWER_BOUND = LOWER_3; +over_under pb (.dat(dat_2),.over(over),.out(xx)); + defparam pb .WIDTH = WIDTH_2-1; + defparam pb .METHOD = 1; + defparam pb .UPPER_BOUND = UPPER_3; + defparam pb .LOWER_BOUND = LOWER_3; +over_under pc (.dat(dat_2),.over(over),.out(yy)); + defparam pc .WIDTH = WIDTH_2-1; + defparam pc .METHOD = 2; + defparam pc .UPPER_BOUND = UPPER_3; + defparam pc .LOWER_BOUND = LOWER_3; +over_under pd (.dat(dat_2),.over(over),.out(zz)); + defparam pd .WIDTH = WIDTH_2-1; + defparam pd .METHOD = 3; + defparam pd .UPPER_BOUND = UPPER_3; + defparam pd .LOWER_BOUND = LOWER_3; + + +initial begin + dat = 0; + dat_2 = 0; + over = 0; + fail = 0; + + #100000 if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #50 dat = {$random,$random}; + dat_2 = $random; + over = $random; + + #50 if (a !== b || a !== c || a !== d || a!= e) begin + $display ("Mismatch in cx series at time %d",$time); + fail = 1; + end + + if (q !== r || q !== s || q !== t || q != u) begin + $display ("Mismatch in dx series at time %d",$time); + fail = 1; + end + + if (w !== x || w !== y || w !== z) begin + $display ("Mismatch in ox series at time %d",$time); + fail = 1; + end + + if (ww !== xx || ww !== yy || ww !== zz) begin + $display ("Mismatch in px series at time %d",$time); + fail = 1; + end + +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/cook.sh b/Advanced Synthesis Cookbook/compare/cook.sh new file mode 100644 index 0000000..7930c8b --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/cook.sh @@ -0,0 +1,4 @@ +quartus_map --family=stratixii --optimize=speed carry_and_speed_test | tee q.log +quartus_fit --fmax=1ghz carry_and_speed_test | tee f.log +quartus_tan carry_and_speed_test | tee t.log +grep "Longest register to register delay" t.log \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/equal_const.v b/Advanced Synthesis Cookbook/compare/equal_const.v new file mode 100644 index 0000000..60cffe1 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/equal_const.v @@ -0,0 +1,51 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + + +module equal_const (dat,out); + +parameter WIDTH = 8; +parameter CONST_VAL = 8'h45; +parameter METHOD = 0; + +input [WIDTH-1:0] dat; +output out; +wire out; + +generate + if (METHOD == 0) begin + /////////////////////// + // Generic style + /////////////////////// + assign out = (dat == CONST_VAL); + end + else if (METHOD == 1) begin + //////////////////////////////////////// + // Carry chain based - 3 bits per cell + //////////////////////////////////////// + carry_and ca (.dat(~dat ^ CONST_VAL),.out(out)); + defparam ca .WIDTH = WIDTH; + defparam ca .METHOD = 4; + end +endgenerate + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/equal_const_tb.v b/Advanced Synthesis Cookbook/compare/equal_const_tb.v new file mode 100644 index 0000000..76ae591 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/equal_const_tb.v @@ -0,0 +1,61 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module equal_const_tb (); + +parameter WIDTH = 10; +parameter CONST_VAL = 10'b1010111010; + +reg [WIDTH-1:0] dat; +reg fail; + +wire aa,bb; + +equal_const a (.dat(dat),.out(aa)); + defparam a .WIDTH = WIDTH; + defparam a .METHOD = 0; + defparam a .CONST_VAL = CONST_VAL; + +equal_const b (.dat(dat),.out(bb)); + defparam b .WIDTH = WIDTH; + defparam b .METHOD = 1; + defparam b .CONST_VAL = CONST_VAL; + +initial begin + dat = 0; + fail = 0; + + #100000 if (!fail) $display ("PASS"); + $stop(); +end + + +always begin + #50 dat = {$random,$random}; + + #50 if (aa !== bb) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/highest_10.inc b/Advanced Synthesis Cookbook/compare/highest_10.inc new file mode 100644 index 0000000..7787c05 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/highest_10.inc @@ -0,0 +1,41 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// helper function to identify +// the most significant bit where upper is 1 and +// lower is 0. + +function integer highest_10; + input integer upper; + input integer lower; + integer log2_upper; + integer j; + begin + highest_10 = 0; + log2_upper = log2 (upper); + for (j=0; j= LOWER_BOUND && dat < UPPER_BOUND); + end + else if (METHOD == 1) begin + /////////////////////////////////////////////////// + // use of subtractors to implement the comparison + /////////////////////////////////////////////////// + wire [WIDTH+1:0] result; + wire [WIDTH:0] comp_a; + assign comp_a = dat-LOWER_BOUND; + assign result = comp_a-(UPPER_BOUND-LOWER_BOUND); + assign inr = result[WIDTH+1]; + end + else if (METHOD == 2) begin + /////////////////////////////////////////////////// + // Demonstration of over / under / equal technique + // illustrated by Paul Q3 2003 slides + // No hard structure / chains. + /////////////////////////////////////////////////// + wire ou_out; + wire eq_out; + + initial begin + $display ("%x",UPPER_BOUND[SPLIT_POINT-1:0]); + $display ("%x",LOWER_BOUND[SPLIT_POINT-1:0]); + $display ("%x",UPPER_BOUND[WIDTH-1:SPLIT_POINT]); + end + + over_under ou ( + .over(!dat[SPLIT_POINT]), + .dat(dat[SPLIT_POINT-1:0]), + .out(ou_out) + ); + defparam ou .WIDTH = SPLIT_POINT; + defparam ou .METHOD = 0; + defparam ou .UPPER_BOUND = UPPER_BOUND [SPLIT_POINT-1 : 0]; + defparam ou .LOWER_BOUND = LOWER_BOUND [SPLIT_POINT-1 : 0]; + + equal_const eq ( + .dat(dat[WIDTH-1:SPLIT_POINT+1]), + .out(eq_out) + ); + defparam eq .WIDTH = WIDTH-SPLIT_POINT-1; + defparam eq .CONST_VAL = UPPER_BOUND [WIDTH-1:SPLIT_POINT+1]; + defparam eq .METHOD = 0; + + assign inr = ou_out & eq_out; + end +endgenerate + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/in_range_tb.v b/Advanced Synthesis Cookbook/compare/in_range_tb.v new file mode 100644 index 0000000..53efe74 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/in_range_tb.v @@ -0,0 +1,69 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module in_range_tb (); + +parameter LOWER_BOUND = 85; +parameter UPPER_BOUND = 120; +parameter WIDTH = 7; + +reg [WIDTH-1:0] value; +wire inra, inrb, inrc ; + +in_range a (.dat(value),.inr(inra)); + defparam a .WIDTH = WIDTH; + defparam a .LOWER_BOUND = LOWER_BOUND; + defparam a .UPPER_BOUND = UPPER_BOUND; + defparam a .METHOD = 0; + +in_range b (.dat(value),.inr(inrb)); + defparam b .WIDTH = WIDTH; + defparam b .LOWER_BOUND = LOWER_BOUND; + defparam b .UPPER_BOUND = UPPER_BOUND; + defparam b .METHOD = 1; + +in_range c (.dat(value),.inr(inrc)); + defparam c .WIDTH = WIDTH; + defparam c .LOWER_BOUND = LOWER_BOUND; + defparam c .UPPER_BOUND = UPPER_BOUND; + defparam c .METHOD = 2; + +wire too_low = value < LOWER_BOUND; +wire too_high = value >= UPPER_BOUND; + +reg fail = 0; + +initial begin + value = 0; + #100000 if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #50 value = $random; + #50 if (inra !== inrb || inra !== inrc) begin + $display ("Mismatch at time %d - val %d",$time,value); + fail = 1; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/less_than_const.v b/Advanced Synthesis Cookbook/compare/less_than_const.v new file mode 100644 index 0000000..f3a5136 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/less_than_const.v @@ -0,0 +1,197 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-01-2006 +// Check if data is less than constant value + +module less_than_const (dat,out); + +`include "compare_masks.inc" + +parameter CONST_VAL = 64'h123456781234567a; +parameter METHOD = 4; +parameter WIDTH = 64; + +// derive some more constants for local use +localparam NEXT_EVEN_WIDTH = (WIDTH & 1) ? WIDTH + 1 : WIDTH; +localparam NEXT_DIV3_WIDTH = WIDTH + (((WIDTH % 3) & 1) << 1) + (((WIDTH % 3) & 2) >> 1); + +localparam HALF_WIDTH = NEXT_EVEN_WIDTH >> 1; +localparam THIRD_WIDTH = NEXT_DIV3_WIDTH / 3; + +input [WIDTH-1:0] dat; +output out; +wire out; + + // Equivalent : + // dat < CONST + // dat - CONST < 0 + // dat - CONST sign bit is 1 + +genvar i,n; + +// zero pad out the data and constant for convenience +wire [WIDTH+5:0] ext_dat = {6'b0,dat}; +localparam EXT_CONST_VAL = {6'b0,CONST_VAL}; + +generate + if (METHOD == 0) begin + /////////////////////// + // Generic style + /////////////////////// + assign out = dat < CONST_VAL; + end + else if (METHOD == 1) begin + ////////////////////////////////// + // Carry chain - one cell per bit + 1 + ////////////////////////////////// + wire [WIDTH:0] chain; + assign chain = dat - CONST_VAL; + assign out = chain[WIDTH]; + end + else if (METHOD == 2) begin + ////////////////////////////////// + // Carry chain - one cell per 2 bits + 1 + ////////////////////////////////// + wire [HALF_WIDTH:0] chain; + wire [HALF_WIDTH-1 :0] g; + wire [HALF_WIDTH-1 :0] p; + + // rephrase in terms of generate and propagate + // carry - looking at two bits of the compare at + // a time. + for (i=0; i 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/compare/match_or_inv.v b/Advanced Synthesis Cookbook/compare/match_or_inv.v new file mode 100644 index 0000000..291dce2 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/match_or_inv.v @@ -0,0 +1,88 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 05-13-2005 +// +// Efficient implementation of +// (bus_a == bus_b) || (bus_a == ~bus_b); +// +// for Stratix II hardware. Use optimization technique = speed +// + +module match_or_inv (bus_a,bus_b,match_or_inv); + +parameter WIDTH = 32; + +localparam GROUPS_OF_THREE = WIDTH/3; + +input [WIDTH-1:0] bus_a; +input [WIDTH-1:0] bus_b; + +output match_or_inv; + +wire [GROUPS_OF_THREE - 1 : 0] groups /* synthesis keep */; +wire [GROUPS_OF_THREE + WIDTH-(3*GROUPS_OF_THREE) - 1 : 0] reduced_a; +wire [GROUPS_OF_THREE + WIDTH-(3*GROUPS_OF_THREE) - 1 : 0] reduced_b; +wire reduced_result; + +genvar i; +generate + + // simplify each block of 3 vs 3 bus bits into a six LUT and a 1 bit problem + for (i=0; i 3) + begin + match_or_inv helper (.bus_a(reduced_a),.bus_b(reduced_b),.match_or_inv(reduced_result)); + defparam helper .WIDTH = (GROUPS_OF_THREE + WIDTH-(3*GROUPS_OF_THREE)); + end + else + begin + assign reduced_result = (reduced_a == reduced_b || reduced_a == ~reduced_b); + end +endgenerate + +// Final answer is the & of all 3 input results and the sub problem result +assign match_or_inv = (& groups) && reduced_result; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/match_or_inv_tb.v b/Advanced Synthesis Cookbook/compare/match_or_inv_tb.v new file mode 100644 index 0000000..d36f29d --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/match_or_inv_tb.v @@ -0,0 +1,67 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-23-2006 + +module match_or_inv_tb (); + +parameter WIDTH = 8; + +reg [WIDTH-1:0] ra; +reg [WIDTH-1:0] rb; +wire m; +reg fail = 0; + +reg gold; + +match_or_inv moi ( + .bus_a(ra), + .bus_b(rb), + .match_or_inv (m) +); +defparam moi .WIDTH = WIDTH; + +always @(ra or rb) begin + gold = (ra == rb) || (ra == ~rb); +end + +initial begin + ra = 0; + rb = 0; + fail = 0; + +#100000 + if (!fail) $display ("PASS"); + + $stop(); +end + +always begin + #10 ra = $random; + rb = $random; + #10 if (m != gold) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/compare/min_max.v b/Advanced Synthesis Cookbook/compare/min_max.v new file mode 100644 index 0000000..8f0d60f --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/min_max.v @@ -0,0 +1,207 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-12-2006 + +//////////////////////////////////////////// +// fixed signed, for testing +//////////////////////////////////////////// + +module min_max_signed (clk,rst,a,b,min_ab,max_ab); + +parameter WIDTH = 8; + +input clk,rst; +input signed [WIDTH-1:0] a; +input signed [WIDTH-1:0] b; +output signed [WIDTH-1:0] min_ab; +output signed [WIDTH-1:0] max_ab; + +reg signed [WIDTH-1:0] min_ab; +reg signed [WIDTH-1:0] max_ab; + +always @(posedge clk or posedge rst) begin + if (rst) begin + min_ab <= 0; + max_ab <= 0; + end + else begin + if (a= LOWER_BOUND) : (dat < UPPER_BOUND); +// +// where upper and lower are constants +// +// Building block used for an efficient in-range comparator. +// +module over_under (over,dat,out); + +`include "compare_masks.inc" + +parameter WIDTH = 32; +parameter UPPER_BOUND = 32'hae141234; +parameter LOWER_BOUND = 32'hae100010; +parameter METHOD = 3; + +localparam [WIDTH:0] NEG_UPPER = ~UPPER_BOUND + 1; +localparam NEXT_EVEN_WIDTH = (WIDTH & 1) ? WIDTH + 1 : WIDTH; +localparam HALF_WIDTH = NEXT_EVEN_WIDTH >> 1; + +input [WIDTH-1:0] dat; +input over; +output out; + +// zero pad out the data and constant for convenience +wire [WIDTH+5:0] ext_dat = {6'b0,dat}; +localparam EXT_LOWER = {6'b0,LOWER_BOUND}; +localparam EXT_UPPER = {6'b0,UPPER_BOUND}; +localparam EXT_NEG_UPPER = {6'b0,NEG_UPPER}; + +genvar i; + +generate + + if (METHOD == 0) begin + /////////////////////// + // Generic style + /////////////////////// + assign out = over ? (dat >= LOWER_BOUND) : (dat < UPPER_BOUND); + end + else if (METHOD == 1) begin + //////////////////////////////////////// + // Rephrased in terms of add / subtract + //////////////////////////////////////// + wire [WIDTH:0] chain; + + //assign chain = (over ? (LOWER_BOUND + ~dat) : (dat-UPPER_BOUND)); + //assign chain = (over ? (LOWER_BOUND + ~dat) : (dat + ~UPPER_BOUND + 1)); + //assign chain = (over ? ~dat : dat) + (over ? LOWER_BOUND : ~UPPER_BOUND + 1); + assign chain = (over ? ~dat : dat) + (over ? LOWER_BOUND : NEG_UPPER); + assign out = chain[WIDTH]; + end + else if (METHOD == 2) begin + //////////////////////////////////////// + // Compress to 2 bits per cell + // this uses quite a bit of logic in + // front of the carry chain. Use + // WYS version to guarantee packing. + //////////////////////////////////////// + wire [HALF_WIDTH:0] chain; + wire [HALF_WIDTH-1 :0] g; + wire [HALF_WIDTH-1 :0] p; + + // rephrase in terms of generate and propagate + // carry - looking at two bits of the compare at + // a time. + for (i=0; i= for over = 1, < for over = 0 + i == 0 ? { + 16'h0000, + (16'haaaa & dc_ge_const_mask(EXT_LOWER[i*2+1:i*2])) | + (16'h5555 & dc_less_const_mask(EXT_UPPER[i*2+1:i*2])), + 16'h0000, + (16'haaaa & dc_eq_const_mask(EXT_LOWER[i*2+1:i*2])) | + (16'h5555 & dc_eq_const_mask(EXT_UPPER[i*2+1:i*2])) + } + // following cells needs to do > for over = 1, < for over = 0 + : { + 16'h0000, + (16'haaaa & dc_greater_const_mask(EXT_LOWER[i*2+1:i*2])) | + (16'h5555 & dc_less_const_mask(EXT_UPPER[i*2+1:i*2])), + 16'h0000, + (16'haaaa & dc_eq_const_mask(EXT_LOWER[i*2+1:i*2])) | + (16'h5555 & dc_eq_const_mask(EXT_UPPER[i*2+1:i*2])) + }; + + end + + // this carry out routing track cannot directly + // fanout to other cells, it needs another cell + // to leave the chain. + + // equiv to assign out = cin[HALF_WIDTH+1]; + + stratixii_lcell_comb tail ( + .dataa(1'b1), + .datab(1'b1), + .datac(1'b1), + .datad(1'b1), + + // unused + .datae(1'b0), + .dataf(1'b0), + .datag(1'b0), + + .cin(cin[HALF_WIDTH+1]), + .sharein(sin[HALF_WIDTH+1]), + .sumout(out), + .cout(), + .combout(), + .shareout() + ); + + defparam tail .shared_arith = "on"; + defparam tail .extended_lut = "off"; + defparam tail .lut_mask = { + 16'h0000, + 16'hffff, + 16'h0000, + 16'h0000 + }; + + end + +endgenerate + +endmodule diff --git a/Advanced Synthesis Cookbook/compare/pipe_equal.v b/Advanced Synthesis Cookbook/compare/pipe_equal.v new file mode 100644 index 0000000..11d21e2 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/pipe_equal.v @@ -0,0 +1,84 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-23-2006 +// 64 bit equality compare with latency 3 +// Max 1 level of LUT logic between registers +// Area - 21 6-LUT 5-5LUT 2-2LUT + +module pipe_equal (a,b,clk,rst,eq); + +input [63:0] a; +input [63:0] b; +input clk,rst; + +output eq; +wire eq; + +wire [21:0] level_0; +reg [21:0] level_0_r; +reg [4:0] level_1_r; +reg level_2_r; + +// Compute equality in 3 bit segments. +genvar i; +generate + for (i=0; i<21; i=i+1) + begin : l0 + wire [2:0] tmp_a; + wire [2:0] tmp_b; + assign tmp_a = a[3*i+2 : 3*i]; + assign tmp_b = b[3*i+2 : 3*i]; + assign level_0[i] = (tmp_a == tmp_b); + end +endgenerate +assign level_0[21] = (a[63] == b[63]); + +// First pipe register stage +always @(posedge clk or posedge rst) begin + if (rst) level_0_r <= 22'b0; + else level_0_r <= level_0; +end + +// Start ANDing together the equality leaves +// we need 2 levels of LUT, so relaxed to 5 LUT +always @(posedge clk or posedge rst) begin + if (rst) level_1_r <= 5'b0; + else begin + level_1_r[0] <= & level_0_r[4:0]; + level_1_r[1] <= & level_0_r[9:5]; + level_1_r[2] <= & level_0_r[14:10]; + level_1_r[3] <= & level_0_r[19:15]; + level_1_r[4] <= & level_0_r[21:20]; + end +end + +// final AND +always @(posedge clk or posedge rst) begin + if (rst) level_2_r <= 1'b0; + else begin + level_2_r <= & level_1_r; + end +end +assign eq = level_2_r; + +endmodule diff --git a/Advanced Synthesis Cookbook/compare/pipe_equal_tb.v b/Advanced Synthesis Cookbook/compare/pipe_equal_tb.v new file mode 100644 index 0000000..bb3d0b2 --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/pipe_equal_tb.v @@ -0,0 +1,79 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-23-2006 + +module pipe_equal_tb (); + +reg [63:0] ra; +reg [63:0] rb; +reg clk,rst,fail; + +initial begin + ra = 0; + rb = 0; + fail = 0; + clk = 0; + rst = 0; + #10 rst = 1; + #10 rst = 0; + + #100000 + if (!fail) $display ("PASS"); + $stop(); +end + +wire eq; +reg [2:0] lag; + +always @(posedge clk) begin + lag <= (lag << 1) | (ra == rb); +end + +pipe_equal p (.rst(rst),.clk(clk),.a(ra),.b(rb),.eq(eq)); + +always begin + #100 clk = ~clk; +end + +// make the inputs equal a lot of the time +always @(negedge clk) begin + if ($random & 1'b1) begin + ra = {$random,$random}; + rb = {$random,$random}; + end + else begin + rb = ra; + end +end + +// check it +always @(posedge clk) begin + #10 if (lag[2] == 1'b1 || lag[2] == 1'b0) begin + if (lag[2] != eq) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/compare/tester.cpp b/Advanced Synthesis Cookbook/compare/tester.cpp new file mode 100644 index 0000000..d919f5f --- /dev/null +++ b/Advanced Synthesis Cookbook/compare/tester.cpp @@ -0,0 +1,283 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +#include + +int const WIDTH = 256; +int const LOG_WIDTH = 8; + +bool eval_fn (int tvec[WIDTH]) +{ + int lowest_hot = -1; + int highest_hot = -1; + int n = 0; + bool hot_run = false; + bool explained = false; + +/* for (n=WIDTH-1; n>=0; n--) + { + fprintf (stdout,"%d",tvec[n]); + } + fprintf (stdout," : \n"); +*/ + for (n=0; n=0; n--) + { + if (tvec[n]) + { + highest_hot = n; + break; + } + } + + if (lowest_hot < highest_hot) + { + // is it completely 1 between the highest and lowest hots? + hot_run = true; + for (n=lowest_hot; n<=highest_hot && hot_run; n++) + { + if (!tvec[n]) hot_run = false; + } + if (hot_run) + { + if (lowest_hot == 0 && highest_hot == (WIDTH-1)) + { + // VCC + fprintf (stdout," vcc\n"); + explained = true; + } + else if (lowest_hot == 0) + { + // less than equal highest hot + fprintf (stdout," <= %d\n",highest_hot); + explained = true; + } + else if (highest_hot == (WIDTH-1)) + { + // greater than equal lowest hot + fprintf (stdout," >= %d\n",lowest_hot); + explained = true; + } + else + { + // hot in a continuous range + fprintf (stdout," in range [%d..%d] (%d)\n", + lowest_hot,highest_hot,highest_hot-lowest_hot); + explained = true; + } + } + } + else if (lowest_hot != -1) + { + // one hot. + fprintf (stdout," == %d\n",lowest_hot); + explained = true; + } + else + { + // gnd + fprintf (stdout," gnd\n"); + explained = true; + } + return (explained); +} + +int tvec [200000][WIDTH]; + +// add constant and build functions +void analyze_adder (int a) +{ + int k = 0, j = 0; + int dat = 0; + int fnum = 0; + int n = 0; + bool match = false; + + fprintf (stdout,"Analyzing + %d...\n",a); + + // single output bits + for (k=0; k<=LOG_WIDTH; k++) + { + for (dat = 0; dat=0; i=i-1) + begin: gry_to_bin + assign q_to_bin[i] = q_to_bin[i+1] ^ q[i]; + end + endgenerate + + // increment the binary wires for q+ + // do it in gates, not a real + to encourage flattening + // + wire [WIDTH-1:0] inc_q; + wire [WIDTH-1:0] inc_q_cout; + assign inc_q[0] = !q_to_bin[0]; + assign inc_q_cout[0] = q_to_bin[0]; + generate + for (i=1; i> 1); + +endmodule + +//////////////////////////////////////////// +// Gray counter +// using simple comb logic +//////////////////////////////////////////// +module gray_cntr ( + clk, + rst, + ena, + sclr, + q +); + +parameter WIDTH = 20; + +input clk,rst,ena,sclr; +output [WIDTH-1:0] q; + + reg [WIDTH-1:0] q; + wire [WIDTH-1:0] q_plus; + + gray_plus_one gp (.q(q),.q_plus(q_plus)); + defparam gp .WIDTH = WIDTH; + + // handle the counter update + always @(posedge clk or posedge rst) begin + if (rst) begin + q <= 0; + end + else begin + if (ena) begin + if (sclr) q <= 0; + else q <= q_plus; + end + end + end +endmodule + + diff --git a/Advanced Synthesis Cookbook/counter/gray_cntr_la.v b/Advanced Synthesis Cookbook/counter/gray_cntr_la.v new file mode 100644 index 0000000..613c402 --- /dev/null +++ b/Advanced Synthesis Cookbook/counter/gray_cntr_la.v @@ -0,0 +1,294 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 06-30-2006 + +//////////////////////////////////////////// +// Register with ENA and SCLR +//////////////////////////////////////////// +module sclr_ena_reg ( + clk, + rst, + d, + ena, + sclr, + q +); + +parameter METHOD = 1; + +input clk,rst,d,ena,sclr; +output q; +reg q; + +generate +if (METHOD == 0) +begin + ////////////////////// + // soft register + ////////////////////// + always @(posedge clk or posedge rst) begin + if (rst) q <= 0; + else begin + if (ena) begin + if (sclr) q <= 0; + else q <= d; + end + end + end +end +else begin + ////////////////////// + // WYSIWYG register + ////////////////////// + wire q_internal; + stratixii_lcell_ff r ( + .clk(clk), + .ena(ena), + .datain (d), + .sload (1'b0), + .adatasdata (1'b1), + .sclr (sclr), + .aload(1'b0), + .aclr(rst), + // These are simulation-only chipwide + // reset signals. Both active low. + // synthesis translate_off + .devpor(1'b1), + .devclrn(1'b1), + // synthesis translate on + .regout (q_internal) + ); + always @(q_internal) q = q_internal; +end +endgenerate +endmodule + + +//////////////////////////////////////////// +// Gray counter register block +//////////////////////////////////////////// +module gray_cntr_la_reg ( + clk, + rst, + ena, + adv_lower, // count the LSB + adv_upper, // count bits 1..n + sclr, + q +); + +parameter METHOD = 1; + +input clk,rst,ena,adv_lower,adv_upper,sclr; +output [4:0] q; +wire [4:0] q; +reg [4:0] d; + + // do the D logic for a basic gray counter + always @(*) begin + d[0] = q[0] ^ adv_lower; + d[1] = q[1] ^ (adv_upper & q[0]); + d[2] = q[2] ^ (adv_upper & !q[0] & q[1]); + d[3] = q[3] ^ (adv_upper & !q[0] & !q[1] & q[2]); + d[4] = q[4] ^ (adv_upper & !q[0] & !q[1] & !q[2] & q[3]); + end + + // install a register array + genvar i; + generate + for (i=0; i<5; i=i+1) + begin : rg + sclr_ena_reg r ( + .clk(clk),.rst(rst),.d(d[i]),.ena(ena),.sclr(sclr), + .q(q[i]) + ); + defparam r .METHOD = METHOD; + end + endgenerate + +endmodule + +//////////////////////////////////////////// +// Gray counter using lookahead to get +// 1 LUT depth +//////////////////////////////////////////// +module gray_cntr_la ( + clk, + rst, + ena, + sclr, + q +); + +// method 0 - for simulation / portability +// method 1 - make the register secondarys very explicit +parameter METHOD = 1; + +// width of the output in bits +// must be 6 or higher +parameter WIDTH = 6; + +// number of 5 bit blocks of counter width, round up to next size +localparam BLOCKS = ((WIDTH % 5) != 0) ? (WIDTH / 5) + 1 : (WIDTH / 5); + +// number of bits not used at the MSB end of the top block +localparam SHORT_BITS = ((WIDTH % 5) != 0) ? 5-(WIDTH % 5) : 0; + +input clk,rst,ena,sclr; +output [BLOCKS*5-1:0] q; + +initial begin + if (WIDTH < 6) begin + $display ("WIDTH less than 6 requires modification of wrap circuitry"); + $stop(); + end +end + +// internal use sclr signal, to add the wrapping condition +wire sclr_int /* synthesis keep */; + + wire [5*BLOCKS-1:0] q; + wire [BLOCKS-1:0] block_zero; // q = 00000 + wire [BLOCKS-1:0] block_max; // q = 10000 + wire [BLOCKS-1:0] adv_lower; // count the LSB + wire [BLOCKS-1:0] adv_upper; // count the other bits + + // set up an alternation between LSB increments + // and the higher bits + sclr_ena_reg ping_r ( + .clk(clk),.rst(rst), + .d(!ping), + .ena(ena),.sclr(sclr_int), + .q(ping)); + defparam ping_r .METHOD = METHOD; + + // the main counter register blocks + genvar i; + generate + for (i=0; i> 1)) !== q) begin + $display ("Q Mismatch time at %d",$time); + fail = 1; + end + + if ((backup_q ^ (backup_q >> 1)) !== b) begin + $display ("B Mismatch time at %d",$time); + fail = 1; + end + + if ((backup_q ^ (backup_q >> 1)) !== c) begin + $display ("C Mismatch time at %d",$time); + fail = 1; + end + + ena = $random | $random; + sclr = allow_sclr & (($random % 100) == 0) ; + + #10 if (fail) #1000 $stop(); +end + +endmodule diff --git a/Advanced Synthesis Cookbook/counter/seconds_counter.v b/Advanced Synthesis Cookbook/counter/seconds_counter.v new file mode 100644 index 0000000..9eff9d1 --- /dev/null +++ b/Advanced Synthesis Cookbook/counter/seconds_counter.v @@ -0,0 +1,78 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 06-12-2007 + +module seconds_counter (clk100,reset,count_val,tick); + +parameter WIDTH = 10; + +input clk100,reset; +output [WIDTH-1:0] count_val; +output tick; + +// divide by 1000 +reg [9:0] div_one; +reg div_one_max; + +always @(posedge clk100) begin + div_one_max <= (div_one == 10'd998); + if (div_one_max | reset) div_one <= 10'd0; + else div_one <= div_one + 1'b1; +end + +// divide by 1000 +reg [9:0] div_two; +reg div_two_max; + +always @(posedge clk100) begin + div_two_max <= (div_two == 10'd999); + if ((div_one_max & div_two_max) | reset) div_two <= 10'd0; + else if (div_one_max) div_two <= div_two + 1'b1; +end + +// divide by 100 +reg [6:0] div_three; +reg div_three_max; + +always @(posedge clk100) begin + div_three_max <= (div_three == 7'd99); + if ((div_one_max & div_two_max & div_three_max) | reset) div_three <= 10'd0; + else if (div_one_max & div_two_max) div_three <= div_three + 1'b1; +end + +// tally seconds +reg tick, reset_pending; +reg [WIDTH-1:0] count_val; +always @(posedge clk100) begin + reset_pending <= reset; + tick <= div_one_max & div_two_max & div_three_max & !reset_pending & !reset; + + if (reset | reset_pending) begin + count_val <= 0; + end + else if (tick) begin + count_val <= count_val + 1'b1; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/counter/seconds_counter_tb.v b/Advanced Synthesis Cookbook/counter/seconds_counter_tb.v new file mode 100644 index 0000000..cf35c73 --- /dev/null +++ b/Advanced Synthesis Cookbook/counter/seconds_counter_tb.v @@ -0,0 +1,76 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module seconds_counter_tb (); + +parameter WIDTH = 8; + +reg rst = 0, clk = 0; +wire [WIDTH-1:0] val; +wire tick; + +seconds_counter sc +( + .clk100(clk), + .reset(rst), + .count_val(val), + .tick(tick) +); + + defparam sc .WIDTH = WIDTH; + +integer check = 0; +reg fail = 0; + +initial begin + @(negedge clk) rst = 1'b1; + @(negedge clk) rst = 1'b0; + check = 0; + @(posedge tick); + @(negedge clk); + @(negedge clk); + $display ("check = %d",check); + + if (val != 1) begin + $display ("Mismatch in seconds counter"); + fail = 1; + end + + if (check !== 100_000_001) begin + $display ("Mismatch with cycle count"); + fail = 1; + end + + @(negedge clk); + if (!fail) $display ("PASS"); + $stop(); +end + +always @(posedge clk) begin + check <= check + 1'b1; +end + +always begin + #5 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/counter/system_timer.v b/Advanced Synthesis Cookbook/counter/system_timer.v new file mode 100644 index 0000000..3652395 --- /dev/null +++ b/Advanced Synthesis Cookbook/counter/system_timer.v @@ -0,0 +1,174 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module system_timer ( + input clk,rst, + + output reg [9:0] usecond_cntr, + output reg [9:0] msecond_cntr, + output reg [5:0] second_cntr, + output reg [5:0] minute_cntr, + output reg [4:0] hour_cntr, + output reg [9:0] day_cntr, + + output reg usecond_pulse, + output reg msecond_pulse, + output reg second_pulse +); + +parameter CLOCK_MHZ = 200; + +reg [7:0] tick_cntr; +reg tick_cntr_max; + +// review tick counter design if leaving this range +// initial assert (CLOCK_MHZ > 64 && CLOCK_MHZ < 250); + +always @(posedge clk) begin + if (rst) begin + tick_cntr <= 0; + tick_cntr_max <= 0; + end + else begin + if (tick_cntr_max) tick_cntr <= 1'b0; + else tick_cntr <= tick_cntr + 1'b1; + tick_cntr_max <= (tick_cntr == (CLOCK_MHZ - 2'd2)); + end +end + +///////////////////////////////// +// Count off 1000 us to form 1 ms +///////////////////////////////// +reg usecond_cntr_max; + +always @(posedge clk) begin + if (rst) begin + usecond_cntr <= 0; + usecond_cntr_max <= 0; + end + else if (tick_cntr_max) begin + if (usecond_cntr_max) usecond_cntr <= 1'b0; + else usecond_cntr <= usecond_cntr + 1'b1; + usecond_cntr_max <= (usecond_cntr == 10'd998); + end +end + +///////////////////////////////// +// Count off 1000 ms to form 1 s +///////////////////////////////// +reg msecond_cntr_max; + +always @(posedge clk) begin + if (rst) begin + msecond_cntr <= 0; + msecond_cntr_max <= 0; + end + else if (usecond_cntr_max & tick_cntr_max) begin + if (msecond_cntr_max) msecond_cntr <= 1'b0; + else msecond_cntr <= msecond_cntr + 1'b1; + msecond_cntr_max <= (msecond_cntr == 10'd998); + end +end + +///////////////////////////////// +// Count off 60s to form 1 m +///////////////////////////////// +reg second_cntr_max; + +always @(posedge clk) begin + if (rst) begin + second_cntr <= 0; + second_cntr_max <= 0; + end + else if (msecond_cntr_max & usecond_cntr_max & tick_cntr_max) begin + if (second_cntr_max) second_cntr <= 1'b0; + else second_cntr <= second_cntr + 1'b1; + second_cntr_max <= (second_cntr == 6'd58); + end +end + +///////////////////////////////// +// Count off 60m to form 1hr +///////////////////////////////// +reg minute_cntr_max; + +always @(posedge clk) begin + if (rst) begin + minute_cntr <= 0; + minute_cntr_max <= 0; + end + else if (second_cntr_max & msecond_cntr_max & + usecond_cntr_max & tick_cntr_max) begin + if (minute_cntr_max) minute_cntr <= 1'b0; + else minute_cntr <= minute_cntr + 1'b1; + minute_cntr_max <= (minute_cntr == 6'd58); + end +end + +///////////////////////////////// +// Count off 24h to form 1day +///////////////////////////////// +reg hour_cntr_max; + +always @(posedge clk) begin + if (rst) begin + hour_cntr <= 0; + hour_cntr_max <= 0; + end + else if (minute_cntr_max & second_cntr_max & msecond_cntr_max & + usecond_cntr_max & tick_cntr_max) begin + if (hour_cntr_max) hour_cntr <= 1'b0; + else hour_cntr <= hour_cntr + 1'b1; + hour_cntr_max <= (hour_cntr == 5'd22); + end +end + +///////////////////////////////// +// Count off 1024 days then wrap +///////////////////////////////// +always @(posedge clk) begin + if (rst) begin + day_cntr <= 0; + end + else if (hour_cntr_max & minute_cntr_max & second_cntr_max & msecond_cntr_max & + usecond_cntr_max & tick_cntr_max) begin + day_cntr <= day_cntr + 1'b1; + end +end + +///////////////////////////////////// +// Filtered output pulses +///////////////////////////////////// +always @(posedge clk) begin + if (rst) begin + usecond_pulse <= 1'b0; + msecond_pulse <= 1'b0; + second_pulse <= 1'b0; + end + else begin + usecond_pulse <= tick_cntr_max; + msecond_pulse <= tick_cntr_max & usecond_cntr_max; + second_pulse <= tick_cntr_max & msecond_cntr_max & usecond_cntr_max; + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/counter/system_timer_tb.v b/Advanced Synthesis Cookbook/counter/system_timer_tb.v new file mode 100644 index 0000000..db367ea --- /dev/null +++ b/Advanced Synthesis Cookbook/counter/system_timer_tb.v @@ -0,0 +1,72 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module system_timer_tb (); + +reg clk = 0, rst = 0; +wire usecond_pulse; +wire msecond_pulse; +wire second_pulse; + + +wire [9:0] usecond_cntr; +wire [9:0] msecond_cntr; +wire [5:0] second_cntr; +wire [5:0] minute_cntr; +wire [4:0] hour_cntr; +wire [9:0] day_cntr; + +system_timer dut ( + .clk, + .rst, + + .usecond_cntr, + .msecond_cntr, + .second_cntr, + .minute_cntr, + .hour_cntr, + .day_cntr, + + .usecond_pulse, + .msecond_pulse, + .second_pulse +); + defparam dut .CLOCK_MHZ = 100; + +always begin + #5 clk = ~clk; +end + +initial begin + @(negedge clk); + rst = 1; + @(negedge clk); + rst = 0; + #10000100 + + // cursory activity check, better tested in hardware + if (usecond_cntr == 10'h0 && + msecond_cntr == 10'ha) $display ("PASS"); + $stop(); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crc/crc16_dat16.v b/Advanced Synthesis Cookbook/crc/crc16_dat16.v new file mode 100644 index 0000000..e06a82b --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc16_dat16.v @@ -0,0 +1,232 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-16 of 16 data bits. MSB used first. +// Polynomial 00001021 (MSB excluded) +// x^12 + x^5 + x^0 +// +// Optimal LUT depth 2 +// +// CCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDD +// 0000000000111111 0000000000111111 +// 0123456789012345 0123456789012345 +// +// C00 = X...X...X..XX... X...X...X..XX... +// C01 = .X...X...X..XX.. .X...X...X..XX.. +// C02 = ..X...X...X..XX. ..X...X...X..XX. +// C03 = ...X...X...X..XX ...X...X...X..XX +// C04 = ....X...X...X..X ....X...X...X..X +// C05 = X...XX..XX.XXX.. X...XX..XX.XXX.. +// C06 = .X...XX..XX.XXX. .X...XX..XX.XXX. +// C07 = ..X...XX..XX.XXX ..X...XX..XX.XXX +// C08 = ...X...XX..XX.XX ...X...XX..XX.XX +// C09 = ....X...XX..XX.X ....X...XX..XX.X +// C10 = .....X...XX..XX. .....X...XX..XX. +// C11 = ......X...XX..XX ......X...XX..XX +// C12 = X...X..XX......X X...X..XX......X +// C13 = .X...X..XX...... .X...X..XX...... +// C14 = ..X...X..XX..... ..X...X..XX..... +// C15 = ...X...X..XX.... ...X...X..XX.... +// +module crc16_dat16 (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [15:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc16_dat16_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc16_dat16_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc16_dat16_flat (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [15:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +wire x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0; + +assign crc_out = {x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15; + +assign { d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [15:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15; + +assign { c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [15:0]; + + assign x15 = d11 ^ c7 ^ d3 ^ c10 ^ c3 ^ d10 ^ c11 ^ d7; // 8 ins 1 outs + + assign x14 = d10 ^ c6 ^ d2 ^ c9 ^ c2 ^ d9 ^ c10 ^ d6; // 8 ins 1 outs + + assign x13 = d9 ^ c5 ^ d1 ^ c8 ^ c1 ^ d8 ^ c9 ^ d5; // 8 ins 1 outs + + assign x12 = d0 ^ d8 ^ c7 ^ c0 ^ c15 ^ d15 ^ c4 ^ d7 ^ c8 ^ + d4; // 10 ins 1 outs + + assign x11 = c6 ^ d10 ^ c14 ^ d14 ^ c10 ^ d6 ^ c11 ^ d15 ^ c15 ^ + d11; // 10 ins 1 outs + + assign x10 = c5 ^ d9 ^ c13 ^ d13 ^ c9 ^ d5 ^ c10 ^ d14 ^ c14 ^ + d10; // 10 ins 1 outs + + assign x9 = c4 ^ d15 ^ c15 ^ d8 ^ c12 ^ d12 ^ c8 ^ d4 ^ c9 ^ + d13 ^ c13 ^ d9; // 12 ins 1 outs + + assign x8 = c3 ^ d14 ^ c14 ^ d7 ^ c11 ^ d15 ^ c15 ^ d11 ^ c7 ^ + d3 ^ c8 ^ d12 ^ c12 ^ d8; // 14 ins 1 outs + + assign x7 = c2 ^ d13 ^ c13 ^ d6 ^ c10 ^ d14 ^ c14 ^ d10 ^ c6 ^ + d2 ^ c7 ^ d11 ^ c15 ^ d15 ^ c11 ^ d7; // 16 ins 1 outs + + assign x6 = c1 ^ d12 ^ c12 ^ d5 ^ c9 ^ d13 ^ c13 ^ d9 ^ c5 ^ + d1 ^ c6 ^ d10 ^ c14 ^ d14 ^ c10 ^ d6; // 16 ins 1 outs + + assign x5 = c0 ^ d11 ^ d0 ^ c4 ^ c11 ^ d4 ^ c8 ^ d12 ^ c12 ^ + d8 ^ c5 ^ d9 ^ c13 ^ d13 ^ c9 ^ d5; // 16 ins 1 outs + + assign x4 = c4 ^ d15 ^ c15 ^ d8 ^ c12 ^ d12 ^ c8 ^ d4; // 8 ins 1 outs + + assign x3 = c3 ^ d14 ^ c14 ^ d7 ^ c11 ^ d15 ^ c15 ^ d11 ^ c7 ^ + d3; // 10 ins 1 outs + + assign x2 = c2 ^ d13 ^ c13 ^ d6 ^ c10 ^ d14 ^ c14 ^ d10 ^ c6 ^ + d2; // 10 ins 1 outs + + assign x1 = c1 ^ d12 ^ c12 ^ d5 ^ c9 ^ d13 ^ c13 ^ d9 ^ c5 ^ + d1; // 10 ins 1 outs + + assign x0 = c0 ^ d11 ^ d0 ^ c4 ^ c11 ^ d4 ^ c8 ^ d12 ^ c12 ^ + d8; // 10 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc16_dat16_factor (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [15:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +wire x28, x27, x26, x24, x23, x22, x21, + x20, x19, x18, x17, x16, x15, x14, x13, + x12, x11, x10, x9, x8, x7, x6, x5, + x4, x3, x2, x1, x0; + +assign crc_out = {x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15; + +assign { d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [15:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15; + +assign { c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [15:0]; + + xor6 x28i (.out(x28),.a(c6),.b(c12),.c(d12),.d(d5),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x27i (.out(x27),.a(c9),.b(d11),.c(d13),.d(c13),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x26i (.out(x26),.a(d11),.b(d14),.c(c3),.d(c14),.e(d3),.f(1'b0)); // 5 ins 2 outs + + xor6 x24i (.out(x24),.a(d11),.b(d4),.c(c15),.d(d15),.e(c4),.f(1'b0)); // 5 ins 2 outs + + xor6 x23i (.out(x23),.a(d4),.b(c11),.c(d0),.d(c0),.e(c4),.f(1'b0)); // 5 ins 3 outs + + xor6 x22i (.out(x22),.a(c5),.b(d1),.c(c1),.d(d5),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x21i (.out(x21),.a(c9),.b(c6),.c(d2),.d(c2),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x20i (.out(x20),.a(d11),.b(d3),.c(c3),.d(c10),.e(d10),.f(1'b0)); // 5 ins 1 outs + + xor6 x19i (.out(x19),.a(c11),.b(d7),.c(c7),.d(c15),.e(d15),.f(1'b0)); // 5 ins 4 outs + + xor6 x18i (.out(x18),.a(d11),.b(c8),.c(d12),.d(c12),.e(d8),.f(1'b0)); // 5 ins 5 outs + + xor6 x17i (.out(x17),.a(d9),.b(c13),.c(d13),.d(c9),.e(d5),.f(1'b0)); // 5 ins 5 outs + + xor6 x16i (.out(x16),.a(d6),.b(c10),.c(d14),.d(c14),.e(d10),.f(1'b0)); // 5 ins 5 outs + + xor6 x15i (.out(x15),.a(c11),.b(x20),.c(d7),.d(c7),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x14i (.out(x14),.a(c10),.b(d10),.c(x21),.d(d6),.e(d9),.f(1'b0)); // 5 ins 1 outs + + xor6 x13i (.out(x13),.a(d9),.b(x22),.c(c8),.d(d8),.e(c9),.f(1'b0)); // 5 ins 1 outs + + xor6 x12i (.out(x12),.a(c8),.b(d8),.c(x19),.d(x23),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x11i (.out(x11),.a(d15),.b(x16),.c(c6),.d(c11),.e(c15),.f(d11)); // 6 ins 1 outs + + xor6 x10i (.out(x10),.a(d6),.b(x16),.c(c5),.d(x17),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x9i (.out(x9),.a(x17),.b(d5),.c(x18),.d(x24),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x8i (.out(x8),.a(x18),.b(x19),.c(x26),.d(d11),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x7i (.out(x7),.a(x27),.b(x21),.c(x16),.d(x19),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x6i (.out(x6),.a(x28),.b(x17),.c(x22),.d(x16),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x5i (.out(x5),.a(x17),.b(x18),.c(c5),.d(x23),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x4i (.out(x4),.a(x18),.b(x24),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x3i (.out(x3),.a(x19),.b(x26),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x2i (.out(x2),.a(x21),.b(x16),.c(c9),.d(c13),.e(d13),.f(1'b0)); // 5 ins 1 outs + + xor6 x1i (.out(x1),.a(x17),.b(d12),.c(c12),.d(x22),.e(d5),.f(1'b0)); // 5 ins 1 outs + + xor6 x0i (.out(x0),.a(x18),.b(x23),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc16_dat24.v b/Advanced Synthesis Cookbook/crc/crc16_dat24.v new file mode 100644 index 0000000..d0affc1 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc16_dat24.v @@ -0,0 +1,262 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-16 of 24 data bits. MSB used first. +// Polynomial 00001021 (MSB excluded) +// x^12 + x^5 + x^0 +// +// Optimal LUT depth 2 +// +// CCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDD +// 0000000000111111 000000000011111111112222 +// 0123456789012345 012345678901234567890123 +// +// C00 = X..XX......XX.X. X...X...X..XX......XX.X. +// C01 = .X..XX......XX.X .X...X...X..XX......XX.X +// C02 = ..X..XX......XX. ..X...X...X..XX......XX. +// C03 = ...X..XX......XX ...X...X...X..XX......XX +// C04 = X...X..XX......X ....X...X...X..XX......X +// C05 = XX.XXX..XX.XX.X. X...XX..XX.XXX..XX.XX.X. +// C06 = .XX.XXX..XX.XX.X .X...XX..XX.XXX..XX.XX.X +// C07 = ..XX.XXX..XX.XX. ..X...XX..XX.XXX..XX.XX. +// C08 = X..XX.XXX..XX.XX ...X...XX..XX.XXX..XX.XX +// C09 = XX..XX.XXX..XX.X ....X...XX..XX.XXX..XX.X +// C10 = .XX..XX.XXX..XX. .....X...XX..XX.XXX..XX. +// C11 = ..XX..XX.XXX..XX ......X...XX..XX.XXX..XX +// C12 = X......XX.X...XX X...X..XX......XX.X...XX +// C13 = XX......XX.X...X .X...X..XX......XX.X...X +// C14 = .XX......XX.X... ..X...X..XX......XX.X... +// C15 = ..XX......XX.X.. ...X...X..XX......XX.X.. +// +module crc16_dat24 (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [23:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc16_dat24_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc16_dat24_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc16_dat24_flat (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [23:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +wire x7, x6, x5, x4, x3, x2, x1, + x0, x15, x14, x13, x12, x11, x10, x9, + x8; + +assign crc_out = {x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23; + +assign { d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [23:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15; + +assign { c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [15:0]; + + assign x7 = c6 ^ c14 ^ d22 ^ d2 ^ d13 ^ c2 ^ d21 ^ c13 ^ d14 ^ + c5 ^ d10 ^ d6 ^ d15 ^ c11 ^ d18 ^ d7 ^ c3 ^ c10 ^ d11 ^ + c7 ^ d19; // 21 ins 1 outs + + assign x6 = c5 ^ c13 ^ d21 ^ d1 ^ d12 ^ c1 ^ d20 ^ c12 ^ d13 ^ + c15 ^ d23 ^ c4 ^ d9 ^ d5 ^ d14 ^ c10 ^ d17 ^ d6 ^ c2 ^ + c9 ^ d10 ^ c6 ^ d18; // 23 ins 1 outs + + assign x5 = c12 ^ d20 ^ d11 ^ c0 ^ d19 ^ d0 ^ c4 ^ c11 ^ d12 ^ + c14 ^ d22 ^ c3 ^ d4 ^ d8 ^ d13 ^ c9 ^ d16 ^ d5 ^ c1 ^ + c8 ^ d9 ^ c5 ^ d17; // 23 ins 1 outs + + assign x4 = d12 ^ c8 ^ d15 ^ c4 ^ d23 ^ c15 ^ c0 ^ c7 ^ d16 ^ + d8 ^ d4; // 11 ins 1 outs + + assign x3 = c7 ^ c15 ^ d23 ^ d3 ^ d14 ^ c3 ^ d22 ^ c14 ^ d15 ^ + c6 ^ d11 ^ d7; // 12 ins 1 outs + + assign x2 = c6 ^ c14 ^ d22 ^ d2 ^ d13 ^ c2 ^ d21 ^ c13 ^ d14 ^ + c5 ^ d10 ^ d6; // 12 ins 1 outs + + assign x1 = c5 ^ c13 ^ d21 ^ d1 ^ d12 ^ c1 ^ d20 ^ c12 ^ d13 ^ + c15 ^ d23 ^ c4 ^ d9 ^ d5; // 14 ins 1 outs + + assign x0 = c12 ^ d20 ^ d11 ^ c0 ^ d19 ^ d0 ^ c4 ^ c11 ^ d12 ^ + c14 ^ d22 ^ c3 ^ d4 ^ d8; // 14 ins 1 outs + + assign x15 = d11 ^ d7 ^ c2 ^ d21 ^ c13 ^ d3 ^ c10 ^ c3 ^ d18 ^ + d10 ^ d19 ^ c11; // 12 ins 1 outs + + assign x14 = d10 ^ d6 ^ c1 ^ d20 ^ c12 ^ d2 ^ c9 ^ c2 ^ d17 ^ + d9 ^ d18 ^ c10; // 12 ins 1 outs + + assign x13 = c15 ^ d9 ^ d5 ^ c0 ^ d19 ^ d8 ^ d23 ^ c11 ^ d1 ^ + c8 ^ c1 ^ d16 ^ d17 ^ c9; // 14 ins 1 outs + + assign x12 = c14 ^ d4 ^ d8 ^ d15 ^ d0 ^ d18 ^ d7 ^ d22 ^ c10 ^ + c7 ^ c0 ^ d23 ^ c15 ^ d16 ^ c8; // 15 ins 1 outs + + assign x11 = d14 ^ c10 ^ d17 ^ d6 ^ c2 ^ c9 ^ d10 ^ c6 ^ d18 ^ + c3 ^ d22 ^ c14 ^ d15 ^ c11 ^ d23 ^ c15 ^ d19 ^ c7 ^ d11; // 19 ins 1 outs + + assign x10 = d13 ^ c9 ^ d16 ^ d5 ^ c1 ^ c8 ^ d9 ^ c5 ^ d17 ^ + c2 ^ d21 ^ c13 ^ d14 ^ c10 ^ d22 ^ c14 ^ d18 ^ c6 ^ d10; // 19 ins 1 outs + + assign x9 = d12 ^ c8 ^ d15 ^ c4 ^ d23 ^ c15 ^ c0 ^ c7 ^ d16 ^ + d8 ^ d4 ^ c1 ^ d20 ^ c12 ^ d13 ^ c9 ^ d21 ^ c13 ^ d17 ^ + c5 ^ d9; // 21 ins 1 outs + + assign x8 = c7 ^ c15 ^ d23 ^ d3 ^ d14 ^ c3 ^ d22 ^ c14 ^ d15 ^ + c6 ^ d11 ^ d7 ^ c0 ^ d19 ^ d8 ^ c4 ^ c11 ^ d12 ^ c8 ^ + d20 ^ c12 ^ d16; // 22 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc16_dat24_factor (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [23:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +wire x59, x58, x57, x56, x55, x54, x53, + x52, x51, x50, x49, x48, x47, x46, x45, + x44, x43, x42, x41, x40, x39, x38, x7, + x6, x5, x4, x3, x2, x1, x0, x15, + x14, x13, x12, x11, x10, x9, x8; + +assign crc_out = {x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23; + +assign { d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [23:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15; + +assign { c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [15:0]; + + xor6 x59i (.out(x59),.a(d19),.b(c15),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x58i (.out(x58),.a(d23),.b(d13),.c(c13),.d(d21),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x57i (.out(x57),.a(d23),.b(c11),.c(c15),.d(d19),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x56i (.out(x56),.a(c15),.b(d5),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x55i (.out(x55),.a(d9),.b(c1),.c(c13),.d(d13),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x54i (.out(x54),.a(c8),.b(c14),.c(d16),.d(d22),.e(d6),.f(1'b0)); // 5 ins 1 outs + + xor6 x53i (.out(x53),.a(d23),.b(d6),.c(c13),.d(c11),.e(d17),.f(1'b0)); // 5 ins 1 outs + + xor6 x52i (.out(x52),.a(d18),.b(c10),.c(d0),.d(d7),.e(c14),.f(1'b0)); // 5 ins 1 outs + + xor6 x51i (.out(x51),.a(d2),.b(c12),.c(d20),.d(c5),.e(d6),.f(1'b0)); // 5 ins 1 outs + + xor6 x50i (.out(x50),.a(d7),.b(d2),.c(c7),.d(d15),.e(c11),.f(1'b0)); // 5 ins 1 outs + + xor6 x49i (.out(x49),.a(c11),.b(d3),.c(d19),.d(d7),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x48i (.out(x48),.a(d22),.b(d2),.c(d10),.d(c5),.e(c13),.f(1'b0)); // 5 ins 1 outs + + xor6 x47i (.out(x47),.a(d0),.b(c11),.c(d23),.d(d4),.e(d13),.f(1'b0)); // 5 ins 2 outs + + xor6 x46i (.out(x46),.a(d5),.b(c15),.c(d1),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 3 outs + + xor6 x45i (.out(x45),.a(d23),.b(d15),.c(c7),.d(d4),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x44i (.out(x44),.a(d14),.b(d15),.c(c7),.d(c15),.e(c6),.f(1'b0)); // 5 ins 3 outs + + xor6 x43i (.out(x43),.a(c6),.b(d13),.c(d14),.d(d6),.e(d21),.f(1'b0)); // 5 ins 4 outs + + xor6 x42i (.out(x42),.a(c1),.b(d9),.c(c5),.d(d17),.e(c9),.f(1'b0)); // 5 ins 6 outs + + xor6 x41i (.out(x41),.a(d23),.b(c4),.c(d12),.d(d20),.e(c12),.f(1'b0)); // 5 ins 6 outs + + xor6 x40i (.out(x40),.a(c8),.b(c15),.c(c0),.d(d16),.e(d8),.f(1'b0)); // 5 ins 6 outs + + xor6 x39i (.out(x39),.a(c3),.b(d11),.c(d19),.d(c14),.e(d22),.f(1'b0)); // 5 ins 6 outs + + xor6 x38i (.out(x38),.a(c2),.b(c10),.c(c13),.d(d18),.e(d10),.f(1'b0)); // 5 ins 6 outs + + xor6 x7i (.out(x7),.a(x50),.b(c5),.c(x39),.d(x43),.e(x38),.f(1'b0)); // 5 ins 1 outs + + xor6 x6i (.out(x6),.a(x46),.b(x42),.c(x41),.d(x43),.e(x38),.f(1'b0)); // 5 ins 1 outs + + xor6 x5i (.out(x5),.a(x56),.b(x40),.c(x39),.d(x41),.e(x42),.f(x47)); // 6 ins 1 outs + + xor6 x4i (.out(x4),.a(c4),.b(d12),.c(x45),.d(x40),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x3i (.out(x3),.a(x39),.b(x49),.c(d23),.d(c11),.e(x44),.f(1'b0)); // 5 ins 1 outs + + xor6 x2i (.out(x2),.a(c14),.b(c2),.c(x48),.d(x43),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x1i (.out(x1),.a(d21),.b(x55),.c(c5),.d(x46),.e(x41),.f(1'b0)); // 5 ins 1 outs + + xor6 x0i (.out(x0),.a(x39),.b(c0),.c(d8),.d(x41),.e(d13),.f(x47)); // 6 ins 1 outs + + xor6 x15i (.out(x15),.a(c3),.b(d21),.c(x49),.d(d11),.e(x38),.f(1'b0)); // 5 ins 1 outs + + xor6 x14i (.out(x14),.a(c13),.b(x51),.c(x42),.d(x38),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x13i (.out(x13),.a(c5),.b(x57),.c(x46),.d(x42),.e(x40),.f(1'b0)); // 5 ins 1 outs + + xor6 x12i (.out(x12),.a(x52),.b(d22),.c(x45),.d(x40),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x11i (.out(x11),.a(x53),.b(c9),.c(x38),.d(x39),.e(x44),.f(1'b0)); // 5 ins 1 outs + + xor6 x10i (.out(x10),.a(x54),.b(d5),.c(x43),.d(x38),.e(x42),.f(1'b0)); // 5 ins 1 outs + + xor6 x9i (.out(x9),.a(x58),.b(x41),.c(x45),.d(x40),.e(x42),.f(1'b0)); // 5 ins 1 outs + + xor6 x8i (.out(x8),.a(x59),.b(x39),.c(x40),.d(x49),.e(x44),.f(x41)); // 6 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc16_dat32.v b/Advanced Synthesis Cookbook/crc/crc16_dat32.v new file mode 100644 index 0000000..8b60cbe --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc16_dat32.v @@ -0,0 +1,295 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-16 of 32 data bits. MSB used first. +// Polynomial 00001021 (MSB excluded) +// x^12 + x^5 + x^0 +// +// Optimal LUT depth 2 +// +// CCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 0000000000111111 00000000001111111111222222222233 +// 0123456789012345 01234567890123456789012345678901 +// +// C00 = ...XX.X...XXX... X...X...X..XX......XX.X...XXX... +// C01 = ....XX.X...XXX.. .X...X...X..XX......XX.X...XXX.. +// C02 = .....XX.X...XXX. ..X...X...X..XX......XX.X...XXX. +// C03 = ......XX.X...XXX ...X...X...X..XX......XX.X...XXX +// C04 = X......XX.X...XX ....X...X...X..XX......XX.X...XX +// C05 = XX.XX.X.XXX.X..X X...XX..XX.XXX..XX.XX.X.XXX.X..X +// C06 = .XX.XX.X.XXX.X.. .X...XX..XX.XXX..XX.XX.X.XXX.X.. +// C07 = ..XX.XX.X.XXX.X. ..X...XX..XX.XXX..XX.XX.X.XXX.X. +// C08 = X..XX.XX.X.XXX.X ...X...XX..XX.XXX..XX.XX.X.XXX.X +// C09 = XX..XX.XX.X.XXX. ....X...XX..XX.XXX..XX.XX.X.XXX. +// C10 = XXX..XX.XX.X.XXX .....X...XX..XX.XXX..XX.XX.X.XXX +// C11 = .XXX..XX.XX.X.XX ......X...XX..XX.XXX..XX.XX.X.XX +// C12 = X.X...XXX...XX.X X...X..XX......XX.X...XXX...XX.X +// C13 = XX.X...XXX...XX. .X...X..XX......XX.X...XXX...XX. +// C14 = .XX.X...XXX...XX ..X...X..XX......XX.X...XXX...XX +// C15 = ..XX.X...XXX...X ...X...X..XX......XX.X...XXX...X +// +module crc16_dat32 (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [31:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc16_dat32_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc16_dat32_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc16_dat32_flat (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [31:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +wire x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0; + +assign crc_out = {x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31; + +assign { d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [31:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15; + +assign { c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [15:0]; + + assign x15 = d11 ^ d31 ^ c15 ^ d10 ^ d21 ^ c2 ^ c9 ^ d7 ^ d25 ^ + c5 ^ d18 ^ d3 ^ c11 ^ d26 ^ c3 ^ c10 ^ d19 ^ d27; // 18 ins 1 outs + + assign x14 = d10 ^ d30 ^ c14 ^ d9 ^ d20 ^ c1 ^ c8 ^ d6 ^ d24 ^ + c15 ^ d31 ^ c4 ^ d17 ^ d2 ^ c10 ^ d25 ^ c2 ^ c9 ^ d18 ^ + d26; // 20 ins 1 outs + + assign x13 = d23 ^ d29 ^ c13 ^ d19 ^ c0 ^ c7 ^ d8 ^ d1 ^ d9 ^ + d5 ^ c14 ^ d30 ^ c3 ^ d16 ^ c9 ^ d24 ^ c1 ^ c8 ^ d17 ^ + d25; // 20 ins 1 outs + + assign x12 = d0 ^ d28 ^ c12 ^ d18 ^ d7 ^ d8 ^ c6 ^ d22 ^ c13 ^ + d29 ^ c2 ^ d15 ^ d4 ^ c8 ^ d23 ^ d31 ^ c15 ^ c0 ^ c7 ^ + d24 ^ d16; // 21 ins 1 outs + + assign x11 = c10 ^ d26 ^ d17 ^ d25 ^ d6 ^ c2 ^ c9 ^ d10 ^ c12 ^ + d28 ^ c1 ^ d14 ^ d18 ^ c7 ^ c15 ^ d31 ^ d11 ^ d22 ^ c3 ^ + d30 ^ c14 ^ d23 ^ c6 ^ d19 ^ d15; // 25 ins 1 outs + + assign x10 = c9 ^ d25 ^ d24 ^ d5 ^ c1 ^ c8 ^ d9 ^ c11 ^ d31 ^ + d16 ^ d27 ^ c0 ^ d13 ^ d17 ^ c15 ^ c6 ^ c14 ^ d30 ^ d10 ^ + d21 ^ c2 ^ d29 ^ c13 ^ d22 ^ c5 ^ d18 ^ d14; // 27 ins 1 outs + + assign x9 = c0 ^ c7 ^ d4 ^ c10 ^ d30 ^ d15 ^ d26 ^ d8 ^ d23 ^ + d16 ^ d12 ^ c14 ^ c5 ^ c13 ^ d29 ^ d9 ^ d20 ^ c1 ^ d28 ^ + c12 ^ d21 ^ d24 ^ c8 ^ c4 ^ d17 ^ d13; // 26 ins 1 outs + + assign x8 = d15 ^ c7 ^ c15 ^ c6 ^ d3 ^ c9 ^ d29 ^ d14 ^ d25 ^ + d7 ^ d22 ^ c13 ^ d11 ^ c12 ^ d28 ^ d19 ^ c0 ^ d27 ^ d8 ^ + c4 ^ c11 ^ d20 ^ d23 ^ d31 ^ c3 ^ d12 ^ d16; // 27 ins 1 outs + + assign x7 = d14 ^ c6 ^ c14 ^ c5 ^ d2 ^ c8 ^ d28 ^ d13 ^ d24 ^ + d6 ^ d21 ^ c12 ^ d10 ^ c11 ^ d27 ^ d18 ^ d26 ^ d7 ^ c3 ^ + c10 ^ d11 ^ d22 ^ d30 ^ c2 ^ d15 ^ d19; // 26 ins 1 outs + + assign x6 = c5 ^ c13 ^ d12 ^ d9 ^ d1 ^ c7 ^ d27 ^ d13 ^ c11 ^ + c4 ^ d23 ^ d5 ^ d20 ^ c10 ^ d26 ^ d17 ^ d25 ^ d6 ^ c2 ^ + c9 ^ d10 ^ d21 ^ d29 ^ c1 ^ d14 ^ d18; // 26 ins 1 outs + + assign x5 = c12 ^ d28 ^ c4 ^ d4 ^ d19 ^ c6 ^ d8 ^ d12 ^ c10 ^ + c3 ^ d22 ^ d11 ^ d0 ^ c9 ^ d25 ^ d24 ^ d5 ^ c1 ^ c8 ^ + d9 ^ d20 ^ d31 ^ d16 ^ d26 ^ c0 ^ d13 ^ d17 ^ c15; // 28 ins 1 outs + + assign x4 = c8 ^ d24 ^ c15 ^ d31 ^ c0 ^ c7 ^ d4 ^ c10 ^ d30 ^ + d15 ^ d26 ^ d8 ^ d23 ^ d16 ^ d12 ^ c14; // 16 ins 1 outs + + assign x3 = d15 ^ c7 ^ c15 ^ d31 ^ d23 ^ c14 ^ d30 ^ c6 ^ d3 ^ + c9 ^ d29 ^ d14 ^ d25 ^ d7 ^ d22 ^ c13 ^ d11; // 17 ins 1 outs + + assign x2 = d14 ^ c6 ^ c14 ^ d30 ^ d22 ^ c13 ^ d29 ^ c5 ^ d2 ^ + c8 ^ d28 ^ d13 ^ d24 ^ d6 ^ d21 ^ c12 ^ d10; // 17 ins 1 outs + + assign x1 = c5 ^ c13 ^ d29 ^ d21 ^ c12 ^ d28 ^ d12 ^ d9 ^ d1 ^ + c7 ^ d27 ^ d13 ^ c11 ^ c4 ^ d23 ^ d5 ^ d20; // 17 ins 1 outs + + assign x0 = c12 ^ d28 ^ d20 ^ c11 ^ c4 ^ d26 ^ d27 ^ d4 ^ d19 ^ + c6 ^ d8 ^ d12 ^ c10 ^ c3 ^ d22 ^ d11 ^ d0; // 17 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc16_dat32_factor (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [31:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +wire x281, x280, x279, x278, x277, x276, x275, + x274, x273, x272, x271, x270, x269, x268, x267, + x266, x265, x264, x263, x262, x261, x260, x259, + x258, x257, x256, x255, x254, x253, x252, x251, + x250, x249, x15, x14, x13, x12, x11, x10, + x9, x8, x7, x6, x5, x4, x3, x2, + x1, x0; + +assign crc_out = {x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31; + +assign { d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [31:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15; + +assign { c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [15:0]; + + xor6 x281i (.out(x281),.a(d13),.b(d0),.c(d11),.d(c3),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x280i (.out(x280),.a(d1),.b(d23),.c(c7),.d(d12),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x279i (.out(x279),.a(d26),.b(d5),.c(c10),.d(d19),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x278i (.out(x278),.a(d1),.b(d21),.c(d6),.d(d15),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x277i (.out(x277),.a(d7),.b(d2),.c(d5),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x276i (.out(x276),.a(c11),.b(d14),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x275i (.out(x275),.a(c1),.b(d28),.c(c12),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x274i (.out(x274),.a(c6),.b(d22),.c(d8),.d(d18),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x273i (.out(x273),.a(d13),.b(d9),.c(d23),.d(c7),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x272i (.out(x272),.a(c15),.b(c5),.c(d21),.d(d31),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x271i (.out(x271),.a(d14),.b(c12),.c(d9),.d(d8),.e(d28),.f(1'b0)); // 5 ins 1 outs + + xor6 x270i (.out(x270),.a(c2),.b(d7),.c(d18),.d(c3),.e(d11),.f(1'b0)); // 5 ins 1 outs + + xor6 x269i (.out(x269),.a(d16),.b(c3),.c(d19),.d(d5),.e(c0),.f(1'b0)); // 5 ins 1 outs + + xor6 x268i (.out(x268),.a(d2),.b(c5),.c(d14),.d(c2),.e(c3),.f(1'b0)); // 5 ins 1 outs + + xor6 x267i (.out(x267),.a(c4),.b(d20),.c(d27),.d(d19),.e(d12),.f(1'b0)); // 5 ins 1 outs + + xor6 x266i (.out(x266),.a(d31),.b(c15),.c(d6),.d(d21),.e(d2),.f(1'b0)); // 5 ins 1 outs + + xor6 x265i (.out(x265),.a(d13),.b(d15),.c(d6),.d(d11),.e(d19),.f(1'b0)); // 5 ins 2 outs + + xor6 x264i (.out(x264),.a(c5),.b(d9),.c(c0),.d(d16),.e(d17),.f(1'b0)); // 5 ins 1 outs + + xor6 x263i (.out(x263),.a(d19),.b(d27),.c(c3),.d(c11),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x262i (.out(x262),.a(d24),.b(d4),.c(d11),.d(d0),.e(c8),.f(1'b0)); // 5 ins 2 outs + + xor6 x261i (.out(x261),.a(d10),.b(d29),.c(c2),.d(d13),.e(c13),.f(1'b0)); // 5 ins 2 outs + + xor6 x260i (.out(x260),.a(d21),.b(d15),.c(d1),.d(d8),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x259i (.out(x259),.a(d4),.b(c10),.c(d26),.d(d8),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x258i (.out(x258),.a(c14),.b(d30),.c(c15),.d(d14),.e(d31),.f(1'b0)); // 5 ins 2 outs + + xor6 x257i (.out(x257),.a(d7),.b(d3),.c(c9),.d(d11),.e(d25),.f(1'b0)); // 5 ins 3 outs + + xor6 x256i (.out(x256),.a(c11),.b(d5),.c(c5),.d(d27),.e(d14),.f(1'b0)); // 5 ins 4 outs + + xor6 x255i (.out(x255),.a(d12),.b(d13),.c(c4),.d(d20),.e(1'b0),.f(1'b0)); // 4 ins 5 outs + + xor6 x254i (.out(x254),.a(c15),.b(d8),.c(d16),.d(c0),.e(d31),.f(1'b0)); // 5 ins 5 outs + + xor6 x253i (.out(x253),.a(c10),.b(d10),.c(d26),.d(d18),.e(c2),.f(1'b0)); // 5 ins 5 outs + + xor6 x252i (.out(x252),.a(d29),.b(c13),.c(c7),.d(d23),.e(d15),.f(1'b0)); // 5 ins 7 outs + + xor6 x251i (.out(x251),.a(d17),.b(c1),.c(d9),.d(d25),.e(c9),.f(1'b0)); // 5 ins 6 outs + + xor6 x250i (.out(x250),.a(d24),.b(c8),.c(c14),.d(d30),.e(d21),.f(1'b0)); // 5 ins 7 outs + + xor6 x249i (.out(x249),.a(c12),.b(d28),.c(c6),.d(c3),.e(d22),.f(1'b0)); // 5 ins 7 outs + + xor6 x15i (.out(x15),.a(x272),.b(x263),.c(x257),.d(x253),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x14i (.out(x14),.a(c4),.b(x250),.c(d20),.d(x266),.e(x253),.f(x251)); // 6 ins 1 outs + + xor6 x13i (.out(x13),.a(x269),.b(x250),.c(x260),.d(x252),.e(x251),.f(1'b0)); // 5 ins 1 outs + + xor6 x12i (.out(x12),.a(x270),.b(x249),.c(x262),.d(x252),.e(x254),.f(1'b0)); // 5 ins 1 outs + + xor6 x11i (.out(x11),.a(x273),.b(x251),.c(x265),.d(x253),.e(x258),.f(x249)); // 6 ins 1 outs + + xor6 x10i (.out(x10),.a(x274),.b(x254),.c(x251),.d(x256),.e(x261),.f(x250)); // 6 ins 1 outs + + xor6 x9i (.out(x9),.a(x264),.b(x255),.c(x250),.d(x275),.e(x259),.f(x252)); // 6 ins 1 outs + + xor6 x8i (.out(x8),.a(x267),.b(x276),.c(x249),.d(x252),.e(x254),.f(x257)); // 6 ins 1 outs + + xor6 x7i (.out(x7),.a(x277),.b(x256),.c(x253),.d(x265),.e(x250),.f(x249)); // 6 ins 1 outs + + xor6 x6i (.out(x6),.a(x278),.b(x252),.c(x255),.d(x256),.e(x253),.f(x251)); // 6 ins 1 outs + + xor6 x5i (.out(x5),.a(x279),.b(x251),.c(x255),.d(x254),.e(x262),.f(x249)); // 6 ins 1 outs + + xor6 x4i (.out(x4),.a(x280),.b(x260),.c(x259),.d(x254),.e(x250),.f(1'b0)); // 5 ins 1 outs + + xor6 x3i (.out(x3),.a(x258),.b(c6),.c(d22),.d(x257),.e(x252),.f(1'b0)); // 5 ins 1 outs + + xor6 x2i (.out(x2),.a(x268),.b(d6),.c(x249),.d(x261),.e(x250),.f(1'b0)); // 5 ins 1 outs + + xor6 x1i (.out(x1),.a(x271),.b(x256),.c(x260),.d(x255),.e(x252),.f(1'b0)); // 5 ins 1 outs + + xor6 x0i (.out(x0),.a(x281),.b(x255),.c(x263),.d(x249),.e(x259),.f(1'b0)); // 5 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc16_dat8.v b/Advanced Synthesis Cookbook/crc/crc16_dat8.v new file mode 100644 index 0000000..3b396f1 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc16_dat8.v @@ -0,0 +1,199 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-16 of 8 data bits. MSB used first. +// Polynomial 00001021 (MSB excluded) +// x^12 + x^5 + x^0 +// +// Optimal LUT depth 2 +// +// CCCCCCCCCCCCCCCC DDDDDDDD +// 0000000000111111 00000000 +// 0123456789012345 01234567 +// +// C00 = ........X...X... X...X... +// C01 = .........X...X.. .X...X.. +// C02 = ..........X...X. ..X...X. +// C03 = ...........X...X ...X...X +// C04 = ............X... ....X... +// C05 = ........X...XX.. X...XX.. +// C06 = .........X...XX. .X...XX. +// C07 = ..........X...XX ..X...XX +// C08 = X..........X...X ...X...X +// C09 = .X..........X... ....X... +// C10 = ..X..........X.. .....X.. +// C11 = ...X..........X. ......X. +// C12 = ....X...X...X..X X...X..X +// C13 = .....X...X...X.. .X...X.. +// C14 = ......X...X...X. ..X...X. +// C15 = .......X...X...X ...X...X +// +module crc16_dat8 (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [7:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc16_dat8_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc16_dat8_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc16_dat8_flat (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [7:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +wire x7, x6, x5, x4, x3, x2, x1, + x0, x15, x14, x13, x12, x11, x10, x9, + x8; + +assign crc_out = {x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7; + +assign { d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [7:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15; + +assign { c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [15:0]; + + xor6 x7i (.out(x7),.a(c10),.b(d6),.c(c14),.d(d2),.e(c15),.f(d7)); // 6 ins 1 outs + + xor6 x6i (.out(x6),.a(c9),.b(d5),.c(c13),.d(d1),.e(c14),.f(d6)); // 6 ins 1 outs + + xor6 x5i (.out(x5),.a(c8),.b(d4),.c(c12),.d(d0),.e(c13),.f(d5)); // 6 ins 1 outs + + xor6 x4i (.out(x4),.a(c12),.b(d4),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x3i (.out(x3),.a(c11),.b(d7),.c(c15),.d(d3),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x2i (.out(x2),.a(c10),.b(d6),.c(c14),.d(d2),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x1i (.out(x1),.a(c9),.b(d5),.c(c13),.d(d1),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x0i (.out(x0),.a(c8),.b(d4),.c(c12),.d(d0),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x15i (.out(x15),.a(c11),.b(d7),.c(c15),.d(d3),.e(c7),.f(1'b0)); // 5 ins 1 outs + + xor6 x14i (.out(x14),.a(c10),.b(d6),.c(c14),.d(d2),.e(c6),.f(1'b0)); // 5 ins 1 outs + + xor6 x13i (.out(x13),.a(c9),.b(d5),.c(c13),.d(d1),.e(c5),.f(1'b0)); // 5 ins 1 outs + + assign x12 = c8 ^ d4 ^ c12 ^ d0 ^ c15 ^ d7 ^ c4; // 7 ins 1 outs + + xor6 x11i (.out(x11),.a(c14),.b(d6),.c(c3),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x10i (.out(x10),.a(c13),.b(d5),.c(c2),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x9i (.out(x9),.a(c12),.b(d4),.c(c1),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x8i (.out(x8),.a(c11),.b(d7),.c(c15),.d(d3),.e(c0),.f(1'b0)); // 5 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc16_dat8_factor (crc_in,dat_in,crc_out); +input [15:0] crc_in; +input [7:0] dat_in; +output [15:0] crc_out; + +wire [15:0] crc_out; + +wire x19, x18, x17, x16, x7, x6, x5, + x4, x3, x2, x1, x0, x15, x14, x13, + x12, x11, x10, x9, x8; + +assign crc_out = {x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7; + +assign { d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [7:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15; + +assign { c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [15:0]; + + xor6 x19i (.out(x19),.a(c7),.b(c11),.c(c15),.d(d7),.e(d3),.f(1'b0)); // 5 ins 2 outs + + xor6 x18i (.out(x18),.a(c5),.b(d1),.c(c9),.d(c13),.e(d5),.f(1'b0)); // 5 ins 2 outs + + xor6 x17i (.out(x17),.a(c6),.b(c10),.c(d6),.d(c14),.e(d2),.f(1'b0)); // 5 ins 2 outs + + xor6 x16i (.out(x16),.a(c8),.b(d4),.c(c12),.d(d0),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x7i (.out(x7),.a(x17),.b(c6),.c(c15),.d(d7),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x6i (.out(x6),.a(d6),.b(c14),.c(x18),.d(c5),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x5i (.out(x5),.a(x16),.b(c13),.c(d5),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x4i (.out(x4),.a(c12),.b(d4),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x3i (.out(x3),.a(c11),.b(d7),.c(c15),.d(d3),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x2i (.out(x2),.a(c10),.b(d6),.c(c14),.d(d2),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x1i (.out(x1),.a(c9),.b(d5),.c(c13),.d(d1),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x0i (.out(x0),.a(c8),.b(d4),.c(c12),.d(d0),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + assign x15 = x19; // 1 ins 1 outs + + assign x14 = x17; // 1 ins 1 outs + + assign x13 = x18; // 1 ins 1 outs + + xor6 x12i (.out(x12),.a(x16),.b(c15),.c(d7),.d(c4),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x11i (.out(x11),.a(c14),.b(d6),.c(c3),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x10i (.out(x10),.a(c13),.b(d5),.c(c2),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x9i (.out(x9),.a(c12),.b(d4),.c(c1),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x8i (.out(x8),.a(x19),.b(c7),.c(c0),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc24_dat64.v b/Advanced Synthesis Cookbook/crc/crc24_dat64.v new file mode 100644 index 0000000..f1bb28a --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc24_dat64.v @@ -0,0 +1,449 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 24 bit CRC of 64 data bits (reversed - MSB first) +// polynomial : 00328b63 +// x^21 + x^20 + x^17 + x^15 + x^11 + x^9 + x^8 + x^6 + x^5 + x^1 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 000000000011111111112222 0000000000111111111122222222223333333333444444444455555555556666 +// 012345678901234567890123 0123456789012345678901234567890123456789012345678901234567890123 +// C00 = .#....#.##..##..#.###.## #..##.###.##..#.#......#.....####.#.####.#....#.##..##..#.###.## +// C01 = ###...###.#.#.#.###..##. ##.#.##..##.#.####.....##....#...####...###...###.#.#.#.###..##. +// C02 = .###...###.#.#.#.###..## .##.#.##..##.#.####.....##....#...####...###...###.#.#.#.###..## +// C03 = ..###...###.#.#.#.###..# ..##.#.##..##.#.####.....##....#...####...###...###.#.#.#.###..# +// C04 = ...###...###.#.#.#.###.. ...##.#.##..##.#.####.....##....#...####...###...###.#.#.#.###.. +// C05 = ##..##..####.##....#.#.# #..#.##.##.#.#....####.#...########.#...##..##..####.##....#.#.# +// C06 = ..#..#..#.##.####.##...# ##.#....##.##...#..######...#....#.##.##..#..#..#.##.####.##...# +// C07 = #..#..#..#.##.####.##... .##.#....##.##...#..######...#....#.##.##..#..#..#.##.####.##... +// C08 = #...#.#####....#.#.#.### #.#.#####....#..#.#..##.###..#.##.###..##...#.#####....#.#.#.### +// C09 = #....###..####.....#.... ##..##...###....##.#..#..###.#.#.###..###....###..####.....#.... +// C10 = ##....###..####.....#... .##..##...###....##.#..#..###.#.#.###..###....###..####.....#... +// C11 = #.#...##......###.###### #.#.#...#.#.###.#.##.#.##..##.#.####..###.#...##......###.###### +// C12 = ##.#...##......###.##### .#.#.#...#.#.###.#.##.#.##..##.#.####..###.#...##......###.##### +// C13 = ###.#...##......###.#### ..#.#.#...#.#.###.#.##.#.##..##.#.####..###.#...##......###.#### +// C14 = .###.#...##......###.### ...#.#.#...#.#.###.#.##.#.##..##.#.####..###.#...##......###.### +// C15 = .####...######..#....... #..#...#..###....##.#.#..#.####..........####...######..#....... +// C16 = ..####...######..#...... .#..#...#..###....##.#.#..#.####..........####...######..#...... +// C17 = .#.###..####..###..##.## #.############..#..##.###..#......#.####.#.###..####..###..##.## +// C18 = #.#.###..####..###..##.# .#.############..#..##.###..#......#.####.#.###..####..###..##.# +// C19 = ##.#.###..####..###..##. ..#.############..#..##.###..#......#.####.#.###..####..###..##. +// C20 = #.#.#..#.#.#..#.##..#... #...##...#..##.#...#..#..###.#.##.#.#.#.#.#.#..#.#.#..#.##..#... +// C21 = ...#.##..##..#.###.##### ##.###.##..#.#......#.....####.#.####.#....#.##..##..#.###.##### +// C22 = ....#.##..##..#.###.#### .##.###.##..#.#......#.....####.#.####.#....#.##..##..#.###.#### +// C23 = #....#.##..##..#.###.### ..##.###.##..#.#......#.....####.#.####.#....#.##..##..#.###.### +// +// Number of XORs used is 24 +// Total XOR inputs 1090 + +module crc24_dat64 ( + input[23:0] crc_in, + input[63:0] dat_in, + output[23:0] crc_out +); + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc24_dat64_flat cc (.c(crc_in),.d(dat_in),.crc_out(crc_out)); + else + crc24_dat64_factor cc (.c(crc_in),.d(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +module crc24_dat64_flat (c,d,crc_out); +input[23:0] c; +input[63:0] d; +output[23:0] crc_out; +wire[23:0] crc_out; + +assign crc_out[0] = + c[1] ^ c[6] ^ c[8] ^ c[9] ^ c[12] ^ c[13] ^ + c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[22] ^ c[23] ^ d[63] ^ + d[62] ^ d[60] ^ d[59] ^ d[58] ^ d[56] ^ d[53] ^ d[52] ^ + d[49] ^ d[48] ^ d[46] ^ d[41] ^ d[39] ^ d[38] ^ d[37] ^ + d[36] ^ d[34] ^ d[32] ^ d[31] ^ d[30] ^ d[29] ^ d[23] ^ + d[16] ^ d[14] ^ d[11] ^ d[10] ^ d[8] ^ d[7] ^ d[6] ^ + d[4] ^ d[3] ^ d[0]; + +assign crc_out[1] = + c[0] ^ c[1] ^ c[2] ^ c[6] ^ c[7] ^ c[8] ^ + c[10] ^ c[12] ^ c[14] ^ c[16] ^ c[17] ^ c[18] ^ c[21] ^ + c[22] ^ d[62] ^ d[61] ^ d[58] ^ d[57] ^ d[56] ^ d[54] ^ + d[52] ^ d[50] ^ d[48] ^ d[47] ^ d[46] ^ d[42] ^ d[41] ^ + d[40] ^ d[36] ^ d[35] ^ d[34] ^ d[33] ^ d[29] ^ d[24] ^ + d[23] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[12] ^ d[10] ^ + d[9] ^ d[6] ^ d[5] ^ d[3] ^ d[1] ^ d[0]; + +assign crc_out[2] = + c[1] ^ c[2] ^ c[3] ^ c[7] ^ c[8] ^ c[9] ^ + c[11] ^ c[13] ^ c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[22] ^ + c[23] ^ d[63] ^ d[62] ^ d[59] ^ d[58] ^ d[57] ^ d[55] ^ + d[53] ^ d[51] ^ d[49] ^ d[48] ^ d[47] ^ d[43] ^ d[42] ^ + d[41] ^ d[37] ^ d[36] ^ d[35] ^ d[34] ^ d[30] ^ d[25] ^ + d[24] ^ d[18] ^ d[17] ^ d[16] ^ d[15] ^ d[13] ^ d[11] ^ + d[10] ^ d[7] ^ d[6] ^ d[4] ^ d[2] ^ d[1]; + +assign crc_out[3] = + c[2] ^ c[3] ^ c[4] ^ c[8] ^ c[9] ^ c[10] ^ + c[12] ^ c[14] ^ c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[23] ^ + d[63] ^ d[60] ^ d[59] ^ d[58] ^ d[56] ^ d[54] ^ d[52] ^ + d[50] ^ d[49] ^ d[48] ^ d[44] ^ d[43] ^ d[42] ^ d[38] ^ + d[37] ^ d[36] ^ d[35] ^ d[31] ^ d[26] ^ d[25] ^ d[19] ^ + d[18] ^ d[17] ^ d[16] ^ d[14] ^ d[12] ^ d[11] ^ d[8] ^ + d[7] ^ d[5] ^ d[3] ^ d[2]; + +assign crc_out[4] = + c[3] ^ c[4] ^ c[5] ^ c[9] ^ c[10] ^ c[11] ^ + c[13] ^ c[15] ^ c[17] ^ c[19] ^ c[20] ^ c[21] ^ d[61] ^ + d[60] ^ d[59] ^ d[57] ^ d[55] ^ d[53] ^ d[51] ^ d[50] ^ + d[49] ^ d[45] ^ d[44] ^ d[43] ^ d[39] ^ d[38] ^ d[37] ^ + d[36] ^ d[32] ^ d[27] ^ d[26] ^ d[20] ^ d[19] ^ d[18] ^ + d[17] ^ d[15] ^ d[13] ^ d[12] ^ d[9] ^ d[8] ^ d[6] ^ + d[4] ^ d[3]; + +assign crc_out[5] = + c[0] ^ c[1] ^ c[4] ^ c[5] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[13] ^ c[14] ^ c[19] ^ c[21] ^ c[23] ^ + d[63] ^ d[61] ^ d[59] ^ d[54] ^ d[53] ^ d[51] ^ d[50] ^ + d[49] ^ d[48] ^ d[45] ^ d[44] ^ d[41] ^ d[40] ^ d[36] ^ + d[34] ^ d[33] ^ d[32] ^ d[31] ^ d[30] ^ d[29] ^ d[28] ^ + d[27] ^ d[23] ^ d[21] ^ d[20] ^ d[19] ^ d[18] ^ d[13] ^ + d[11] ^ d[9] ^ d[8] ^ d[6] ^ d[5] ^ d[3] ^ d[0]; + +assign crc_out[6] = + c[2] ^ c[5] ^ c[8] ^ c[10] ^ c[11] ^ c[13] ^ + c[14] ^ c[15] ^ c[16] ^ c[18] ^ c[19] ^ c[23] ^ d[63] ^ + d[59] ^ d[58] ^ d[56] ^ d[55] ^ d[54] ^ d[53] ^ d[51] ^ + d[50] ^ d[48] ^ d[45] ^ d[42] ^ d[39] ^ d[38] ^ d[36] ^ + d[35] ^ d[33] ^ d[28] ^ d[24] ^ d[23] ^ d[22] ^ d[21] ^ + d[20] ^ d[19] ^ d[16] ^ d[12] ^ d[11] ^ d[9] ^ d[8] ^ + d[3] ^ d[1] ^ d[0]; + +assign crc_out[7] = + c[0] ^ c[3] ^ c[6] ^ c[9] ^ c[11] ^ c[12] ^ + c[14] ^ c[15] ^ c[16] ^ c[17] ^ c[19] ^ c[20] ^ d[60] ^ + d[59] ^ d[57] ^ d[56] ^ d[55] ^ d[54] ^ d[52] ^ d[51] ^ + d[49] ^ d[46] ^ d[43] ^ d[40] ^ d[39] ^ d[37] ^ d[36] ^ + d[34] ^ d[29] ^ d[25] ^ d[24] ^ d[23] ^ d[22] ^ d[21] ^ + d[20] ^ d[17] ^ d[13] ^ d[12] ^ d[10] ^ d[9] ^ d[4] ^ + d[2] ^ d[1]; + +assign crc_out[8] = + c[0] ^ c[4] ^ c[6] ^ c[7] ^ c[8] ^ c[9] ^ + c[10] ^ c[15] ^ c[17] ^ c[19] ^ c[21] ^ c[22] ^ c[23] ^ + d[63] ^ d[62] ^ d[61] ^ d[59] ^ d[57] ^ d[55] ^ d[50] ^ + d[49] ^ d[48] ^ d[47] ^ d[46] ^ d[44] ^ d[40] ^ d[39] ^ + d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[31] ^ d[29] ^ d[26] ^ + d[25] ^ d[24] ^ d[22] ^ d[21] ^ d[18] ^ d[16] ^ d[13] ^ + d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[2] ^ d[0]; + +assign crc_out[9] = + c[0] ^ c[5] ^ c[6] ^ c[7] ^ c[10] ^ c[11] ^ + c[12] ^ c[13] ^ c[19] ^ d[59] ^ d[53] ^ d[52] ^ d[51] ^ + d[50] ^ d[47] ^ d[46] ^ d[45] ^ d[40] ^ d[39] ^ d[38] ^ + d[35] ^ d[34] ^ d[33] ^ d[31] ^ d[29] ^ d[27] ^ d[26] ^ + d[25] ^ d[22] ^ d[19] ^ d[17] ^ d[16] ^ d[11] ^ d[10] ^ + d[9] ^ d[5] ^ d[4] ^ d[1] ^ d[0]; + +assign crc_out[10] = + c[0] ^ c[1] ^ c[6] ^ c[7] ^ c[8] ^ c[11] ^ + c[12] ^ c[13] ^ c[14] ^ c[20] ^ d[60] ^ d[54] ^ d[53] ^ + d[52] ^ d[51] ^ d[48] ^ d[47] ^ d[46] ^ d[41] ^ d[40] ^ + d[39] ^ d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[30] ^ d[28] ^ + d[27] ^ d[26] ^ d[23] ^ d[20] ^ d[18] ^ d[17] ^ d[12] ^ + d[11] ^ d[10] ^ d[6] ^ d[5] ^ d[2] ^ d[1]; + +assign crc_out[11] = + c[0] ^ c[2] ^ c[6] ^ c[7] ^ c[14] ^ c[15] ^ + c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[21] ^ c[22] ^ c[23] ^ + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[59] ^ d[58] ^ d[56] ^ + d[55] ^ d[54] ^ d[47] ^ d[46] ^ d[42] ^ d[40] ^ d[39] ^ + d[38] ^ d[35] ^ d[34] ^ d[33] ^ d[32] ^ d[30] ^ d[28] ^ + d[27] ^ d[24] ^ d[23] ^ d[21] ^ d[19] ^ d[18] ^ d[16] ^ + d[14] ^ d[13] ^ d[12] ^ d[10] ^ d[8] ^ d[4] ^ d[2] ^ + d[0]; + +assign crc_out[12] = + c[0] ^ c[1] ^ c[3] ^ c[7] ^ c[8] ^ c[15] ^ + c[16] ^ c[17] ^ c[19] ^ c[20] ^ c[21] ^ c[22] ^ c[23] ^ + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[59] ^ d[57] ^ d[56] ^ + d[55] ^ d[48] ^ d[47] ^ d[43] ^ d[41] ^ d[40] ^ d[39] ^ + d[36] ^ d[35] ^ d[34] ^ d[33] ^ d[31] ^ d[29] ^ d[28] ^ + d[25] ^ d[24] ^ d[22] ^ d[20] ^ d[19] ^ d[17] ^ d[15] ^ + d[14] ^ d[13] ^ d[11] ^ d[9] ^ d[5] ^ d[3] ^ d[1]; + +assign crc_out[13] = + c[0] ^ c[1] ^ c[2] ^ c[4] ^ c[8] ^ c[9] ^ + c[16] ^ c[17] ^ c[18] ^ c[20] ^ c[21] ^ c[22] ^ c[23] ^ + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[58] ^ d[57] ^ d[56] ^ + d[49] ^ d[48] ^ d[44] ^ d[42] ^ d[41] ^ d[40] ^ d[37] ^ + d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[30] ^ d[29] ^ d[26] ^ + d[25] ^ d[23] ^ d[21] ^ d[20] ^ d[18] ^ d[16] ^ d[15] ^ + d[14] ^ d[12] ^ d[10] ^ d[6] ^ d[4] ^ d[2]; + +assign crc_out[14] = + c[1] ^ c[2] ^ c[3] ^ c[5] ^ c[9] ^ c[10] ^ + c[17] ^ c[18] ^ c[19] ^ c[21] ^ c[22] ^ c[23] ^ d[63] ^ + d[62] ^ d[61] ^ d[59] ^ d[58] ^ d[57] ^ d[50] ^ d[49] ^ + d[45] ^ d[43] ^ d[42] ^ d[41] ^ d[38] ^ d[37] ^ d[36] ^ + d[35] ^ d[33] ^ d[31] ^ d[30] ^ d[27] ^ d[26] ^ d[24] ^ + d[22] ^ d[21] ^ d[19] ^ d[17] ^ d[16] ^ d[15] ^ d[13] ^ + d[11] ^ d[7] ^ d[5] ^ d[3]; + +assign crc_out[15] = + c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[12] ^ c[13] ^ c[16] ^ d[56] ^ d[53] ^ + d[52] ^ d[51] ^ d[50] ^ d[49] ^ d[48] ^ d[44] ^ d[43] ^ + d[42] ^ d[41] ^ d[30] ^ d[29] ^ d[28] ^ d[27] ^ d[25] ^ + d[22] ^ d[20] ^ d[18] ^ d[17] ^ d[12] ^ d[11] ^ d[10] ^ + d[7] ^ d[3] ^ d[0]; + +assign crc_out[16] = + c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[9] ^ c[10] ^ + c[11] ^ c[12] ^ c[13] ^ c[14] ^ c[17] ^ d[57] ^ d[54] ^ + d[53] ^ d[52] ^ d[51] ^ d[50] ^ d[49] ^ d[45] ^ d[44] ^ + d[43] ^ d[42] ^ d[31] ^ d[30] ^ d[29] ^ d[28] ^ d[26] ^ + d[23] ^ d[21] ^ d[19] ^ d[18] ^ d[13] ^ d[12] ^ d[11] ^ + d[8] ^ d[4] ^ d[1]; + +assign crc_out[17] = + c[1] ^ c[3] ^ c[4] ^ c[5] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[14] ^ c[15] ^ c[16] ^ c[19] ^ c[20] ^ + c[22] ^ c[23] ^ d[63] ^ d[62] ^ d[60] ^ d[59] ^ d[56] ^ + d[55] ^ d[54] ^ d[51] ^ d[50] ^ d[49] ^ d[48] ^ d[45] ^ + d[44] ^ d[43] ^ d[41] ^ d[39] ^ d[38] ^ d[37] ^ d[36] ^ + d[34] ^ d[27] ^ d[24] ^ d[23] ^ d[22] ^ d[20] ^ d[19] ^ + d[16] ^ d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ + d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[2] ^ d[0]; + +assign crc_out[18] = + c[0] ^ c[2] ^ c[4] ^ c[5] ^ c[6] ^ c[9] ^ + c[10] ^ c[11] ^ c[12] ^ c[15] ^ c[16] ^ c[17] ^ c[20] ^ + c[21] ^ c[23] ^ d[63] ^ d[61] ^ d[60] ^ d[57] ^ d[56] ^ + d[55] ^ d[52] ^ d[51] ^ d[50] ^ d[49] ^ d[46] ^ d[45] ^ + d[44] ^ d[42] ^ d[40] ^ d[39] ^ d[38] ^ d[37] ^ d[35] ^ + d[28] ^ d[25] ^ d[24] ^ d[23] ^ d[21] ^ d[20] ^ d[17] ^ + d[14] ^ d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ + d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[1]; + +assign crc_out[19] = + c[0] ^ c[1] ^ c[3] ^ c[5] ^ c[6] ^ c[7] ^ + c[10] ^ c[11] ^ c[12] ^ c[13] ^ c[16] ^ c[17] ^ c[18] ^ + c[21] ^ c[22] ^ d[62] ^ d[61] ^ d[58] ^ d[57] ^ d[56] ^ + d[53] ^ d[52] ^ d[51] ^ d[50] ^ d[47] ^ d[46] ^ d[45] ^ + d[43] ^ d[41] ^ d[40] ^ d[39] ^ d[38] ^ d[36] ^ d[29] ^ + d[26] ^ d[25] ^ d[24] ^ d[22] ^ d[21] ^ d[18] ^ d[15] ^ + d[14] ^ d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ + d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[2]; + +assign crc_out[20] = + c[0] ^ c[2] ^ c[4] ^ c[7] ^ c[9] ^ c[11] ^ + c[14] ^ c[16] ^ c[17] ^ c[20] ^ d[60] ^ d[57] ^ d[56] ^ + d[54] ^ d[51] ^ d[49] ^ d[47] ^ d[44] ^ d[42] ^ d[40] ^ + d[38] ^ d[36] ^ d[34] ^ d[32] ^ d[31] ^ d[29] ^ d[27] ^ + d[26] ^ d[25] ^ d[22] ^ d[19] ^ d[15] ^ d[13] ^ d[12] ^ + d[9] ^ d[5] ^ d[4] ^ d[0]; + +assign crc_out[21] = + c[3] ^ c[5] ^ c[6] ^ c[9] ^ c[10] ^ c[13] ^ + c[15] ^ c[16] ^ c[17] ^ c[19] ^ c[20] ^ c[21] ^ c[22] ^ + c[23] ^ d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[59] ^ d[57] ^ + d[56] ^ d[55] ^ d[53] ^ d[50] ^ d[49] ^ d[46] ^ d[45] ^ + d[43] ^ d[38] ^ d[36] ^ d[35] ^ d[34] ^ d[33] ^ d[31] ^ + d[29] ^ d[28] ^ d[27] ^ d[26] ^ d[20] ^ d[13] ^ d[11] ^ + d[8] ^ d[7] ^ d[5] ^ d[4] ^ d[3] ^ d[1] ^ d[0]; + +assign crc_out[22] = + c[4] ^ c[6] ^ c[7] ^ c[10] ^ c[11] ^ c[14] ^ + c[16] ^ c[17] ^ c[18] ^ c[20] ^ c[21] ^ c[22] ^ c[23] ^ + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[58] ^ d[57] ^ d[56] ^ + d[54] ^ d[51] ^ d[50] ^ d[47] ^ d[46] ^ d[44] ^ d[39] ^ + d[37] ^ d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[30] ^ d[29] ^ + d[28] ^ d[27] ^ d[21] ^ d[14] ^ d[12] ^ d[9] ^ d[8] ^ + d[6] ^ d[5] ^ d[4] ^ d[2] ^ d[1]; + +assign crc_out[23] = + c[0] ^ c[5] ^ c[7] ^ c[8] ^ c[11] ^ c[12] ^ + c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[21] ^ c[22] ^ c[23] ^ + d[63] ^ d[62] ^ d[61] ^ d[59] ^ d[58] ^ d[57] ^ d[55] ^ + d[52] ^ d[51] ^ d[48] ^ d[47] ^ d[45] ^ d[40] ^ d[38] ^ + d[37] ^ d[36] ^ d[35] ^ d[33] ^ d[31] ^ d[30] ^ d[29] ^ + d[28] ^ d[22] ^ d[15] ^ d[13] ^ d[10] ^ d[9] ^ d[7] ^ + d[6] ^ d[5] ^ d[3] ^ d[2]; + +endmodule + +module crc24_dat64_factor (c,d,crc_out); +input[23:0] c; +input[63:0] d; +output[23:0] crc_out; +wire[23:0] crc_out; + +wire[114:0] h ; + +xor6 cx_0 (crc_out[0], h[51] , h[60] , h[66] , h[73] , h[80] , h[93]); +xor6 cx_1 (crc_out[1], h[16] , h[37] , h[44] , h[61] , h[104] , h[114]); +xor6 cx_2 (crc_out[2], h[35] , h[36] , h[44] , h[57] , h[112] , h[113]); +xor6 cx_3 (crc_out[3], h[32] , h[35] , h[37] , h[40] , h[41] , h[111]); +xor6 cx_4 (crc_out[4], h[44] , h[46] , h[59] , h[65] , h[109] , h[110]); +xor6 cx_5 (crc_out[5], h[45] , h[46] , h[63] , h[64] , h[107] , h[108]); +xor6 cx_6 (crc_out[6], h[24] , h[34] , h[65] , h[67] , h[105] , h[106]); +xor6 cx_7 (crc_out[7], h[40] , h[49] , h[58] , h[67] , h[102] , h[103]); +xor6 cx_8 (crc_out[8], h[35] , h[39] , h[63] , h[66] , h[100] , h[101]); +xor6 cx_9 (crc_out[9], h[27] , h[45] , h[61] , h[66] , h[98] , h[99]); +xor6 cx_10 (crc_out[10], h[33] , h[44] , h[48] , h[95] , h[96] , h[97]); +xor6 cx_11 (crc_out[11], h[22] , h[33] , h[36] , h[49] , h[59] , h[94]); +xor6 cx_12 (crc_out[12], h[12] , h[44] , h[62] , h[90] , h[91] , h[92]); +xor6 cx_13 (crc_out[13], h[35] , h[38] , h[50] , h[64] , h[88] , h[89]); +xor6 cx_14 (crc_out[14], h[34] , h[50] , h[56] , h[62] , h[86] , h[87]); +xor6 cx_15 (crc_out[15], h[19] , h[38] , h[48] , h[55] , h[84] , h[85]); +xor6 cx_16 (crc_out[16], h[30] , h[38] , h[42] , h[54] , h[62] , h[83]); +xor6 cx_17 (crc_out[17], h[25] , h[31] , h[54] , h[66] , h[81] , h[82]); +xor6 cx_18 (crc_out[18], h[41] , h[55] , h[60] , h[63] , h[78] , h[79]); +xor6 cx_19 (crc_out[19], h[21] , h[28] , h[74] , h[75] , h[76] , h[77]); +xor6 cx_20 (crc_out[20], h[42] , h[45] , h[51] , h[57] , h[71] , h[72]); +xor6 cx_21 (crc_out[21], h[29] , h[56] , h[67] , h[68] , h[69] , h[70]); +xor6 cx_22 (crc_out[22], h[31] , h[37] , h[39] , h[52] , h[53] , h[58]); +xor6 cx_23 (crc_out[23], h[19] , h[23] , h[43] , h[47] , h[63] , h[73]); +xor6 hx_0 (h[0], c[19] , c[23] , d[63] , d[59] , d[36] , d[13]); // used by 8 +xor6 hx_1 (h[1], c[16] , c[20] , d[60] , d[56] , d[36] , d[12]); // used by 5 +xor6 hx_2 (h[2], c[17] , c[21] , d[61] , d[57] , d[35] , d[5]); // used by 8 +xor6 hx_3 (h[3], c[9] , c[17] , d[57] , d[49] , d[37] , d[4]); // used by 4 +xor6 hx_4 (h[4], c[9] , c[10] , d[50] , d[49] , d[11] , d[7]); // used by 4 +xor6 hx_5 (h[5], c[5] , c[10] , c[11] , d[51] , d[50] , d[45]); // used by 8 +xor6 hx_6 (h[6], c[0] , c[7] , d[47] , d[40] , d[34] , d[10]); // used by 4 +xor6 hx_7 (h[7], c[6] , d[46] , d[39] , d[34] , d[8] , d[4]); // used by 3 +xor6 hx_8 (h[8], c[18] , c[22] , d[62] , d[58] , d[16] , d[2]); // used by 3 +xor6 hx_9 (h[9], c[0] , d[40] , d[29] , d[25] , d[22] , d[9]); // used by 4 +xor6 hx_10 (h[10], c[12] , c[13] , d[53] , d[52] , d[29] , d[11]); // used by 3 +xor6 hx_11 (h[11], c[1] , c[16] , c[22] , d[62] , d[56] , d[41]); // used by 5 +xor6 hx_12 (h[12], c[15] , c[20] , d[60] , d[55] , d[39] , d[20]); // used by 4 +xor6 hx_13 (h[13], c[8] , c[14] , d[54] , d[48] , d[23] , d[3]); // used by 4 +xor6 hx_14 (h[14], c[2] , c[14] , d[54] , d[42] , d[38] , d[19]); // used by 3 +xor6 hx_15 (h[15], c[4] , c[9] , d[49] , d[44] , d[21] , d[6]); // used by 3 +xor6 hx_16 (h[16], c[6] , c[12] , d[52] , d[46] , d[24] , d[12]); // used by 3 +xor6 hx_17 (h[17], c[1] , c[13] , d[53] , d[41] , d[30] , d[18]); // used by 4 +xor6 hx_18 (h[18], c[18] , d[58] , d[37] , d[31] , d[30] , d[3]); // used by 3 +xor6 hx_19 (h[19], c[8] , c[11] , c[12] , d[52] , d[51] , d[48]); // used by 3 +xor6 hx_20 (h[20], c[16] , d[56] , d[33] , d[28] , d[8] , d[0]); // used by 3 +xor6 hx_21 (h[21], c[3] , d[43] , d[38] , d[26] , d[18] , d[8]); // used by 2 +xor6 hx_22 (h[22], c[23] , d[63] , d[32] , d[30] , d[23] , d[14]); // used by 3 +xor6 hx_23 (h[23], c[7] , c[22] , d[62] , d[47] , d[29] , d[2]); // used by 3 +xor6 hx_24 (h[24], c[19] , c[23] , d[63] , d[59] , d[35] , d[16]); // used by 2 +xor6 hx_25 (h[25], c[3] , d[43] , d[34] , d[24] , d[11] , d[10]); // used by 3 +xor6 hx_26 (h[26], d[38] , d[17] , d[9] , d[1] , 1'b0 , 1'b0); // used by 1 +xor6 hx_27 (h[27], c[6] , c[19] , d[59] , d[46] , d[39] , d[17]); // used by 2 +xor6 hx_28 (h[28], c[21] , d[61] , d[21] , d[15] , d[10] , d[6]); // used by 2 +xor6 hx_29 (h[29], c[3] , d[43] , d[31] , d[26] , d[3] , d[1]); // used by 1 +xor6 hx_30 (h[30], c[14] , d[54] , d[28] , d[23] , d[21] , d[1]); // used by 1 +xor6 hx_31 (h[31], c[4] , d[44] , d[37] , d[27] , d[9] , d[6]); // used by 2 +xor6 hx_32 (h[32], c[3] , c[4] , d[44] , d[43] , d[26] , d[17]); // used by 1 +xor6 hx_33 (h[33], c[6] , c[20] , d[60] , d[46] , d[39] , d[27]); // used by 3 +xor6 hx_34 (h[34], c[2] , d[42] , d[38] , d[24] , d[22] , d[21]); // used by 2 +xor6 hx_35 (h[35], c[8] , d[48] , d[25] , d[18] , 1'b0 , 1'b0); // used by 4 +xor6 hx_36 (h[36], c[15] , d[55] , d[35] , d[18] , 1'b0 , 1'b0); // used by 2 +xor6 hx_37 (h[37], c[18] , d[58] , d[14] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_38 (h[38], c[2] , c[4] , d[44] , d[42] , 1'b0 , 1'b0); // used by 3 +xor6 hx_39 (h[39], c[10] , d[50] , d[32] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_40 (h[40], c[12] , d[52] , d[2] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_41 (h[41], d[37] , d[8] , d[3] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_42 (h[42], c[17] , d[57] , d[13] , d[4] , 1'b0 , 1'b0); // used by 2 +xor6 hx_43 (h[43], d[15] , d[9] , h[0] , h[2] , h[18] , 1'b0); // used by 1 +xor6 hx_44 (h[44], d[17] , d[15] , d[6] , d[1] , 1'b0 , 1'b0); // used by 5 +xor6 hx_45 (h[45], d[31] , d[27] , d[5] , d[0] , 1'b0 , 1'b0); // used by 3 +xor6 hx_46 (h[46], d[32] , d[19] , d[9] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_47 (h[47], c[5] , c[15] , d[55] , d[45] , d[38] , d[33]); // used by 1 +xor6 hx_48 (h[48], d[28] , d[20] , d[12] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_49 (h[49], d[24] , d[21] , d[13] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_50 (h[50], c[1] , d[41] , d[26] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_51 (h[51], c[9] , d[49] , d[32] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_52 (h[52], h[1] , h[2] , h[7] , h[23] , 1'b0 , 1'b0); // used by 1 +xor6 hx_53 (h[53], c[23] , d[63] , d[30] , d[28] , d[21] , d[1]); // used by 1 +xor6 hx_54 (h[54], c[9] , d[49] , d[12] , d[8] , 1'b0 , 1'b0); // used by 2 +xor6 hx_55 (h[55], c[16] , d[56] , d[25] , d[10] , 1'b0 , 1'b0); // used by 2 +xor6 hx_56 (h[56], c[5] , c[22] , d[62] , d[45] , 1'b0 , 1'b0); // used by 2 +xor6 hx_57 (h[57], c[7] , c[11] , d[51] , d[47] , 1'b0 , 1'b0); // used by 2 +xor6 hx_58 (h[58], c[11] , c[14] , d[54] , d[51] , 1'b0 , 1'b0); // used by 2 +xor6 hx_59 (h[59], c[19] , c[21] , d[61] , d[59] , 1'b0 , 1'b0); // used by 2 +xor6 hx_60 (h[60], d[32] , d[30] , h[22] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_61 (h[61], d[33] , d[9] , d[0] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_62 (h[62], c[3] , d[43] , d[19] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_63 (h[63], c[0] , d[40] , d[28] , d[7] , 1'b0 , 1'b0); // used by 4 +xor6 hx_64 (h[64], d[34] , d[29] , d[20] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_65 (h[65], c[13] , d[53] , d[36] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_66 (h[66], d[38] , d[22] , d[16] , d[0] , 1'b0 , 1'b0); // used by 4 +xor6 hx_67 (h[67], c[15] , d[55] , d[20] , d[1] , 1'b0 , 1'b0); // used by 3 +xor6 hx_68 (h[68], h[0] , h[2] , h[4] , h[20] , 1'b0 , 1'b0); // used by 1 +xor6 hx_69 (h[69], d[38] , d[34] , d[29] , d[27] , d[4] , d[1]); // used by 1 +xor6 hx_70 (h[70], c[13] , d[53] , d[39] , d[27] , h[33] , 1'b0); // used by 1 +xor6 hx_71 (h[71], h[9] , h[14] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_72 (h[72], c[4] , d[44] , d[34] , d[26] , d[15] , h[1]); // used by 1 +xor6 hx_73 (h[73], d[22] , d[10] , d[6] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_74 (h[74], h[9] , h[11] , h[16] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_75 (h[75], d[11] , d[7] , d[5] , d[4] , d[2] , h[5]); // used by 1 +xor6 hx_76 (h[76], c[18] , d[58] , d[39] , d[36] , d[14] , d[13]); // used by 1 +xor6 hx_77 (h[77], c[7] , c[13] , c[17] , d[57] , d[53] , d[47]); // used by 1 +xor6 hx_78 (h[78], c[2] , d[42] , h[15] , h[16] , h[26] , 1'b0); // used by 1 +xor6 hx_79 (h[79], d[13] , d[11] , d[4] , h[2] , h[5] , h[12]); // used by 1 +xor6 hx_80 (h[80], c[8] , c[19] , c[20] , d[60] , d[59] , d[48]); // used by 1 +xor6 hx_81 (h[81], h[5] , h[11] , h[12] , h[13] , 1'b0 , 1'b0); // used by 1 +xor6 hx_82 (h[82], d[19] , d[7] , d[5] , d[4] , d[2] , h[0]); // used by 1 +xor6 hx_83 (h[83], d[31] , d[30] , d[26] , d[18] , h[5] , h[10]); // used by 1 +xor6 hx_84 (h[84], d[3] , d[0] , h[4] , h[17] , 1'b0 , 1'b0); // used by 1 +xor6 hx_85 (h[85], c[3] , d[43] , d[29] , d[27] , d[22] , d[17]); // used by 1 +xor6 hx_86 (h[86], h[2] , h[4] , h[18] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_87 (h[87], d[33] , d[27] , d[17] , d[16] , d[15] , h[0]); // used by 1 +xor6 hx_88 (h[88], h[22] , h[28] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_89 (h[89], c[0] , d[40] , d[35] , h[1] , h[3] , h[8]); // used by 1 +xor6 hx_90 (h[90], d[3] , h[0] , h[2] , h[9] , h[11] , 1'b0); // used by 1 +xor6 hx_91 (h[91], d[31] , d[28] , d[24] , d[14] , d[11] , d[6]); // used by 1 +xor6 hx_92 (h[92], c[7] , c[8] , d[48] , d[47] , d[34] , d[33]); // used by 1 +xor6 hx_93 (h[93], d[36] , d[7] , h[7] , h[10] , h[11] , h[18]); // used by 1 +xor6 hx_94 (h[94], d[12] , d[4] , h[6] , h[8] , h[14] , h[20]); // used by 1 +xor6 hx_95 (h[95], h[17] , h[19] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_96 (h[96], d[23] , d[15] , d[11] , d[5] , d[2] , h[6]); // used by 1 +xor6 hx_97 (h[97], c[14] , d[54] , d[36] , d[35] , d[32] , d[26]); // used by 1 +xor6 hx_98 (h[98], h[5] , h[6] , h[10] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_99 (h[99], d[35] , d[26] , d[25] , d[19] , d[4] , d[1]); // used by 1 +xor6 hx_100 (h[100], d[24] , h[0] , h[2] , h[7] , h[15] , h[23]); // used by 1 +xor6 hx_101 (h[101], c[15] , d[55] , d[38] , d[31] , d[28] , d[26]); // used by 1 +xor6 hx_102 (h[102], h[3] , h[9] , h[27] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_103 (h[103], d[24] , d[23] , d[11] , h[1] , h[25] , 1'b0); // used by 1 +xor6 hx_104 (h[104], c[2] , c[10] , d[50] , d[42] , d[36] , d[29]); // used by 1 +xor6 hx_105 (h[105], d[9] , h[5] , h[13] , h[20] , 1'b0 , 1'b0); // used by 1 +xor6 hx_106 (h[106], c[18] , d[58] , d[39] , d[19] , d[12] , d[11]); // used by 1 +xor6 hx_107 (h[107], h[0] , h[5] , h[13] , h[15] , h[17] , 1'b0); // used by 1 +xor6 hx_108 (h[108], c[21] , d[61] , d[33] , d[11] , d[8] , d[7]); // used by 1 +xor6 hx_109 (h[109], d[1] , h[3] , h[5] , h[12] , h[21] , 1'b0); // used by 1 +xor6 hx_110 (h[110], c[4] , d[44] , d[27] , d[13] , d[12] , d[3]); // used by 1 +xor6 hx_111 (h[111], d[31] , d[5] , h[1] , h[4] , h[14] , h[24]); // used by 1 +xor6 hx_112 (h[112], h[17] , h[25] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_113 (h[113], c[2] , d[42] , d[7] , h[0] , h[3] , h[8]); // used by 1 +xor6 hx_114 (h[114], d[16] , h[2] , h[6] , h[11] , h[13] , 1'b0); // used by 1 +endmodule + + + diff --git a/Advanced Synthesis Cookbook/crc/crc24_dat64_only_flat.v b/Advanced Synthesis Cookbook/crc/crc24_dat64_only_flat.v new file mode 100644 index 0000000..9f531ea --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc24_dat64_only_flat.v @@ -0,0 +1,247 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 24 bit CRC of 64 data bits (reversed - MSB first) +// polynomial : 00328b63 +// x^21 + x^20 + x^17 + x^15 + x^11 + x^9 + x^8 + x^6 + x^5 + x^1 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 000000000011111111112222 0000000000111111111122222222223333333333444444444455555555556666 +// 012345678901234567890123 0123456789012345678901234567890123456789012345678901234567890123 +// C00 = ........................ #..##.###.##..#.#......#.....####.#.####.#....#.##..##..#.###.## +// C01 = ........................ ##.#.##..##.#.####.....##....#...####...###...###.#.#.#.###..##. +// C02 = ........................ .##.#.##..##.#.####.....##....#...####...###...###.#.#.#.###..## +// C03 = ........................ ..##.#.##..##.#.####.....##....#...####...###...###.#.#.#.###..# +// C04 = ........................ ...##.#.##..##.#.####.....##....#...####...###...###.#.#.#.###.. +// C05 = ........................ #..#.##.##.#.#....####.#...########.#...##..##..####.##....#.#.# +// C06 = ........................ ##.#....##.##...#..######...#....#.##.##..#..#..#.##.####.##...# +// C07 = ........................ .##.#....##.##...#..######...#....#.##.##..#..#..#.##.####.##... +// C08 = ........................ #.#.#####....#..#.#..##.###..#.##.###..##...#.#####....#.#.#.### +// C09 = ........................ ##..##...###....##.#..#..###.#.#.###..###....###..####.....#.... +// C10 = ........................ .##..##...###....##.#..#..###.#.#.###..###....###..####.....#... +// C11 = ........................ #.#.#...#.#.###.#.##.#.##..##.#.####..###.#...##......###.###### +// C12 = ........................ .#.#.#...#.#.###.#.##.#.##..##.#.####..###.#...##......###.##### +// C13 = ........................ ..#.#.#...#.#.###.#.##.#.##..##.#.####..###.#...##......###.#### +// C14 = ........................ ...#.#.#...#.#.###.#.##.#.##..##.#.####..###.#...##......###.### +// C15 = ........................ #..#...#..###....##.#.#..#.####..........####...######..#....... +// C16 = ........................ .#..#...#..###....##.#.#..#.####..........####...######..#...... +// C17 = ........................ #.############..#..##.###..#......#.####.#.###..####..###..##.## +// C18 = ........................ .#.############..#..##.###..#......#.####.#.###..####..###..##.# +// C19 = ........................ ..#.############..#..##.###..#......#.####.#.###..####..###..##. +// C20 = ........................ #...##...#..##.#...#..#..###.#.##.#.#.#.#.#.#..#.#.#..#.##..#... +// C21 = ........................ ##.###.##..#.#......#.....####.#.####.#....#.##..##..#.###.##### +// C22 = ........................ .##.###.##..#.#......#.....####.#.####.#....#.##..##..#.###.#### +// C23 = ........................ ..##.###.##..#.#......#.....####.#.####.#....#.##..##..#.###.### +// +// Number of XORs used is 24 +// Maximum XOR input count is 40 +// Best possible depth in 4 LUTs = 3 +// Best possible depth in 5 LUTs = 3 +// Best possible depth in 6 LUTs = 3 +// Total XOR inputs 788 +// +// Special signal relations - +// none +// + +module crc24_dat64_only_flat (d,crc_out); +input[63:0] d; +output[23:0] crc_out; +wire[23:0] crc_out; + +assign crc_out[0] = + d[63] ^ d[62] ^ d[60] ^ d[59] ^ d[58] ^ d[56] ^ + d[53] ^ d[52] ^ d[49] ^ d[48] ^ d[46] ^ d[41] ^ d[39] ^ + d[38] ^ d[37] ^ d[36] ^ d[34] ^ d[32] ^ d[31] ^ d[30] ^ + d[29] ^ d[23] ^ d[16] ^ d[14] ^ d[11] ^ d[10] ^ d[8] ^ + d[7] ^ d[6] ^ d[4] ^ d[3] ^ d[0]; + +assign crc_out[1] = + d[62] ^ d[61] ^ d[58] ^ d[57] ^ d[56] ^ d[54] ^ + d[52] ^ d[50] ^ d[48] ^ d[47] ^ d[46] ^ d[42] ^ d[41] ^ + d[40] ^ d[36] ^ d[35] ^ d[34] ^ d[33] ^ d[29] ^ d[24] ^ + d[23] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[12] ^ d[10] ^ + d[9] ^ d[6] ^ d[5] ^ d[3] ^ d[1] ^ d[0]; + +assign crc_out[2] = + d[63] ^ d[62] ^ d[59] ^ d[58] ^ d[57] ^ d[55] ^ + d[53] ^ d[51] ^ d[49] ^ d[48] ^ d[47] ^ d[43] ^ d[42] ^ + d[41] ^ d[37] ^ d[36] ^ d[35] ^ d[34] ^ d[30] ^ d[25] ^ + d[24] ^ d[18] ^ d[17] ^ d[16] ^ d[15] ^ d[13] ^ d[11] ^ + d[10] ^ d[7] ^ d[6] ^ d[4] ^ d[2] ^ d[1]; + +assign crc_out[3] = + d[63] ^ d[60] ^ d[59] ^ d[58] ^ d[56] ^ d[54] ^ + d[52] ^ d[50] ^ d[49] ^ d[48] ^ d[44] ^ d[43] ^ d[42] ^ + d[38] ^ d[37] ^ d[36] ^ d[35] ^ d[31] ^ d[26] ^ d[25] ^ + d[19] ^ d[18] ^ d[17] ^ d[16] ^ d[14] ^ d[12] ^ d[11] ^ + d[8] ^ d[7] ^ d[5] ^ d[3] ^ d[2]; + +assign crc_out[4] = + d[61] ^ d[60] ^ d[59] ^ d[57] ^ d[55] ^ d[53] ^ + d[51] ^ d[50] ^ d[49] ^ d[45] ^ d[44] ^ d[43] ^ d[39] ^ + d[38] ^ d[37] ^ d[36] ^ d[32] ^ d[27] ^ d[26] ^ d[20] ^ + d[19] ^ d[18] ^ d[17] ^ d[15] ^ d[13] ^ d[12] ^ d[9] ^ + d[8] ^ d[6] ^ d[4] ^ d[3]; + +assign crc_out[5] = + d[63] ^ d[61] ^ d[59] ^ d[54] ^ d[53] ^ d[51] ^ + d[50] ^ d[49] ^ d[48] ^ d[45] ^ d[44] ^ d[41] ^ d[40] ^ + d[36] ^ d[34] ^ d[33] ^ d[32] ^ d[31] ^ d[30] ^ d[29] ^ + d[28] ^ d[27] ^ d[23] ^ d[21] ^ d[20] ^ d[19] ^ d[18] ^ + d[13] ^ d[11] ^ d[9] ^ d[8] ^ d[6] ^ d[5] ^ d[3] ^ + d[0]; + +assign crc_out[6] = + d[63] ^ d[59] ^ d[58] ^ d[56] ^ d[55] ^ d[54] ^ + d[53] ^ d[51] ^ d[50] ^ d[48] ^ d[45] ^ d[42] ^ d[39] ^ + d[38] ^ d[36] ^ d[35] ^ d[33] ^ d[28] ^ d[24] ^ d[23] ^ + d[22] ^ d[21] ^ d[20] ^ d[19] ^ d[16] ^ d[12] ^ d[11] ^ + d[9] ^ d[8] ^ d[3] ^ d[1] ^ d[0]; + +assign crc_out[7] = + d[60] ^ d[59] ^ d[57] ^ d[56] ^ d[55] ^ d[54] ^ + d[52] ^ d[51] ^ d[49] ^ d[46] ^ d[43] ^ d[40] ^ d[39] ^ + d[37] ^ d[36] ^ d[34] ^ d[29] ^ d[25] ^ d[24] ^ d[23] ^ + d[22] ^ d[21] ^ d[20] ^ d[17] ^ d[13] ^ d[12] ^ d[10] ^ + d[9] ^ d[4] ^ d[2] ^ d[1]; + +assign crc_out[8] = + d[63] ^ d[62] ^ d[61] ^ d[59] ^ d[57] ^ d[55] ^ + d[50] ^ d[49] ^ d[48] ^ d[47] ^ d[46] ^ d[44] ^ d[40] ^ + d[39] ^ d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[31] ^ d[29] ^ + d[26] ^ d[25] ^ d[24] ^ d[22] ^ d[21] ^ d[18] ^ d[16] ^ + d[13] ^ d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[2] ^ + d[0]; + +assign crc_out[9] = + d[59] ^ d[53] ^ d[52] ^ d[51] ^ d[50] ^ d[47] ^ + d[46] ^ d[45] ^ d[40] ^ d[39] ^ d[38] ^ d[35] ^ d[34] ^ + d[33] ^ d[31] ^ d[29] ^ d[27] ^ d[26] ^ d[25] ^ d[22] ^ + d[19] ^ d[17] ^ d[16] ^ d[11] ^ d[10] ^ d[9] ^ d[5] ^ + d[4] ^ d[1] ^ d[0]; + +assign crc_out[10] = + d[60] ^ d[54] ^ d[53] ^ d[52] ^ d[51] ^ d[48] ^ + d[47] ^ d[46] ^ d[41] ^ d[40] ^ d[39] ^ d[36] ^ d[35] ^ + d[34] ^ d[32] ^ d[30] ^ d[28] ^ d[27] ^ d[26] ^ d[23] ^ + d[20] ^ d[18] ^ d[17] ^ d[12] ^ d[11] ^ d[10] ^ d[6] ^ + d[5] ^ d[2] ^ d[1]; + +assign crc_out[11] = + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[59] ^ d[58] ^ + d[56] ^ d[55] ^ d[54] ^ d[47] ^ d[46] ^ d[42] ^ d[40] ^ + d[39] ^ d[38] ^ d[35] ^ d[34] ^ d[33] ^ d[32] ^ d[30] ^ + d[28] ^ d[27] ^ d[24] ^ d[23] ^ d[21] ^ d[19] ^ d[18] ^ + d[16] ^ d[14] ^ d[13] ^ d[12] ^ d[10] ^ d[8] ^ d[4] ^ + d[2] ^ d[0]; + +assign crc_out[12] = + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[59] ^ d[57] ^ + d[56] ^ d[55] ^ d[48] ^ d[47] ^ d[43] ^ d[41] ^ d[40] ^ + d[39] ^ d[36] ^ d[35] ^ d[34] ^ d[33] ^ d[31] ^ d[29] ^ + d[28] ^ d[25] ^ d[24] ^ d[22] ^ d[20] ^ d[19] ^ d[17] ^ + d[15] ^ d[14] ^ d[13] ^ d[11] ^ d[9] ^ d[5] ^ d[3] ^ + d[1]; + +assign crc_out[13] = + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[58] ^ d[57] ^ + d[56] ^ d[49] ^ d[48] ^ d[44] ^ d[42] ^ d[41] ^ d[40] ^ + d[37] ^ d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[30] ^ d[29] ^ + d[26] ^ d[25] ^ d[23] ^ d[21] ^ d[20] ^ d[18] ^ d[16] ^ + d[15] ^ d[14] ^ d[12] ^ d[10] ^ d[6] ^ d[4] ^ d[2]; + +assign crc_out[14] = + d[63] ^ d[62] ^ d[61] ^ d[59] ^ d[58] ^ d[57] ^ + d[50] ^ d[49] ^ d[45] ^ d[43] ^ d[42] ^ d[41] ^ d[38] ^ + d[37] ^ d[36] ^ d[35] ^ d[33] ^ d[31] ^ d[30] ^ d[27] ^ + d[26] ^ d[24] ^ d[22] ^ d[21] ^ d[19] ^ d[17] ^ d[16] ^ + d[15] ^ d[13] ^ d[11] ^ d[7] ^ d[5] ^ d[3]; + +assign crc_out[15] = + d[56] ^ d[53] ^ d[52] ^ d[51] ^ d[50] ^ d[49] ^ + d[48] ^ d[44] ^ d[43] ^ d[42] ^ d[41] ^ d[30] ^ d[29] ^ + d[28] ^ d[27] ^ d[25] ^ d[22] ^ d[20] ^ d[18] ^ d[17] ^ + d[12] ^ d[11] ^ d[10] ^ d[7] ^ d[3] ^ d[0]; + +assign crc_out[16] = + d[57] ^ d[54] ^ d[53] ^ d[52] ^ d[51] ^ d[50] ^ + d[49] ^ d[45] ^ d[44] ^ d[43] ^ d[42] ^ d[31] ^ d[30] ^ + d[29] ^ d[28] ^ d[26] ^ d[23] ^ d[21] ^ d[19] ^ d[18] ^ + d[13] ^ d[12] ^ d[11] ^ d[8] ^ d[4] ^ d[1]; + +assign crc_out[17] = + d[63] ^ d[62] ^ d[60] ^ d[59] ^ d[56] ^ d[55] ^ + d[54] ^ d[51] ^ d[50] ^ d[49] ^ d[48] ^ d[45] ^ d[44] ^ + d[43] ^ d[41] ^ d[39] ^ d[38] ^ d[37] ^ d[36] ^ d[34] ^ + d[27] ^ d[24] ^ d[23] ^ d[22] ^ d[20] ^ d[19] ^ d[16] ^ + d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ + d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[2] ^ d[0]; + +assign crc_out[18] = + d[63] ^ d[61] ^ d[60] ^ d[57] ^ d[56] ^ d[55] ^ + d[52] ^ d[51] ^ d[50] ^ d[49] ^ d[46] ^ d[45] ^ d[44] ^ + d[42] ^ d[40] ^ d[39] ^ d[38] ^ d[37] ^ d[35] ^ d[28] ^ + d[25] ^ d[24] ^ d[23] ^ d[21] ^ d[20] ^ d[17] ^ d[14] ^ + d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ + d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[1]; + +assign crc_out[19] = + d[62] ^ d[61] ^ d[58] ^ d[57] ^ d[56] ^ d[53] ^ + d[52] ^ d[51] ^ d[50] ^ d[47] ^ d[46] ^ d[45] ^ d[43] ^ + d[41] ^ d[40] ^ d[39] ^ d[38] ^ d[36] ^ d[29] ^ d[26] ^ + d[25] ^ d[24] ^ d[22] ^ d[21] ^ d[18] ^ d[15] ^ d[14] ^ + d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ + d[6] ^ d[5] ^ d[4] ^ d[2]; + +assign crc_out[20] = + d[60] ^ d[57] ^ d[56] ^ d[54] ^ d[51] ^ d[49] ^ + d[47] ^ d[44] ^ d[42] ^ d[40] ^ d[38] ^ d[36] ^ d[34] ^ + d[32] ^ d[31] ^ d[29] ^ d[27] ^ d[26] ^ d[25] ^ d[22] ^ + d[19] ^ d[15] ^ d[13] ^ d[12] ^ d[9] ^ d[5] ^ d[4] ^ + d[0]; + +assign crc_out[21] = + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[59] ^ d[57] ^ + d[56] ^ d[55] ^ d[53] ^ d[50] ^ d[49] ^ d[46] ^ d[45] ^ + d[43] ^ d[38] ^ d[36] ^ d[35] ^ d[34] ^ d[33] ^ d[31] ^ + d[29] ^ d[28] ^ d[27] ^ d[26] ^ d[20] ^ d[13] ^ d[11] ^ + d[8] ^ d[7] ^ d[5] ^ d[4] ^ d[3] ^ d[1] ^ d[0]; + +assign crc_out[22] = + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[58] ^ d[57] ^ + d[56] ^ d[54] ^ d[51] ^ d[50] ^ d[47] ^ d[46] ^ d[44] ^ + d[39] ^ d[37] ^ d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[30] ^ + d[29] ^ d[28] ^ d[27] ^ d[21] ^ d[14] ^ d[12] ^ d[9] ^ + d[8] ^ d[6] ^ d[5] ^ d[4] ^ d[2] ^ d[1]; + +assign crc_out[23] = + d[63] ^ d[62] ^ d[61] ^ d[59] ^ d[58] ^ d[57] ^ + d[55] ^ d[52] ^ d[51] ^ d[48] ^ d[47] ^ d[45] ^ d[40] ^ + d[38] ^ d[37] ^ d[36] ^ d[35] ^ d[33] ^ d[31] ^ d[30] ^ + d[29] ^ d[28] ^ d[22] ^ d[15] ^ d[13] ^ d[10] ^ d[9] ^ + d[7] ^ d[6] ^ d[5] ^ d[3] ^ d[2]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/crc/crc24_zer64_flat.v b/Advanced Synthesis Cookbook/crc/crc24_zer64_flat.v new file mode 100644 index 0000000..c442b55 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc24_zer64_flat.v @@ -0,0 +1,176 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 24 bit CRC of 64 data bits (reversed - MSB first) +// polynomial : 00328b63 +// x^21 + x^20 + x^17 + x^15 + x^11 + x^9 + x^8 + x^6 + x^5 + x^1 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 000000000011111111112222 0000000000111111111122222222223333333333444444444455555555556666 +// 012345678901234567890123 0123456789012345678901234567890123456789012345678901234567890123 +// C00 = .#....#.##..##..#.###.## ................................................................ +// C01 = ###...###.#.#.#.###..##. ................................................................ +// C02 = .###...###.#.#.#.###..## ................................................................ +// C03 = ..###...###.#.#.#.###..# ................................................................ +// C04 = ...###...###.#.#.#.###.. ................................................................ +// C05 = ##..##..####.##....#.#.# ................................................................ +// C06 = ..#..#..#.##.####.##...# ................................................................ +// C07 = #..#..#..#.##.####.##... ................................................................ +// C08 = #...#.#####....#.#.#.### ................................................................ +// C09 = #....###..####.....#.... ................................................................ +// C10 = ##....###..####.....#... ................................................................ +// C11 = #.#...##......###.###### ................................................................ +// C12 = ##.#...##......###.##### ................................................................ +// C13 = ###.#...##......###.#### ................................................................ +// C14 = .###.#...##......###.### ................................................................ +// C15 = .####...######..#....... ................................................................ +// C16 = ..####...######..#...... ................................................................ +// C17 = .#.###..####..###..##.## ................................................................ +// C18 = #.#.###..####..###..##.# ................................................................ +// C19 = ##.#.###..####..###..##. ................................................................ +// C20 = #.#.#..#.#.#..#.##..#... ................................................................ +// C21 = ...#.##..##..#.###.##### ................................................................ +// C22 = ....#.##..##..#.###.#### ................................................................ +// C23 = #....#.##..##..#.###.### ................................................................ +// +// Number of XORs used is 24 +// Maximum XOR input count is 15 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 302 +// +// Special signal relations - +// none +// + +module crc24_zer64_flat (c,crc_out); +input[23:0] c; +output[23:0] crc_out; +wire[23:0] crc_out; + +assign crc_out[0] = + c[1] ^ c[6] ^ c[8] ^ c[9] ^ c[12] ^ c[13] ^ + c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[22] ^ c[23]; + +assign crc_out[1] = + c[0] ^ c[1] ^ c[2] ^ c[6] ^ c[7] ^ c[8] ^ + c[10] ^ c[12] ^ c[14] ^ c[16] ^ c[17] ^ c[18] ^ c[21] ^ + c[22]; + +assign crc_out[2] = + c[1] ^ c[2] ^ c[3] ^ c[7] ^ c[8] ^ c[9] ^ + c[11] ^ c[13] ^ c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[22] ^ + c[23]; + +assign crc_out[3] = + c[2] ^ c[3] ^ c[4] ^ c[8] ^ c[9] ^ c[10] ^ + c[12] ^ c[14] ^ c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[23]; + +assign crc_out[4] = + c[3] ^ c[4] ^ c[5] ^ c[9] ^ c[10] ^ c[11] ^ + c[13] ^ c[15] ^ c[17] ^ c[19] ^ c[20] ^ c[21]; + +assign crc_out[5] = + c[0] ^ c[1] ^ c[4] ^ c[5] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[13] ^ c[14] ^ c[19] ^ c[21] ^ c[23]; + +assign crc_out[6] = + c[2] ^ c[5] ^ c[8] ^ c[10] ^ c[11] ^ c[13] ^ + c[14] ^ c[15] ^ c[16] ^ c[18] ^ c[19] ^ c[23]; + +assign crc_out[7] = + c[0] ^ c[3] ^ c[6] ^ c[9] ^ c[11] ^ c[12] ^ + c[14] ^ c[15] ^ c[16] ^ c[17] ^ c[19] ^ c[20]; + +assign crc_out[8] = + c[0] ^ c[4] ^ c[6] ^ c[7] ^ c[8] ^ c[9] ^ + c[10] ^ c[15] ^ c[17] ^ c[19] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[9] = + c[0] ^ c[5] ^ c[6] ^ c[7] ^ c[10] ^ c[11] ^ + c[12] ^ c[13] ^ c[19]; + +assign crc_out[10] = + c[0] ^ c[1] ^ c[6] ^ c[7] ^ c[8] ^ c[11] ^ + c[12] ^ c[13] ^ c[14] ^ c[20]; + +assign crc_out[11] = + c[0] ^ c[2] ^ c[6] ^ c[7] ^ c[14] ^ c[15] ^ + c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[12] = + c[0] ^ c[1] ^ c[3] ^ c[7] ^ c[8] ^ c[15] ^ + c[16] ^ c[17] ^ c[19] ^ c[20] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[13] = + c[0] ^ c[1] ^ c[2] ^ c[4] ^ c[8] ^ c[9] ^ + c[16] ^ c[17] ^ c[18] ^ c[20] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[14] = + c[1] ^ c[2] ^ c[3] ^ c[5] ^ c[9] ^ c[10] ^ + c[17] ^ c[18] ^ c[19] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[15] = + c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[12] ^ c[13] ^ c[16]; + +assign crc_out[16] = + c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[9] ^ c[10] ^ + c[11] ^ c[12] ^ c[13] ^ c[14] ^ c[17]; + +assign crc_out[17] = + c[1] ^ c[3] ^ c[4] ^ c[5] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[14] ^ c[15] ^ c[16] ^ c[19] ^ c[20] ^ + c[22] ^ c[23]; + +assign crc_out[18] = + c[0] ^ c[2] ^ c[4] ^ c[5] ^ c[6] ^ c[9] ^ + c[10] ^ c[11] ^ c[12] ^ c[15] ^ c[16] ^ c[17] ^ c[20] ^ + c[21] ^ c[23]; + +assign crc_out[19] = + c[0] ^ c[1] ^ c[3] ^ c[5] ^ c[6] ^ c[7] ^ + c[10] ^ c[11] ^ c[12] ^ c[13] ^ c[16] ^ c[17] ^ c[18] ^ + c[21] ^ c[22]; + +assign crc_out[20] = + c[0] ^ c[2] ^ c[4] ^ c[7] ^ c[9] ^ c[11] ^ + c[14] ^ c[16] ^ c[17] ^ c[20]; + +assign crc_out[21] = + c[3] ^ c[5] ^ c[6] ^ c[9] ^ c[10] ^ c[13] ^ + c[15] ^ c[16] ^ c[17] ^ c[19] ^ c[20] ^ c[21] ^ c[22] ^ + c[23]; + +assign crc_out[22] = + c[4] ^ c[6] ^ c[7] ^ c[10] ^ c[11] ^ c[14] ^ + c[16] ^ c[17] ^ c[18] ^ c[20] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[23] = + c[0] ^ c[5] ^ c[7] ^ c[8] ^ c[11] ^ c[12] ^ + c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[21] ^ c[22] ^ c[23]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/crc/crc24_zer64x2_flat.v b/Advanced Synthesis Cookbook/crc/crc24_zer64x2_flat.v new file mode 100644 index 0000000..00dfbc8 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc24_zer64x2_flat.v @@ -0,0 +1,180 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 24 bit CRC of 64 data bits (reversed - MSB first) +// polynomial : 00328b63 +// x^21 + x^20 + x^17 + x^15 + x^11 + x^9 + x^8 + x^6 + x^5 + x^1 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 000000000011111111112222 0000000000111111111122222222223333333333444444444455555555556666 +// 012345678901234567890123 0123456789012345678901234567890123456789012345678901234567890123 +// C00 = #..#.....#....##...##.## ................................................................ +// C01 = .#.##....##...#.#..#.##. ................................................................ +// C02 = #.#.##....##...#.#..#.## ................................................................ +// C03 = .#.#.##....##...#.#..#.# ................................................................ +// C04 = #.#.#.##....##...#.#..#. ................................................................ +// C05 = ##...#.###...#.#..##..#. ................................................................ +// C06 = .###..#.#.#....##.....#. ................................................................ +// C07 = #.###..#.#.#....##.....# ................................................................ +// C08 = .#..##..###.#.##.####.## ................................................................ +// C09 = #.##.##...##.##.#.#..##. ................................................................ +// C10 = ##.##.##...##.##.#.#..## ................................................................ +// C11 = ######.###..###.#.##..#. ................................................................ +// C12 = .######.###..###.#.##..# ................................................................ +// C13 = ..######.###..###.#.##.. ................................................................ +// C14 = #..######.###..###.#.##. ................................................................ +// C15 = ##.######..#########.... ................................................................ +// C16 = .##.######..#########... ................................................................ +// C17 = #.#..####.#..#..###..### ................................................................ +// C18 = ##.#..####.#..#..###..## ................................................................ +// C19 = .##.#..####.#..#..###..# ................................................................ +// C20 = ..#..#..#.##.####....### ................................................................ +// C21 = #.....#....##...##.##... ................................................................ +// C22 = .#.....#....##...##.##.. ................................................................ +// C23 = ..#.....#....##...##.##. ................................................................ +// +// Number of XORs used is 24 +// Maximum XOR input count is 17 +// Best possible depth in 4 LUTs = 3 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 296 +// +// Special signal relations - +// none +// + +module crc24_zer64x2_flat (c,crc_out); +input[23:0] c; +output[23:0] crc_out; +wire[23:0] crc_out; + +assign crc_out[0] = + c[0] ^ c[3] ^ c[9] ^ c[14] ^ c[15] ^ c[19] ^ + c[20] ^ c[22] ^ c[23]; + +assign crc_out[1] = + c[1] ^ c[3] ^ c[4] ^ c[9] ^ c[10] ^ c[14] ^ + c[16] ^ c[19] ^ c[21] ^ c[22]; + +assign crc_out[2] = + c[0] ^ c[2] ^ c[4] ^ c[5] ^ c[10] ^ c[11] ^ + c[15] ^ c[17] ^ c[20] ^ c[22] ^ c[23]; + +assign crc_out[3] = + c[1] ^ c[3] ^ c[5] ^ c[6] ^ c[11] ^ c[12] ^ + c[16] ^ c[18] ^ c[21] ^ c[23]; + +assign crc_out[4] = + c[0] ^ c[2] ^ c[4] ^ c[6] ^ c[7] ^ c[12] ^ + c[13] ^ c[17] ^ c[19] ^ c[22]; + +assign crc_out[5] = + c[0] ^ c[1] ^ c[5] ^ c[7] ^ c[8] ^ c[9] ^ + c[13] ^ c[15] ^ c[18] ^ c[19] ^ c[22]; + +assign crc_out[6] = + c[1] ^ c[2] ^ c[3] ^ c[6] ^ c[8] ^ c[10] ^ + c[15] ^ c[16] ^ c[22]; + +assign crc_out[7] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[7] ^ c[9] ^ + c[11] ^ c[16] ^ c[17] ^ c[23]; + +assign crc_out[8] = + c[1] ^ c[4] ^ c[5] ^ c[8] ^ c[9] ^ c[10] ^ + c[12] ^ c[14] ^ c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[20] ^ + c[22] ^ c[23]; + +assign crc_out[9] = + c[0] ^ c[2] ^ c[3] ^ c[5] ^ c[6] ^ c[10] ^ + c[11] ^ c[13] ^ c[14] ^ c[16] ^ c[18] ^ c[21] ^ c[22]; + +assign crc_out[10] = + c[0] ^ c[1] ^ c[3] ^ c[4] ^ c[6] ^ c[7] ^ + c[11] ^ c[12] ^ c[14] ^ c[15] ^ c[17] ^ c[19] ^ c[22] ^ + c[23]; + +assign crc_out[11] = + c[0] ^ c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ + c[7] ^ c[8] ^ c[9] ^ c[12] ^ c[13] ^ c[14] ^ c[16] ^ + c[18] ^ c[19] ^ c[22]; + +assign crc_out[12] = + c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ + c[8] ^ c[9] ^ c[10] ^ c[13] ^ c[14] ^ c[15] ^ c[17] ^ + c[19] ^ c[20] ^ c[23]; + +assign crc_out[13] = + c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ + c[9] ^ c[10] ^ c[11] ^ c[14] ^ c[15] ^ c[16] ^ c[18] ^ + c[20] ^ c[21]; + +assign crc_out[14] = + c[0] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ + c[8] ^ c[10] ^ c[11] ^ c[12] ^ c[15] ^ c[16] ^ c[17] ^ + c[19] ^ c[21] ^ c[22]; + +assign crc_out[15] = + c[0] ^ c[1] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ + c[7] ^ c[8] ^ c[11] ^ c[12] ^ c[13] ^ c[14] ^ c[15] ^ + c[16] ^ c[17] ^ c[18] ^ c[19]; + +assign crc_out[16] = + c[1] ^ c[2] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ + c[8] ^ c[9] ^ c[12] ^ c[13] ^ c[14] ^ c[15] ^ c[16] ^ + c[17] ^ c[18] ^ c[19] ^ c[20]; + +assign crc_out[17] = + c[0] ^ c[2] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ + c[10] ^ c[13] ^ c[16] ^ c[17] ^ c[18] ^ c[21] ^ c[22] ^ + c[23]; + +assign crc_out[18] = + c[0] ^ c[1] ^ c[3] ^ c[6] ^ c[7] ^ c[8] ^ + c[9] ^ c[11] ^ c[14] ^ c[17] ^ c[18] ^ c[19] ^ c[22] ^ + c[23]; + +assign crc_out[19] = + c[1] ^ c[2] ^ c[4] ^ c[7] ^ c[8] ^ c[9] ^ + c[10] ^ c[12] ^ c[15] ^ c[18] ^ c[19] ^ c[20] ^ c[23]; + +assign crc_out[20] = + c[2] ^ c[5] ^ c[8] ^ c[10] ^ c[11] ^ c[13] ^ + c[14] ^ c[15] ^ c[16] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[21] = + c[0] ^ c[6] ^ c[11] ^ c[12] ^ c[16] ^ c[17] ^ + c[19] ^ c[20]; + +assign crc_out[22] = + c[1] ^ c[7] ^ c[12] ^ c[13] ^ c[17] ^ c[18] ^ + c[20] ^ c[21]; + +assign crc_out[23] = + c[2] ^ c[8] ^ c[13] ^ c[14] ^ c[18] ^ c[19] ^ + c[21] ^ c[22]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/crc/crc24_zer64x3_flat.v b/Advanced Synthesis Cookbook/crc/crc24_zer64x3_flat.v new file mode 100644 index 0000000..5520748 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc24_zer64x3_flat.v @@ -0,0 +1,173 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 24 bit CRC of 64 data bits (reversed - MSB first) +// polynomial : 00328b63 +// x^21 + x^20 + x^17 + x^15 + x^11 + x^9 + x^8 + x^6 + x^5 + x^1 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 000000000011111111112222 0000000000111111111122222222223333333333444444444455555555556666 +// 012345678901234567890123 0123456789012345678901234567890123456789012345678901234567890123 +// C00 = .......#.#....##.#.#..## ................................................................ +// C01 = .......####...#.#####.#. ................................................................ +// C02 = #.......####...#.#####.# ................................................................ +// C03 = .#.......####...#.#####. ................................................................ +// C04 = ..#.......####...#.##### ................................................................ +// C05 = ...#...#.#.###.#.#####.. ................................................................ +// C06 = #...#..####.##.####.##.# ................................................................ +// C07 = .#...#..####.##.####.##. ................................................................ +// C08 = ..#...##..###.....#.#... ................................................................ +// C09 = #..#....##.#####.#...### ................................................................ +// C10 = .#..#....##.#####.#...## ................................................................ +// C11 = #.#..#.#.###.#..#.....#. ................................................................ +// C12 = ##.#..#.#.###.#..#.....# ................................................................ +// C13 = ###.#..#.#.###.#..#..... ................................................................ +// C14 = ####.#..#.#.###.#..#.... ................................................................ +// C15 = #####.##...#.#.....##.## ................................................................ +// C16 = .#####.##...#.#.....##.# ................................................................ +// C17 = #.#######....##..#.#.#.# ................................................................ +// C18 = .#.#######....##..#.#.#. ................................................................ +// C19 = ..#.#######....##..#.#.# ................................................................ +// C20 = ...#.##.#.##..###..##..# ................................................................ +// C21 = ....#.#....##.#.#..##### ................................................................ +// C22 = .....#.#....##.#.#..#### ................................................................ +// C23 = ......#.#....##.#.#..### ................................................................ +// +// Number of XORs used is 24 +// Maximum XOR input count is 15 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 278 +// +// Special signal relations - +// none +// + +module crc24_zer64x3_flat (c,crc_out); +input[23:0] c; +output[23:0] crc_out; +wire[23:0] crc_out; + +assign crc_out[0] = + c[7] ^ c[9] ^ c[14] ^ c[15] ^ c[17] ^ c[19] ^ + c[22] ^ c[23]; + +assign crc_out[1] = + c[7] ^ c[8] ^ c[9] ^ c[10] ^ c[14] ^ c[16] ^ + c[17] ^ c[18] ^ c[19] ^ c[20] ^ c[22]; + +assign crc_out[2] = + c[0] ^ c[8] ^ c[9] ^ c[10] ^ c[11] ^ c[15] ^ + c[17] ^ c[18] ^ c[19] ^ c[20] ^ c[21] ^ c[23]; + +assign crc_out[3] = + c[1] ^ c[9] ^ c[10] ^ c[11] ^ c[12] ^ c[16] ^ + c[18] ^ c[19] ^ c[20] ^ c[21] ^ c[22]; + +assign crc_out[4] = + c[2] ^ c[10] ^ c[11] ^ c[12] ^ c[13] ^ c[17] ^ + c[19] ^ c[20] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[5] = + c[3] ^ c[7] ^ c[9] ^ c[11] ^ c[12] ^ c[13] ^ + c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[20] ^ c[21]; + +assign crc_out[6] = + c[0] ^ c[4] ^ c[7] ^ c[8] ^ c[9] ^ c[10] ^ + c[12] ^ c[13] ^ c[15] ^ c[16] ^ c[17] ^ c[18] ^ c[20] ^ + c[21] ^ c[23]; + +assign crc_out[7] = + c[1] ^ c[5] ^ c[8] ^ c[9] ^ c[10] ^ c[11] ^ + c[13] ^ c[14] ^ c[16] ^ c[17] ^ c[18] ^ c[19] ^ c[21] ^ + c[22]; + +assign crc_out[8] = + c[2] ^ c[6] ^ c[7] ^ c[10] ^ c[11] ^ c[12] ^ + c[18] ^ c[20]; + +assign crc_out[9] = + c[0] ^ c[3] ^ c[8] ^ c[9] ^ c[11] ^ c[12] ^ + c[13] ^ c[14] ^ c[15] ^ c[17] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[10] = + c[1] ^ c[4] ^ c[9] ^ c[10] ^ c[12] ^ c[13] ^ + c[14] ^ c[15] ^ c[16] ^ c[18] ^ c[22] ^ c[23]; + +assign crc_out[11] = + c[0] ^ c[2] ^ c[5] ^ c[7] ^ c[9] ^ c[10] ^ + c[11] ^ c[13] ^ c[16] ^ c[22]; + +assign crc_out[12] = + c[0] ^ c[1] ^ c[3] ^ c[6] ^ c[8] ^ c[10] ^ + c[11] ^ c[12] ^ c[14] ^ c[17] ^ c[23]; + +assign crc_out[13] = + c[0] ^ c[1] ^ c[2] ^ c[4] ^ c[7] ^ c[9] ^ + c[11] ^ c[12] ^ c[13] ^ c[15] ^ c[18]; + +assign crc_out[14] = + c[0] ^ c[1] ^ c[2] ^ c[3] ^ c[5] ^ c[8] ^ + c[10] ^ c[12] ^ c[13] ^ c[14] ^ c[16] ^ c[19]; + +assign crc_out[15] = + c[0] ^ c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[6] ^ + c[7] ^ c[11] ^ c[13] ^ c[19] ^ c[20] ^ c[22] ^ c[23]; + +assign crc_out[16] = + c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[7] ^ + c[8] ^ c[12] ^ c[14] ^ c[20] ^ c[21] ^ c[23]; + +assign crc_out[17] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ + c[7] ^ c[8] ^ c[13] ^ c[14] ^ c[17] ^ c[19] ^ c[21] ^ + c[23]; + +assign crc_out[18] = + c[1] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ + c[8] ^ c[9] ^ c[14] ^ c[15] ^ c[18] ^ c[20] ^ c[22]; + +assign crc_out[19] = + c[2] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ + c[9] ^ c[10] ^ c[15] ^ c[16] ^ c[19] ^ c[21] ^ c[23]; + +assign crc_out[20] = + c[3] ^ c[5] ^ c[6] ^ c[8] ^ c[10] ^ c[11] ^ + c[14] ^ c[15] ^ c[16] ^ c[19] ^ c[20] ^ c[23]; + +assign crc_out[21] = + c[4] ^ c[6] ^ c[11] ^ c[12] ^ c[14] ^ c[16] ^ + c[19] ^ c[20] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[22] = + c[5] ^ c[7] ^ c[12] ^ c[13] ^ c[15] ^ c[17] ^ + c[20] ^ c[21] ^ c[22] ^ c[23]; + +assign crc_out[23] = + c[6] ^ c[8] ^ c[13] ^ c[14] ^ c[16] ^ c[18] ^ + c[21] ^ c[22] ^ c[23]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/crc/crc32_128_tb.v b/Advanced Synthesis Cookbook/crc/crc32_128_tb.v new file mode 100644 index 0000000..08b620f --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_128_tb.v @@ -0,0 +1,120 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 06-13-2006 +// compare the behavior of the 128 bit data, any byte residue +// unit to repeated single byte calls + +module crc32_128_tb (); + +//////////////////////////// +// 1 to 16 byte variable unit +// under test +//////////////////////////// +reg [3:0] dat_size; +reg [31:0] crc_in; +wire [31:0] crc_out; +reg [127:0] dat; +reg [127:0] tmp_dat; + +crc32_dat128_any_byte crc ( + .dat_size(dat_size), + .crc_in(crc_in), + .crc_out(crc_out), + .dat8(dat[7:0]), + .dat16(dat[15:0]), + .dat24(dat[23:0]), + .dat32(dat[31:0]), + .dat40(dat[39:0]), + .dat48(dat[47:0]), + .dat56(dat[55:0]), + .dat64(dat[63:0]), + .dat72(dat[71:0]), + .dat80(dat[79:0]), + .dat88(dat[87:0]), + .dat96(dat[95:0]), + .dat104(dat[103:0]), + .dat112(dat[111:0]), + .dat120(dat[119:0]), + .dat128(dat[127:0]) +); + +defparam crc .REVERSE_DATA = 1'b1; // LSB first + +//////////////////////////// +// Single byte reference +// unit +//////////////////////////// + +reg [31:0] ref_crc_in; +wire [31:0] ref_crc_out; +reg [7:0] ref_dat_in; + +crc32_dat8 ref ( + .crc_in (ref_crc_in), + .crc_out (ref_crc_out), + .dat_in ({ref_dat_in[0],ref_dat_in[1],ref_dat_in[2],ref_dat_in[3], + ref_dat_in[4],ref_dat_in[5],ref_dat_in[6],ref_dat_in[7]}) +); + + +integer n; +reg fail; + +initial begin + fail = 1'b0; + + #5000000 + if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #500 + + // New random stimulus + dat = {$random,$random,$random,$random}; + crc_in = $random; + + dat_size = $random; + #10 tmp_dat = dat; + #10 ref_crc_in = crc_in; + + // use the ref unit to iterate through the + // data in single bytes. + for (n=0; n> 8; + end + + // the 128 variable and the iterated bytes + // should get the same answer + if (ref_crc_out != crc_out) + begin + $display ("Mismatch at time %d",$time); + fail = 1'b1; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat104.v b/Advanced Synthesis Cookbook/crc/crc32_dat104.v new file mode 100644 index 0000000..68ae135 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat104.v @@ -0,0 +1,1077 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 104 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111 +// 00000000001111111111222222222233 00000000001111111111222222222233333333334444444444555555555566666666667777777777888888888899999999990000 +// 01234567890123456789012345678901 01234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123 +// +// C00 = XX.....X.XXXXX.X......XXXXXX.X.X X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.X +// C01 = X.X....XXX....XXX.....X.....XXXX XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XXXX...X..X.X....XXX....XXX.....X.....XXXX +// C02 = X..X...XX..XXX..XX....X.XXXX..X. XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X.XXXX..X. +// C03 = .X..X...XX..XXX..XX....X.XXXX..X .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X.XXXX..X +// C04 = .XX..X.X...XX.X...XX..XX.X..X..X X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X.X.XXXX..XX..X.X...XX.X...XX..XX.X..X..X +// C05 = XXXX..XXXXXX.......XX.X..X.X...X XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X..X.X...X +// C06 = XXXXX..XXXXXX.......XX.X..X.X... .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X..X.X... +// C07 = ..XXXX.XX......X.....X.X.XX....X X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X.......XX.X..XXXX.XX......X.....X.X.XX....X +// C08 = .X.XXXXXX.XXXX.XX......X.X...X.X XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X.X...X.X +// C09 = ..X.XXXXXX.XXXX.XX......X.X...X. .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X.X...X. +// C10 = .X.X.XX.X..X..X..XX...XXX.X..X.. X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX..X..XXX.X.X.XX.X..X..X..XX...XXX.X..X.. +// C11 = .XX.X.X...XX.X....XX..X...X..XXX XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX....XXX.X.XX.XX.X.X...XX.X....XX..X...X..XXX +// C12 = .XXX.X...XX..XXX...XX.X.XXX..XX. XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX. +// C13 = X.XXX.X...XX..XXX...XX.X.XXX..XX .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX +// C14 = .X.XXX.X...XX..XXX...XX.X.XXX..X ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..X +// C15 = X.X.XXX.X...XX..XXX...XX.X.XXX.. ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX.. +// C16 = ...X.XX...XXX.XX.XXX..X..X.XX.XX X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XX +// C17 = ....X.XX...XXX.XX.XXX..X..X.XX.X .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.X +// C18 = .....X.XX...XXX.XX.XXX..X..X.XX. ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX. +// C19 = ......X.XX...XXX.XX.XXX..X..X.XX ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX +// C20 = X......X.XX...XXX.XX.XXX..X..X.X ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.X +// C21 = .X......X.XX...XXX.XX.XXX..X..X. .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X. +// C22 = .XX....X..X..X.XXXX.XXX...XXXX.. X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX..XXXX....XX....X..X..X.XXXX.XXX...XXXX.. +// C23 = XXXX...XXXX.XXXXXXXX.X..XXX.X.XX XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XX +// C24 = .XXXX...XXXX.XXXXXXXX.X..XXX.X.X .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.X +// C25 = ..XXXX...XXXX.XXXXXXXX.X..XXX.X. ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X. +// C26 = .X.XXXXX.X......XXXXXX.X.XX.X... X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X... +// C27 = ..X.XXXXX.X......XXXXXX.X.XX.X.. .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X.. +// C28 = ...X.XXXXX.X......XXXXXX.X.XX.X. ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X. +// C29 = ....X.XXXXX.X......XXXXXX.X.XX.X ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X +// C30 = .....X.XXXXX.X......XXXXXX.X.XX. ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX. +// C31 = X.....X.XXXXX.X......XXXXXX.X.XX .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX +// +module crc32_dat104 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [103:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat104_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat104_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat104_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [103:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95,d96,d97,d98,d99,d100,d101,d102,d103; + +assign { d103,d102,d101,d100,d99,d98,d97,d96,d95,d94,d93,d92,d91,d90,d89, + d88,d87,d86,d85,d84,d83,d82,d81,d80,d79,d78,d77,d76,d75,d74,d73, + d72,d71,d70,d69,d68,d67,d66,d65,d64,d63,d62,d61,d60,d59,d58,d57, + d56,d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [103:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x7 = d95 ^ d25 ^ d32 ^ c31 ^ c23 ^ d75 ^ c3 ^ d87 ^ d29 ^ + d22 ^ d23 ^ d10 ^ d0 ^ c2 ^ d74 ^ d8 ^ c21 ^ d2 ^ d69 ^ + d45 ^ d98 ^ d51 ^ c7 ^ d47 ^ d57 ^ d7 ^ d50 ^ d16 ^ d37 ^ + c15 ^ d76 ^ d39 ^ c25 ^ d71 ^ d56 ^ d28 ^ c8 ^ d80 ^ d58 ^ + d43 ^ c4 ^ d46 ^ c26 ^ d93 ^ d54 ^ d5 ^ d52 ^ d97 ^ d79 ^ + d34 ^ c5 ^ d60 ^ d68 ^ d24 ^ d103 ^ d21 ^ d15 ^ d41 ^ d77 ^ + d42 ^ d3; // 61 ins 1 outs level 3 + + assign x6 = c28 ^ c2 ^ d64 ^ d11 ^ c1 ^ c12 ^ d71 ^ d20 ^ d62 ^ + d92 ^ d21 ^ d84 ^ d1 ^ d4 ^ d8 ^ d55 ^ c3 ^ d51 ^ d73 ^ + d80 ^ d93 ^ d25 ^ d50 ^ d43 ^ c0 ^ d45 ^ d95 ^ d76 ^ d38 ^ + d30 ^ c10 ^ d65 ^ d54 ^ c8 ^ d66 ^ d47 ^ c21 ^ d79 ^ d74 ^ + d40 ^ d7 ^ d29 ^ d2 ^ d70 ^ d75 ^ d52 ^ d60 ^ c26 ^ d14 ^ + d42 ^ d81 ^ d98 ^ c9 ^ c11 ^ c23 ^ c20 ^ d41 ^ d72 ^ c7 ^ + d56 ^ d5 ^ d100 ^ d68 ^ d83 ^ d82 ^ d6 ^ c4 ^ d22; // 68 ins 1 outs level 3 + + assign x5 = d28 ^ c27 ^ c0 ^ d24 ^ d49 ^ c31 ^ d64 ^ c1 ^ d6 ^ + d81 ^ d46 ^ d40 ^ d29 ^ d83 ^ c2 ^ d10 ^ d21 ^ c19 ^ c7 ^ + d41 ^ d67 ^ d75 ^ d7 ^ d99 ^ d44 ^ d103 ^ c11 ^ d53 ^ c9 ^ + d20 ^ c20 ^ d71 ^ d37 ^ d59 ^ c6 ^ d1 ^ d54 ^ d73 ^ d4 ^ + d80 ^ d39 ^ d74 ^ c22 ^ d79 ^ c10 ^ d91 ^ d0 ^ d69 ^ d55 ^ + d70 ^ d78 ^ d65 ^ d5 ^ d50 ^ d42 ^ d94 ^ d13 ^ d63 ^ d72 ^ + c3 ^ d3 ^ c25 ^ d51 ^ d19 ^ d61 ^ d82 ^ d92 ^ d97 ^ c8; // 69 ins 1 outs level 3 + + assign x4 = d2 ^ c23 ^ d57 ^ d67 ^ c1 ^ d83 ^ c22 ^ d100 ^ d46 ^ + d44 ^ d20 ^ d18 ^ d40 ^ d12 ^ d0 ^ d84 ^ d19 ^ d8 ^ d45 ^ + d29 ^ d58 ^ d25 ^ d74 ^ c25 ^ d90 ^ d73 ^ d3 ^ c18 ^ c7 ^ + d11 ^ d97 ^ d39 ^ d24 ^ d70 ^ d15 ^ c19 ^ d77 ^ d38 ^ d59 ^ + d30 ^ d31 ^ d95 ^ d47 ^ c11 ^ c12 ^ d4 ^ d79 ^ d48 ^ d33 ^ + d65 ^ c31 ^ d41 ^ d50 ^ d68 ^ d103 ^ d69 ^ d94 ^ d63 ^ d86 ^ + d6 ^ c28 ^ c2 ^ d91 ^ c14 ^ c5; // 65 ins 1 outs level 3 + + assign x3 = c26 ^ d7 ^ d69 ^ d39 ^ d81 ^ c14 ^ d68 ^ c1 ^ d37 ^ + c25 ^ d89 ^ c28 ^ d85 ^ c17 ^ c12 ^ d52 ^ c9 ^ d10 ^ d19 ^ + d17 ^ d73 ^ d3 ^ d27 ^ d25 ^ d15 ^ d56 ^ d2 ^ d95 ^ c31 ^ + d76 ^ d90 ^ d103 ^ c27 ^ d8 ^ d58 ^ d53 ^ c8 ^ d9 ^ d54 ^ + d31 ^ d45 ^ d32 ^ d97 ^ d65 ^ d38 ^ d1 ^ c23 ^ d71 ^ d99 ^ + d36 ^ d18 ^ d80 ^ d59 ^ c13 ^ d40 ^ c4 ^ d98 ^ c18 ^ d14 ^ + d100 ^ d60 ^ d33 ^ d86 ^ d84; // 64 ins 1 outs level 3 + + assign x2 = d67 ^ d30 ^ c7 ^ d38 ^ c12 ^ d68 ^ d37 ^ d31 ^ d9 ^ + d44 ^ d2 ^ d7 ^ d57 ^ d96 ^ c16 ^ d1 ^ c30 ^ d13 ^ d55 ^ + d64 ^ d26 ^ d75 ^ d70 ^ d51 ^ d36 ^ d52 ^ d99 ^ d80 ^ d6 ^ + d97 ^ d16 ^ d17 ^ d59 ^ d24 ^ d8 ^ d94 ^ c3 ^ d35 ^ c11 ^ + d85 ^ d18 ^ c8 ^ d102 ^ c0 ^ d0 ^ c17 ^ d58 ^ c22 ^ d72 ^ + d39 ^ d79 ^ d88 ^ d98 ^ d14 ^ d53 ^ d83 ^ c25 ^ c26 ^ c27 ^ + d89 ^ c24 ^ c13 ^ d84 ^ d32; // 64 ins 1 outs level 3 + + assign x1 = d28 ^ d0 ^ d6 ^ d12 ^ c9 ^ c29 ^ c0 ^ d58 ^ d27 ^ + d51 ^ c15 ^ d13 ^ d34 ^ d7 ^ d9 ^ d37 ^ d69 ^ d81 ^ d24 ^ + d44 ^ d101 ^ c31 ^ d47 ^ c30 ^ d74 ^ d53 ^ d33 ^ d16 ^ c7 ^ + d35 ^ d59 ^ d17 ^ c28 ^ c2 ^ d64 ^ d11 ^ d62 ^ d86 ^ d100 ^ + c22 ^ d79 ^ d60 ^ d65 ^ d50 ^ d88 ^ d38 ^ d103 ^ d102 ^ c8 ^ + d94 ^ c16 ^ d56 ^ d49 ^ d63 ^ d80 ^ d1 ^ d46 ^ d87 ^ d72 ^ + c14; // 60 ins 1 outs level 3 + + assign x0 = d82 ^ d67 ^ d85 ^ d61 ^ c25 ^ d72 ^ d63 ^ d94 ^ d103 ^ + d68 ^ d50 ^ d65 ^ d83 ^ d60 ^ d79 ^ c22 ^ d9 ^ d54 ^ d16 ^ + d48 ^ d47 ^ d45 ^ d44 ^ c1 ^ d98 ^ c23 ^ d32 ^ d99 ^ c29 ^ + d55 ^ c9 ^ d31 ^ d30 ^ d10 ^ d29 ^ d26 ^ d81 ^ d84 ^ d96 ^ + d34 ^ c15 ^ c13 ^ c24 ^ c26 ^ d28 ^ d0 ^ d87 ^ c27 ^ d6 ^ + c31 ^ c12 ^ d12 ^ d53 ^ d58 ^ c0 ^ c11 ^ d95 ^ d24 ^ d97 ^ + d37 ^ d66 ^ c10 ^ c7 ^ d101 ^ d73 ^ d25; // 66 ins 1 outs level 3 + + assign x31 = c31 ^ d84 ^ d62 ^ d93 ^ d60 ^ c8 ^ d83 ^ d47 ^ d96 ^ + d97 ^ d86 ^ d65 ^ d44 ^ d24 ^ d72 ^ d15 ^ d9 ^ d33 ^ d5 ^ + d100 ^ d52 ^ c26 ^ d103 ^ d8 ^ d71 ^ c12 ^ d98 ^ d94 ^ c25 ^ + d27 ^ c22 ^ c11 ^ d31 ^ c9 ^ d78 ^ c23 ^ d46 ^ c21 ^ d49 ^ + c6 ^ c24 ^ d23 ^ d53 ^ d82 ^ d57 ^ d28 ^ d67 ^ d64 ^ d54 ^ + d80 ^ d66 ^ d30 ^ c28 ^ d11 ^ d81 ^ d29 ^ c10 ^ d43 ^ d25 ^ + d102 ^ d36 ^ c14 ^ d59 ^ c30 ^ c0 ^ d95; // 66 ins 1 outs level 3 + + assign x30 = c29 ^ c27 ^ d102 ^ d42 ^ d65 ^ d81 ^ d63 ^ d79 ^ d83 ^ + d52 ^ d23 ^ d27 ^ d80 ^ d8 ^ c25 ^ d95 ^ d43 ^ d10 ^ c24 ^ + c7 ^ d29 ^ c13 ^ d97 ^ d82 ^ d101 ^ d64 ^ d92 ^ c10 ^ d46 ^ + d93 ^ c22 ^ d85 ^ d99 ^ c30 ^ d26 ^ d96 ^ d53 ^ d28 ^ d32 ^ + c21 ^ d48 ^ d71 ^ d56 ^ d66 ^ d58 ^ d4 ^ c8 ^ c11 ^ d7 ^ + d35 ^ d30 ^ c20 ^ d22 ^ c23 ^ d70 ^ c5 ^ d61 ^ d51 ^ d59 ^ + d24 ^ d77 ^ c9 ^ d45 ^ d14 ^ d94; // 65 ins 1 outs level 3 + + assign x29 = c12 ^ c28 ^ d78 ^ d29 ^ c6 ^ d42 ^ c26 ^ d3 ^ d58 ^ + d47 ^ d81 ^ d92 ^ d63 ^ d96 ^ d26 ^ d45 ^ d84 ^ d94 ^ d21 ^ + d103 ^ d31 ^ d100 ^ c10 ^ c4 ^ d98 ^ d41 ^ d64 ^ d93 ^ d50 ^ + c20 ^ d80 ^ c24 ^ d25 ^ d60 ^ d34 ^ c7 ^ c29 ^ d57 ^ c8 ^ + d9 ^ d95 ^ d52 ^ d69 ^ d27 ^ d70 ^ c9 ^ c22 ^ d79 ^ d55 ^ + d91 ^ c23 ^ d65 ^ d13 ^ d62 ^ d76 ^ d82 ^ d28 ^ d7 ^ c21 ^ + d44 ^ c19 ^ d23 ^ d101 ^ d22 ^ c31 ^ d6 ^ d51; // 67 ins 1 outs level 3 + + assign x28 = d69 ^ d27 ^ d75 ^ c18 ^ d28 ^ d30 ^ c11 ^ d12 ^ d61 ^ + d2 ^ d68 ^ d6 ^ c5 ^ d20 ^ d94 ^ d81 ^ d77 ^ c22 ^ d95 ^ + d24 ^ d33 ^ d41 ^ c3 ^ c28 ^ c27 ^ d49 ^ c9 ^ d8 ^ d40 ^ + d91 ^ d78 ^ d44 ^ d22 ^ d26 ^ d63 ^ d100 ^ d80 ^ d90 ^ d5 ^ + d102 ^ d56 ^ d79 ^ c23 ^ c8 ^ c25 ^ d46 ^ c21 ^ d54 ^ d59 ^ + d97 ^ d21 ^ c7 ^ c30 ^ d50 ^ d51 ^ d57 ^ d99 ^ c19 ^ d83 ^ + d43 ^ d64 ^ d93 ^ d62 ^ d92 ^ c20 ^ c6 ^ d25; // 67 ins 1 outs level 3 + + assign x27 = c8 ^ d98 ^ c19 ^ d93 ^ d62 ^ d45 ^ d99 ^ d21 ^ d23 ^ + d29 ^ d90 ^ c21 ^ d27 ^ d82 ^ d50 ^ d61 ^ d74 ^ d42 ^ c7 ^ + d79 ^ c24 ^ c17 ^ c29 ^ d24 ^ d76 ^ d94 ^ d43 ^ d96 ^ c4 ^ + d40 ^ c2 ^ d67 ^ c18 ^ d92 ^ d49 ^ d19 ^ d101 ^ c27 ^ d5 ^ + d11 ^ d1 ^ d78 ^ d53 ^ d58 ^ d80 ^ d39 ^ d56 ^ d25 ^ d60 ^ + c10 ^ c22 ^ d7 ^ d32 ^ c26 ^ d26 ^ c6 ^ d63 ^ c20 ^ d4 ^ + d55 ^ d20 ^ d89 ^ c5 ^ d68 ^ d91 ^ d48 ^ d77; // 67 ins 1 outs level 3 + + assign x26 = d95 ^ d24 ^ c3 ^ d88 ^ d39 ^ d67 ^ c21 ^ d97 ^ d75 ^ + d22 ^ c23 ^ d93 ^ d6 ^ d81 ^ d10 ^ c28 ^ c16 ^ d0 ^ d41 ^ + d52 ^ d78 ^ c6 ^ d66 ^ d28 ^ d62 ^ d3 ^ d100 ^ d92 ^ d26 ^ + d31 ^ d49 ^ c25 ^ d79 ^ d89 ^ c17 ^ c5 ^ c26 ^ d61 ^ c9 ^ + d42 ^ d90 ^ d55 ^ d19 ^ c20 ^ d91 ^ d47 ^ d76 ^ d54 ^ d25 ^ + c18 ^ d4 ^ d98 ^ d59 ^ d23 ^ d38 ^ d77 ^ c19 ^ c1 ^ c7 ^ + d18 ^ d44 ^ d20 ^ d48 ^ d57 ^ d60 ^ c4 ^ d73; // 67 ins 1 outs level 3 + + assign x25 = d67 ^ c11 ^ d84 ^ d98 ^ d31 ^ d89 ^ d56 ^ d75 ^ d38 ^ + d90 ^ d18 ^ d77 ^ d17 ^ d3 ^ d76 ^ d64 ^ d62 ^ d95 ^ d58 ^ + c5 ^ d82 ^ c20 ^ c30 ^ c27 ^ c19 ^ d52 ^ c21 ^ d19 ^ d100 ^ + d92 ^ d48 ^ d41 ^ d91 ^ d21 ^ c17 ^ d87 ^ d61 ^ c18 ^ d2 ^ + d28 ^ d93 ^ d11 ^ c23 ^ c4 ^ c16 ^ d40 ^ c9 ^ d37 ^ d99 ^ + d22 ^ d8 ^ c28 ^ d88 ^ c26 ^ d86 ^ d36 ^ c3 ^ d44 ^ c10 ^ + c2 ^ c14 ^ d102 ^ d71 ^ d29 ^ d51 ^ c12 ^ d49 ^ d74 ^ d15 ^ + d33 ^ d81 ^ c15 ^ d57 ^ d83; // 74 ins 1 outs level 3 + + assign x24 = c8 ^ d48 ^ c16 ^ d30 ^ d80 ^ d1 ^ c20 ^ d89 ^ d2 ^ + c9 ^ d51 ^ d21 ^ d35 ^ d85 ^ d66 ^ d27 ^ d7 ^ c11 ^ d83 ^ + d28 ^ d88 ^ d56 ^ d40 ^ d10 ^ d99 ^ d63 ^ d90 ^ c13 ^ c31 ^ + d74 ^ d60 ^ c22 ^ d98 ^ c15 ^ c25 ^ c4 ^ d92 ^ d91 ^ c19 ^ + d76 ^ d16 ^ d20 ^ c10 ^ c26 ^ c3 ^ d37 ^ d101 ^ c14 ^ d14 ^ + d32 ^ d97 ^ d36 ^ d55 ^ d86 ^ d82 ^ d57 ^ d94 ^ d43 ^ d39 ^ + c1 ^ d50 ^ d47 ^ c18 ^ c2 ^ c17 ^ d87 ^ d103 ^ c27 ^ c29 ^ + d17 ^ d18 ^ d61 ^ d73 ^ d70 ^ d75 ^ d81; // 76 ins 1 outs level 3 + + assign x23 = d50 ^ d55 ^ d29 ^ d19 ^ d13 ^ d97 ^ c17 ^ d91 ^ c12 ^ + c19 ^ d87 ^ d93 ^ c2 ^ c7 ^ d75 ^ d89 ^ d27 ^ d39 ^ c3 ^ + c28 ^ d47 ^ d82 ^ c14 ^ d98 ^ c18 ^ c26 ^ d103 ^ d72 ^ c0 ^ + c31 ^ d17 ^ d69 ^ d15 ^ d73 ^ d6 ^ d31 ^ d36 ^ d0 ^ c10 ^ + d79 ^ c24 ^ d100 ^ c13 ^ d90 ^ c1 ^ c15 ^ d16 ^ d54 ^ d38 ^ + d86 ^ d1 ^ d65 ^ d46 ^ c21 ^ d80 ^ c25 ^ c9 ^ d49 ^ d56 ^ + d20 ^ d42 ^ c16 ^ d34 ^ d9 ^ c8 ^ d102 ^ d88 ^ d60 ^ d62 ^ + d59 ^ d35 ^ d85 ^ d74 ^ c30 ^ d96 ^ d84 ^ d81 ^ d26; // 78 ins 1 outs level 3 + + assign x22 = d58 ^ d11 ^ d31 ^ d19 ^ d61 ^ d73 ^ c27 ^ d35 ^ d26 ^ + d55 ^ d93 ^ c21 ^ d89 ^ d98 ^ d68 ^ d18 ^ d12 ^ d65 ^ c28 ^ + d94 ^ c17 ^ d36 ^ c26 ^ d24 ^ d101 ^ d43 ^ d38 ^ c7 ^ d90 ^ + d14 ^ c29 ^ c1 ^ c10 ^ d74 ^ d87 ^ d62 ^ d85 ^ c2 ^ c16 ^ + d66 ^ c18 ^ d41 ^ d37 ^ c20 ^ d57 ^ c13 ^ d0 ^ d52 ^ d23 ^ + c15 ^ d67 ^ d34 ^ d29 ^ d99 ^ d44 ^ d45 ^ d92 ^ d47 ^ d48 ^ + d9 ^ d88 ^ d27 ^ d16 ^ d100 ^ c22 ^ d79 ^ d60 ^ d82; // 68 ins 1 outs level 3 + + assign x21 = d99 ^ d56 ^ d94 ^ d49 ^ d61 ^ d102 ^ d87 ^ d34 ^ d37 ^ + d88 ^ d24 ^ d52 ^ d92 ^ d42 ^ d5 ^ d73 ^ d96 ^ d9 ^ d71 ^ + c17 ^ d51 ^ c15 ^ c24 ^ d27 ^ d29 ^ d95 ^ c30 ^ c27 ^ d35 ^ + d18 ^ d53 ^ c19 ^ d26 ^ c10 ^ c20 ^ d31 ^ d10 ^ d82 ^ d89 ^ + c1 ^ d91 ^ c11 ^ c23 ^ d83 ^ d62 ^ c22 ^ d40 ^ d22 ^ c16 ^ + d17 ^ d80 ^ c8 ^ d13; // 53 ins 1 outs level 3 + + assign x20 = d16 ^ d4 ^ d93 ^ d72 ^ c19 ^ c0 ^ d90 ^ c22 ^ d86 ^ + d88 ^ c16 ^ d34 ^ c7 ^ d21 ^ d28 ^ d98 ^ c14 ^ d91 ^ c21 ^ + d23 ^ d70 ^ d60 ^ d101 ^ c9 ^ d9 ^ d61 ^ d51 ^ d17 ^ c26 ^ + c23 ^ d36 ^ d55 ^ d33 ^ d87 ^ d48 ^ c10 ^ d12 ^ d50 ^ d79 ^ + d30 ^ d81 ^ d8 ^ d82 ^ c15 ^ c18 ^ d94 ^ d25 ^ c29 ^ d26 ^ + d52 ^ c31 ^ d103 ^ d41 ^ d39 ^ d95; // 55 ins 1 outs level 3 + + assign x19 = d69 ^ d50 ^ d16 ^ c18 ^ d59 ^ d102 ^ d60 ^ d32 ^ c22 ^ + d86 ^ d40 ^ d25 ^ c17 ^ d38 ^ d71 ^ d89 ^ d29 ^ d87 ^ d90 ^ + d51 ^ d85 ^ c25 ^ c15 ^ d97 ^ d22 ^ d47 ^ d103 ^ d80 ^ d15 ^ + c30 ^ d33 ^ d8 ^ d24 ^ d54 ^ d49 ^ c8 ^ d81 ^ c31 ^ d3 ^ + c9 ^ d93 ^ d7 ^ d27 ^ d78 ^ d35 ^ d92 ^ c21 ^ d94 ^ c28 ^ + c14 ^ c13 ^ d100 ^ c6 ^ d20 ^ c20 ^ d11; // 56 ins 1 outs level 3 + + assign x18 = d99 ^ c27 ^ d31 ^ d79 ^ d37 ^ d96 ^ d102 ^ c21 ^ d101 ^ + c19 ^ c12 ^ d68 ^ d84 ^ d93 ^ d85 ^ c30 ^ d49 ^ c14 ^ d70 ^ + d14 ^ d48 ^ d10 ^ d89 ^ c24 ^ d53 ^ c13 ^ d88 ^ d24 ^ c17 ^ + d46 ^ c5 ^ d32 ^ c16 ^ d86 ^ d2 ^ d80 ^ d26 ^ c8 ^ d39 ^ + d91 ^ c29 ^ d21 ^ d50 ^ d34 ^ d15 ^ d7 ^ d58 ^ d59 ^ d77 ^ + d28 ^ d92 ^ c20 ^ d19 ^ d23 ^ d6 ^ c7; // 56 ins 1 outs level 3 + + assign x17 = c23 ^ c28 ^ d76 ^ d58 ^ c20 ^ d85 ^ c26 ^ c6 ^ d90 ^ + c19 ^ d1 ^ d31 ^ d45 ^ d5 ^ d67 ^ c12 ^ d23 ^ d79 ^ d52 ^ + d33 ^ d91 ^ d25 ^ d78 ^ d84 ^ d69 ^ c4 ^ d57 ^ d13 ^ d27 ^ + d95 ^ d30 ^ c11 ^ d38 ^ d36 ^ c29 ^ c31 ^ d6 ^ d101 ^ c15 ^ + d18 ^ c16 ^ d83 ^ c13 ^ d49 ^ d20 ^ d48 ^ d22 ^ d88 ^ d47 ^ + d9 ^ d98 ^ d87 ^ d100 ^ d14 ^ c7 ^ d92 ^ d103 ^ c18; // 58 ins 1 outs level 3 + + assign x16 = d47 ^ d99 ^ d5 ^ d77 ^ d100 ^ d32 ^ c15 ^ d68 ^ c3 ^ + c22 ^ d4 ^ d75 ^ d82 ^ c10 ^ c11 ^ c28 ^ d19 ^ d8 ^ d26 ^ + d56 ^ d89 ^ c30 ^ d30 ^ d44 ^ d83 ^ c19 ^ d46 ^ d90 ^ d84 ^ + d103 ^ c6 ^ d29 ^ d78 ^ d51 ^ d22 ^ d48 ^ d12 ^ d21 ^ c12 ^ + d94 ^ c25 ^ d57 ^ d102 ^ d66 ^ d37 ^ c27 ^ d17 ^ d13 ^ d97 ^ + c18 ^ c31 ^ c17 ^ d24 ^ d35 ^ c5 ^ d86 ^ c14 ^ d91 ^ d87 ^ + d0; // 60 ins 1 outs level 3 + + assign x15 = d71 ^ d84 ^ c0 ^ d99 ^ d90 ^ d76 ^ d24 ^ c18 ^ d18 ^ + d9 ^ d8 ^ c16 ^ d89 ^ d101 ^ c4 ^ d16 ^ d62 ^ d85 ^ d53 ^ + d95 ^ d97 ^ c5 ^ d72 ^ d33 ^ d44 ^ c23 ^ d100 ^ d55 ^ d88 ^ + d21 ^ d50 ^ d45 ^ d7 ^ c17 ^ d94 ^ c2 ^ d5 ^ d57 ^ c28 ^ + c13 ^ d15 ^ c29 ^ d74 ^ d54 ^ d60 ^ d4 ^ d3 ^ c27 ^ c6 ^ + d52 ^ d30 ^ d56 ^ d49 ^ d59 ^ d64 ^ d27 ^ d77 ^ d66 ^ c25 ^ + d34 ^ d12 ^ c12 ^ d20 ^ c22 ^ d80 ^ c8 ^ d78; // 67 ins 1 outs level 3 + + assign x14 = c3 ^ d61 ^ d3 ^ d43 ^ d100 ^ d19 ^ d26 ^ d89 ^ d94 ^ + d14 ^ c5 ^ d65 ^ d32 ^ d4 ^ d71 ^ c17 ^ d93 ^ d77 ^ c31 ^ + d49 ^ d54 ^ d11 ^ d76 ^ c7 ^ d73 ^ d17 ^ d63 ^ d20 ^ d23 ^ + c15 ^ c4 ^ d87 ^ d59 ^ c22 ^ c26 ^ d99 ^ d2 ^ d96 ^ c16 ^ + d7 ^ c28 ^ d48 ^ d44 ^ c12 ^ d103 ^ d53 ^ d83 ^ d56 ^ c1 ^ + d88 ^ d8 ^ d6 ^ d51 ^ d55 ^ d52 ^ d58 ^ d98 ^ d29 ^ d84 ^ + d33 ^ d15 ^ c24 ^ c27 ^ d70 ^ d75 ^ c11 ^ d79 ^ c21; // 68 ins 1 outs level 3 + + assign x13 = d72 ^ d6 ^ d74 ^ d22 ^ c3 ^ d98 ^ d43 ^ d16 ^ c30 ^ + d102 ^ d1 ^ d10 ^ d53 ^ c16 ^ d60 ^ d88 ^ d92 ^ d52 ^ c20 ^ + d25 ^ c14 ^ d58 ^ d69 ^ d76 ^ d87 ^ c25 ^ d93 ^ d103 ^ d3 ^ + d5 ^ d70 ^ d55 ^ c23 ^ d83 ^ d51 ^ d95 ^ c21 ^ c0 ^ c27 ^ + c15 ^ c31 ^ d32 ^ d28 ^ d97 ^ c10 ^ d14 ^ d48 ^ d54 ^ d19 ^ + d75 ^ d50 ^ d31 ^ d99 ^ d2 ^ d47 ^ d86 ^ d62 ^ d42 ^ d7 ^ + d82 ^ d64 ^ d78 ^ d18 ^ c26 ^ c4 ^ d13 ^ c2 ^ d57 ^ c11 ^ + c6; // 70 ins 1 outs level 3 + + assign x12 = d6 ^ d47 ^ c25 ^ d97 ^ d52 ^ d102 ^ d49 ^ c10 ^ d50 ^ + d24 ^ d17 ^ d86 ^ d51 ^ d82 ^ d75 ^ d71 ^ d13 ^ d56 ^ c14 ^ + d27 ^ d15 ^ d92 ^ d68 ^ d54 ^ d81 ^ d42 ^ d57 ^ c2 ^ d5 ^ + d98 ^ d30 ^ d87 ^ d59 ^ d41 ^ d0 ^ c1 ^ d2 ^ d74 ^ d1 ^ + c26 ^ c30 ^ d53 ^ d94 ^ d73 ^ c5 ^ d77 ^ d63 ^ d9 ^ c20 ^ + d18 ^ d96 ^ d21 ^ c29 ^ d69 ^ d12 ^ c3 ^ c24 ^ d46 ^ d4 ^ + d91 ^ d61 ^ c22 ^ d31 ^ c9 ^ d101 ^ c13 ^ c15 ^ d85 ^ c19; // 69 ins 1 outs level 3 + + assign x11 = d64 ^ d76 ^ d90 ^ c2 ^ d56 ^ d98 ^ d33 ^ d0 ^ d1 ^ + c13 ^ d3 ^ d74 ^ d31 ^ d85 ^ d71 ^ d83 ^ c19 ^ d55 ^ d24 ^ + d51 ^ d4 ^ d41 ^ d36 ^ d82 ^ d27 ^ c11 ^ d20 ^ d102 ^ c29 ^ + d57 ^ c1 ^ d17 ^ d59 ^ c18 ^ d26 ^ c30 ^ d44 ^ d91 ^ d45 ^ + d78 ^ c6 ^ c4 ^ d28 ^ d15 ^ d14 ^ d40 ^ d25 ^ d65 ^ c31 ^ + d58 ^ d47 ^ d12 ^ d73 ^ c10 ^ c26 ^ d48 ^ d101 ^ d16 ^ d54 ^ + d66 ^ d9 ^ c22 ^ d50 ^ d68 ^ d103 ^ d94 ^ d70 ^ d43; // 68 ins 1 outs level 3 + + assign x10 = d52 ^ d69 ^ d2 ^ d14 ^ c17 ^ d66 ^ d3 ^ d42 ^ c18 ^ + d95 ^ d16 ^ d9 ^ d0 ^ d73 ^ d40 ^ d26 ^ d31 ^ d33 ^ d96 ^ + d86 ^ d89 ^ c6 ^ c3 ^ d56 ^ d62 ^ c22 ^ d77 ^ d35 ^ c11 ^ + d13 ^ c14 ^ d29 ^ d50 ^ d28 ^ d94 ^ c8 ^ d60 ^ c26 ^ c24 ^ + d83 ^ d90 ^ d39 ^ d80 ^ d55 ^ d5 ^ c5 ^ d19 ^ c29 ^ d78 ^ + d71 ^ d63 ^ d36 ^ d32 ^ d70 ^ d75 ^ c23 ^ d98 ^ d59 ^ d58 ^ + c1 ^ d101; // 61 ins 1 outs level 3 + + assign x9 = d51 ^ d12 ^ d4 ^ d38 ^ d76 ^ d35 ^ c26 ^ c16 ^ c8 ^ + d79 ^ c5 ^ d102 ^ d96 ^ d29 ^ c11 ^ d2 ^ d46 ^ d78 ^ d71 ^ + d5 ^ d33 ^ d52 ^ d13 ^ d85 ^ d11 ^ c9 ^ d32 ^ d43 ^ d66 ^ + d36 ^ c24 ^ d24 ^ d60 ^ d70 ^ d53 ^ d81 ^ d58 ^ d84 ^ c14 ^ + c13 ^ d34 ^ d55 ^ d39 ^ d68 ^ d9 ^ d18 ^ d23 ^ d77 ^ d61 ^ + d83 ^ d1 ^ d74 ^ d80 ^ d88 ^ c7 ^ d98 ^ d47 ^ d86 ^ d89 ^ + d64 ^ c2 ^ c30 ^ d44 ^ c17 ^ c6 ^ d67 ^ d41 ^ c12 ^ d69 ^ + c4; // 70 ins 1 outs level 3 + + assign x8 = d10 ^ d32 ^ c8 ^ d84 ^ d31 ^ d17 ^ c16 ^ d12 ^ c12 ^ + d3 ^ d38 ^ d69 ^ d33 ^ d63 ^ d87 ^ d88 ^ c29 ^ c23 ^ c4 ^ + d4 ^ d43 ^ d73 ^ c1 ^ d54 ^ d95 ^ d75 ^ d57 ^ c13 ^ d76 ^ + d11 ^ c15 ^ d77 ^ d101 ^ d51 ^ c7 ^ d45 ^ d79 ^ c5 ^ d8 ^ + c10 ^ d59 ^ d66 ^ d40 ^ c6 ^ d35 ^ d37 ^ d60 ^ d83 ^ c25 ^ + d1 ^ d97 ^ d46 ^ d65 ^ d23 ^ d85 ^ c31 ^ d70 ^ d50 ^ d22 ^ + c11 ^ d0 ^ c3 ^ d28 ^ d34 ^ d78 ^ d68 ^ d103 ^ d42 ^ d67 ^ + d52 ^ d80 ^ d82; // 72 ins 1 outs level 3 + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat104_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [103:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x306, x305, x304, x303, x302, x301, x300, + x299, x298, x297, x296, x295, x294, x293, x292, + x291, x290, x289, x288, x287, x286, x285, x284, + x283, x282, x281, x280, x279, x278, x277, x276, + x275, x274, x273, x272, x271, x270, x269, x268, + x267, x266, x265, x264, x263, x262, x261, x260, + x259, x258, x257, x256, x255, x254, x253, x252, + x251, x250, x249, x248, x247, x246, x245, x244, + x243, x242, x241, x240, x239, x238, x237, x236, + x235, x234, x233, x232, x231, x230, x229, x228, + x227, x226, x225, x224, x223, x222, x221, x220, + x219, x218, x217, x216, x215, x214, x213, x212, + x211, x210, x209, x208, x207, x206, x205, x204, + x203, x202, x201, x200, x199, x198, x197, x196, + x195, x194, x193, x192, x191, x190, x189, x188, + x187, x186, x185, x184, x183, x182, x181, x180, + x179, x178, x177, x176, x175, x174, x173, x172, + x171, x170, x169, x168, x167, x166, x165, x164, + x163, x162, x161, x160, x159, x158, x157, x156, + x155, x154, x153, x152, x151, x150, x149, x148, + x147, x146, x145, x144, x143, x142, x141, x140, + x139, x138, x137, x135, x134, x133, x132, x131, + x130, x129, x128, x127, x126, x125, x124, x123, + x122, x121, x120, x119, x118, x117, x116, x115, + x113, x112, x111, x110, x109, x108, x107, x106, + x105, x104, x103, x102, x101, x100, x99, x98, + x97, x96, x95, x94, x93, x92, x91, x90, + x89, x88, x87, x86, x85, x84, x83, x82, + x81, x80, x79, x78, x77, x76, x74, x73, + x72, x71, x70, x69, x68, x67, x66, x65, + x64, x63, x62, x61, x60, x59, x58, x57, + x56, x55, x54, x53, x52, x51, x50, x49, + x48, x47, x46, x45, x44, x43, x42, x41, + x40, x39, x38, x37, x36, x35, x34, x33, + x32, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95,d96,d97,d98,d99,d100,d101,d102,d103; + +assign { d103,d102,d101,d100,d99,d98,d97,d96,d95,d94,d93,d92,d91,d90,d89, + d88,d87,d86,d85,d84,d83,d82,d81,d80,d79,d78,d77,d76,d75,d74,d73, + d72,d71,d70,d69,d68,d67,d66,d65,d64,d63,d62,d61,d60,d59,d58,d57, + d56,d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [103:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x306i (.out(x306),.a(x299),.b(x33),.c(x39),.d(x36),.e(x55),.f(x304)); // 6 ins 1 outs level 2 + + xor6 x305i (.out(x305),.a(x300),.b(x62),.c(x60),.d(x301),.e(x302),.f(x303)); // 6 ins 1 outs level 2 + + xor6 x304i (.out(x304),.a(d46),.b(d22),.c(d68),.d(d24),.e(d70),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x303i (.out(x303),.a(d97),.b(c3),.c(d28),.d(d32),.e(d50),.f(d66)); // 6 ins 1 outs level 1 + + xor6 x302i (.out(x302),.a(d88),.b(d42),.c(d33),.d(d51),.e(d19),.f(d11)); // 6 ins 1 outs level 1 + + xor6 x301i (.out(x301),.a(c29),.b(d101),.c(d85),.d(c6),.e(c16),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x300i (.out(x300),.a(d38),.b(d6),.c(c13),.d(d8),.e(c27),.f(d17)); // 6 ins 1 outs level 1 + + xor6 x299i (.out(x299),.a(d87),.b(d78),.c(d62),.d(d1),.e(d82),.f(c10)); // 6 ins 1 outs level 1 + + xor6 x298i (.out(x298),.a(x53),.b(x291),.c(x62),.d(x38),.e(x40),.f(x34)); // 6 ins 1 outs level 2 + + xor6 x297i (.out(x297),.a(x292),.b(x296),.c(x41),.d(x293),.e(x294),.f(x295)); // 6 ins 1 outs level 2 + + xor6 x296i (.out(x296),.a(d12),.b(d66),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x295i (.out(x295),.a(c20),.b(c16),.c(d60),.d(d61),.e(c8),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x294i (.out(x294),.a(d34),.b(c7),.c(d41),.d(d82),.e(d33),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x293i (.out(x293),.a(d52),.b(d5),.c(d86),.d(d25),.e(d46),.f(d76)); // 6 ins 1 outs level 1 + + xor6 x292i (.out(x292),.a(c4),.b(d53),.c(d68),.d(d79),.e(c14),.f(d50)); // 6 ins 1 outs level 1 + + xor6 x291i (.out(x291),.a(d80),.b(d59),.c(d11),.d(d14),.e(d71),.f(d69)); // 6 ins 1 outs level 1 + + xor6 x290i (.out(x290),.a(x284),.b(x65),.c(x37),.d(x56),.e(x36),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x289i (.out(x289),.a(x285),.b(c6),.c(x286),.d(x287),.e(x288),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x288i (.out(x288),.a(c24),.b(d86),.c(d28),.d(d33),.e(d26),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x287i (.out(x287),.a(c22),.b(d55),.c(d78),.d(c14),.e(d14),.f(d50)); // 6 ins 1 outs level 1 + + xor6 x286i (.out(x286),.a(d63),.b(d9),.c(d77),.d(c23),.e(d59),.f(d75)); // 6 ins 1 outs level 1 + + xor6 x285i (.out(x285),.a(d96),.b(d16),.c(c5),.d(d61),.e(d97),.f(d76)); // 6 ins 1 outs level 1 + + xor6 x284i (.out(x284),.a(d30),.b(c26),.c(d36),.d(d98),.e(d40),.f(d69)); // 6 ins 1 outs level 1 + + xor6 x283i (.out(x283),.a(x281),.b(x65),.c(x46),.d(x36),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x282i (.out(x282),.a(x276),.b(x53),.c(x277),.d(x278),.e(x279),.f(x280)); // 6 ins 1 outs level 2 + + xor6 x281i (.out(x281),.a(d16),.b(d65),.c(d24),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x280i (.out(x280),.a(d59),.b(d58),.c(c31),.d(d54),.e(d12),.f(c4)); // 6 ins 1 outs level 1 + + xor6 x279i (.out(x279),.a(d48),.b(d28),.c(d45),.d(d4),.e(d61),.f(d33)); // 6 ins 1 outs level 1 + + xor6 x278i (.out(x278),.a(d92),.b(d41),.c(d68),.d(d70),.e(d44),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x277i (.out(x277),.a(d103),.b(d96),.c(d15),.d(d40),.e(d76),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x276i (.out(x276),.a(d57),.b(d17),.c(d20),.d(d30),.e(d51),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x275i (.out(x275),.a(x46),.b(x32),.c(x35),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 2 + + xor6 x274i (.out(x274),.a(x50),.b(x270),.c(x273),.d(x36),.e(x271),.f(x272)); // 6 ins 1 outs level 2 + + xor6 x273i (.out(x273),.a(d46),.b(c24),.c(d49),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x272i (.out(x272),.a(d50),.b(d2),.c(c15),.d(c18),.e(d27),.f(c13)); // 6 ins 1 outs level 1 + + xor6 x271i (.out(x271),.a(d15),.b(d13),.c(d75),.d(d21),.e(d6),.f(d74)); // 6 ins 1 outs level 1 + + xor6 x270i (.out(x270),.a(d54),.b(d53),.c(d52),.d(c16),.e(d69),.f(d18)); // 6 ins 1 outs level 1 + + xor6 x269i (.out(x269),.a(x264),.b(x39),.c(x65),.d(x47),.e(x49),.f(x52)); // 6 ins 1 outs level 2 + + xor6 x268i (.out(x268),.a(d13),.b(x265),.c(x56),.d(x50),.e(x266),.f(x267)); // 6 ins 1 outs level 2 + + xor6 x267i (.out(x267),.a(d31),.b(d39),.c(d88),.d(d92),.e(d72),.f(d1)); // 6 ins 1 outs level 1 + + xor6 x266i (.out(x266),.a(d26),.b(d62),.c(d10),.d(d18),.e(c20),.f(c10)); // 6 ins 1 outs level 1 + + xor6 x265i (.out(x265),.a(c7),.b(d51),.c(d57),.d(c0),.e(d60),.f(c15)); // 6 ins 1 outs level 1 + + xor6 x264i (.out(x264),.a(d14),.b(d16),.c(d17),.d(c18),.e(d27),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x263i (.out(x263),.a(x56),.b(x64),.c(x41),.d(x48),.e(x43),.f(x47)); // 6 ins 1 outs level 2 + + xor6 x262i (.out(x262),.a(x256),.b(x261),.c(x257),.d(x258),.e(x259),.f(x260)); // 6 ins 1 outs level 2 + + xor6 x261i (.out(x261),.a(c24),.b(c26),.c(d18),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x260i (.out(x260),.a(c22),.b(d51),.c(d59),.d(d39),.e(d43),.f(d23)); // 6 ins 1 outs level 1 + + xor6 x259i (.out(x259),.a(d98),.b(d73),.c(c23),.d(c15),.e(c16),.f(c1)); // 6 ins 1 outs level 1 + + xor6 x258i (.out(x258),.a(d57),.b(d96),.c(d17),.d(d69),.e(d11),.f(d15)); // 6 ins 1 outs level 1 + + xor6 x257i (.out(x257),.a(d55),.b(d56),.c(c27),.d(d20),.e(d61),.f(d63)); // 6 ins 1 outs level 1 + + xor6 x256i (.out(x256),.a(d28),.b(c3),.c(d14),.d(d95),.e(d29),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x255i (.out(x255),.a(d74),.b(x249),.c(x41),.d(x40),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x254i (.out(x254),.a(x250),.b(x33),.c(x48),.d(x253),.e(x251),.f(x252)); // 6 ins 1 outs level 2 + + xor6 x253i (.out(x253),.a(c22),.b(d84),.c(d27),.d(d58),.e(c6),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x252i (.out(x252),.a(d20),.b(c13),.c(d64),.d(d78),.e(c7),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x251i (.out(x251),.a(d45),.b(d12),.c(d57),.d(d15),.e(d16),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x250i (.out(x250),.a(d50),.b(c16),.c(d5),.d(d97),.e(d90),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x249i (.out(x249),.a(d21),.b(d75),.c(d34),.d(c12),.e(d85),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x248i (.out(x248),.a(x246),.b(d13),.c(x61),.d(x35),.e(x34),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x247i (.out(x247),.a(x241),.b(x50),.c(x242),.d(x243),.e(x244),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x246i (.out(x246),.a(d32),.b(d82),.c(c31),.d(c6),.e(d59),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x245i (.out(x245),.a(d35),.b(d26),.c(d37),.d(d19),.e(d89),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x244i (.out(x244),.a(d46),.b(d51),.c(d78),.d(d44),.e(d103),.f(d99)); // 6 ins 1 outs level 1 + + xor6 x243i (.out(x243),.a(c17),.b(d30),.c(d48),.d(d66),.e(d8),.f(d100)); // 6 ins 1 outs level 1 + + xor6 x242i (.out(x242),.a(d21),.b(d0),.c(d75),.d(d94),.e(d92),.f(c16)); // 6 ins 1 outs level 1 + + xor6 x241i (.out(x241),.a(d63),.b(c15),.c(d56),.d(d29),.e(d42),.f(c28)); // 6 ins 1 outs level 1 + + xor6 x240i (.out(x240),.a(x237),.b(x37),.c(x53),.d(x34),.e(x238),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x239i (.out(x239),.a(d25),.b(x233),.c(x39),.d(x234),.e(x235),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x238i (.out(x238),.a(d90),.b(c16),.c(d67),.d(c29),.e(c18),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x237i (.out(x237),.a(d9),.b(d85),.c(d88),.d(d8),.e(d13),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x236i (.out(x236),.a(c19),.b(c6),.c(d30),.d(c26),.e(d91),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x235i (.out(x235),.a(d5),.b(c23),.c(d98),.d(d48),.e(d27),.f(d92)); // 6 ins 1 outs level 1 + + xor6 x234i (.out(x234),.a(d20),.b(d87),.c(c7),.d(d78),.e(c15),.f(d31)); // 6 ins 1 outs level 1 + + xor6 x233i (.out(x233),.a(d23),.b(d47),.c(d45),.d(d18),.e(c20),.f(d101)); // 6 ins 1 outs level 1 + + xor6 x232i (.out(x232),.a(x225),.b(x41),.c(x230),.d(x47),.e(x46),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x231i (.out(x231),.a(x226),.b(x55),.c(x227),.d(x228),.e(x229),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x230i (.out(x230),.a(d4),.b(d79),.c(c20),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x229i (.out(x229),.a(c19),.b(d91),.c(d92),.d(c17),.e(d46),.f(d50)); // 6 ins 1 outs level 1 + + xor6 x228i (.out(x228),.a(d88),.b(d58),.c(c8),.d(c24),.e(d89),.f(c15)); // 6 ins 1 outs level 1 + + xor6 x227i (.out(x227),.a(d9),.b(d14),.c(d68),.d(c13),.e(d86),.f(d23)); // 6 ins 1 outs level 1 + + xor6 x226i (.out(x226),.a(c16),.b(c12),.c(d84),.d(d31),.e(c29),.f(d101)); // 6 ins 1 outs level 1 + + xor6 x225i (.out(x225),.a(c14),.b(d15),.c(d6),.d(d80),.e(d21),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x224i (.out(x224),.a(x48),.b(x61),.c(x64),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 2 + + xor6 x223i (.out(x223),.a(x218),.b(x222),.c(x38),.d(x219),.e(x220),.f(x221)); // 6 ins 1 outs level 2 + + xor6 x222i (.out(x222),.a(d25),.b(c6),.c(d54),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x221i (.out(x221),.a(d69),.b(c31),.c(d92),.d(d87),.e(d57),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x220i (.out(x220),.a(d86),.b(c14),.c(d32),.d(c22),.e(c27),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x219i (.out(x219),.a(c15),.b(d93),.c(d65),.d(d47),.e(c13),.f(d90)); // 6 ins 1 outs level 1 + + xor6 x218i (.out(x218),.a(d78),.b(d29),.c(d62),.d(d7),.e(d50),.f(d16)); // 6 ins 1 outs level 1 + + xor6 x217i (.out(x217),.a(x212),.b(x216),.c(x45),.d(x213),.e(x214),.f(x215)); // 6 ins 1 outs level 2 + + xor6 x216i (.out(x216),.a(d88),.b(d79),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x215i (.out(x215),.a(c31),.b(d55),.c(d60),.d(d51),.e(c10),.f(d103)); // 6 ins 1 outs level 1 + + xor6 x214i (.out(x214),.a(c15),.b(c26),.c(d34),.d(d8),.e(d98),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x213i (.out(x213),.a(d52),.b(d36),.c(d82),.d(d30),.e(d40),.f(d33)); // 6 ins 1 outs level 1 + + xor6 x212i (.out(x212),.a(d39),.b(d12),.c(d4),.d(d90),.e(d92),.f(d95)); // 6 ins 1 outs level 1 + + xor6 x211i (.out(x211),.a(d23),.b(d25),.c(c7),.d(c23),.e(d50),.f(d16)); // 6 ins 1 outs level 1 + + xor6 x210i (.out(x210),.a(x204),.b(x209),.c(x205),.d(x206),.e(x207),.f(x208)); // 6 ins 1 outs level 2 + + xor6 x209i (.out(x209),.a(d13),.b(d62),.c(d31),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x208i (.out(x208),.a(c8),.b(d51),.c(d80),.d(d42),.e(d82),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x207i (.out(x207),.a(d52),.b(d26),.c(c24),.d(c20),.e(d94),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x206i (.out(x206),.a(d49),.b(d53),.c(c1),.d(c16),.e(d17),.f(d73)); // 6 ins 1 outs level 1 + + xor6 x205i (.out(x205),.a(d95),.b(d35),.c(d44),.d(d56),.e(d71),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x204i (.out(x204),.a(d22),.b(d24),.c(d5),.d(d61),.e(d85),.f(d99)); // 6 ins 1 outs level 1 + + xor6 x203i (.out(x203),.a(x197),.b(x62),.c(x40),.d(x53),.e(x43),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x202i (.out(x202),.a(x198),.b(x201),.c(x45),.d(x55),.e(x199),.f(x200)); // 6 ins 1 outs level 2 + + xor6 x201i (.out(x201),.a(d16),.b(d92),.c(d85),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x200i (.out(x200),.a(c22),.b(d79),.c(c16),.d(d10),.e(c20),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x199i (.out(x199),.a(c28),.b(d99),.c(d62),.d(d50),.e(d1),.f(d100)); // 6 ins 1 outs level 1 + + xor6 x198i (.out(x198),.a(d27),.b(d12),.c(d60),.d(c10),.e(d11),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x197i (.out(x197),.a(d24),.b(d57),.c(d9),.d(d52),.e(d41),.f(d66)); // 6 ins 1 outs level 1 + + xor6 x196i (.out(x196),.a(x189),.b(x59),.c(x39),.d(x46),.e(x36),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x195i (.out(x195),.a(x190),.b(x194),.c(x53),.d(x191),.e(x192),.f(x193)); // 6 ins 1 outs level 2 + + xor6 x194i (.out(x194),.a(d46),.b(d16),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x193i (.out(x193),.a(d39),.b(c24),.c(c3),.d(d49),.e(c15),.f(d59)); // 6 ins 1 outs level 1 + + xor6 x192i (.out(x192),.a(d34),.b(d65),.c(c18),.d(c7),.e(d14),.f(c12)); // 6 ins 1 outs level 1 + + xor6 x191i (.out(x191),.a(d100),.b(d15),.c(d20),.d(d19),.e(c28),.f(d97)); // 6 ins 1 outs level 1 + + xor6 x190i (.out(x190),.a(d75),.b(c19),.c(d84),.d(d91),.e(d88),.f(d42)); // 6 ins 1 outs level 1 + + xor6 x189i (.out(x189),.a(d13),.b(d56),.c(d26),.d(d54),.e(c21),.f(d93)); // 6 ins 1 outs level 1 + + xor6 x188i (.out(x188),.a(x33),.b(x182),.c(x65),.d(x35),.e(x38),.f(x55)); // 6 ins 1 outs level 2 + + xor6 x187i (.out(x187),.a(x183),.b(x56),.c(x40),.d(x186),.e(x184),.f(x185)); // 6 ins 1 outs level 2 + + xor6 x186i (.out(x186),.a(d16),.b(d76),.c(c1),.d(d63),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x185i (.out(x185),.a(d75),.b(c31),.c(d73),.d(d40),.e(d99),.f(d34)); // 6 ins 1 outs level 1 + + xor6 x184i (.out(x184),.a(d20),.b(d97),.c(c4),.d(d48),.e(d38),.f(d21)); // 6 ins 1 outs level 1 + + xor6 x183i (.out(x183),.a(c3),.b(d35),.c(d85),.d(d7),.e(d19),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x182i (.out(x182),.a(d44),.b(d43),.c(d103),.d(d90),.e(d28),.f(d62)); // 6 ins 1 outs level 1 + + xor6 x181i (.out(x181),.a(x175),.b(x50),.c(x48),.d(x43),.e(x40),.f(x32)); // 6 ins 1 outs level 2 + + xor6 x180i (.out(x180),.a(x176),.b(x34),.c(x38),.d(x179),.e(x177),.f(x178)); // 6 ins 1 outs level 2 + + xor6 x179i (.out(x179),.a(d47),.b(d56),.c(d37),.d(d11),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x178i (.out(x178),.a(c3),.b(d2),.c(d71),.d(d67),.e(d61),.f(c15)); // 6 ins 1 outs level 1 + + xor6 x177i (.out(x177),.a(d99),.b(d26),.c(d29),.d(d57),.e(d38),.f(d90)); // 6 ins 1 outs level 1 + + xor6 x176i (.out(x176),.a(d74),.b(d77),.c(d54),.d(d36),.e(d19),.f(d15)); // 6 ins 1 outs level 1 + + xor6 x175i (.out(x175),.a(c5),.b(d64),.c(d31),.d(c22),.e(d70),.f(d62)); // 6 ins 1 outs level 1 + + xor6 x174i (.out(x174),.a(x168),.b(d78),.c(x43),.d(x40),.e(x36),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x173i (.out(x173),.a(x169),.b(x41),.c(x170),.d(x171),.e(x172),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x172i (.out(x172),.a(c19),.b(d91),.c(d62),.d(c26),.e(d98),.f(d92)); // 6 ins 1 outs level 1 + + xor6 x171i (.out(x171),.a(d47),.b(d60),.c(c18),.d(d5),.e(d79),.f(d25)); // 6 ins 1 outs level 1 + + xor6 x170i (.out(x170),.a(d49),.b(d22),.c(c6),.d(d10),.e(c28),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x169i (.out(x169),.a(d100),.b(d39),.c(d51),.d(d6),.e(c7),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x168i (.out(x168),.a(d1),.b(d38),.c(c16),.d(d55),.e(d35),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x167i (.out(x167),.a(x45),.b(x62),.c(x35),.d(x47),.e(x33),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x166i (.out(x166),.a(x162),.b(x52),.c(x43),.d(x165),.e(x163),.f(x164)); // 6 ins 1 outs level 2 + + xor6 x165i (.out(x165),.a(d32),.b(d40),.c(d20),.d(d35),.e(d89),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x164i (.out(x164),.a(d47),.b(d64),.c(c20),.d(d42),.e(d27),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x163i (.out(x163),.a(d79),.b(d5),.c(c25),.d(d39),.e(d96),.f(d56)); // 6 ins 1 outs level 1 + + xor6 x162i (.out(x162),.a(d11),.b(c4),.c(d1),.d(c17),.e(d59),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x161i (.out(x161),.a(c27),.b(d76),.c(d28),.d(d12),.e(d45),.f(d21)); // 6 ins 1 outs level 1 + + xor6 x160i (.out(x160),.a(x154),.b(x43),.c(x65),.d(x61),.e(x33),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x159i (.out(x159),.a(x155),.b(x158),.c(x38),.d(x52),.e(x156),.f(x157)); // 6 ins 1 outs level 2 + + xor6 x158i (.out(x158),.a(d99),.b(d46),.c(d4),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x157i (.out(x157),.a(d95),.b(d60),.c(d75),.d(d20),.e(d97),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x156i (.out(x156),.a(c3),.b(c10),.c(d5),.d(d54),.e(c7),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x155i (.out(x155),.a(d50),.b(d6),.c(d30),.d(d2),.e(d94),.f(d70)); // 6 ins 1 outs level 1 + + xor6 x154i (.out(x154),.a(d56),.b(d48),.c(d61),.d(c23),.e(d29),.f(d69)); // 6 ins 1 outs level 1 + + xor6 x153i (.out(x153),.a(x147),.b(x33),.c(x37),.d(x39),.e(x52),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x152i (.out(x152),.a(x43),.b(x148),.c(x60),.d(x151),.e(x149),.f(x150)); // 6 ins 1 outs level 2 + + xor6 x151i (.out(x151),.a(d13),.b(d7),.c(d68),.d(d42),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x150i (.out(x150),.a(c24),.b(d27),.c(d40),.d(c4),.e(d34),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x149i (.out(x149),.a(d96),.b(d74),.c(c28),.d(d101),.e(d94),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x148i (.out(x148),.a(c12),.b(d23),.c(d48),.d(d9),.e(d31),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x147i (.out(x147),.a(d63),.b(c25),.c(c2),.d(d22),.e(d100),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x146i (.out(x146),.a(x139),.b(x38),.c(x47),.d(x65),.e(x41),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x145i (.out(x145),.a(x140),.b(x46),.c(x141),.d(x142),.e(x143),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x144i (.out(x144),.a(c8),.b(c18),.c(d22),.d(d9),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x143i (.out(x143),.a(d65),.b(d97),.c(d14),.d(d52),.e(c23),.f(d46)); // 6 ins 1 outs level 1 + + xor6 x142i (.out(x142),.a(d92),.b(d45),.c(d64),.d(d70),.e(d80),.f(c10)); // 6 ins 1 outs level 1 + + xor6 x141i (.out(x141),.a(c13),.b(c24),.c(d43),.d(d23),.e(d8),.f(d42)); // 6 ins 1 outs level 1 + + xor6 x140i (.out(x140),.a(d82),.b(d35),.c(d58),.d(d95),.e(c22),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x139i (.out(x139),.a(d63),.b(d57),.c(c27),.d(c25),.e(d32),.f(d10)); // 6 ins 1 outs level 1 + + xor6 x138i (.out(x138),.a(x46),.b(x64),.c(x32),.d(x52),.e(x59),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x137i (.out(x137),.a(x132),.b(x34),.c(x133),.d(x134),.e(x135),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x135i (.out(x135),.a(d86),.b(d46),.c(c2),.d(d54),.e(c21),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x134i (.out(x134),.a(c31),.b(d93),.c(d11),.d(d52),.e(d36),.f(c14)); // 6 ins 1 outs level 1 + + xor6 x133i (.out(x133),.a(d66),.b(d27),.c(d67),.d(d29),.e(d23),.f(d5)); // 6 ins 1 outs level 1 + + xor6 x132i (.out(x132),.a(d53),.b(d95),.c(d31),.d(d28),.e(c22),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x131i (.out(x131),.a(x124),.b(x41),.c(x45),.d(x59),.e(x36),.f(x34)); // 6 ins 1 outs level 2 + + xor6 x130i (.out(x130),.a(x125),.b(x47),.c(x129),.d(x126),.e(x127),.f(x128)); // 6 ins 1 outs level 2 + + xor6 x129i (.out(x129),.a(d74),.b(d57),.c(c24),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x128i (.out(x128),.a(d97),.b(d85),.c(d87),.d(d7),.e(d32),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x127i (.out(x127),.a(c13),.b(c23),.c(c2),.d(d54),.e(d95),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x126i (.out(x126),.a(d58),.b(d48),.c(d16),.d(d66),.e(d44),.f(c22)); // 6 ins 1 outs level 1 + + xor6 x125i (.out(x125),.a(c18),.b(d28),.c(d25),.d(d69),.e(d67),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x124i (.out(x124),.a(d60),.b(d9),.c(d96),.d(d24),.e(c25),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x123i (.out(x123),.a(x64),.b(x48),.c(x50),.d(x39),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x122i (.out(x122),.a(x118),.b(x55),.c(x119),.d(x120),.e(x121),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x121i (.out(x121),.a(d46),.b(d0),.c(d47),.d(d63),.e(d12),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x120i (.out(x120),.a(c22),.b(c25),.c(d16),.d(d50),.e(d10),.f(c30)); // 6 ins 1 outs level 1 + + xor6 x119i (.out(x119),.a(c29),.b(d27),.c(d74),.d(d44),.e(d71),.f(d102)); // 6 ins 1 outs level 1 + + xor6 x118i (.out(x118),.a(d99),.b(d35),.c(d13),.d(d56),.e(d51),.f(d81)); // 6 ins 1 outs level 1 + + xor6 x117i (.out(x117),.a(d9),.b(c9),.c(d64),.d(d88),.e(d58),.f(d101)); // 6 ins 1 outs level 1 + + xor6 x116i (.out(x116),.a(x46),.b(x62),.c(x53),.d(x56),.e(x34),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x115i (.out(x115),.a(c24),.b(x110),.c(c8),.d(x111),.e(x112),.f(x113)); // 6 ins 1 outs level 2 + + xor6 x113i (.out(x113),.a(d79),.b(d55),.c(d16),.d(d6),.e(d0),.f(c16)); // 6 ins 1 outs level 1 + + xor6 x112i (.out(x112),.a(d52),.b(d23),.c(d51),.d(d24),.e(d75),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x111i (.out(x111),.a(d13),.b(d68),.c(d17),.d(c25),.e(d31),.f(c3)); // 6 ins 1 outs level 1 + + xor6 x110i (.out(x110),.a(d97),.b(d8),.c(c2),.d(d94),.e(d37),.f(d64)); // 6 ins 1 outs level 1 + + xor6 x109i (.out(x109),.a(c22),.b(d30),.c(d59),.d(d80),.e(c26),.f(d98)); // 6 ins 1 outs level 1 + + xor6 x108i (.out(x108),.a(x102),.b(x60),.c(x36),.d(x56),.e(x53),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x107i (.out(x107),.a(x103),.b(d86),.c(x48),.d(x104),.e(x105),.f(x106)); // 6 ins 1 outs level 2 + + xor6 x106i (.out(x106),.a(c14),.b(d9),.c(c12),.d(d70),.e(d69),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x105i (.out(x105),.a(d56),.b(d89),.c(d71),.d(d0),.e(c27),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x104i (.out(x104),.a(d37),.b(d43),.c(d84),.d(d40),.e(c18),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x103i (.out(x103),.a(d27),.b(d62),.c(d10),.d(d75),.e(d25),.f(d17)); // 6 ins 1 outs level 1 + + xor6 x102i (.out(x102),.a(c9),.b(d59),.c(d18),.d(d81),.e(c26),.f(d98)); // 6 ins 1 outs level 1 + + xor6 x101i (.out(x101),.a(x94),.b(x56),.c(x39),.d(x34),.e(x33),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x100i (.out(x100),.a(x95),.b(x99),.c(x36),.d(x96),.e(x97),.f(x98)); // 6 ins 1 outs level 2 + + xor6 x99i (.out(x99),.a(d47),.b(d86),.c(d90),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x98i (.out(x98),.a(d49),.b(d58),.c(c23),.d(c18),.e(c7),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x97i (.out(x97),.a(d97),.b(c14),.c(d94),.d(d29),.e(d18),.f(d67)); // 6 ins 1 outs level 1 + + xor6 x96i (.out(x96),.a(d50),.b(c2),.c(d74),.d(d45),.e(d15),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x95i (.out(x95),.a(c19),.b(d95),.c(d44),.d(d25),.e(d46),.f(c25)); // 6 ins 1 outs level 1 + + xor6 x94i (.out(x94),.a(d91),.b(d3),.c(d41),.d(c22),.e(d65),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x93i (.out(x93),.a(x86),.b(x64),.c(x49),.d(x39),.e(x38),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x92i (.out(x92),.a(x87),.b(x35),.c(x91),.d(x88),.e(x89),.f(x90)); // 6 ins 1 outs level 2 + + xor6 x91i (.out(x91),.a(c8),.b(d13),.c(d19),.d(d3),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x90i (.out(x90),.a(d67),.b(c1),.c(d61),.d(d1),.e(d64),.f(c11)); // 6 ins 1 outs level 1 + + xor6 x89i (.out(x89),.a(d83),.b(c6),.c(d63),.d(d75),.e(d49),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x88i (.out(x88),.a(d21),.b(d70),.c(d82),.d(d28),.e(d40),.f(d46)); // 6 ins 1 outs level 1 + + xor6 x87i (.out(x87),.a(d57),.b(d4),.c(d73),.d(d78),.e(d44),.f(d41)); // 6 ins 1 outs level 1 + + xor6 x86i (.out(x86),.a(d39),.b(d37),.c(d54),.d(d10),.e(d80),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x85i (.out(x85),.a(x33),.b(x49),.c(x38),.d(x60),.e(x37),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x84i (.out(x84),.a(x79),.b(x34),.c(x80),.d(x81),.e(x82),.f(x83)); // 6 ins 1 outs level 2 + + xor6 x83i (.out(x83),.a(d30),.b(d2),.c(d40),.d(d7),.e(d100),.f(d4)); // 6 ins 1 outs level 1 + + xor6 x82i (.out(x82),.a(d66),.b(d71),.c(d41),.d(d95),.e(d56),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x81i (.out(x81),.a(d76),.b(d52),.c(d21),.d(d64),.e(d70),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x80i (.out(x80),.a(d22),.b(c1),.c(d79),.d(d97),.e(d93),.f(c28)); // 6 ins 1 outs level 1 + + xor6 x79i (.out(x79),.a(d14),.b(d92),.c(d20),.d(c0),.e(d11),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x78i (.out(x78),.a(d6),.b(d73),.c(d8),.d(d25),.e(c10),.f(d1)); // 6 ins 1 outs level 1 + + xor6 x77i (.out(x77),.a(x70),.b(d46),.c(d22),.d(x49),.e(x39),.f(x60)); // 6 ins 1 outs level 2 + + xor6 x76i (.out(x76),.a(x71),.b(x55),.c(x72),.d(x73),.e(x74),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x74i (.out(x74),.a(d77),.b(d15),.c(d71),.d(d56),.e(d65),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x73i (.out(x73),.a(d16),.b(c21),.c(d25),.d(d0),.e(d62),.f(d7)); // 6 ins 1 outs level 1 + + xor6 x72i (.out(x72),.a(d51),.b(d24),.c(c5),.d(d39),.e(d87),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x71i (.out(x71),.a(d82),.b(d8),.c(d21),.d(d23),.e(d93),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x70i (.out(x70),.a(d41),.b(c25),.c(c27),.d(d6),.e(d2),.f(d57)); // 6 ins 1 outs level 1 + + xor6 x69i (.out(x69),.a(d1),.b(d8),.c(d38),.d(d11),.e(x33),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x68i (.out(x68),.a(d41),.b(x38),.c(d66),.d(x49),.e(d1),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x67i (.out(x67),.a(d38),.b(d11),.c(x33),.d(d20),.e(d40),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x66i (.out(x66),.a(c17),.b(x33),.c(d27),.d(d89),.e(d35),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x65i (.out(x65),.a(d27),.b(d83),.c(c11),.d(d29),.e(1'b0),.f(1'b0)); // 4 ins 7 outs level 1 + + xor6 x64i (.out(x64),.a(d59),.b(d24),.c(d65),.d(d71),.e(d94),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x63i (.out(x63),.a(d40),.b(x35),.c(d70),.d(d21),.e(d41),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x62i (.out(x62),.a(d19),.b(d23),.c(d67),.d(d58),.e(d35),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x61i (.out(x61),.a(c27),.b(d22),.c(c18),.d(d102),.e(c30),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x60i (.out(x60),.a(d68),.b(d43),.c(d45),.d(d65),.e(c7),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x59i (.out(x59),.a(c10),.b(d81),.c(c0),.d(d72),.e(c9),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x58i (.out(x58),.a(d103),.b(d85),.c(d97),.d(x33),.e(d15),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x57i (.out(x57),.a(x45),.b(d71),.c(d56),.d(d66),.e(d30),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x56i (.out(x56),.a(d39),.b(d19),.c(d32),.d(d70),.e(d2),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x55i (.out(x55),.a(d10),.b(d34),.c(c15),.d(c27),.e(d37),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x54i (.out(x54),.a(x47),.b(d72),.c(c2),.d(c27),.e(c0),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x53i (.out(x53),.a(d38),.b(d1),.c(d36),.d(c13),.e(d14),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x52i (.out(x52),.a(d78),.b(c6),.c(d25),.d(d43),.e(d64),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x51i (.out(x51),.a(d68),.b(d90),.c(d12),.d(d63),.e(x41),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x50i (.out(x50),.a(c14),.b(d86),.c(d87),.d(d17),.e(c16),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x49i (.out(x49),.a(d5),.b(d42),.c(c3),.d(c25),.e(d97),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x48i (.out(x48),.a(d33),.b(c28),.c(d49),.d(d8),.e(d100),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x47i (.out(x47),.a(c7),.b(d7),.c(d99),.d(d53),.e(1'b0),.f(1'b0)); // 4 ins 8 outs level 1 + + xor6 x46i (.out(x46),.a(d9),.b(d102),.c(d85),.d(c30),.e(d96),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x45i (.out(x45),.a(d101),.b(d94),.c(c18),.d(c29),.e(d61),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x44i (.out(x44),.a(c23),.b(c4),.c(x37),.d(d54),.e(d75),.f(1'b0)); // 5 ins 9 outs level 2 + + xor6 x43i (.out(x43),.a(d93),.b(d26),.c(d28),.d(c21),.e(d48),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x42i (.out(x42),.a(d74),.b(x32),.c(d50),.d(d55),.e(d29),.f(1'b0)); // 5 ins 12 outs level 2 + + xor6 x41i (.out(x41),.a(d4),.b(c5),.c(d59),.d(d24),.e(d77),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x40i (.out(x40),.a(d18),.b(d88),.c(d89),.d(d44),.e(c17),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x39i (.out(x39),.a(d103),.b(c31),.c(d69),.d(d6),.e(d79),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x38i (.out(x38),.a(d81),.b(d57),.c(c20),.d(c9),.e(d51),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x37i (.out(x37),.a(d3),.b(d76),.c(d95),.d(d58),.e(d52),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x36i (.out(x36),.a(d73),.b(d31),.c(d0),.d(d90),.e(c1),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x35i (.out(x35),.a(c22),.b(d92),.c(d91),.d(c19),.e(c10),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x34i (.out(x34),.a(c12),.b(d57),.c(d83),.d(c11),.e(d84),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x33i (.out(x33),.a(d80),.b(c25),.c(c8),.d(d60),.e(d62),.f(1'b0)); // 5 ins 13 outs level 1 + + xor6 x32i (.out(x32),.a(c2),.b(d47),.c(d82),.d(d98),.e(c26),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x7i (.out(x7),.a(x76),.b(x33),.c(x44),.d(x42),.e(x77),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x6i (.out(x6),.a(x78),.b(x42),.c(x44),.d(x85),.e(x84),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x5i (.out(x5),.a(x92),.b(x42),.c(x32),.d(x54),.e(x93),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x4i (.out(x4),.a(x100),.b(x67),.c(x51),.d(x48),.e(x101),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x3i (.out(x3),.a(x107),.b(x47),.c(x58),.d(x44),.e(x108),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x2i (.out(x2),.a(x109),.b(x40),.c(x54),.d(x116),.e(x115),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x1i (.out(x1),.a(x69),.b(x123),.c(x54),.d(x122),.e(x117),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x0i (.out(x0),.a(x130),.b(x39),.c(x51),.d(x55),.e(x42),.f(x131)); // 6 ins 1 outs level 3 + + xor6 x31i (.out(x31),.a(d30),.b(x137),.c(c24),.d(x48),.e(x58),.f(x138)); // 6 ins 1 outs level 3 + + xor6 x30i (.out(x30),.a(x144),.b(x43),.c(x57),.d(x145),.e(x146),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x29i (.out(x29),.a(x152),.b(x38),.c(x63),.d(x42),.e(x153),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x28i (.out(x28),.a(x159),.b(x48),.c(x63),.d(x51),.e(x160),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x27i (.out(x27),.a(x161),.b(x51),.c(x42),.d(x167),.e(x166),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x26i (.out(x26),.a(x173),.b(x62),.c(x68),.d(x44),.e(x174),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x25i (.out(x25),.a(x180),.b(x61),.c(x63),.d(x44),.e(x181),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x24i (.out(x24),.a(x187),.b(x50),.c(x53),.d(x42),.e(x57),.f(x188)); // 6 ins 1 outs level 3 + + xor6 x23i (.out(x23),.a(x195),.b(x50),.c(x66),.d(x42),.e(x196),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x22i (.out(x22),.a(x202),.b(x36),.c(x60),.d(x42),.e(x203),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x21i (.out(x21),.a(x210),.b(x35),.c(x40),.d(x46),.e(x65),.f(x55)); // 6 ins 1 outs level 3 + + xor6 x20i (.out(x20),.a(x211),.b(x43),.c(x50),.d(x59),.e(x63),.f(x217)); // 6 ins 1 outs level 3 + + xor6 x19i (.out(x19),.a(x223),.b(x67),.c(x66),.d(x58),.e(x224),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x18i (.out(x18),.a(x231),.b(x43),.c(x56),.d(x232),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x17i (.out(x17),.a(c4),.b(x236),.c(x48),.d(x239),.e(x240),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x16i (.out(x16),.a(x245),.b(x49),.c(x51),.d(x247),.e(x248),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x15i (.out(x15),.a(x254),.b(x57),.c(x54),.d(x44),.e(x255),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x14i (.out(x14),.a(x262),.b(x34),.c(x39),.d(x40),.e(x44),.f(x263)); // 6 ins 1 outs level 3 + + xor6 x13i (.out(x13),.a(x268),.b(x43),.c(x61),.d(x44),.e(x42),.f(x269)); // 6 ins 1 outs level 3 + + xor6 x12i (.out(x12),.a(x274),.b(x68),.c(x57),.d(x51),.e(x275),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x11i (.out(x11),.a(x282),.b(x52),.c(x57),.d(x42),.e(x283),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x10i (.out(x10),.a(x289),.b(x49),.c(x66),.d(x57),.e(x290),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x9i (.out(x9),.a(x297),.b(x46),.c(x52),.d(x56),.e(x42),.f(x298)); // 6 ins 1 outs level 3 + + xor6 x8i (.out(x8),.a(x305),.b(x34),.c(x51),.d(x44),.e(x306),.f(1'b0)); // 5 ins 1 outs level 3 + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat112.v b/Advanced Synthesis Cookbook/crc/crc32_dat112.v new file mode 100644 index 0000000..31788a5 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat112.v @@ -0,0 +1,1153 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 112 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000000000000000000000000000 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111 +// 00000000001111111111222222222233 0000000000111111111122222222223333333333444444444455555555556666666666777777777788888888889999999999000000000011 +// 01234567890123456789012345678901 0123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901 +// +// C00 = .XXXXX.X......XXXXXX.X.XX.X...XX X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX +// C01 = XX....XXX.....X.....XXXX.XXX..X. XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XXXX...X..X.X....XXX....XXX.....X.....XXXX.XXX..X. +// C02 = X..XXX..XX....X.XXXX..X....XX.X. XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X.XXXX..X....XX.X. +// C03 = XX..XXX..XX....X.XXXX..X....XX.X .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X.XXXX..X....XX.X +// C04 = ...XX.X...XX..XX.X..X..X..X..X.X X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X.X.XXXX..XX..X.X...XX.X...XX..XX.X..X..X..X..X.X +// C05 = XXXX.......XX.X..X.X...X..XX...X XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X..X.X...X..XX...X +// C06 = XXXXX.......XX.X..X.X...X..XX... .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X..X.X...X..XX... +// C07 = X......X.....X.X.XX....XXXX.XXXX X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X.......XX.X..XXXX.XX......X.....X.X.XX....XXXX.XXXX +// C08 = X.XXXX.XX......X.X...X.X.X.X.X.. XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X.X...X.X.X.X.X.. +// C09 = XX.XXXX.XX......X.X...X.X.X.X.X. .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X.X...X.X.X.X.X. +// C10 = X..X..X..XX...XXX.X..X..XXXX.XX. X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX..X..XXX.X.X.XX.X..X..X..XX...XXX.X..X..XXXX.XX. +// C11 = ..XX.X....XX..X...X..XXXXX.XX... XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX....XXX.X.XX.XX.X.X...XX.X....XX..X...X..XXXXX.XX... +// C12 = .XX..XXX...XX.X.XXX..XX..X..XXXX XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX +// C13 = ..XX..XXX...XX.X.XXX..XX..X..XXX .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXX +// C14 = ...XX..XXX...XX.X.XXX..XX..X..XX ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XX +// C15 = X...XX..XXX...XX.X.XXX..XX..X..X ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..X +// C16 = ..XXX.XX.XXX..X..X.XX.XXXX...XXX X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXX +// C17 = ...XXX.XX.XXX..X..X.XX.XXXX...XX .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XX +// C18 = X...XXX.XX.XXX..X..X.XX.XXXX...X ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...X +// C19 = XX...XXX.XX.XXX..X..X.XX.XXXX... ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX... +// C20 = .XX...XXX.XX.XXX..X..X.XX.XXXX.. ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX.. +// C21 = X.XX...XXX.XX.XXX..X..X.XX.XXXX. .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX. +// C22 = ..X..X.XXXX.XXX...XXXX..XX..XX.. X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX..XXXX....XX....X..X..X.XXXX.XXX...XXXX..XX..XX.. +// C23 = XXX.XXXXXXXX.X..XXX.X.XXXX...X.X XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X.X +// C24 = XXXX.XXXXXXXX.X..XXX.X.XXXX...X. .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X. +// C25 = .XXXX.XXXXXXXX.X..XXX.X.XXXX...X ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X +// C26 = .X......XXXXXX.X.XX.X...XX.XX.XX X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XX +// C27 = X.X......XXXXXX.X.XX.X...XX.XX.X .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.X +// C28 = XX.X......XXXXXX.X.XX.X...XX.XX. ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX. +// C29 = XXX.X......XXXXXX.X.XX.X...XX.XX ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX +// C30 = XXXX.X......XXXXXX.X.XX.X...XX.X ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.X +// C31 = XXXXX.X......XXXXXX.X.XX.X...XX. .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX. +// +module crc32_dat112 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [111:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat112_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat112_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat112_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [111:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95,d96,d97,d98,d99,d100,d101,d102,d103,d104,d105,d106,d107,d108,d109,d110, + d111; + +assign { d111,d110,d109,d108,d107,d106,d105,d104,d103,d102,d101,d100,d99,d98,d97, + d96,d95,d94,d93,d92,d91,d90,d89,d88,d87,d86,d85,d84,d83,d82,d81, + d80,d79,d78,d77,d76,d75,d74,d73,d72,d71,d70,d69,d68,d67,d66,d65, + d64,d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [111:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x15 = d72 ^ c0 ^ d50 ^ c21 ^ c24 ^ d34 ^ d111 ^ c9 ^ d100 ^ + c28 ^ d95 ^ d20 ^ c19 ^ c31 ^ d66 ^ d18 ^ d8 ^ d57 ^ c17 ^ + d3 ^ d55 ^ d97 ^ d78 ^ d53 ^ d71 ^ d77 ^ d84 ^ d108 ^ d27 ^ + d62 ^ d90 ^ d24 ^ d45 ^ c15 ^ d4 ^ d64 ^ c5 ^ d7 ^ d104 ^ + c25 ^ c8 ^ d94 ^ d74 ^ d101 ^ d44 ^ c10 ^ c4 ^ d88 ^ d21 ^ + d9 ^ d54 ^ d33 ^ d15 ^ d80 ^ d105 ^ d5 ^ d89 ^ c20 ^ d12 ^ + d49 ^ d60 ^ d76 ^ d99 ^ d56 ^ d85 ^ d16 ^ d52 ^ d30 ^ c14 ^ + d59; // 70 ins 1 outs level 3 + + assign x14 = d49 ^ d20 ^ d51 ^ d88 ^ d79 ^ d14 ^ d87 ^ d73 ^ c20 ^ + d44 ^ c14 ^ c7 ^ d77 ^ d104 ^ d15 ^ c9 ^ d98 ^ c24 ^ d58 ^ + d70 ^ d63 ^ d83 ^ d56 ^ c16 ^ c19 ^ c23 ^ d94 ^ c31 ^ d100 ^ + d59 ^ d48 ^ d19 ^ d99 ^ d110 ^ c27 ^ d26 ^ c4 ^ d53 ^ d55 ^ + d11 ^ d61 ^ d6 ^ d23 ^ d54 ^ d2 ^ d84 ^ d52 ^ d43 ^ d75 ^ + d89 ^ c30 ^ d33 ^ d111 ^ d17 ^ d7 ^ d4 ^ d8 ^ c18 ^ d93 ^ + d65 ^ d3 ^ c8 ^ d107 ^ d29 ^ c13 ^ d71 ^ d76 ^ d103 ^ d32 ^ + c3 ^ d96; // 71 ins 1 outs level 3 + + assign x13 = d57 ^ d70 ^ c31 ^ d5 ^ c7 ^ d48 ^ d42 ^ d88 ^ d14 ^ + d106 ^ d102 ^ d3 ^ d110 ^ c19 ^ d103 ^ d109 ^ d82 ^ c3 ^ d25 ^ + d53 ^ d78 ^ d93 ^ d111 ^ c13 ^ d7 ^ d19 ^ d99 ^ c17 ^ d95 ^ + d98 ^ d72 ^ d69 ^ d32 ^ d43 ^ c30 ^ c6 ^ d87 ^ d55 ^ d62 ^ + d92 ^ d74 ^ c2 ^ d76 ^ c22 ^ d22 ^ c26 ^ d13 ^ d2 ^ d86 ^ + c15 ^ d6 ^ c8 ^ c29 ^ d50 ^ d58 ^ c18 ^ d47 ^ d10 ^ d75 ^ + d16 ^ c23 ^ d18 ^ d31 ^ c12 ^ d60 ^ d1 ^ d54 ^ d52 ^ d28 ^ + d51 ^ d97 ^ d64 ^ d83; // 73 ins 1 outs level 3 + + assign x12 = d68 ^ d24 ^ d18 ^ d91 ^ d4 ^ d1 ^ d57 ^ d101 ^ c28 ^ + d71 ^ d15 ^ d108 ^ d52 ^ c30 ^ c6 ^ d81 ^ d105 ^ d87 ^ d61 ^ + d86 ^ d2 ^ c21 ^ c14 ^ d53 ^ c16 ^ d92 ^ d102 ^ d54 ^ d46 ^ + d17 ^ d85 ^ d111 ^ d73 ^ d63 ^ d75 ^ d56 ^ c29 ^ d41 ^ d98 ^ + d0 ^ d74 ^ c22 ^ c7 ^ d109 ^ d6 ^ d27 ^ c18 ^ c31 ^ d42 ^ + c12 ^ d59 ^ d94 ^ d13 ^ c25 ^ d21 ^ d49 ^ d5 ^ d47 ^ c1 ^ + d96 ^ d77 ^ d12 ^ c2 ^ d30 ^ c17 ^ d69 ^ d110 ^ d51 ^ d50 ^ + d9 ^ c11 ^ d82 ^ d31 ^ c5 ^ d97; // 75 ins 1 outs level 3 + + assign x11 = c23 ^ d66 ^ d24 ^ d9 ^ d50 ^ d33 ^ d108 ^ d16 ^ d1 ^ + d43 ^ d36 ^ d102 ^ d107 ^ c10 ^ d14 ^ d58 ^ c5 ^ d85 ^ d74 ^ + d64 ^ c27 ^ d12 ^ d105 ^ d15 ^ d28 ^ d103 ^ d25 ^ d27 ^ d31 ^ + c25 ^ d0 ^ d47 ^ c21 ^ d94 ^ d76 ^ c22 ^ d56 ^ d51 ^ d70 ^ + d4 ^ d48 ^ d91 ^ c24 ^ d101 ^ d54 ^ c3 ^ d98 ^ d78 ^ d57 ^ + d82 ^ d40 ^ d68 ^ d41 ^ d104 ^ d45 ^ c11 ^ d73 ^ d90 ^ d26 ^ + d59 ^ d17 ^ c14 ^ d44 ^ d65 ^ d55 ^ d20 ^ c18 ^ c28 ^ c2 ^ + d3 ^ d71 ^ d83; // 72 ins 1 outs level 3 + + assign x10 = d19 ^ d13 ^ d90 ^ c29 ^ d58 ^ d42 ^ c10 ^ d104 ^ d28 ^ + c24 ^ d3 ^ d98 ^ d40 ^ d71 ^ d0 ^ d86 ^ d101 ^ c14 ^ d106 ^ + c6 ^ d29 ^ d14 ^ d32 ^ c15 ^ d5 ^ d69 ^ d36 ^ d63 ^ d59 ^ + c30 ^ d75 ^ d95 ^ d105 ^ c16 ^ d9 ^ d89 ^ d94 ^ d107 ^ d66 ^ + d16 ^ c26 ^ c3 ^ d73 ^ d55 ^ c18 ^ d77 ^ d62 ^ d70 ^ d39 ^ + d2 ^ d56 ^ d52 ^ c25 ^ d110 ^ c0 ^ d60 ^ d50 ^ c21 ^ c9 ^ + d80 ^ d33 ^ d78 ^ d26 ^ d31 ^ d83 ^ d109 ^ d96 ^ d35 ^ c27; // 69 ins 1 outs level 3 + + assign x9 = d74 ^ c5 ^ d66 ^ d1 ^ c8 ^ d88 ^ d35 ^ d29 ^ d41 ^ + d69 ^ d86 ^ c18 ^ d77 ^ c9 ^ d38 ^ d64 ^ d33 ^ d68 ^ d67 ^ + d12 ^ d104 ^ d36 ^ d5 ^ d71 ^ d110 ^ d96 ^ d78 ^ d108 ^ d23 ^ + c3 ^ c0 ^ c6 ^ d106 ^ d83 ^ d89 ^ d55 ^ d13 ^ d51 ^ d47 ^ + d24 ^ d85 ^ d43 ^ d18 ^ d11 ^ d81 ^ c22 ^ d32 ^ c28 ^ d70 ^ + d79 ^ d53 ^ d60 ^ d76 ^ c24 ^ c4 ^ d34 ^ c30 ^ d39 ^ c1 ^ + d61 ^ d44 ^ d4 ^ d58 ^ c16 ^ d80 ^ c26 ^ d9 ^ d2 ^ d52 ^ + d102 ^ d46 ^ d98 ^ d84; // 73 ins 1 outs level 3 + + assign x8 = d45 ^ d12 ^ d33 ^ d35 ^ d105 ^ d80 ^ d73 ^ d70 ^ d84 ^ + d107 ^ d46 ^ c23 ^ d67 ^ c5 ^ d23 ^ d59 ^ d63 ^ d82 ^ d31 ^ + d4 ^ d32 ^ d65 ^ d17 ^ d68 ^ d52 ^ d50 ^ d69 ^ d28 ^ c29 ^ + c2 ^ d22 ^ c17 ^ d95 ^ c15 ^ c0 ^ c27 ^ d101 ^ d79 ^ d76 ^ + d85 ^ d103 ^ d75 ^ d88 ^ d11 ^ d38 ^ d97 ^ d57 ^ d40 ^ d42 ^ + d10 ^ d66 ^ d43 ^ d0 ^ c7 ^ d8 ^ d83 ^ d37 ^ c25 ^ d51 ^ + d109 ^ c8 ^ d1 ^ d34 ^ d78 ^ d60 ^ c3 ^ c21 ^ d87 ^ c4 ^ + d77 ^ d3 ^ d54; // 72 ins 1 outs level 3 + + assign x7 = d103 ^ c15 ^ d106 ^ d104 ^ d60 ^ d97 ^ d29 ^ c28 ^ c0 ^ + d51 ^ d45 ^ c7 ^ d47 ^ d108 ^ d42 ^ d5 ^ d93 ^ c13 ^ c24 ^ + d74 ^ d58 ^ d75 ^ c23 ^ d10 ^ d2 ^ d68 ^ d34 ^ d69 ^ d87 ^ + d8 ^ d98 ^ d24 ^ d37 ^ d15 ^ c18 ^ d56 ^ d28 ^ c17 ^ d39 ^ + c31 ^ d43 ^ d57 ^ d7 ^ d76 ^ d32 ^ c30 ^ d46 ^ c29 ^ d105 ^ + d22 ^ c25 ^ d77 ^ d0 ^ c26 ^ d95 ^ d41 ^ d111 ^ d23 ^ d80 ^ + d109 ^ d110 ^ d50 ^ d21 ^ d16 ^ d54 ^ d52 ^ d25 ^ d3 ^ d71 ^ + d79; // 70 ins 1 outs level 3 + + assign x6 = c27 ^ c0 ^ d108 ^ d14 ^ d100 ^ c12 ^ d25 ^ d22 ^ d92 ^ + d56 ^ d82 ^ d41 ^ c15 ^ d7 ^ d38 ^ d65 ^ d64 ^ d21 ^ d104 ^ + c2 ^ d20 ^ d43 ^ d71 ^ d76 ^ d5 ^ c3 ^ d2 ^ d70 ^ d95 ^ + d79 ^ d81 ^ d4 ^ d40 ^ d54 ^ d29 ^ d74 ^ d72 ^ c28 ^ d93 ^ + c18 ^ d55 ^ d62 ^ d80 ^ d1 ^ d68 ^ d45 ^ d107 ^ d73 ^ c13 ^ + d47 ^ d75 ^ d42 ^ d8 ^ d51 ^ d50 ^ d30 ^ d6 ^ d98 ^ d60 ^ + d83 ^ c24 ^ d11 ^ c4 ^ c1 ^ d52 ^ d84 ^ d66 ^ c20; // 68 ins 1 outs level 3 + + assign x5 = d59 ^ d64 ^ d111 ^ d51 ^ d13 ^ d20 ^ c11 ^ d69 ^ d49 ^ + d103 ^ d72 ^ d19 ^ c31 ^ d4 ^ d97 ^ d106 ^ d40 ^ d82 ^ d55 ^ + d46 ^ d1 ^ d21 ^ d0 ^ c2 ^ d50 ^ d80 ^ d54 ^ d75 ^ d99 ^ + d78 ^ d81 ^ c19 ^ d24 ^ d41 ^ d28 ^ d5 ^ d79 ^ d91 ^ c23 ^ + c26 ^ d42 ^ d6 ^ c3 ^ d83 ^ d7 ^ d61 ^ c14 ^ d70 ^ c1 ^ + d10 ^ d44 ^ d53 ^ d63 ^ d73 ^ d39 ^ d94 ^ d65 ^ c17 ^ d92 ^ + d37 ^ d107 ^ d3 ^ d71 ^ c0 ^ d67 ^ d29 ^ d74 ^ c27 ^ c12; // 69 ins 1 outs level 3 + + assign x4 = d31 ^ d83 ^ d3 ^ d46 ^ c20 ^ d67 ^ d0 ^ c31 ^ d106 ^ + d91 ^ d4 ^ d90 ^ d30 ^ d59 ^ d68 ^ d12 ^ c15 ^ d103 ^ c26 ^ + d84 ^ d2 ^ d97 ^ d24 ^ c4 ^ d58 ^ d18 ^ c29 ^ c10 ^ d50 ^ + d19 ^ d33 ^ d48 ^ d100 ^ c3 ^ d69 ^ c23 ^ d45 ^ c14 ^ d79 ^ + c17 ^ d44 ^ d95 ^ d109 ^ d29 ^ d8 ^ d63 ^ d94 ^ d41 ^ d6 ^ + d65 ^ d15 ^ d86 ^ c6 ^ d74 ^ d70 ^ d11 ^ d47 ^ d39 ^ d111 ^ + d25 ^ d20 ^ c11 ^ d38 ^ d57 ^ d40 ^ d73 ^ d77; // 67 ins 1 outs level 3 + + assign x3 = d108 ^ d60 ^ d99 ^ d8 ^ d31 ^ c28 ^ d18 ^ d89 ^ c23 ^ + d7 ^ d103 ^ c1 ^ d65 ^ c4 ^ d76 ^ c9 ^ d86 ^ d81 ^ d2 ^ + d32 ^ d98 ^ d71 ^ d3 ^ c20 ^ d39 ^ d85 ^ d40 ^ d15 ^ d59 ^ + c10 ^ d80 ^ d52 ^ d25 ^ d68 ^ d1 ^ c5 ^ d17 ^ d36 ^ d9 ^ + d19 ^ c15 ^ c19 ^ d109 ^ d54 ^ d100 ^ d97 ^ d84 ^ c0 ^ c31 ^ + d45 ^ d111 ^ d33 ^ c6 ^ d27 ^ c29 ^ d56 ^ d73 ^ c17 ^ d95 ^ + d69 ^ c18 ^ d58 ^ d90 ^ d10 ^ d37 ^ d38 ^ d53 ^ d14; // 68 ins 1 outs level 3 + + assign x2 = d0 ^ d85 ^ c30 ^ d67 ^ d97 ^ c5 ^ d9 ^ d80 ^ d31 ^ + d98 ^ d102 ^ d58 ^ d32 ^ c3 ^ d52 ^ d26 ^ d55 ^ c18 ^ d110 ^ + d88 ^ c8 ^ c14 ^ c17 ^ c4 ^ d72 ^ d53 ^ d7 ^ d2 ^ d99 ^ + d1 ^ d51 ^ d83 ^ c0 ^ d38 ^ d94 ^ d16 ^ d37 ^ c9 ^ d68 ^ + d44 ^ c27 ^ d107 ^ d64 ^ c19 ^ d35 ^ d57 ^ d39 ^ d84 ^ d108 ^ + c22 ^ d70 ^ d36 ^ d59 ^ d24 ^ d96 ^ d17 ^ d8 ^ c28 ^ d18 ^ + d89 ^ d14 ^ d6 ^ d13 ^ d75 ^ d30 ^ d79 ^ c16; // 67 ins 1 outs level 3 + + assign x1 = d9 ^ d6 ^ d106 ^ d103 ^ d13 ^ d24 ^ d17 ^ d28 ^ c14 ^ + d59 ^ c22 ^ d110 ^ c30 ^ d44 ^ d16 ^ d81 ^ d7 ^ d12 ^ d33 ^ + d35 ^ d79 ^ d107 ^ d63 ^ d37 ^ d34 ^ c23 ^ d101 ^ d87 ^ d51 ^ + d50 ^ d38 ^ d53 ^ d69 ^ d0 ^ c26 ^ d49 ^ d56 ^ d100 ^ c25 ^ + d27 ^ c6 ^ d94 ^ c27 ^ c0 ^ c20 ^ d74 ^ d60 ^ c21 ^ d1 ^ + c8 ^ d88 ^ d65 ^ d11 ^ d72 ^ c7 ^ d46 ^ d64 ^ d47 ^ c1 ^ + d102 ^ d58 ^ d86 ^ d62 ^ d105 ^ d80; // 65 ins 1 outs level 3 + + assign x0 = d37 ^ d83 ^ d31 ^ d81 ^ d26 ^ d73 ^ d25 ^ d50 ^ d110 ^ + d111 ^ d95 ^ c17 ^ c2 ^ c18 ^ d55 ^ d58 ^ c1 ^ d47 ^ c7 ^ + d65 ^ d94 ^ d63 ^ d44 ^ c14 ^ d61 ^ d45 ^ c3 ^ c26 ^ d101 ^ + d28 ^ d24 ^ d84 ^ c19 ^ d99 ^ d54 ^ c4 ^ d103 ^ c15 ^ d106 ^ + d104 ^ d68 ^ d82 ^ c31 ^ d72 ^ d98 ^ d87 ^ d34 ^ c24 ^ d48 ^ + d12 ^ d96 ^ c21 ^ d60 ^ d0 ^ d85 ^ d16 ^ d66 ^ c30 ^ d32 ^ + d29 ^ d67 ^ d53 ^ d10 ^ d97 ^ c5 ^ d9 ^ d6 ^ c23 ^ c16 ^ + d79 ^ d30; // 71 ins 1 outs level 3 + + assign x31 = c20 ^ c23 ^ c6 ^ d27 ^ d84 ^ d105 ^ c17 ^ d36 ^ d86 ^ + d31 ^ d95 ^ d33 ^ c2 ^ c29 ^ d83 ^ d97 ^ d78 ^ d47 ^ c3 ^ + d11 ^ d100 ^ d15 ^ c14 ^ d49 ^ c18 ^ d66 ^ c4 ^ d80 ^ c0 ^ + d59 ^ d25 ^ c22 ^ d23 ^ d81 ^ d72 ^ d44 ^ d8 ^ d65 ^ d52 ^ + d5 ^ d103 ^ c13 ^ d98 ^ c1 ^ c15 ^ d24 ^ d62 ^ d46 ^ d94 ^ + d9 ^ d109 ^ d54 ^ d30 ^ d60 ^ c25 ^ d57 ^ d64 ^ d28 ^ d71 ^ + c16 ^ d110 ^ d96 ^ d67 ^ d43 ^ d93 ^ d82 ^ c30 ^ d53 ^ d102 ^ + d29; // 70 ins 1 outs level 3 + + assign x30 = d83 ^ d35 ^ d77 ^ d32 ^ c0 ^ d64 ^ c24 ^ d66 ^ d85 ^ + d48 ^ d27 ^ d10 ^ d81 ^ d99 ^ d43 ^ d71 ^ d63 ^ c21 ^ d52 ^ + d97 ^ d29 ^ d14 ^ d26 ^ c5 ^ d80 ^ d7 ^ c17 ^ c14 ^ d111 ^ + d23 ^ d109 ^ d58 ^ d46 ^ d59 ^ d65 ^ d22 ^ c29 ^ c1 ^ c19 ^ + d82 ^ d95 ^ d51 ^ d93 ^ c2 ^ c16 ^ d28 ^ d102 ^ c3 ^ d45 ^ + c22 ^ c13 ^ d8 ^ d30 ^ d61 ^ c15 ^ d101 ^ d42 ^ d4 ^ d70 ^ + c28 ^ d53 ^ d104 ^ d56 ^ d96 ^ d108 ^ d24 ^ d79 ^ c31 ^ d92 ^ + d94 ^ c12; // 71 ins 1 outs level 3 + + assign x29 = d50 ^ d82 ^ c21 ^ d31 ^ d34 ^ c11 ^ d101 ^ d79 ^ c30 ^ + d47 ^ d103 ^ d3 ^ d84 ^ d44 ^ d95 ^ d42 ^ d45 ^ d96 ^ d80 ^ + c20 ^ d63 ^ d28 ^ d108 ^ d81 ^ d58 ^ d41 ^ c12 ^ d51 ^ c15 ^ + d69 ^ d7 ^ c4 ^ c2 ^ c0 ^ d110 ^ c23 ^ d26 ^ c31 ^ d65 ^ + d92 ^ d70 ^ c18 ^ d76 ^ d78 ^ d107 ^ d100 ^ c1 ^ d64 ^ d55 ^ + d93 ^ d94 ^ d57 ^ d52 ^ d23 ^ d27 ^ d111 ^ c16 ^ d25 ^ d98 ^ + d29 ^ c27 ^ d62 ^ d22 ^ c28 ^ d9 ^ d91 ^ c14 ^ d13 ^ d60 ^ + d6 ^ c13 ^ d21; // 72 ins 1 outs level 3 + + assign x28 = d109 ^ d28 ^ d100 ^ d90 ^ d81 ^ d57 ^ d24 ^ d5 ^ d93 ^ + d80 ^ d46 ^ c0 ^ d63 ^ d107 ^ d94 ^ d75 ^ d8 ^ d26 ^ d97 ^ + c17 ^ d40 ^ d49 ^ c14 ^ c26 ^ d79 ^ d78 ^ c27 ^ d69 ^ c29 ^ + d68 ^ d92 ^ c3 ^ c19 ^ d83 ^ d25 ^ d50 ^ c1 ^ d44 ^ d21 ^ + d41 ^ d95 ^ d64 ^ d54 ^ d110 ^ d62 ^ d20 ^ d27 ^ d91 ^ d77 ^ + c20 ^ d99 ^ d102 ^ c15 ^ d12 ^ c12 ^ d2 ^ d33 ^ d106 ^ c22 ^ + d56 ^ d6 ^ c10 ^ d51 ^ d30 ^ c13 ^ c30 ^ d61 ^ c11 ^ d43 ^ + d59 ^ d22; // 71 ins 1 outs level 3 + + assign x27 = d62 ^ d61 ^ d25 ^ d89 ^ d76 ^ d55 ^ c18 ^ d20 ^ d78 ^ + c16 ^ d24 ^ d48 ^ d58 ^ d98 ^ d42 ^ d40 ^ d1 ^ d94 ^ d68 ^ + d82 ^ d45 ^ d26 ^ c12 ^ d79 ^ d11 ^ d50 ^ d96 ^ d77 ^ d93 ^ + d74 ^ d7 ^ c0 ^ d108 ^ d39 ^ c29 ^ d23 ^ d4 ^ d32 ^ d67 ^ + c28 ^ c26 ^ d91 ^ c9 ^ d5 ^ c2 ^ c19 ^ d63 ^ c31 ^ d111 ^ + c21 ^ d101 ^ d43 ^ d53 ^ d56 ^ d27 ^ d92 ^ c10 ^ c14 ^ c13 ^ + d106 ^ c25 ^ d49 ^ d99 ^ d60 ^ d80 ^ d21 ^ d105 ^ d29 ^ d90 ^ + c11 ^ d109 ^ d19; // 72 ins 1 outs level 3 + + assign x26 = d98 ^ d47 ^ d25 ^ d55 ^ c30 ^ d107 ^ d61 ^ d24 ^ c15 ^ + d4 ^ c9 ^ d39 ^ d77 ^ d6 ^ d44 ^ d54 ^ d104 ^ d62 ^ c27 ^ + d91 ^ c12 ^ d97 ^ d92 ^ d67 ^ d93 ^ d59 ^ d0 ^ c1 ^ d78 ^ + d22 ^ d60 ^ d18 ^ d76 ^ d66 ^ d88 ^ d90 ^ c13 ^ d48 ^ d41 ^ + d28 ^ d105 ^ c17 ^ c18 ^ d10 ^ d95 ^ d89 ^ c24 ^ c20 ^ c25 ^ + c10 ^ c31 ^ d75 ^ d100 ^ d38 ^ d111 ^ d57 ^ d42 ^ d23 ^ d3 ^ + c11 ^ d110 ^ d20 ^ d73 ^ d26 ^ d81 ^ d31 ^ d79 ^ d49 ^ d19 ^ + c28 ^ d52 ^ c8 ^ d108; // 73 ins 1 outs level 3 + + assign x25 = d56 ^ d28 ^ c7 ^ d31 ^ d67 ^ c18 ^ d33 ^ d76 ^ d89 ^ + d8 ^ d102 ^ d88 ^ d106 ^ d93 ^ d57 ^ d3 ^ d105 ^ d18 ^ d61 ^ + c25 ^ d107 ^ d19 ^ c20 ^ c12 ^ d37 ^ c24 ^ c8 ^ d86 ^ d41 ^ + c19 ^ d11 ^ c4 ^ d75 ^ d92 ^ d77 ^ d48 ^ d81 ^ d21 ^ d90 ^ + c3 ^ d38 ^ c11 ^ c1 ^ d44 ^ d29 ^ d83 ^ c31 ^ d49 ^ c27 ^ + d58 ^ c15 ^ d52 ^ c6 ^ d91 ^ c13 ^ d64 ^ c26 ^ d74 ^ d36 ^ + d100 ^ c9 ^ d82 ^ d84 ^ d98 ^ d99 ^ d51 ^ d104 ^ d17 ^ c2 ^ + d95 ^ d87 ^ d22 ^ c10 ^ c22 ^ d15 ^ d40 ^ d71 ^ d111 ^ d62 ^ + d2; // 80 ins 1 outs level 3 + + assign x24 = d17 ^ c24 ^ d51 ^ c25 ^ d27 ^ d74 ^ d105 ^ d88 ^ d30 ^ + d104 ^ c1 ^ d56 ^ d40 ^ d76 ^ d7 ^ d61 ^ d106 ^ c23 ^ d90 ^ + c10 ^ c11 ^ d48 ^ c0 ^ d16 ^ d39 ^ d83 ^ c26 ^ c30 ^ d55 ^ + d28 ^ d91 ^ c7 ^ d97 ^ d63 ^ d103 ^ d92 ^ c6 ^ d36 ^ d37 ^ + d70 ^ d82 ^ d101 ^ d98 ^ d20 ^ c17 ^ c12 ^ d85 ^ d87 ^ d47 ^ + d35 ^ d110 ^ d75 ^ c8 ^ d73 ^ c19 ^ c21 ^ d1 ^ d21 ^ d14 ^ + d89 ^ d18 ^ d99 ^ d60 ^ d57 ^ c3 ^ c18 ^ c9 ^ d43 ^ d50 ^ + d94 ^ c14 ^ d86 ^ d2 ^ d10 ^ d32 ^ c2 ^ d66 ^ c5 ^ d81 ^ + d80; // 80 ins 1 outs level 3 + + assign x23 = d54 ^ d82 ^ c31 ^ d72 ^ d98 ^ d97 ^ c5 ^ d9 ^ d6 ^ + d103 ^ d65 ^ d80 ^ d79 ^ d73 ^ d88 ^ c7 ^ d29 ^ c8 ^ c6 ^ + d16 ^ c24 ^ d109 ^ d47 ^ c1 ^ d91 ^ d93 ^ d90 ^ d105 ^ d55 ^ + d74 ^ c11 ^ d39 ^ c9 ^ d46 ^ d96 ^ c18 ^ c2 ^ d111 ^ c17 ^ + d85 ^ d15 ^ d69 ^ d35 ^ c22 ^ d0 ^ d36 ^ d19 ^ c13 ^ d75 ^ + c29 ^ d17 ^ d89 ^ d59 ^ d34 ^ d1 ^ d84 ^ c10 ^ d50 ^ d60 ^ + d13 ^ d87 ^ d26 ^ d81 ^ c20 ^ d31 ^ d62 ^ d42 ^ d20 ^ d86 ^ + c4 ^ c16 ^ c23 ^ d104 ^ d102 ^ c0 ^ d27 ^ c25 ^ d100 ^ d56 ^ + d49 ^ d38; // 81 ins 1 outs level 3 + + assign x22 = c2 ^ c18 ^ d45 ^ d66 ^ d11 ^ d18 ^ d108 ^ d27 ^ d36 ^ + d67 ^ d79 ^ d9 ^ c9 ^ d89 ^ d74 ^ c8 ^ d43 ^ d73 ^ d93 ^ + d29 ^ d82 ^ d57 ^ d62 ^ d19 ^ d24 ^ c13 ^ d31 ^ d26 ^ d35 ^ + d101 ^ d88 ^ d99 ^ c19 ^ d37 ^ c5 ^ d16 ^ d61 ^ d38 ^ d85 ^ + c20 ^ d0 ^ d60 ^ c28 ^ c21 ^ d52 ^ c12 ^ d12 ^ d48 ^ c24 ^ + d58 ^ d34 ^ c25 ^ d14 ^ d87 ^ d94 ^ c14 ^ d98 ^ d44 ^ d105 ^ + c29 ^ d92 ^ d41 ^ d23 ^ d90 ^ d68 ^ d104 ^ d109 ^ d65 ^ d100 ^ + c10 ^ c7 ^ d47 ^ d55; // 73 ins 1 outs level 3 + + assign x21 = d80 ^ d82 ^ c3 ^ d49 ^ d51 ^ d24 ^ c30 ^ d110 ^ d9 ^ + d18 ^ d61 ^ c16 ^ d42 ^ d96 ^ d71 ^ d35 ^ d88 ^ c7 ^ c14 ^ + d89 ^ c9 ^ d52 ^ d95 ^ c25 ^ c24 ^ d108 ^ d104 ^ d13 ^ c22 ^ + d99 ^ d73 ^ d91 ^ d87 ^ c19 ^ c0 ^ c27 ^ c15 ^ d40 ^ d31 ^ + d105 ^ c29 ^ d22 ^ d56 ^ d62 ^ d27 ^ d83 ^ c8 ^ d5 ^ d107 ^ + d10 ^ d34 ^ d94 ^ d109 ^ d53 ^ d17 ^ d37 ^ d26 ^ d92 ^ c2 ^ + c11 ^ d102 ^ c12 ^ c28 ^ d29; // 64 ins 1 outs level 3 + + assign x20 = d28 ^ d55 ^ c21 ^ c7 ^ d60 ^ d87 ^ d16 ^ c10 ^ d107 ^ + d41 ^ d25 ^ d94 ^ d4 ^ d90 ^ c18 ^ d79 ^ d21 ^ c23 ^ c14 ^ + d36 ^ d23 ^ c6 ^ d103 ^ d91 ^ c28 ^ d50 ^ d51 ^ c2 ^ c8 ^ + d106 ^ c11 ^ d95 ^ d72 ^ c27 ^ d8 ^ c1 ^ d48 ^ d82 ^ d9 ^ + c26 ^ d101 ^ d61 ^ d86 ^ d81 ^ d52 ^ d30 ^ d108 ^ d17 ^ d33 ^ + d26 ^ d104 ^ d70 ^ c29 ^ d34 ^ d88 ^ d98 ^ c24 ^ d12 ^ d39 ^ + d109 ^ c13 ^ c15 ^ d93; // 63 ins 1 outs level 3 + + assign x19 = d47 ^ d102 ^ d35 ^ d100 ^ d33 ^ c25 ^ d86 ^ d8 ^ c10 ^ + c13 ^ d11 ^ d50 ^ c9 ^ d93 ^ c12 ^ d27 ^ d107 ^ d97 ^ d80 ^ + d59 ^ c5 ^ d49 ^ c14 ^ c27 ^ d7 ^ c23 ^ d85 ^ c0 ^ d32 ^ + d38 ^ c1 ^ d25 ^ d103 ^ d92 ^ c22 ^ c6 ^ d106 ^ d108 ^ d29 ^ + d90 ^ d54 ^ c17 ^ d15 ^ c28 ^ d60 ^ d22 ^ d40 ^ d69 ^ c7 ^ + d16 ^ c26 ^ d3 ^ d105 ^ d20 ^ d81 ^ d87 ^ d51 ^ d71 ^ d24 ^ + c20 ^ d89 ^ d94 ^ d78; // 63 ins 1 outs level 3 + + assign x18 = d111 ^ d77 ^ c31 ^ d10 ^ d102 ^ c5 ^ d92 ^ c22 ^ d99 ^ + d34 ^ c26 ^ d106 ^ c27 ^ d24 ^ d39 ^ d49 ^ d48 ^ d104 ^ d53 ^ + d32 ^ c25 ^ d91 ^ c9 ^ d88 ^ d84 ^ d96 ^ d70 ^ d28 ^ d93 ^ + c21 ^ d105 ^ d101 ^ d68 ^ d21 ^ d50 ^ d107 ^ d58 ^ d14 ^ c16 ^ + d80 ^ d23 ^ c12 ^ d79 ^ d6 ^ d2 ^ d89 ^ d7 ^ d86 ^ d31 ^ + d46 ^ d85 ^ c19 ^ c6 ^ d26 ^ c4 ^ c24 ^ c0 ^ c8 ^ d59 ^ + c11 ^ d19 ^ d15 ^ d37 ^ c13; // 64 ins 1 outs level 3 + + assign x17 = c21 ^ c20 ^ c31 ^ d20 ^ d5 ^ d98 ^ d90 ^ d83 ^ c10 ^ + d14 ^ d48 ^ d13 ^ d91 ^ c15 ^ d92 ^ d85 ^ d25 ^ d106 ^ d38 ^ + d49 ^ d22 ^ c12 ^ d101 ^ c24 ^ d18 ^ d36 ^ d30 ^ d52 ^ c7 ^ + d57 ^ d79 ^ d111 ^ d105 ^ d104 ^ d58 ^ c30 ^ d84 ^ d23 ^ d88 ^ + d103 ^ d33 ^ c11 ^ d78 ^ d27 ^ d95 ^ d87 ^ d69 ^ d47 ^ d76 ^ + d67 ^ c8 ^ d1 ^ c25 ^ c26 ^ c23 ^ d9 ^ c5 ^ c3 ^ d100 ^ + c18 ^ d110 ^ c4 ^ d31 ^ d45 ^ d6; // 65 ins 1 outs level 3 + + assign x16 = d30 ^ d87 ^ d4 ^ c2 ^ c31 ^ d26 ^ c23 ^ d29 ^ d57 ^ + c17 ^ d46 ^ d78 ^ c9 ^ c25 ^ d111 ^ d12 ^ d0 ^ d97 ^ d103 ^ + c11 ^ d83 ^ d37 ^ d110 ^ d32 ^ d13 ^ d99 ^ d48 ^ d90 ^ d19 ^ + c4 ^ d94 ^ c7 ^ d86 ^ d105 ^ d22 ^ c30 ^ c10 ^ d91 ^ d51 ^ + c3 ^ d66 ^ d82 ^ c14 ^ c29 ^ d44 ^ d5 ^ d17 ^ d100 ^ c24 ^ + d47 ^ d56 ^ d68 ^ d104 ^ c20 ^ c6 ^ d21 ^ c19 ^ d8 ^ d84 ^ + d102 ^ d109 ^ d89 ^ d24 ^ d75 ^ d77 ^ d35 ^ c22; // 67 ins 1 outs level 3 + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat112_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [111:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x332, x331, x330, x329, x328, x327, x326, + x325, x324, x323, x322, x321, x320, x319, x318, + x317, x316, x315, x314, x312, x311, x310, x309, + x308, x307, x306, x305, x304, x303, x302, x301, + x300, x299, x298, x297, x296, x295, x294, x293, + x292, x291, x290, x289, x288, x287, x286, x285, + x284, x283, x282, x281, x280, x279, x278, x277, + x276, x275, x274, x273, x272, x271, x270, x269, + x268, x267, x266, x265, x264, x263, x262, x261, + x260, x259, x258, x257, x256, x255, x254, x253, + x252, x251, x250, x249, x248, x247, x246, x245, + x244, x243, x242, x241, x240, x239, x238, x237, + x236, x235, x234, x233, x232, x230, x229, x228, + x227, x226, x225, x224, x223, x221, x220, x219, + x218, x217, x216, x215, x214, x213, x212, x211, + x210, x209, x208, x207, x206, x205, x204, x203, + x202, x201, x200, x199, x198, x197, x196, x195, + x194, x193, x192, x191, x190, x189, x188, x187, + x186, x185, x184, x183, x182, x181, x180, x179, + x178, x177, x176, x175, x173, x172, x171, x170, + x169, x168, x167, x166, x165, x164, x163, x162, + x161, x160, x159, x158, x157, x156, x155, x154, + x153, x152, x151, x150, x149, x148, x147, x146, + x145, x144, x143, x142, x141, x140, x139, x138, + x137, x136, x135, x134, x133, x132, x131, x130, + x129, x128, x127, x126, x125, x124, x123, x122, + x121, x120, x119, x118, x117, x116, x115, x114, + x113, x112, x111, x110, x109, x108, x107, x106, + x105, x104, x103, x102, x101, x100, x99, x98, + x97, x96, x95, x94, x93, x92, x91, x90, + x89, x88, x87, x85, x84, x83, x82, x81, + x80, x79, x78, x77, x76, x75, x74, x73, + x72, x71, x70, x69, x68, x67, x66, x65, + x64, x63, x62, x61, x60, x59, x58, x57, + x56, x55, x54, x53, x52, x51, x50, x49, + x48, x47, x46, x45, x44, x43, x42, x41, + x40, x39, x38, x37, x36, x35, x34, x33, + x32, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95,d96,d97,d98,d99,d100,d101,d102,d103,d104,d105,d106,d107,d108,d109,d110, + d111; + +assign { d111,d110,d109,d108,d107,d106,d105,d104,d103,d102,d101,d100,d99,d98,d97, + d96,d95,d94,d93,d92,d91,d90,d89,d88,d87,d86,d85,d84,d83,d82,d81, + d80,d79,d78,d77,d76,d75,d74,d73,d72,d71,d70,d69,d68,d67,d66,d65, + d64,d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [111:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x332i (.out(x332),.a(x324),.b(x57),.c(x40),.d(x50),.e(x38),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x331i (.out(x331),.a(x325),.b(x326),.c(x327),.d(x328),.e(x329),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x330i (.out(x330),.a(d75),.b(d102),.c(d83),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x329i (.out(x329),.a(d100),.b(c22),.c(d44),.d(d56),.e(d13),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x328i (.out(x328),.a(d94),.b(c30),.c(d17),.d(d84),.e(d21),.f(c7)); // 6 ins 1 outs level 1 + + xor6 x327i (.out(x327),.a(d35),.b(d24),.c(d110),.d(c10),.e(d47),.f(c1)); // 6 ins 1 outs level 1 + + xor6 x326i (.out(x326),.a(d97),.b(c3),.c(d12),.d(d7),.e(d37),.f(d86)); // 6 ins 1 outs level 1 + + xor6 x325i (.out(x325),.a(c20),.b(d46),.c(d68),.d(d66),.e(c25),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x324i (.out(x324),.a(d26),.b(c4),.c(d48),.d(d19),.e(c6),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x323i (.out(x323),.a(x32),.b(x68),.c(x33),.d(x35),.e(x61),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x322i (.out(x322),.a(x317),.b(x318),.c(x319),.d(x320),.e(x321),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x321i (.out(x321),.a(d6),.b(d67),.c(d1),.d(d69),.e(d87),.f(d33)); // 6 ins 1 outs level 1 + + xor6 x320i (.out(x320),.a(d85),.b(d5),.c(d9),.d(d84),.e(d101),.f(c5)); // 6 ins 1 outs level 1 + + xor6 x319i (.out(x319),.a(d38),.b(d29),.c(d27),.d(c21),.e(d30),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x318i (.out(x318),.a(d98),.b(d78),.c(d22),.d(d76),.e(d95),.f(d25)); // 6 ins 1 outs level 1 + + xor6 x317i (.out(x317),.a(c30),.b(d106),.c(d58),.d(d92),.e(d18),.f(d105)); // 6 ins 1 outs level 1 + + xor6 x316i (.out(x316),.a(c1),.b(d48),.c(c23),.d(c4),.e(d45),.f(c14)); // 6 ins 1 outs level 1 + + xor6 x315i (.out(x315),.a(x307),.b(x41),.c(x40),.d(x49),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x314i (.out(x314),.a(x308),.b(x309),.c(x310),.d(x311),.e(x312),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x312i (.out(x312),.a(d44),.b(d46),.c(d69),.d(d9),.e(c13),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x311i (.out(x311),.a(d85),.b(d107),.c(c25),.d(d93),.e(d91),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x310i (.out(x310),.a(d70),.b(d104),.c(c7),.d(c9),.e(c31),.f(d23)); // 6 ins 1 outs level 1 + + xor6 x309i (.out(x309),.a(d50),.b(d89),.c(c0),.d(d26),.e(d77),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x308i (.out(x308),.a(d21),.b(c12),.c(d6),.d(d105),.e(d39),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x307i (.out(x307),.a(d65),.b(c19),.c(d14),.d(c11),.e(d19),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x306i (.out(x306),.a(x299),.b(x48),.c(x69),.d(x44),.e(x42),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x305i (.out(x305),.a(x300),.b(x304),.c(x301),.d(x302),.e(x303),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x304i (.out(x304),.a(d51),.b(d38),.c(d15),.d(c5),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x303i (.out(x303),.a(c1),.b(d90),.c(c7),.d(d11),.e(d16),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x302i (.out(x302),.a(d7),.b(d81),.c(d102),.d(c17),.e(d62),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x301i (.out(x301),.a(d35),.b(d22),.c(d107),.d(d59),.e(d79),.f(c10)); // 6 ins 1 outs level 1 + + xor6 x300i (.out(x300),.a(d29),.b(d47),.c(d45),.d(d95),.e(d103),.f(d106)); // 6 ins 1 outs level 1 + + xor6 x299i (.out(x299),.a(c25),.b(c27),.c(d3),.d(c19),.e(c22),.f(d105)); // 6 ins 1 outs level 1 + + xor6 x298i (.out(x298),.a(x296),.b(x61),.c(x43),.d(x38),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x297i (.out(x297),.a(x291),.b(x33),.c(x292),.d(x293),.e(x294),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x296i (.out(x296),.a(d12),.b(d30),.c(d59),.d(d50),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x295i (.out(x295),.a(c26),.b(d86),.c(d105),.d(d72),.e(d87),.f(d8)); // 6 ins 1 outs level 1 + + xor6 x294i (.out(x294),.a(d34),.b(d66),.c(d52),.d(c18),.e(d106),.f(c27)); // 6 ins 1 outs level 1 + + xor6 x293i (.out(x293),.a(d109),.b(c28),.c(d108),.d(c23),.e(d75),.f(c15)); // 6 ins 1 outs level 1 + + xor6 x292i (.out(x292),.a(d23),.b(c31),.c(d78),.d(d94),.e(d107),.f(d4)); // 6 ins 1 outs level 1 + + xor6 x291i (.out(x291),.a(d70),.b(d14),.c(c6),.d(d17),.e(c29),.f(d39)); // 6 ins 1 outs level 1 + + xor6 x290i (.out(x290),.a(x284),.b(d96),.c(x48),.d(x47),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x289i (.out(x289),.a(x285),.b(x288),.c(x32),.d(x60),.e(x286),.f(x287)); // 6 ins 1 outs level 2 + + xor6 x288i (.out(x288),.a(d73),.b(d49),.c(d108),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x287i (.out(x287),.a(d107),.b(d99),.c(d26),.d(d91),.e(c11),.f(d95)); // 6 ins 1 outs level 1 + + xor6 x286i (.out(x286),.a(d110),.b(d109),.c(d42),.d(d61),.e(d5),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x285i (.out(x285),.a(d13),.b(d71),.c(d17),.d(d8),.e(d31),.f(d35)); // 6 ins 1 outs level 1 + + xor6 x284i (.out(x284),.a(c28),.b(d97),.c(d62),.d(c25),.e(d24),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x283i (.out(x283),.a(x61),.b(x41),.c(x42),.d(x50),.e(x48),.f(x33)); // 6 ins 1 outs level 2 + + xor6 x282i (.out(x282),.a(x277),.b(x35),.c(x278),.d(x279),.e(x280),.f(x281)); // 6 ins 1 outs level 2 + + xor6 x281i (.out(x281),.a(d47),.b(d12),.c(c25),.d(d99),.e(d58),.f(d73)); // 6 ins 1 outs level 1 + + xor6 x280i (.out(x280),.a(d45),.b(c12),.c(d33),.d(d26),.e(d8),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x279i (.out(x279),.a(d90),.b(d61),.c(d48),.d(c13),.e(d93),.f(d34)); // 6 ins 1 outs level 1 + + xor6 x278i (.out(x278),.a(d100),.b(d62),.c(c18),.d(d52),.e(c20),.f(d37)); // 6 ins 1 outs level 1 + + xor6 x277i (.out(x277),.a(d19),.b(d51),.c(d35),.d(d92),.e(c29),.f(d67)); // 6 ins 1 outs level 1 + + xor6 x276i (.out(x276),.a(d94),.b(d41),.c(d18),.d(d59),.e(d74),.f(d27)); // 6 ins 1 outs level 1 + + xor6 x275i (.out(x275),.a(x273),.b(x54),.c(x47),.d(x41),.e(x40),.f(x32)); // 6 ins 1 outs level 2 + + xor6 x274i (.out(x274),.a(x268),.b(x45),.c(x269),.d(x270),.e(x271),.f(x272)); // 6 ins 1 outs level 2 + + xor6 x273i (.out(x273),.a(c6),.b(d60),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x272i (.out(x272),.a(d69),.b(d13),.c(d15),.d(c9),.e(d17),.f(d35)); // 6 ins 1 outs level 1 + + xor6 x271i (.out(x271),.a(d73),.b(c13),.c(d46),.d(d87),.e(d105),.f(d93)); // 6 ins 1 outs level 1 + + xor6 x270i (.out(x270),.a(d76),.b(d81),.c(d75),.d(d42),.e(c17),.f(c10)); // 6 ins 1 outs level 1 + + xor6 x269i (.out(x269),.a(d84),.b(d38),.c(d86),.d(d36),.e(d96),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x268i (.out(x268),.a(d110),.b(c23),.c(d85),.d(c29),.e(d16),.f(d89)); // 6 ins 1 outs level 1 + + xor6 x267i (.out(x267),.a(d68),.b(d34),.c(d6),.d(d72),.e(c4),.f(d51)); // 6 ins 1 outs level 1 + + xor6 x266i (.out(x266),.a(x260),.b(x61),.c(x48),.d(x54),.e(x43),.f(x37)); // 6 ins 1 outs level 2 + + xor6 x265i (.out(x265),.a(x261),.b(x40),.c(x32),.d(x264),.e(x262),.f(x263)); // 6 ins 1 outs level 2 + + xor6 x264i (.out(x264),.a(d69),.b(d8),.c(c23),.d(d70),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x263i (.out(x263),.a(d41),.b(d20),.c(d17),.d(c30),.e(d85),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x262i (.out(x262),.a(d33),.b(d2),.c(d10),.d(d73),.e(d48),.f(c5)); // 6 ins 1 outs level 1 + + xor6 x261i (.out(x261),.a(d16),.b(d106),.c(c31),.d(d18),.e(d30),.f(d83)); // 6 ins 1 outs level 1 + + xor6 x260i (.out(x260),.a(d37),.b(d43),.c(c3),.d(d66),.e(d35),.f(d63)); // 6 ins 1 outs level 1 + + xor6 x259i (.out(x259),.a(x257),.b(x49),.c(x48),.d(x43),.e(x45),.f(x39)); // 6 ins 1 outs level 2 + + xor6 x258i (.out(x258),.a(x252),.b(x36),.c(x253),.d(x254),.e(x255),.f(x256)); // 6 ins 1 outs level 2 + + xor6 x257i (.out(x257),.a(d15),.b(d64),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x256i (.out(x256),.a(d48),.b(d22),.c(c25),.d(d56),.e(d3),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x255i (.out(x255),.a(d36),.b(d18),.c(d99),.d(d43),.e(d76),.f(d95)); // 6 ins 1 outs level 1 + + xor6 x254i (.out(x254),.a(d33),.b(c10),.c(c18),.d(d79),.e(d20),.f(d102)); // 6 ins 1 outs level 1 + + xor6 x253i (.out(x253),.a(c12),.b(d106),.c(d19),.d(d107),.e(d31),.f(c13)); // 6 ins 1 outs level 1 + + xor6 x252i (.out(x252),.a(d105),.b(d71),.c(c22),.d(d69),.e(d74),.f(d37)); // 6 ins 1 outs level 1 + + xor6 x251i (.out(x251),.a(d17),.b(d67),.c(c27),.d(d77),.e(d93),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x250i (.out(x250),.a(x248),.b(x52),.c(x44),.d(x32),.e(x33),.f(x42)); // 6 ins 1 outs level 2 + + xor6 x249i (.out(x249),.a(x243),.b(x45),.c(x244),.d(x245),.e(x246),.f(x247)); // 6 ins 1 outs level 2 + + xor6 x248i (.out(x248),.a(d21),.b(d54),.c(c10),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x247i (.out(x247),.a(d26),.b(d104),.c(d98),.d(d0),.e(d61),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x246i (.out(x246),.a(d48),.b(c7),.c(d59),.d(d22),.e(d73),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x245i (.out(x245),.a(d60),.b(d107),.c(d67),.d(d105),.e(d39),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x244i (.out(x244),.a(d18),.b(d3),.c(d76),.d(d10),.e(d19),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x243i (.out(x243),.a(d4),.b(d55),.c(c9),.d(d77),.e(c15),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x242i (.out(x242),.a(c12),.b(d89),.c(d94),.d(d66),.e(d50),.f(d31)); // 6 ins 1 outs level 1 + + xor6 x241i (.out(x241),.a(x234),.b(x44),.c(x57),.d(x54),.e(x40),.f(x38)); // 6 ins 1 outs level 2 + + xor6 x240i (.out(x240),.a(x235),.b(x37),.c(x236),.d(x237),.e(x238),.f(x239)); // 6 ins 1 outs level 2 + + xor6 x239i (.out(x239),.a(c1),.b(c25),.c(d109),.d(d89),.e(d58),.f(d29)); // 6 ins 1 outs level 1 + + xor6 x238i (.out(x238),.a(c16),.b(d67),.c(d106),.d(d42),.e(d21),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x237i (.out(x237),.a(c10),.b(d98),.c(d19),.d(d96),.e(c18),.f(d78)); // 6 ins 1 outs level 1 + + xor6 x236i (.out(x236),.a(d82),.b(d68),.c(d43),.d(d97),.e(d92),.f(c2)); // 6 ins 1 outs level 1 + + xor6 x235i (.out(x235),.a(d53),.b(d26),.c(d85),.d(d48),.e(d20),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x234i (.out(x234),.a(d50),.b(d11),.c(c9),.d(d95),.e(d62),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x233i (.out(x233),.a(d99),.b(x225),.c(x77),.d(d42),.e(c17),.f(x44)); // 6 ins 1 outs level 2 + + xor6 x232i (.out(x232),.a(x226),.b(x38),.c(x227),.d(x228),.e(x229),.f(x230)); // 6 ins 1 outs level 2 + + xor6 x230i (.out(x230),.a(d64),.b(d54),.c(c19),.d(d44),.e(d23),.f(d6)); // 6 ins 1 outs level 1 + + xor6 x229i (.out(x229),.a(c10),.b(d8),.c(c15),.d(d77),.e(d68),.f(d5)); // 6 ins 1 outs level 1 + + xor6 x228i (.out(x228),.a(d57),.b(d24),.c(d51),.d(c29),.e(c30),.f(d43)); // 6 ins 1 outs level 1 + + xor6 x227i (.out(x227),.a(d26),.b(d110),.c(d61),.d(d69),.e(c26),.f(d106)); // 6 ins 1 outs level 1 + + xor6 x226i (.out(x226),.a(d102),.b(d63),.c(d83),.d(d46),.e(c3),.f(c22)); // 6 ins 1 outs level 1 + + xor6 x225i (.out(x225),.a(d109),.b(d22),.c(c31),.d(d107),.e(d59),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x224i (.out(x224),.a(x37),.b(x217),.c(d64),.d(d69),.e(x46),.f(x68)); // 6 ins 1 outs level 2 + + xor6 x223i (.out(x223),.a(x218),.b(x44),.c(x39),.d(x219),.e(x220),.f(x221)); // 6 ins 1 outs level 2 + + xor6 x221i (.out(x221),.a(d76),.b(d55),.c(c25),.d(d75),.e(c16),.f(d7)); // 6 ins 1 outs level 1 + + xor6 x220i (.out(x220),.a(c15),.b(d80),.c(d65),.d(d79),.e(d27),.f(c28)); // 6 ins 1 outs level 1 + + xor6 x219i (.out(x219),.a(d100),.b(c0),.c(d63),.d(d26),.e(d96),.f(c20)); // 6 ins 1 outs level 1 + + xor6 x218i (.out(x218),.a(d22),.b(d103),.c(d73),.d(d29),.e(d90),.f(d97)); // 6 ins 1 outs level 1 + + xor6 x217i (.out(x217),.a(d34),.b(d23),.c(d105),.d(d108),.e(d45),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x216i (.out(x216),.a(x208),.b(x47),.c(x214),.d(x35),.e(x42),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x215i (.out(x215),.a(x209),.b(x67),.c(x210),.d(x211),.e(x212),.f(x213)); // 6 ins 1 outs level 2 + + xor6 x214i (.out(x214),.a(d30),.b(d66),.c(d8),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x213i (.out(x213),.a(d40),.b(d35),.c(d42),.d(d28),.e(d48),.f(d4)); // 6 ins 1 outs level 1 + + xor6 x212i (.out(x212),.a(d46),.b(d109),.c(d70),.d(d95),.e(c13),.f(d93)); // 6 ins 1 outs level 1 + + xor6 x211i (.out(x211),.a(d77),.b(d105),.c(d59),.d(d58),.e(d10),.f(d101)); // 6 ins 1 outs level 1 + + xor6 x210i (.out(x210),.a(c31),.b(d71),.c(d45),.d(d92),.e(d65),.f(d96)); // 6 ins 1 outs level 1 + + xor6 x209i (.out(x209),.a(d14),.b(c1),.c(d26),.d(d81),.e(d43),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x208i (.out(x208),.a(d9),.b(d64),.c(c26),.d(c5),.e(c29),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x207i (.out(x207),.a(x200),.b(x69),.c(x34),.d(x60),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x206i (.out(x206),.a(x201),.b(x44),.c(x202),.d(x203),.e(x204),.f(x205)); // 6 ins 1 outs level 2 + + xor6 x205i (.out(x205),.a(d67),.b(d64),.c(d30),.d(d46),.e(d94),.f(c2)); // 6 ins 1 outs level 1 + + xor6 x204i (.out(x204),.a(d65),.b(d72),.c(d81),.d(d66),.e(d109),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x203i (.out(x203),.a(d44),.b(d84),.c(d11),.d(c1),.e(d15),.f(d8)); // 6 ins 1 outs level 1 + + xor6 x202i (.out(x202),.a(d43),.b(d59),.c(d98),.d(d31),.e(d57),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x201i (.out(x201),.a(d5),.b(d82),.c(d105),.d(d103),.e(c6),.f(c30)); // 6 ins 1 outs level 1 + + xor6 x200i (.out(x200),.a(d36),.b(c4),.c(d45),.d(d86),.e(c23),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x199i (.out(x199),.a(x196),.b(x33),.c(x39),.d(x40),.e(x41),.f(x197)); // 6 ins 1 outs level 2 + + xor6 x198i (.out(x198),.a(x193),.b(x36),.c(x47),.d(x35),.e(x194),.f(x195)); // 6 ins 1 outs level 2 + + xor6 x197i (.out(x197),.a(d30),.b(d67),.c(d12),.d(c7),.e(d72),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x196i (.out(x196),.a(d51),.b(d0),.c(d54),.d(c16),.e(d87),.f(d103)); // 6 ins 1 outs level 1 + + xor6 x195i (.out(x195),.a(d73),.b(d81),.c(d26),.d(d105),.e(c19),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x194i (.out(x194),.a(d48),.b(c31),.c(d53),.d(c26),.e(d79),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x193i (.out(x193),.a(c24),.b(c1),.c(c27),.d(d45),.e(c25),.f(d25)); // 6 ins 1 outs level 1 + + xor6 x192i (.out(x192),.a(d7),.b(d95),.c(c23),.d(d59),.e(d63),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x191i (.out(x191),.a(x52),.b(x185),.c(x32),.d(x49),.e(x47),.f(x45)); // 6 ins 1 outs level 2 + + xor6 x190i (.out(x190),.a(x186),.b(d64),.c(x37),.d(x187),.e(x188),.f(x189)); // 6 ins 1 outs level 2 + + xor6 x189i (.out(x189),.a(d46),.b(d72),.c(d20),.d(c18),.e(d38),.f(d1)); // 6 ins 1 outs level 1 + + xor6 x188i (.out(x188),.a(d51),.b(d11),.c(d92),.d(d58),.e(d44),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x187i (.out(x187),.a(d103),.b(d10),.c(d107),.d(c24),.e(d12),.f(d7)); // 6 ins 1 outs level 1 + + xor6 x186i (.out(x186),.a(d16),.b(d74),.c(c16),.d(d65),.e(c1),.f(d59)); // 6 ins 1 outs level 1 + + xor6 x185i (.out(x185),.a(d81),.b(d63),.c(d0),.d(d33),.e(d52),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x184i (.out(x184),.a(x52),.b(x40),.c(x39),.d(x41),.e(x42),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x183i (.out(x183),.a(x178),.b(x179),.c(x180),.d(x181),.e(x182),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x182i (.out(x182),.a(d30),.b(d51),.c(d88),.d(d64),.e(d18),.f(d14)); // 6 ins 1 outs level 1 + + xor6 x181i (.out(x181),.a(d23),.b(d79),.c(d36),.d(d0),.e(c18),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x180i (.out(x180),.a(d107),.b(d70),.c(d111),.d(d72),.e(d57),.f(c27)); // 6 ins 1 outs level 1 + + xor6 x179i (.out(x179),.a(c14),.b(c8),.c(d37),.d(d110),.e(d1),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x178i (.out(x178),.a(c3),.b(d83),.c(d98),.d(d87),.e(d80),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x177i (.out(x177),.a(d65),.b(c0),.c(d67),.d(d39),.e(d75),.f(d16)); // 6 ins 1 outs level 1 + + xor6 x176i (.out(x176),.a(d10),.b(c31),.c(x48),.d(x61),.e(x39),.f(c1)); // 6 ins 1 outs level 2 + + xor6 x175i (.out(x175),.a(x169),.b(x41),.c(x170),.d(x171),.e(x172),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x173i (.out(x173),.a(c29),.b(c18),.c(d40),.d(d71),.e(d45),.f(d39)); // 6 ins 1 outs level 1 + + xor6 x172i (.out(x172),.a(d76),.b(d9),.c(c15),.d(d17),.e(d15),.f(d37)); // 6 ins 1 outs level 1 + + xor6 x171i (.out(x171),.a(d73),.b(d38),.c(d100),.d(c23),.e(d60),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x170i (.out(x170),.a(c20),.b(d18),.c(d95),.d(c6),.e(d86),.f(d1)); // 6 ins 1 outs level 1 + + xor6 x169i (.out(x169),.a(d44),.b(d25),.c(d109),.d(d81),.e(d3),.f(d69)); // 6 ins 1 outs level 1 + + xor6 x168i (.out(x168),.a(d98),.b(c28),.c(d108),.d(d87),.e(d90),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x167i (.out(x167),.a(x160),.b(x46),.c(x50),.d(x41),.e(x39),.f(x165)); // 6 ins 1 outs level 2 + + xor6 x166i (.out(x166),.a(x161),.b(d15),.c(x38),.d(x162),.e(x163),.f(x164)); // 6 ins 1 outs level 2 + + xor6 x165i (.out(x165),.a(d19),.b(d38),.c(d79),.d(d8),.e(d100),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x164i (.out(x164),.a(d50),.b(d45),.c(d4),.d(c14),.e(c20),.f(c5)); // 6 ins 1 outs level 1 + + xor6 x163i (.out(x163),.a(d95),.b(d77),.c(c17),.d(d11),.e(c10),.f(d12)); // 6 ins 1 outs level 1 + + xor6 x162i (.out(x162),.a(d25),.b(d41),.c(d30),.d(c1),.e(d40),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x161i (.out(x161),.a(d111),.b(d33),.c(d29),.d(d67),.e(d20),.f(d46)); // 6 ins 1 outs level 1 + + xor6 x160i (.out(x160),.a(d107),.b(d63),.c(d24),.d(d47),.e(d74),.f(d39)); // 6 ins 1 outs level 1 + + xor6 x159i (.out(x159),.a(x152),.b(x60),.c(x54),.d(x46),.e(x38),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x158i (.out(x158),.a(x153),.b(x43),.c(x154),.d(x155),.e(x156),.f(x157)); // 6 ins 1 outs level 2 + + xor6 x157i (.out(x157),.a(d83),.b(d67),.c(c3),.d(d90),.e(d97),.f(d76)); // 6 ins 1 outs level 1 + + xor6 x156i (.out(x156),.a(d6),.b(d29),.c(d80),.d(d59),.e(d42),.f(d69)); // 6 ins 1 outs level 1 + + xor6 x155i (.out(x155),.a(d71),.b(d92),.c(c14),.d(d34),.e(d82),.f(d4)); // 6 ins 1 outs level 1 + + xor6 x154i (.out(x154),.a(d5),.b(d46),.c(d51),.d(d24),.e(d103),.f(c0)); // 6 ins 1 outs level 1 + + xor6 x153i (.out(x153),.a(d78),.b(d50),.c(d72),.d(d0),.e(d20),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x152i (.out(x152),.a(d49),.b(d13),.c(c2),.d(d32),.e(d64),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x151i (.out(x151),.a(x45),.b(x144),.c(x69),.d(x33),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x150i (.out(x150),.a(x145),.b(x44),.c(x149),.d(x146),.e(x147),.f(x148)); // 6 ins 1 outs level 2 + + xor6 x149i (.out(x149),.a(d30),.b(d7),.c(d84),.d(d66),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x148i (.out(x148),.a(c1),.b(d107),.c(d108),.d(d72),.e(d6),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x147i (.out(x147),.a(d70),.b(c24),.c(d50),.d(d8),.e(c4),.f(d5)); // 6 ins 1 outs level 1 + + xor6 x146i (.out(x146),.a(d39),.b(d78),.c(d73),.d(d47),.e(d64),.f(d68)); // 6 ins 1 outs level 1 + + xor6 x145i (.out(x145),.a(d65),.b(c18),.c(c12),.d(c0),.e(d80),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x144i (.out(x144),.a(d4),.b(d40),.c(d49),.d(d14),.e(c28),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x143i (.out(x143),.a(x136),.b(x69),.c(x34),.d(x57),.e(x60),.f(x40)); // 6 ins 1 outs level 2 + + xor6 x142i (.out(x142),.a(x137),.b(x44),.c(x141),.d(x138),.e(x139),.f(x140)); // 6 ins 1 outs level 2 + + xor6 x141i (.out(x141),.a(d46),.b(d74),.c(d39),.d(d99),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x140i (.out(x140),.a(d76),.b(c7),.c(c31),.d(d15),.e(d51),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x139i (.out(x139),.a(d69),.b(d104),.c(d68),.d(d58),.e(d8),.f(d81)); // 6 ins 1 outs level 1 + + xor6 x138i (.out(x138),.a(d43),.b(d16),.c(c23),.d(d3),.e(d4),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x137i (.out(x137),.a(d98),.b(c24),.c(d22),.d(d27),.e(c30),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x136i (.out(x136),.a(c15),.b(d56),.c(d105),.d(d92),.e(d79),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x135i (.out(x135),.a(x57),.b(x37),.c(x60),.d(x46),.e(x45),.f(x50)); // 6 ins 1 outs level 2 + + xor6 x134i (.out(x134),.a(x128),.b(x133),.c(x129),.d(x130),.e(x131),.f(x132)); // 6 ins 1 outs level 2 + + xor6 x133i (.out(x133),.a(d80),.b(c2),.c(d1),.d(c24),.e(d106),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x132i (.out(x132),.a(d42),.b(d66),.c(d76),.d(d97),.e(d32),.f(d82)); // 6 ins 1 outs level 1 + + xor6 x131i (.out(x131),.a(d40),.b(d22),.c(c25),.d(d95),.e(d17),.f(d5)); // 6 ins 1 outs level 1 + + xor6 x130i (.out(x130),.a(c17),.b(d45),.c(d35),.d(d51),.e(d54),.f(d75)); // 6 ins 1 outs level 1 + + xor6 x129i (.out(x129),.a(d85),.b(d67),.c(d46),.d(d69),.e(d84),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x128i (.out(x128),.a(d8),.b(c0),.c(c4),.d(d63),.e(d28),.f(d12)); // 6 ins 1 outs level 1 + + xor6 x127i (.out(x127),.a(x120),.b(x35),.c(x39),.d(x49),.e(x47),.f(x54)); // 6 ins 1 outs level 2 + + xor6 x126i (.out(x126),.a(x121),.b(x57),.c(x125),.d(x122),.e(x123),.f(x124)); // 6 ins 1 outs level 2 + + xor6 x125i (.out(x125),.a(d46),.b(d32),.c(c1),.d(d51),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x124i (.out(x124),.a(d61),.b(c9),.c(d110),.d(d36),.e(d66),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x123i (.out(x123),.a(c18),.b(d41),.c(d81),.d(d70),.e(d13),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x122i (.out(x122),.a(d60),.b(d35),.c(c0),.d(d12),.e(d67),.f(c5)); // 6 ins 1 outs level 1 + + xor6 x121i (.out(x121),.a(d33),.b(d64),.c(d89),.d(d34),.e(d47),.f(d96)); // 6 ins 1 outs level 1 + + xor6 x120i (.out(x120),.a(c15),.b(d104),.c(c7),.d(d98),.e(c29),.f(d68)); // 6 ins 1 outs level 1 + + xor6 x119i (.out(x119),.a(x57),.b(x112),.c(x61),.d(x68),.e(x36),.f(x35)); // 6 ins 1 outs level 2 + + xor6 x118i (.out(x118),.a(x113),.b(x43),.c(x117),.d(x114),.e(x115),.f(x116)); // 6 ins 1 outs level 2 + + xor6 x117i (.out(x117),.a(d80),.b(c12),.c(d39),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x116i (.out(x116),.a(c9),.b(c16),.c(d89),.d(d95),.e(d63),.f(d94)); // 6 ins 1 outs level 1 + + xor6 x115i (.out(x115),.a(d59),.b(d28),.c(d40),.d(d19),.e(d47),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x114i (.out(x114),.a(d35),.b(d98),.c(d90),.d(d0),.e(d109),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x113i (.out(x113),.a(c30),.b(d56),.c(c23),.d(c24),.e(d75),.f(c0)); // 6 ins 1 outs level 1 + + xor6 x112i (.out(x112),.a(d106),.b(d32),.c(d4),.d(d58),.e(d96),.f(d103)); // 6 ins 1 outs level 1 + + xor6 x111i (.out(x111),.a(x61),.b(x36),.c(x42),.d(x41),.e(x69),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x110i (.out(x110),.a(x105),.b(x33),.c(x109),.d(x106),.e(x107),.f(x108)); // 6 ins 1 outs level 2 + + xor6 x109i (.out(x109),.a(d58),.b(d44),.c(c11),.d(d0),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x108i (.out(x108),.a(d23),.b(d83),.c(d91),.d(d94),.e(d110),.f(c3)); // 6 ins 1 outs level 1 + + xor6 x107i (.out(x107),.a(d20),.b(d40),.c(d25),.d(d15),.e(d90),.f(d12)); // 6 ins 1 outs level 1 + + xor6 x106i (.out(x106),.a(d64),.b(d26),.c(d48),.d(d17),.e(d102),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x105i (.out(x105),.a(d4),.b(d57),.c(d43),.d(c27),.e(d1),.f(d74)); // 6 ins 1 outs level 1 + + xor6 x104i (.out(x104),.a(d41),.b(d76),.c(c22),.d(d27),.e(d78),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x103i (.out(x103),.a(x97),.b(x69),.c(x67),.d(x49),.e(x43),.f(x37)); // 6 ins 1 outs level 2 + + xor6 x102i (.out(x102),.a(x98),.b(x57),.c(x101),.d(x42),.e(x99),.f(x100)); // 6 ins 1 outs level 2 + + xor6 x101i (.out(x101),.a(d30),.b(d12),.c(d65),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x100i (.out(x100),.a(d27),.b(d104),.c(d28),.d(d73),.e(d46),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x99i (.out(x99),.a(d87),.b(c17),.c(d90),.d(d42),.e(d23),.f(c12)); // 6 ins 1 outs level 1 + + xor6 x98i (.out(x98),.a(d78),.b(d49),.c(c19),.d(d1),.e(d15),.f(d109)); // 6 ins 1 outs level 1 + + xor6 x97i (.out(x97),.a(d74),.b(d45),.c(d2),.d(d35),.e(d18),.f(c7)); // 6 ins 1 outs level 1 + + xor6 x96i (.out(x96),.a(x54),.b(x36),.c(x50),.d(x49),.e(x34),.f(x44)); // 6 ins 1 outs level 2 + + xor6 x95i (.out(x95),.a(x89),.b(x94),.c(x90),.d(x91),.e(x92),.f(x93)); // 6 ins 1 outs level 2 + + xor6 x94i (.out(x94),.a(d64),.b(c25),.c(c31),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x93i (.out(x93),.a(c8),.b(c7),.c(d92),.d(d42),.e(d5),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x92i (.out(x92),.a(d39),.b(d97),.c(d6),.d(d72),.e(d43),.f(d75)); // 6 ins 1 outs level 1 + + xor6 x91i (.out(x91),.a(c19),.b(d10),.c(d3),.d(d102),.e(d16),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x90i (.out(x90),.a(d60),.b(d87),.c(d48),.d(d70),.e(c22),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x89i (.out(x89),.a(d14),.b(d88),.c(c29),.d(d58),.e(c23),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x88i (.out(x88),.a(c13),.b(x45),.c(x39),.d(x48),.e(x34),.f(d62)); // 6 ins 1 outs level 2 + + xor6 x87i (.out(x87),.a(x81),.b(x46),.c(x82),.d(x83),.e(x84),.f(x85)); // 6 ins 1 outs level 2 + + xor6 x85i (.out(x85),.a(d93),.b(d63),.c(d104),.d(d96),.e(c30),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x84i (.out(x84),.a(d75),.b(d103),.c(d26),.d(d23),.e(d61),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x83i (.out(x83),.a(d55),.b(d76),.c(d6),.d(d94),.e(d17),.f(c27)); // 6 ins 1 outs level 1 + + xor6 x82i (.out(x82),.a(d110),.b(d48),.c(c17),.d(c18),.e(c15),.f(d15)); // 6 ins 1 outs level 1 + + xor6 x81i (.out(x81),.a(d4),.b(d77),.c(d59),.d(c31),.e(d56),.f(c16)); // 6 ins 1 outs level 1 + + xor6 x80i (.out(x80),.a(d14),.b(d33),.c(d51),.d(c14),.e(d71),.f(d98)); // 6 ins 1 outs level 1 + + xor6 x79i (.out(x79),.a(x71),.b(x57),.c(x48),.d(x42),.e(x45),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x78i (.out(x78),.a(x72),.b(x73),.c(x74),.d(x75),.e(x76),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x77i (.out(x77),.a(d30),.b(d12),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 2 outs level 1 + + xor6 x76i (.out(x76),.a(d15),.b(c24),.c(c8),.d(d84),.e(d59),.f(d64)); // 6 ins 1 outs level 1 + + xor6 x75i (.out(x75),.a(d18),.b(c29),.c(d71),.d(d34),.e(c31),.f(d50)); // 6 ins 1 outs level 1 + + xor6 x74i (.out(x74),.a(c10),.b(d44),.c(d19),.d(d45),.e(d95),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x73i (.out(x73),.a(d57),.b(d74),.c(c5),.d(d94),.e(d104),.f(d76)); // 6 ins 1 outs level 1 + + xor6 x72i (.out(x72),.a(c4),.b(d87),.c(d23),.d(d21),.e(d3),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x71i (.out(x71),.a(d33),.b(d88),.c(d90),.d(c25),.e(c15),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x70i (.out(x70),.a(d59),.b(d48),.c(x44),.d(d61),.e(d26),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x69i (.out(x69),.a(d60),.b(d54),.c(d56),.d(d45),.e(d71),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x68i (.out(x68),.a(c12),.b(d104),.c(d62),.d(d31),.e(d13),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x67i (.out(x67),.a(d63),.b(c26),.c(d61),.d(c19),.e(1'b0),.f(1'b0)); // 4 ins 4 outs level 1 + + xor6 x66i (.out(x66),.a(d96),.b(x47),.c(d35),.d(d17),.e(d13),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x65i (.out(x65),.a(x60),.b(d96),.c(x47),.d(d28),.e(d24),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x64i (.out(x64),.a(c14),.b(d105),.c(d22),.d(x33),.e(d98),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x63i (.out(x63),.a(d18),.b(d92),.c(d106),.d(c30),.e(x35),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x62i (.out(x62),.a(d92),.b(d2),.c(x43),.d(d42),.e(c27),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x61i (.out(x61),.a(d33),.b(d36),.c(d103),.d(c10),.e(d14),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x60i (.out(x60),.a(d37),.b(d10),.c(d34),.d(d106),.e(c27),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x59i (.out(x59),.a(c14),.b(d23),.c(x45),.d(d33),.e(d50),.f(1'b0)); // 5 ins 5 outs level 2 + + xor6 x58i (.out(x58),.a(d19),.b(x40),.c(x34),.d(d54),.e(d53),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x57i (.out(x57),.a(c29),.b(d78),.c(d5),.d(d77),.e(d4),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x56i (.out(x56),.a(d57),.b(x36),.c(x33),.d(x38),.e(d111),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x55i (.out(x55),.a(d11),.b(d38),.c(d43),.d(x35),.e(x32),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x54i (.out(x54),.a(d76),.b(d39),.c(d74),.d(d55),.e(d1),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x53i (.out(x53),.a(d9),.b(d66),.c(d16),.d(x37),.e(d55),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x52i (.out(x52),.a(c30),.b(d6),.c(d97),.d(d94),.e(d52),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x51i (.out(x51),.a(d40),.b(d94),.c(x34),.d(c12),.e(d79),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x50i (.out(x50),.a(d103),.b(d57),.c(d0),.d(d29),.e(d109),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x49i (.out(x49),.a(d69),.b(c6),.c(d92),.d(c26),.e(d86),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x48i (.out(x48),.a(c19),.b(d8),.c(d89),.d(d87),.e(c9),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x47i (.out(x47),.a(c22),.b(d53),.c(c16),.d(d9),.e(d102),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x46i (.out(x46),.a(d3),.b(d73),.c(d70),.d(d107),.e(c23),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x45i (.out(x45),.a(c20),.b(d62),.c(d20),.d(d100),.e(d49),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x44i (.out(x44),.a(d25),.b(d78),.c(d93),.d(c13),.e(d95),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x43i (.out(x43),.a(d21),.b(d75),.c(d81),.d(d41),.e(d28),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x42i (.out(x42),.a(d108),.b(d23),.c(d24),.d(d85),.e(c28),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x41i (.out(x41),.a(c5),.b(d59),.c(d31),.d(d65),.e(d68),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x40i (.out(x40),.a(d111),.b(d7),.c(d99),.d(c17),.e(d32),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x39i (.out(x39),.a(c4),.b(d44),.c(d58),.d(d2),.e(d84),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x38i (.out(x38),.a(d90),.b(c11),.c(c1),.d(c31),.e(d91),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x37i (.out(x37),.a(c21),.b(d101),.c(c14),.d(d60),.e(d105),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x36i (.out(x36),.a(c18),.b(c25),.c(d47),.d(d110),.e(d50),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x35i (.out(x35),.a(c3),.b(d29),.c(d83),.d(c15),.e(d52),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x34i (.out(x34),.a(c0),.b(d27),.c(d56),.d(d97),.e(d80),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x33i (.out(x33),.a(d82),.b(d98),.c(d51),.d(d104),.e(c2),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x32i (.out(x32),.a(d79),.b(d88),.c(c7),.d(c8),.e(c24),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x15i (.out(x15),.a(x78),.b(x58),.c(x77),.d(x53),.e(x79),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x14i (.out(x14),.a(x80),.b(x55),.c(x58),.d(x87),.e(x88),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x13i (.out(x13),.a(x95),.b(x68),.c(x33),.d(x63),.e(x58),.f(x96)); // 6 ins 1 outs level 3 + + xor6 x12i (.out(x12),.a(x102),.b(x52),.c(x41),.d(x66),.e(x56),.f(x103)); // 6 ins 1 outs level 3 + + xor6 x11i (.out(x11),.a(x104),.b(x46),.c(x53),.d(x111),.e(x110),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x10i (.out(x10),.a(x118),.b(x62),.c(x46),.d(x49),.e(x53),.f(x119)); // 6 ins 1 outs level 3 + + xor6 x9i (.out(x9),.a(x126),.b(x42),.c(x63),.d(x55),.e(x127),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x8i (.out(x8),.a(x134),.b(x41),.c(x59),.d(x55),.e(x135),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x7i (.out(x7),.a(x142),.b(x36),.c(x42),.d(x50),.e(x62),.f(x143)); // 6 ins 1 outs level 3 + + xor6 x6i (.out(x6),.a(x150),.b(x54),.c(x55),.d(x62),.e(x151),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x5i (.out(x5),.a(x158),.b(x67),.c(x58),.d(x51),.e(x159),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x4i (.out(x4),.a(x166),.b(x49),.c(x52),.d(x63),.e(x167),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x3i (.out(x3),.a(x168),.b(x58),.c(x175),.d(x173),.e(x176),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x2i (.out(x2),.a(x177),.b(x48),.c(x66),.d(x183),.e(x184),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x1i (.out(x1),.a(x190),.b(x34),.c(x36),.d(x66),.e(x65),.f(x191)); // 6 ins 1 outs level 3 + + xor6 x0i (.out(x0),.a(x192),.b(x52),.c(x53),.d(x65),.e(x198),.f(x199)); // 6 ins 1 outs level 3 + + xor6 x31i (.out(x31),.a(x206),.b(x36),.c(x65),.d(x59),.e(x207),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x30i (.out(x30),.a(x215),.b(x40),.c(x64),.d(x51),.e(x216),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x29i (.out(x29),.a(x223),.b(x52),.c(x62),.d(x56),.e(x224),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x28i (.out(x28),.a(x232),.b(x62),.c(x59),.d(x51),.e(x233),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x27i (.out(x27),.a(x240),.b(x67),.c(x42),.d(x51),.e(x241),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x26i (.out(x26),.a(x242),.b(x56),.c(x62),.d(x249),.e(x250),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x25i (.out(x25),.a(x251),.b(x55),.c(x56),.d(x258),.e(x259),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x24i (.out(x24),.a(x265),.b(x49),.c(x56),.d(x51),.e(x266),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x23i (.out(x23),.a(x267),.b(x50),.c(x56),.d(x58),.e(x274),.f(x275)); // 6 ins 1 outs level 3 + + xor6 x22i (.out(x22),.a(x276),.b(x53),.c(x55),.d(x282),.e(x283),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x21i (.out(x21),.a(x289),.b(x64),.c(x63),.d(x51),.e(x290),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x20i (.out(x20),.a(x70),.b(x53),.c(x298),.d(x297),.e(x295),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x19i (.out(x19),.a(x305),.b(x49),.c(x59),.d(x51),.e(x306),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x18i (.out(x18),.a(x314),.b(d101),.c(d15),.d(x39),.e(x65),.f(x315)); // 6 ins 1 outs level 3 + + xor6 x17i (.out(x17),.a(x316),.b(x59),.c(x56),.d(x322),.e(x323),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x16i (.out(x16),.a(x330),.b(x48),.c(x64),.d(x331),.e(x332),.f(1'b0)); // 5 ins 1 outs level 3 + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat120.v b/Advanced Synthesis Cookbook/crc/crc32_dat120.v new file mode 100644 index 0000000..167a542 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat120.v @@ -0,0 +1,1189 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 120 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111111111 +// 00000000001111111111222222222233 000000000011111111112222222222333333333344444444445555555555666666666677777777778888888888999999999900000000001111111111 +// 01234567890123456789012345678901 012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789 +// +// C00 = ......XXXXXX.X.XX.X...XX.XX.XXXX X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX +// C01 = X.....X.....XXXX.XXX..X.XX.XX... XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XXXX...X..X.X....XXX....XXX.....X.....XXXX.XXX..X.XX.XX... +// C02 = XX....X.XXXX..X....XX.X.......XX XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X.XXXX..X....XX.X.......XX +// C03 = .XX....X.XXXX..X....XX.X.......X .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X.XXXX..X....XX.X.......X +// C04 = ..XX..XX.X..X..X..X..X.XXXX.XXXX X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X.X.XXXX..XX..X.X...XX.X...XX..XX.X..X..X..X..X.XXXX.XXXX +// C05 = ...XX.X..X.X...X..XX...XX..XX... XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X..X.X...X..XX...XX..XX... +// C06 = ....XX.X..X.X...X..XX...XX..XX.. .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X..X.X...X..XX...XX..XX.. +// C07 = .....X.X.XX....XXXX.XXXX....X..X X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X.......XX.X..XXXX.XX......X.....X.X.XX....XXXX.XXXX....X..X +// C08 = X......X.X...X.X.X.X.X..XXX.X.XX XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X.X...X.X.X.X.X..XXX.X.XX +// C09 = XX......X.X...X.X.X.X.X..XXX.X.X .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X.X...X.X.X.X.X..XXX.X.X +// C10 = .XX...XXX.X..X..XXXX.XX..X.X.X.X X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX..X..XXX.X.X.XX.X..X..X..XX...XXX.X..X..XXXX.XX..X.X.X.X +// C11 = ..XX..X...X..XXXXX.XX....X...X.X XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX....XXX.X.XX.XX.X.X...XX.X....XX..X...X..XXXXX.XX....X...X.X +// C12 = ...XX.X.XXX..XX..X..XXXX.X..XX.X XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX.X..XX.X +// C13 = X...XX.X.XXX..XX..X..XXXX.X..XX. .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX.X..XX. +// C14 = XX...XX.X.XXX..XX..X..XXXX.X..XX ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX.X..XX +// C15 = XXX...XX.X.XXX..XX..X..XXXX.X..X ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX.X..X +// C16 = .XXX..X..X.XX.XXXX...XXXX..XX.XX X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX.XX +// C17 = X.XXX..X..X.XX.XXXX...XXXX..XX.X .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX.X +// C18 = XX.XXX..X..X.XX.XXXX...XXXX..XX. ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX. +// C19 = .XX.XXX..X..X.XX.XXXX...XXXX..XX ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX +// C20 = X.XX.XXX..X..X.XX.XXXX...XXXX..X ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..X +// C21 = XX.XX.XXX..X..X.XX.XXXX...XXXX.. .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX.. +// C22 = XXX.XXX...XXXX..XX..XX...XXX...X X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX..XXXX....XX....X..X..X.XXXX.XXX...XXXX..XX..XX...XXX...X +// C23 = XXXX.X..XXX.X.XXXX...X.X.X.X.XXX XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X.X.X.X.XXX +// C24 = XXXXX.X..XXX.X.XXXX...X.X.X.X.XX .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X.X.X.X.XX +// C25 = XXXXXX.X..XXX.X.XXXX...X.X.X.X.X ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X.X.X.X.X +// C26 = XXXXXX.X.XX.X...XX.XX.XXXX...X.X X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X.X +// C27 = .XXXXXX.X.XX.X...XX.XX.XXXX...X. .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X. +// C28 = ..XXXXXX.X.XX.X...XX.XX.XXXX...X ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X +// C29 = ...XXXXXX.X.XX.X...XX.XX.XXXX... ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX... +// C30 = ....XXXXXX.X.XX.X...XX.XX.XXXX.. ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX.. +// C31 = .....XXXXXX.X.XX.X...XX.XX.XXXX. .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX. +// +module crc32_dat120 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [119:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat120_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat120_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat120_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [119:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95,d96,d97,d98,d99,d100,d101,d102,d103,d104,d105,d106,d107,d108,d109,d110, + d111,d112,d113,d114,d115,d116,d117,d118,d119; + +assign { d119,d118,d117,d116,d115,d114,d113,d112,d111,d110,d109,d108,d107,d106,d105, + d104,d103,d102,d101,d100,d99,d98,d97,d96,d95,d94,d93,d92,d91,d90,d89, + d88,d87,d86,d85,d84,d83,d82,d81,d80,d79,d78,d77,d76,d75,d74,d73, + d72,d71,d70,d69,d68,d67,d66,d65,d64,d63,d62,d61,d60,d59,d58,d57, + d56,d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [119:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x23 = d72 ^ c10 ^ d98 ^ d50 ^ d119 ^ d103 ^ d104 ^ c15 ^ d96 ^ + d113 ^ d82 ^ d79 ^ d85 ^ d65 ^ c30 ^ d1 ^ d80 ^ d16 ^ c21 ^ + d86 ^ d42 ^ d87 ^ d17 ^ c9 ^ c16 ^ c2 ^ d117 ^ c14 ^ d9 ^ + c29 ^ d69 ^ d35 ^ d36 ^ d55 ^ c17 ^ d34 ^ c27 ^ c3 ^ d31 ^ + d111 ^ d56 ^ c31 ^ d84 ^ d39 ^ d0 ^ c0 ^ d62 ^ d29 ^ d115 ^ + d54 ^ d27 ^ c5 ^ d15 ^ d6 ^ d75 ^ d49 ^ d74 ^ d59 ^ d109 ^ + d38 ^ d118 ^ c23 ^ d46 ^ c25 ^ d90 ^ d105 ^ d89 ^ d60 ^ d91 ^ + c8 ^ c12 ^ d81 ^ d20 ^ c1 ^ d88 ^ d73 ^ d100 ^ d93 ^ d47 ^ + d97 ^ d26 ^ d19 ^ d13 ^ d102; // 84 ins 1 outs level 3 + + assign x22 = d12 ^ d45 ^ d57 ^ d66 ^ c2 ^ d104 ^ d100 ^ c5 ^ d55 ^ + d67 ^ c20 ^ d52 ^ d9 ^ d34 ^ c0 ^ d48 ^ d23 ^ c13 ^ d29 ^ + d101 ^ d73 ^ c16 ^ c21 ^ d43 ^ d87 ^ d36 ^ d90 ^ d24 ^ d98 ^ + c12 ^ c25 ^ d108 ^ d68 ^ d35 ^ d27 ^ d105 ^ d26 ^ c27 ^ d88 ^ + c4 ^ d79 ^ d99 ^ d19 ^ d85 ^ d14 ^ d58 ^ d62 ^ d61 ^ d92 ^ + c17 ^ c1 ^ d65 ^ d16 ^ d31 ^ d41 ^ d119 ^ d82 ^ d0 ^ d113 ^ + d38 ^ d94 ^ c31 ^ d74 ^ d11 ^ d93 ^ d115 ^ d109 ^ d114 ^ c10 ^ + d18 ^ d89 ^ c11 ^ d60 ^ d47 ^ c6 ^ d37 ^ c26 ^ d44; // 78 ins 1 outs level 3 + + assign x21 = d31 ^ d115 ^ d73 ^ d13 ^ c7 ^ d56 ^ d53 ^ d96 ^ d22 ^ + d114 ^ d110 ^ d92 ^ d34 ^ c19 ^ d52 ^ d117 ^ c21 ^ c3 ^ d37 ^ + d61 ^ d108 ^ d102 ^ c16 ^ d29 ^ c4 ^ d27 ^ d107 ^ c17 ^ d104 ^ + c27 ^ d80 ^ c0 ^ d40 ^ d51 ^ d88 ^ c6 ^ d95 ^ d35 ^ c28 ^ + c1 ^ d82 ^ d17 ^ d99 ^ c22 ^ c14 ^ c26 ^ d10 ^ d94 ^ c8 ^ + c29 ^ d42 ^ c11 ^ d109 ^ d49 ^ c20 ^ d18 ^ d83 ^ d87 ^ d24 ^ + d26 ^ d71 ^ d5 ^ d9 ^ d62 ^ d116 ^ d89 ^ d105 ^ d91; // 68 ins 1 outs level 3 + + assign x20 = c3 ^ d101 ^ d26 ^ c20 ^ d12 ^ d9 ^ d88 ^ d109 ^ c28 ^ + d79 ^ d23 ^ d116 ^ d60 ^ d36 ^ d51 ^ c6 ^ c0 ^ d113 ^ d95 ^ + d30 ^ d94 ^ d41 ^ c21 ^ c26 ^ d61 ^ c16 ^ c19 ^ c15 ^ d70 ^ + d115 ^ d25 ^ d93 ^ d119 ^ d81 ^ d16 ^ d34 ^ c10 ^ d91 ^ d103 ^ + d106 ^ d8 ^ d82 ^ d4 ^ c7 ^ d108 ^ c13 ^ d107 ^ c18 ^ c31 ^ + d50 ^ d98 ^ d114 ^ d48 ^ d21 ^ c25 ^ d72 ^ d87 ^ d86 ^ d55 ^ + d52 ^ d104 ^ c2 ^ c27 ^ d33 ^ d28 ^ d17 ^ d90 ^ d39 ^ c5; // 69 ins 1 outs level 3 + + assign x19 = d97 ^ d33 ^ d54 ^ c6 ^ c4 ^ d69 ^ d27 ^ d3 ^ d40 ^ + d47 ^ d24 ^ d102 ^ d15 ^ d94 ^ d115 ^ c14 ^ d22 ^ d106 ^ c5 ^ + d93 ^ c26 ^ d32 ^ c27 ^ d20 ^ c17 ^ d107 ^ d118 ^ d114 ^ c9 ^ + d92 ^ d103 ^ d85 ^ d8 ^ c2 ^ d51 ^ d38 ^ c18 ^ d89 ^ d35 ^ + d59 ^ c20 ^ d78 ^ c19 ^ d50 ^ d71 ^ c24 ^ d100 ^ d29 ^ d108 ^ + c1 ^ d113 ^ c31 ^ c30 ^ d90 ^ c12 ^ d49 ^ d112 ^ d87 ^ d81 ^ + d119 ^ d7 ^ d25 ^ c25 ^ d16 ^ d60 ^ d86 ^ d80 ^ d105 ^ d11 ^ + c15; // 70 ins 1 outs level 3 + + assign x18 = d106 ^ c8 ^ d21 ^ c13 ^ d37 ^ c1 ^ d85 ^ d46 ^ d104 ^ + d101 ^ d6 ^ c11 ^ c19 ^ d48 ^ d79 ^ d86 ^ c16 ^ d114 ^ c24 ^ + d91 ^ c5 ^ c0 ^ d111 ^ d77 ^ c30 ^ d32 ^ d50 ^ d19 ^ c14 ^ + d113 ^ d112 ^ d2 ^ d14 ^ d70 ^ c4 ^ d24 ^ c26 ^ c25 ^ d31 ^ + d34 ^ d99 ^ d105 ^ d96 ^ d68 ^ d118 ^ d26 ^ d10 ^ d84 ^ d102 ^ + d28 ^ d89 ^ d117 ^ d93 ^ d58 ^ d88 ^ d49 ^ d107 ^ d23 ^ d7 ^ + d15 ^ d80 ^ c17 ^ c23 ^ d92 ^ c18 ^ c3 ^ c29 ^ d39 ^ d59 ^ + d53; // 70 ins 1 outs level 3 + + assign x17 = c4 ^ d33 ^ d36 ^ c28 ^ d9 ^ d49 ^ c17 ^ d69 ^ d91 ^ + d112 ^ c2 ^ d13 ^ d106 ^ d101 ^ d25 ^ c31 ^ d110 ^ d111 ^ d76 ^ + c3 ^ d20 ^ c16 ^ d116 ^ d104 ^ c22 ^ d6 ^ d105 ^ d31 ^ d67 ^ + d98 ^ d23 ^ d117 ^ d18 ^ d27 ^ d95 ^ d1 ^ d30 ^ d48 ^ d87 ^ + c10 ^ d88 ^ d38 ^ c0 ^ d5 ^ c23 ^ d57 ^ c15 ^ d14 ^ d119 ^ + c12 ^ d78 ^ d84 ^ c25 ^ d83 ^ d79 ^ c18 ^ d45 ^ c24 ^ d47 ^ + c13 ^ d58 ^ d90 ^ d92 ^ d100 ^ d113 ^ c7 ^ d52 ^ d103 ^ c29 ^ + d85 ^ d22; // 71 ins 1 outs level 3 + + assign x16 = c1 ^ c3 ^ c9 ^ d77 ^ c27 ^ c30 ^ c14 ^ c17 ^ c11 ^ + d115 ^ c12 ^ d24 ^ d32 ^ c23 ^ d110 ^ c15 ^ c2 ^ d29 ^ d17 ^ + d47 ^ d89 ^ d37 ^ d97 ^ d75 ^ d21 ^ d66 ^ d91 ^ d35 ^ d111 ^ + d51 ^ d87 ^ c24 ^ d94 ^ d82 ^ d100 ^ d22 ^ d90 ^ d102 ^ d4 ^ + d84 ^ c31 ^ d26 ^ d118 ^ d112 ^ d104 ^ d103 ^ d116 ^ d83 ^ d78 ^ + d48 ^ d56 ^ d119 ^ d99 ^ d19 ^ d46 ^ d0 ^ d57 ^ c6 ^ d5 ^ + d30 ^ d44 ^ d8 ^ c28 ^ d13 ^ c16 ^ d12 ^ d68 ^ c22 ^ c21 ^ + d109 ^ d105 ^ d86; // 72 ins 1 outs level 3 + + assign x15 = d72 ^ d80 ^ d76 ^ c13 ^ c16 ^ d104 ^ d33 ^ d54 ^ c20 ^ + d114 ^ d112 ^ d56 ^ d16 ^ d64 ^ d21 ^ d30 ^ d5 ^ d105 ^ c7 ^ + d71 ^ d4 ^ c17 ^ d60 ^ d100 ^ d88 ^ c24 ^ d15 ^ d20 ^ d9 ^ + c6 ^ d18 ^ d59 ^ d3 ^ c25 ^ c23 ^ d95 ^ c1 ^ d97 ^ d52 ^ + d45 ^ d84 ^ d74 ^ d66 ^ d108 ^ d85 ^ d44 ^ d12 ^ d78 ^ d57 ^ + c28 ^ d50 ^ c26 ^ d99 ^ d90 ^ d53 ^ d113 ^ d94 ^ d34 ^ d55 ^ + c11 ^ d8 ^ c12 ^ d101 ^ c0 ^ d27 ^ d77 ^ d116 ^ d111 ^ d89 ^ + c2 ^ c9 ^ d24 ^ d49 ^ d62 ^ d7 ^ c31 ^ d119; // 77 ins 1 outs level 3 + + assign x14 = d51 ^ d54 ^ c5 ^ c8 ^ d61 ^ d76 ^ d79 ^ d8 ^ d110 ^ + d55 ^ d11 ^ d93 ^ d96 ^ d77 ^ d87 ^ d94 ^ c11 ^ d73 ^ d56 ^ + d100 ^ d23 ^ d33 ^ d112 ^ d113 ^ d119 ^ d26 ^ d32 ^ d63 ^ d65 ^ + d111 ^ c24 ^ d107 ^ c19 ^ d14 ^ d2 ^ d103 ^ d84 ^ c25 ^ d53 ^ + d48 ^ d88 ^ d71 ^ c22 ^ d19 ^ d7 ^ d29 ^ d20 ^ c30 ^ c23 ^ + d58 ^ d15 ^ d115 ^ c15 ^ d89 ^ d4 ^ d98 ^ d59 ^ d17 ^ c16 ^ + d104 ^ d3 ^ d52 ^ c1 ^ c0 ^ d70 ^ c27 ^ d99 ^ c6 ^ c12 ^ + c10 ^ d75 ^ d49 ^ c31 ^ d6 ^ d43 ^ d83 ^ d118 ^ d44; // 78 ins 1 outs level 3 + + assign x13 = d72 ^ c23 ^ c10 ^ d78 ^ d54 ^ d112 ^ d2 ^ c21 ^ d76 ^ + d98 ^ c7 ^ d19 ^ d64 ^ d25 ^ d92 ^ d117 ^ d106 ^ d53 ^ d7 ^ + d22 ^ c11 ^ d87 ^ d58 ^ d50 ^ d69 ^ c24 ^ c15 ^ d48 ^ d10 ^ + d57 ^ d31 ^ d70 ^ d28 ^ c26 ^ d86 ^ d42 ^ d110 ^ d47 ^ d3 ^ + c14 ^ d88 ^ c0 ^ d14 ^ d109 ^ d83 ^ d111 ^ d99 ^ c22 ^ d43 ^ + d62 ^ c4 ^ d102 ^ d82 ^ d16 ^ d52 ^ d60 ^ d32 ^ d1 ^ d13 ^ + c29 ^ c18 ^ d51 ^ d5 ^ d55 ^ c9 ^ d74 ^ c5 ^ d6 ^ d97 ^ + d95 ^ d75 ^ d114 ^ c30 ^ d18 ^ d103 ^ d93 ^ d118; // 77 ins 1 outs level 3 + + assign x12 = d54 ^ d116 ^ d69 ^ d30 ^ c8 ^ c28 ^ d85 ^ c9 ^ d17 ^ + d24 ^ d18 ^ d41 ^ d63 ^ d86 ^ d108 ^ d92 ^ d57 ^ d94 ^ d61 ^ + c23 ^ d1 ^ d12 ^ d21 ^ d59 ^ d119 ^ d47 ^ d117 ^ d52 ^ c3 ^ + d4 ^ d81 ^ d53 ^ d46 ^ d9 ^ d6 ^ d77 ^ d73 ^ d101 ^ d110 ^ + d49 ^ c20 ^ d27 ^ c21 ^ c14 ^ d2 ^ c25 ^ d56 ^ d31 ^ d15 ^ + d50 ^ d111 ^ d97 ^ d82 ^ d113 ^ d51 ^ d68 ^ d96 ^ d74 ^ d105 ^ + d5 ^ d0 ^ c6 ^ c22 ^ d87 ^ c4 ^ d98 ^ c10 ^ c29 ^ c13 ^ + c31 ^ d13 ^ d71 ^ d102 ^ d109 ^ c17 ^ d42 ^ d91 ^ d75; // 78 ins 1 outs level 3 + + assign x11 = d83 ^ d31 ^ d98 ^ c15 ^ c31 ^ c13 ^ d0 ^ d57 ^ d82 ^ + d33 ^ d25 ^ c6 ^ d27 ^ d44 ^ d45 ^ d9 ^ d55 ^ c3 ^ c19 ^ + d20 ^ d58 ^ c2 ^ d43 ^ d73 ^ d41 ^ c10 ^ d70 ^ d56 ^ c17 ^ + d71 ^ d51 ^ d102 ^ d91 ^ d36 ^ d1 ^ d4 ^ d17 ^ d24 ^ c16 ^ + d76 ^ d68 ^ d12 ^ d101 ^ d64 ^ d28 ^ d47 ^ d26 ^ d40 ^ c14 ^ + d78 ^ d3 ^ d107 ^ d108 ^ c25 ^ d59 ^ c20 ^ d90 ^ d117 ^ d113 ^ + d104 ^ d103 ^ d48 ^ d65 ^ d85 ^ d74 ^ d66 ^ c29 ^ d105 ^ d14 ^ + d119 ^ d50 ^ d16 ^ d94 ^ d54 ^ d15; // 75 ins 1 outs level 3 + + assign x10 = c22 ^ c29 ^ d86 ^ c7 ^ c27 ^ d62 ^ d52 ^ d14 ^ c1 ^ + d33 ^ d63 ^ d110 ^ d115 ^ d104 ^ d13 ^ d119 ^ d42 ^ c2 ^ d3 ^ + d83 ^ d2 ^ c17 ^ c16 ^ d78 ^ d90 ^ d9 ^ d98 ^ d56 ^ d50 ^ + d36 ^ d60 ^ d40 ^ d80 ^ d70 ^ d31 ^ d32 ^ c31 ^ d29 ^ d101 ^ + d73 ^ c25 ^ c18 ^ d59 ^ d0 ^ d106 ^ c19 ^ d105 ^ c6 ^ d26 ^ + c8 ^ d28 ^ d69 ^ d89 ^ c10 ^ d71 ^ d39 ^ d19 ^ d113 ^ d35 ^ + d117 ^ d96 ^ d66 ^ c21 ^ d109 ^ d95 ^ d77 ^ d55 ^ d75 ^ c13 ^ + d58 ^ d16 ^ d94 ^ d5 ^ d107; // 74 ins 1 outs level 3 + + assign x9 = c26 ^ d66 ^ c27 ^ d67 ^ c31 ^ d55 ^ d13 ^ d114 ^ c25 ^ + d11 ^ d81 ^ d29 ^ d1 ^ c22 ^ d80 ^ d69 ^ d52 ^ d117 ^ d79 ^ + c14 ^ c10 ^ d110 ^ d35 ^ d106 ^ d119 ^ d24 ^ d98 ^ d71 ^ d12 ^ + d43 ^ d38 ^ d47 ^ c1 ^ c8 ^ d68 ^ d41 ^ d5 ^ d102 ^ d32 ^ + d104 ^ d86 ^ d88 ^ d44 ^ d34 ^ d36 ^ d60 ^ d113 ^ d23 ^ d89 ^ + d53 ^ d76 ^ d70 ^ d115 ^ d64 ^ c18 ^ d33 ^ d108 ^ d4 ^ d9 ^ + c16 ^ d18 ^ d61 ^ d83 ^ d77 ^ d85 ^ c29 ^ c0 ^ d39 ^ d84 ^ + d51 ^ d74 ^ d58 ^ d46 ^ c20 ^ d96 ^ d2 ^ d78; // 77 ins 1 outs level 3 + + assign x8 = d83 ^ d118 ^ d114 ^ d109 ^ d76 ^ d28 ^ d0 ^ d10 ^ d33 ^ + d85 ^ c24 ^ d35 ^ c9 ^ d73 ^ d37 ^ d67 ^ d11 ^ d52 ^ d97 ^ + d1 ^ d60 ^ d45 ^ d32 ^ d3 ^ c13 ^ d70 ^ c19 ^ d12 ^ d87 ^ + d51 ^ d68 ^ d22 ^ d101 ^ d77 ^ c31 ^ d40 ^ d23 ^ d80 ^ d78 ^ + c7 ^ c26 ^ d105 ^ c17 ^ c25 ^ d57 ^ d79 ^ d17 ^ c15 ^ d88 ^ + d4 ^ d65 ^ c30 ^ d107 ^ d69 ^ d63 ^ d42 ^ d54 ^ d75 ^ d103 ^ + c0 ^ d46 ^ d8 ^ d59 ^ d34 ^ c21 ^ d43 ^ d31 ^ c28 ^ d112 ^ + d82 ^ d66 ^ d38 ^ d113 ^ d116 ^ d95 ^ d84 ^ d119 ^ d50; // 78 ins 1 outs level 3 + + assign x7 = d32 ^ d51 ^ d57 ^ d105 ^ d95 ^ d29 ^ c15 ^ d22 ^ d3 ^ + c7 ^ d60 ^ d5 ^ d110 ^ d104 ^ d34 ^ d39 ^ d97 ^ c20 ^ d42 ^ + d58 ^ d2 ^ d25 ^ d68 ^ d52 ^ d119 ^ d75 ^ c17 ^ d71 ^ d106 ^ + c23 ^ d21 ^ d77 ^ d79 ^ c22 ^ d54 ^ d103 ^ c18 ^ c21 ^ d69 ^ + d116 ^ c9 ^ d50 ^ d80 ^ d7 ^ d16 ^ d24 ^ d15 ^ d10 ^ d87 ^ + d98 ^ c31 ^ d8 ^ d93 ^ d76 ^ d111 ^ c10 ^ d23 ^ d109 ^ d74 ^ + d108 ^ d47 ^ c5 ^ d43 ^ d56 ^ d46 ^ d41 ^ d0 ^ d45 ^ d37 ^ + c28 ^ c16 ^ d28; // 72 ins 1 outs level 3 + + assign x6 = d1 ^ d74 ^ d51 ^ c29 ^ d73 ^ d92 ^ d55 ^ d82 ^ d104 ^ + c19 ^ d5 ^ d66 ^ c20 ^ d11 ^ d80 ^ d54 ^ d72 ^ d107 ^ d112 ^ + d70 ^ d25 ^ d117 ^ d76 ^ d47 ^ d75 ^ c12 ^ c4 ^ d45 ^ d83 ^ + c24 ^ d62 ^ d4 ^ d81 ^ d95 ^ d20 ^ d100 ^ d84 ^ d30 ^ d56 ^ + d113 ^ c10 ^ c28 ^ d41 ^ d7 ^ d38 ^ d29 ^ d14 ^ d68 ^ d21 ^ + d98 ^ d2 ^ d52 ^ d60 ^ d71 ^ c5 ^ d108 ^ d22 ^ d42 ^ d116 ^ + d79 ^ d8 ^ c25 ^ d65 ^ d93 ^ d50 ^ d6 ^ d64 ^ d40 ^ c16 ^ + d43 ^ c7; // 71 ins 1 outs level 3 + + assign x5 = d50 ^ c19 ^ d115 ^ c28 ^ d55 ^ d111 ^ c23 ^ d7 ^ d21 ^ + d63 ^ d79 ^ d107 ^ d80 ^ d70 ^ d49 ^ d106 ^ d29 ^ c11 ^ d46 ^ + d59 ^ d99 ^ d40 ^ d72 ^ d112 ^ d13 ^ d6 ^ d0 ^ d20 ^ d82 ^ + d64 ^ d94 ^ c24 ^ d19 ^ d78 ^ c3 ^ d1 ^ d54 ^ d24 ^ d81 ^ + d41 ^ d65 ^ d71 ^ c4 ^ c27 ^ c15 ^ d5 ^ c18 ^ c9 ^ d10 ^ + d61 ^ d103 ^ d37 ^ d3 ^ d28 ^ d4 ^ d91 ^ d75 ^ c6 ^ d83 ^ + d92 ^ d69 ^ d44 ^ d53 ^ d116 ^ d51 ^ d74 ^ d67 ^ d42 ^ d39 ^ + d73 ^ d97; // 71 ins 1 outs level 3 + + assign x4 = c9 ^ d109 ^ d24 ^ c25 ^ d40 ^ d84 ^ d11 ^ d118 ^ d18 ^ + d30 ^ d8 ^ c31 ^ d67 ^ c24 ^ d69 ^ d47 ^ d38 ^ d59 ^ d4 ^ + d74 ^ d112 ^ d2 ^ c29 ^ c26 ^ d41 ^ d19 ^ d20 ^ d70 ^ c7 ^ + d33 ^ d46 ^ d113 ^ d31 ^ d12 ^ c15 ^ d117 ^ c12 ^ c30 ^ d63 ^ + d29 ^ d100 ^ d86 ^ d111 ^ d103 ^ d58 ^ d91 ^ d0 ^ c3 ^ d39 ^ + d90 ^ d77 ^ d57 ^ d25 ^ d3 ^ c2 ^ d6 ^ d79 ^ d73 ^ d116 ^ + d48 ^ d15 ^ d94 ^ d119 ^ d97 ^ d50 ^ d114 ^ c23 ^ d83 ^ c6 ^ + d44 ^ c21 ^ d95 ^ d45 ^ c28 ^ d106 ^ d68 ^ d65 ^ c18; // 78 ins 1 outs level 3 + + assign x3 = d2 ^ d60 ^ d95 ^ d69 ^ d100 ^ d37 ^ d27 ^ d98 ^ d85 ^ + c23 ^ d59 ^ d86 ^ d56 ^ c12 ^ d36 ^ d7 ^ d108 ^ d54 ^ d99 ^ + c7 ^ d33 ^ d84 ^ d109 ^ c21 ^ d65 ^ c11 ^ d71 ^ d32 ^ c2 ^ + c31 ^ d14 ^ d97 ^ d31 ^ d73 ^ d8 ^ d40 ^ d52 ^ d45 ^ d1 ^ + c10 ^ d111 ^ c9 ^ d15 ^ d80 ^ c1 ^ d25 ^ d3 ^ d17 ^ d58 ^ + d19 ^ d38 ^ d68 ^ d89 ^ d53 ^ d90 ^ d76 ^ d119 ^ d9 ^ d10 ^ + d81 ^ d18 ^ c15 ^ d39 ^ d103 ^ c20; // 65 ins 1 outs level 3 + + assign x2 = d51 ^ c9 ^ d31 ^ d55 ^ d64 ^ d85 ^ c19 ^ d72 ^ d118 ^ + d98 ^ d57 ^ c31 ^ d39 ^ d89 ^ d0 ^ d53 ^ d83 ^ d84 ^ d13 ^ + c8 ^ d80 ^ c10 ^ c22 ^ d32 ^ d67 ^ d108 ^ d7 ^ d9 ^ d38 ^ + d79 ^ d2 ^ c20 ^ c14 ^ d97 ^ d119 ^ d18 ^ d58 ^ d88 ^ d96 ^ + d36 ^ d26 ^ c0 ^ d16 ^ c6 ^ c11 ^ d1 ^ d35 ^ d102 ^ d24 ^ + d6 ^ c1 ^ d8 ^ c30 ^ d37 ^ d75 ^ d110 ^ d17 ^ d107 ^ d59 ^ + d99 ^ d70 ^ d30 ^ d68 ^ d52 ^ d14 ^ d44 ^ d94; // 67 ins 1 outs level 3 + + assign x1 = d116 ^ d79 ^ c25 ^ d101 ^ d87 ^ d50 ^ d60 ^ d65 ^ d38 ^ + d72 ^ c22 ^ d81 ^ d62 ^ d53 ^ d6 ^ d112 ^ d59 ^ d107 ^ d17 ^ + d80 ^ c13 ^ d0 ^ d33 ^ d113 ^ d63 ^ d102 ^ d34 ^ d35 ^ c6 ^ + d9 ^ c18 ^ c27 ^ d58 ^ d16 ^ d13 ^ d24 ^ d46 ^ c0 ^ d47 ^ + d94 ^ d7 ^ d56 ^ d37 ^ d100 ^ d88 ^ c14 ^ c19 ^ d49 ^ d86 ^ + c24 ^ c28 ^ c12 ^ d110 ^ d27 ^ d115 ^ d103 ^ d69 ^ c17 ^ d11 ^ + d44 ^ d12 ^ c15 ^ d1 ^ d106 ^ d105 ^ d74 ^ d51 ^ d28 ^ d64; // 69 ins 1 outs level 3 + + assign x0 = c31 ^ d87 ^ d32 ^ d61 ^ d10 ^ d94 ^ d16 ^ d58 ^ c13 ^ + c9 ^ c18 ^ c29 ^ c22 ^ d65 ^ d68 ^ d30 ^ d26 ^ d97 ^ d73 ^ + d29 ^ d9 ^ d67 ^ d53 ^ d81 ^ c8 ^ d83 ^ d118 ^ d54 ^ d55 ^ + d95 ^ d66 ^ d117 ^ d28 ^ d106 ^ d12 ^ c16 ^ c28 ^ d45 ^ d44 ^ + c6 ^ d0 ^ d111 ^ d31 ^ d34 ^ c7 ^ d99 ^ d110 ^ d63 ^ c30 ^ + c26 ^ d37 ^ d47 ^ d24 ^ d72 ^ d60 ^ c11 ^ c10 ^ d98 ^ c23 ^ + d114 ^ d50 ^ d119 ^ d84 ^ d85 ^ d48 ^ d116 ^ d103 ^ d104 ^ c15 ^ + d96 ^ d113 ^ d82 ^ d79 ^ c25 ^ d101 ^ d6 ^ d25; // 77 ins 1 outs level 3 + + assign x31 = d62 ^ c21 ^ d100 ^ d80 ^ c27 ^ d105 ^ c5 ^ c14 ^ d29 ^ + d11 ^ d78 ^ d71 ^ c30 ^ d81 ^ d96 ^ c7 ^ d30 ^ c8 ^ c6 ^ + d24 ^ c24 ^ d109 ^ d117 ^ d52 ^ d72 ^ d102 ^ d98 ^ d113 ^ d65 ^ + d82 ^ d103 ^ d47 ^ c9 ^ d54 ^ d36 ^ d84 ^ d118 ^ d33 ^ c17 ^ + d93 ^ d23 ^ d15 ^ d43 ^ c22 ^ d8 ^ d44 ^ d27 ^ d83 ^ c29 ^ + d25 ^ d97 ^ d67 ^ d53 ^ d9 ^ d116 ^ d66 ^ c10 ^ d49 ^ d95 ^ + d86 ^ c12 ^ d59 ^ d5 ^ c28 ^ c15 ^ d28 ^ d94 ^ d115 ^ d60 ^ + d31 ^ d112 ^ d110 ^ c25 ^ d64 ^ d57 ^ d46; // 76 ins 1 outs level 3 + + assign x30 = d104 ^ d80 ^ d7 ^ d64 ^ d58 ^ d52 ^ c4 ^ d53 ^ d71 ^ + d23 ^ d26 ^ d116 ^ d92 ^ d94 ^ c11 ^ c27 ^ d70 ^ c9 ^ d97 ^ + d82 ^ c8 ^ d61 ^ d81 ^ d10 ^ d117 ^ d108 ^ d28 ^ d115 ^ d27 ^ + c13 ^ d29 ^ d35 ^ d48 ^ d43 ^ d109 ^ d96 ^ d114 ^ d99 ^ d45 ^ + c5 ^ d24 ^ d51 ^ d46 ^ d93 ^ c23 ^ d8 ^ d65 ^ c28 ^ c21 ^ + d101 ^ d14 ^ c6 ^ d4 ^ d56 ^ c24 ^ d66 ^ d42 ^ d79 ^ d22 ^ + d95 ^ c16 ^ c14 ^ d59 ^ c26 ^ d77 ^ c29 ^ d85 ^ c20 ^ d32 ^ + d30 ^ d102 ^ d112 ^ d111 ^ d83 ^ c7 ^ d63; // 76 ins 1 outs level 3 + + assign x29 = d69 ^ d50 ^ d51 ^ d116 ^ d34 ^ d9 ^ d31 ^ c15 ^ d52 ^ + d80 ^ d103 ^ c20 ^ d91 ^ c23 ^ d58 ^ d28 ^ c19 ^ d76 ^ d101 ^ + c28 ^ d62 ^ d26 ^ d64 ^ d79 ^ c26 ^ d110 ^ d13 ^ d47 ^ d96 ^ + c7 ^ d93 ^ d84 ^ d100 ^ d111 ^ d41 ^ d65 ^ d6 ^ c12 ^ d3 ^ + d21 ^ d27 ^ c10 ^ d81 ^ c13 ^ c6 ^ d95 ^ c3 ^ d63 ^ c27 ^ + d78 ^ d92 ^ d82 ^ d98 ^ d114 ^ c25 ^ d57 ^ d107 ^ d29 ^ d44 ^ + d55 ^ c8 ^ d113 ^ d115 ^ d42 ^ c22 ^ d94 ^ d108 ^ d25 ^ d45 ^ + c5 ^ d23 ^ c4 ^ d60 ^ d7 ^ d22 ^ d70; // 76 ins 1 outs level 3 + + assign x28 = c11 ^ d50 ^ c2 ^ d78 ^ d8 ^ c18 ^ c4 ^ d2 ^ d110 ^ + d30 ^ d100 ^ c7 ^ d62 ^ d95 ^ d24 ^ c31 ^ d46 ^ d59 ^ c27 ^ + d5 ^ d61 ^ d56 ^ d49 ^ d68 ^ d106 ^ d57 ^ c14 ^ d44 ^ d12 ^ + c6 ^ d28 ^ d21 ^ d64 ^ d90 ^ d113 ^ c3 ^ d114 ^ d109 ^ d97 ^ + d80 ^ d33 ^ c19 ^ d63 ^ c22 ^ d115 ^ c26 ^ d27 ^ c9 ^ d94 ^ + d107 ^ d69 ^ d26 ^ c21 ^ d25 ^ d41 ^ c5 ^ d112 ^ d99 ^ c24 ^ + c12 ^ d6 ^ d91 ^ d20 ^ d43 ^ d75 ^ d40 ^ c25 ^ d81 ^ d54 ^ + d92 ^ d83 ^ d119 ^ d102 ^ d93 ^ d51 ^ d79 ^ d77 ^ d22; // 78 ins 1 outs level 3 + + assign x27 = c1 ^ d58 ^ d80 ^ d91 ^ d56 ^ d7 ^ d61 ^ c11 ^ d111 ^ + d29 ^ d1 ^ c18 ^ d96 ^ d92 ^ d20 ^ d43 ^ d99 ^ d74 ^ c25 ^ + d94 ^ d98 ^ d4 ^ d32 ^ d19 ^ d90 ^ d112 ^ d55 ^ d5 ^ d63 ^ + d49 ^ d27 ^ c10 ^ c5 ^ c26 ^ d21 ^ c20 ^ c4 ^ d77 ^ d93 ^ + d25 ^ d40 ^ d67 ^ d60 ^ d106 ^ d45 ^ d78 ^ d62 ^ c6 ^ d68 ^ + d82 ^ c21 ^ d48 ^ d89 ^ d105 ^ d23 ^ c13 ^ c23 ^ d76 ^ c2 ^ + d114 ^ d24 ^ c30 ^ d113 ^ d118 ^ d11 ^ c17 ^ d39 ^ d79 ^ d101 ^ + d108 ^ d26 ^ d42 ^ c24 ^ d109 ^ c3 ^ c8 ^ d50 ^ d53; // 78 ins 1 outs level 3 + + assign x26 = c7 ^ d48 ^ d113 ^ c25 ^ d119 ^ d10 ^ d19 ^ c31 ^ c12 ^ + c23 ^ d100 ^ d110 ^ d61 ^ c20 ^ d98 ^ d18 ^ d104 ^ c5 ^ c3 ^ + d57 ^ d20 ^ d42 ^ d28 ^ d107 ^ d77 ^ c2 ^ d117 ^ c22 ^ d25 ^ + c10 ^ d112 ^ d88 ^ d75 ^ d73 ^ d41 ^ c19 ^ d60 ^ d92 ^ d3 ^ + d78 ^ d108 ^ d31 ^ d24 ^ d6 ^ d79 ^ d91 ^ d49 ^ c1 ^ d76 ^ + d97 ^ d22 ^ d90 ^ d81 ^ c16 ^ d4 ^ d111 ^ d59 ^ d38 ^ c9 ^ + c0 ^ d39 ^ d93 ^ d67 ^ d44 ^ d105 ^ c4 ^ c24 ^ c17 ^ d26 ^ + c29 ^ d47 ^ d0 ^ d89 ^ d66 ^ d23 ^ d95 ^ d55 ^ d54 ^ d52 ^ + d62; // 80 ins 1 outs level 3 + + assign x25 = d57 ^ d81 ^ d83 ^ d18 ^ c18 ^ c17 ^ d113 ^ d36 ^ d71 ^ + d40 ^ c29 ^ d52 ^ d51 ^ d2 ^ d61 ^ c16 ^ c2 ^ d31 ^ d117 ^ + d91 ^ c14 ^ d22 ^ d48 ^ d21 ^ d62 ^ c27 ^ d56 ^ d93 ^ c25 ^ + d107 ^ d119 ^ d84 ^ d74 ^ d19 ^ d64 ^ c10 ^ d98 ^ d44 ^ d38 ^ + c7 ^ d11 ^ d58 ^ d88 ^ d37 ^ d99 ^ d102 ^ d115 ^ d92 ^ c12 ^ + d49 ^ d111 ^ d41 ^ d105 ^ d29 ^ c31 ^ d95 ^ d77 ^ d33 ^ d100 ^ + d76 ^ d106 ^ c19 ^ c23 ^ d17 ^ d75 ^ c5 ^ c3 ^ c11 ^ d90 ^ + d28 ^ c4 ^ d87 ^ d67 ^ d89 ^ d104 ^ d3 ^ d8 ^ c1 ^ d86 ^ + d82 ^ d15 ^ c0; // 82 ins 1 outs level 3 + + assign x24 = d17 ^ d82 ^ c31 ^ d118 ^ c30 ^ d1 ^ d73 ^ d105 ^ d116 ^ + d103 ^ d36 ^ d48 ^ d30 ^ d47 ^ c2 ^ c11 ^ d89 ^ c24 ^ d37 ^ + d50 ^ c18 ^ d35 ^ d119 ^ d14 ^ c9 ^ c17 ^ d20 ^ c1 ^ d85 ^ + d99 ^ d28 ^ d91 ^ d86 ^ d81 ^ d40 ^ d21 ^ d106 ^ d112 ^ d88 ^ + c13 ^ c28 ^ c4 ^ d61 ^ d51 ^ d94 ^ d18 ^ d104 ^ d27 ^ d39 ^ + d83 ^ c10 ^ c3 ^ d114 ^ d90 ^ d56 ^ d74 ^ d10 ^ d55 ^ d87 ^ + d2 ^ d80 ^ c16 ^ d76 ^ d70 ^ d57 ^ d63 ^ c6 ^ d7 ^ d98 ^ + c0 ^ d16 ^ d92 ^ d110 ^ c15 ^ d60 ^ d66 ^ d75 ^ d32 ^ d101 ^ + d43 ^ c26 ^ d97 ^ c22; // 83 ins 1 outs level 3 + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat120_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [119:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x343, x342, x341, x340, x339, x338, x337, + x336, x335, x334, x333, x332, x331, x330, x329, + x328, x327, x326, x325, x324, x323, x322, x321, + x320, x319, x318, x317, x316, x315, x314, x313, + x312, x311, x310, x309, x308, x307, x306, x305, + x304, x303, x302, x301, x300, x299, x298, x297, + x296, x295, x294, x293, x292, x291, x290, x289, + x288, x287, x286, x285, x284, x283, x282, x281, + x279, x278, x277, x276, x275, x274, x273, x272, + x271, x270, x269, x268, x267, x266, x265, x264, + x263, x262, x261, x260, x259, x258, x257, x256, + x255, x253, x252, x251, x250, x249, x248, x247, + x246, x245, x244, x243, x242, x241, x240, x239, + x238, x237, x236, x235, x234, x233, x232, x231, + x230, x229, x228, x227, x226, x225, x224, x223, + x222, x221, x220, x219, x218, x217, x216, x215, + x214, x213, x212, x211, x210, x209, x208, x207, + x206, x205, x204, x203, x202, x201, x200, x199, + x198, x197, x196, x195, x194, x193, x192, x191, + x190, x189, x188, x187, x186, x185, x184, x183, + x182, x181, x180, x179, x178, x177, x176, x175, + x174, x173, x172, x171, x170, x169, x168, x167, + x166, x165, x164, x163, x162, x161, x160, x159, + x158, x157, x156, x155, x154, x153, x152, x151, + x150, x149, x148, x147, x146, x145, x144, x143, + x142, x141, x140, x139, x138, x137, x136, x135, + x134, x133, x132, x131, x130, x129, x128, x127, + x126, x125, x124, x123, x122, x121, x120, x119, + x118, x117, x116, x115, x114, x113, x112, x111, + x110, x109, x108, x107, x106, x105, x104, x103, + x102, x101, x100, x99, x98, x97, x96, x95, + x94, x93, x92, x91, x90, x89, x88, x87, + x86, x85, x84, x83, x82, x81, x80, x79, + x78, x77, x76, x75, x74, x72, x71, x70, + x69, x68, x67, x66, x65, x64, x63, x62, + x61, x60, x59, x58, x57, x56, x55, x54, + x53, x52, x51, x50, x49, x48, x47, x46, + x45, x44, x43, x42, x41, x40, x39, x38, + x37, x36, x35, x34, x33, x32, x23, x22, + x21, x20, x19, x18, x17, x16, x15, x14, + x13, x12, x11, x10, x9, x8, x7, x6, + x5, x4, x3, x2, x1, x0, x31, x30, + x29, x28, x27, x26, x25, x24; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95,d96,d97,d98,d99,d100,d101,d102,d103,d104,d105,d106,d107,d108,d109,d110, + d111,d112,d113,d114,d115,d116,d117,d118,d119; + +assign { d119,d118,d117,d116,d115,d114,d113,d112,d111,d110,d109,d108,d107,d106,d105, + d104,d103,d102,d101,d100,d99,d98,d97,d96,d95,d94,d93,d92,d91,d90,d89, + d88,d87,d86,d85,d84,d83,d82,d81,d80,d79,d78,d77,d76,d75,d74,d73, + d72,d71,d70,d69,d68,d67,d66,d65,d64,d63,d62,d61,d60,d59,d58,d57, + d56,d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [119:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x343i (.out(x343),.a(x335),.b(x45),.c(x341),.d(x42),.e(x46),.f(x340)); // 6 ins 1 outs level 2 + + xor6 x342i (.out(x342),.a(x336),.b(x52),.c(x37),.d(x337),.e(x338),.f(x339)); // 6 ins 1 outs level 2 + + xor6 x341i (.out(x341),.a(d40),.b(d28),.c(d90),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x340i (.out(x340),.a(d18),.b(d45),.c(c3),.d(d106),.e(d80),.f(d50)); // 6 ins 1 outs level 1 + + xor6 x339i (.out(x339),.a(c11),.b(d99),.c(d6),.d(d63),.e(d10),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x338i (.out(x338),.a(d14),.b(c0),.c(d17),.d(c4),.e(d92),.f(d36)); // 6 ins 1 outs level 1 + + xor6 x337i (.out(x337),.a(d48),.b(d88),.c(c18),.d(d39),.e(d94),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x336i (.out(x336),.a(d20),.b(d21),.c(d35),.d(d30),.e(d7),.f(d113)); // 6 ins 1 outs level 1 + + xor6 x335i (.out(x335),.a(d0),.b(d27),.c(d16),.d(d86),.e(d95),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x334i (.out(x334),.a(x326),.b(x45),.c(x50),.d(x65),.e(x42),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x333i (.out(x333),.a(x331),.b(x49),.c(x40),.d(x38),.e(x33),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x332i (.out(x332),.a(d87),.b(x327),.c(x56),.d(x328),.e(x329),.f(x330)); // 6 ins 1 outs level 2 + + xor6 x331i (.out(x331),.a(d76),.b(d105),.c(d81),.d(c27),.e(c14),.f(d11)); // 6 ins 1 outs level 1 + + xor6 x330i (.out(x330),.a(d38),.b(d99),.c(d61),.d(d19),.e(d18),.f(d37)); // 6 ins 1 outs level 1 + + xor6 x329i (.out(x329),.a(c12),.b(d111),.c(d8),.d(d33),.e(d62),.f(d21)); // 6 ins 1 outs level 1 + + xor6 x328i (.out(x328),.a(c0),.b(c11),.c(d84),.d(d88),.e(d115),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x327i (.out(x327),.a(d15),.b(d106),.c(d44),.d(d29),.e(d78),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x326i (.out(x326),.a(d57),.b(d95),.c(d75),.d(d86),.e(d82),.f(d67)); // 6 ins 1 outs level 1 + + xor6 x325i (.out(x325),.a(x323),.b(x70),.c(x32),.d(x48),.e(x52),.f(x50)); // 6 ins 1 outs level 2 + + xor6 x324i (.out(x324),.a(x318),.b(x33),.c(x319),.d(x320),.e(x321),.f(x322)); // 6 ins 1 outs level 2 + + xor6 x323i (.out(x323),.a(d60),.b(d59),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x322i (.out(x322),.a(d49),.b(d54),.c(d24),.d(d9),.e(d50),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x321i (.out(x321),.a(d42),.b(d111),.c(d57),.d(d102),.e(d23),.f(c7)); // 6 ins 1 outs level 1 + + xor6 x320i (.out(x320),.a(d44),.b(d97),.c(d58),.d(d76),.e(d79),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x319i (.out(x319),.a(d26),.b(d75),.c(c19),.d(d95),.e(c5),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x318i (.out(x318),.a(d38),.b(d19),.c(d88),.d(c9),.e(d61),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x317i (.out(x317),.a(x309),.b(x68),.c(x56),.d(x33),.e(x315),.f(x314)); // 6 ins 1 outs level 2 + + xor6 x316i (.out(x316),.a(x310),.b(x39),.c(x53),.d(x311),.e(x312),.f(x313)); // 6 ins 1 outs level 2 + + xor6 x315i (.out(x315),.a(d98),.b(d29),.c(d78),.d(d39),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x314i (.out(x314),.a(d34),.b(d68),.c(d1),.d(c20),.e(c4),.f(d100)); // 6 ins 1 outs level 1 + + xor6 x313i (.out(x313),.a(d92),.b(d108),.c(d99),.d(d5),.e(d4),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x312i (.out(x312),.a(c21),.b(c10),.c(c6),.d(c12),.e(d40),.f(d19)); // 6 ins 1 outs level 1 + + xor6 x311i (.out(x311),.a(d43),.b(c26),.c(d114),.d(d105),.e(d118),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x310i (.out(x310),.a(c30),.b(d93),.c(d63),.d(d11),.e(d76),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x309i (.out(x309),.a(d49),.b(d109),.c(d94),.d(d74),.e(d56),.f(d82)); // 6 ins 1 outs level 1 + + xor6 x308i (.out(x308),.a(x40),.b(x50),.c(x56),.d(x55),.e(x44),.f(x47)); // 6 ins 1 outs level 2 + + xor6 x307i (.out(x307),.a(x302),.b(x42),.c(x306),.d(x303),.e(x304),.f(x305)); // 6 ins 1 outs level 2 + + xor6 x306i (.out(x306),.a(d97),.b(d67),.c(d57),.d(c9),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x305i (.out(x305),.a(d99),.b(d81),.c(d29),.d(c22),.e(c2),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x304i (.out(x304),.a(d83),.b(d6),.c(d43),.d(c31),.e(d110),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x303i (.out(x303),.a(d15),.b(d41),.c(d61),.d(c6),.e(d84),.f(c19)); // 6 ins 1 outs level 1 + + xor6 x302i (.out(x302),.a(c14),.b(c23),.c(d69),.d(d21),.e(c11),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x301i (.out(x301),.a(d64),.b(d3),.c(c15),.d(d74),.e(d95),.f(d119)); // 6 ins 1 outs level 1 + + xor6 x300i (.out(x300),.a(x39),.b(x40),.c(x46),.d(x52),.e(x34),.f(x50)); // 6 ins 1 outs level 2 + + xor6 x299i (.out(x299),.a(x294),.b(x298),.c(x68),.d(x295),.e(x296),.f(x297)); // 6 ins 1 outs level 2 + + xor6 x298i (.out(x298),.a(d98),.b(c10),.c(d51),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x297i (.out(x297),.a(d50),.b(d102),.c(d65),.d(c27),.e(d63),.f(c3)); // 6 ins 1 outs level 1 + + xor6 x296i (.out(x296),.a(d76),.b(d62),.c(d100),.d(d57),.e(c23),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x295i (.out(x295),.a(d9),.b(d69),.c(d13),.d(c12),.e(d40),.f(d111)); // 6 ins 1 outs level 1 + + xor6 x294i (.out(x294),.a(d73),.b(d108),.c(d103),.d(c26),.e(d52),.f(d83)); // 6 ins 1 outs level 1 + + xor6 x293i (.out(x293),.a(c22),.b(c19),.c(d36),.d(d64),.e(d80),.f(d31)); // 6 ins 1 outs level 1 + + xor6 x292i (.out(x292),.a(x290),.b(x53),.c(x34),.d(x40),.e(x47),.f(x38)); // 6 ins 1 outs level 2 + + xor6 x291i (.out(x291),.a(x285),.b(x45),.c(x286),.d(x287),.e(x288),.f(x289)); // 6 ins 1 outs level 2 + + xor6 x290i (.out(x290),.a(d104),.b(d97),.c(d112),.d(d65),.e(c20),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x289i (.out(x289),.a(d52),.b(d43),.c(d28),.d(d31),.e(c23),.f(c14)); // 6 ins 1 outs level 1 + + xor6 x288i (.out(x288),.a(d77),.b(d85),.c(d108),.d(d81),.e(c5),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x287i (.out(x287),.a(d84),.b(c6),.c(d70),.d(d60),.e(d32),.f(d64)); // 6 ins 1 outs level 1 + + xor6 x286i (.out(x286),.a(d44),.b(d4),.c(c27),.d(c9),.e(d66),.f(d10)); // 6 ins 1 outs level 1 + + xor6 x285i (.out(x285),.a(d63),.b(d59),.c(d23),.d(c7),.e(d35),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x284i (.out(x284),.a(d71),.b(d51),.c(d30),.d(d56),.e(d106),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x283i (.out(x283),.a(x47),.b(x36),.c(x34),.d(x39),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x282i (.out(x282),.a(c17),.b(d93),.c(x274),.d(x61),.e(x44),.f(x38)); // 6 ins 1 outs level 2 + + xor6 x281i (.out(x281),.a(x275),.b(x55),.c(x276),.d(x277),.e(x278),.f(x279)); // 6 ins 1 outs level 2 + + xor6 x279i (.out(x279),.a(c22),.b(d36),.c(d23),.d(c7),.e(c14),.f(d78)); // 6 ins 1 outs level 1 + + xor6 x278i (.out(x278),.a(d60),.b(d30),.c(d81),.d(d5),.e(d47),.f(d11)); // 6 ins 1 outs level 1 + + xor6 x277i (.out(x277),.a(d98),.b(d65),.c(d53),.d(c10),.e(d72),.f(d100)); // 6 ins 1 outs level 1 + + xor6 x276i (.out(x276),.a(d20),.b(d80),.c(c6),.d(d96),.e(d75),.f(c8)); // 6 ins 1 outs level 1 + + xor6 x275i (.out(x275),.a(d64),.b(d105),.c(d71),.d(d43),.e(d102),.f(c5)); // 6 ins 1 outs level 1 + + xor6 x274i (.out(x274),.a(d110),.b(d63),.c(d24),.d(d28),.e(d9),.f(d86)); // 6 ins 1 outs level 1 + + xor6 x273i (.out(x273),.a(x266),.b(x56),.c(x37),.d(x36),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x272i (.out(x272),.a(x270),.b(x66),.c(x34),.d(x46),.e(x64),.f(x38)); // 6 ins 1 outs level 2 + + xor6 x271i (.out(x271),.a(x267),.b(d72),.c(x52),.d(x41),.e(x268),.f(x269)); // 6 ins 1 outs level 2 + + xor6 x270i (.out(x270),.a(d67),.b(c25),.c(d99),.d(d85),.e(d28),.f(d105)); // 6 ins 1 outs level 1 + + xor6 x269i (.out(x269),.a(d9),.b(d80),.c(d66),.d(d61),.e(d70),.f(d118)); // 6 ins 1 outs level 1 + + xor6 x268i (.out(x268),.a(d37),.b(d63),.c(d96),.d(d16),.e(c23),.f(d50)); // 6 ins 1 outs level 1 + + xor6 x267i (.out(x267),.a(d26),.b(d65),.c(c8),.d(d34),.e(d10),.f(d115)); // 6 ins 1 outs level 1 + + xor6 x266i (.out(x266),.a(d54),.b(c20),.c(d52),.d(c26),.e(d5),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x265i (.out(x265),.a(x63),.b(x39),.c(x58),.d(x42),.e(x35),.f(x45)); // 6 ins 1 outs level 2 + + xor6 x264i (.out(x264),.a(x258),.b(x263),.c(x259),.d(x260),.e(x261),.f(x262)); // 6 ins 1 outs level 2 + + xor6 x263i (.out(x263),.a(d27),.b(d1),.c(d102),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x262i (.out(x262),.a(d46),.b(d88),.c(d107),.d(d6),.e(d100),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x261i (.out(x261),.a(d59),.b(d116),.c(d34),.d(c31),.e(c17),.f(d103)); // 6 ins 1 outs level 1 + + xor6 x260i (.out(x260),.a(c19),.b(d64),.c(d31),.d(d94),.e(d53),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x259i (.out(x259),.a(c18),.b(d115),.c(d58),.d(d28),.e(d49),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x258i (.out(x258),.a(d81),.b(d7),.c(d44),.d(d72),.e(d110),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x257i (.out(x257),.a(c28),.b(d37),.c(c6),.d(d2),.e(d35),.f(d62)); // 6 ins 1 outs level 1 + + xor6 x256i (.out(x256),.a(d119),.b(x54),.c(x48),.d(x71),.e(d102),.f(x36)); // 6 ins 1 outs level 2 + + xor6 x255i (.out(x255),.a(x248),.b(x249),.c(x250),.d(x251),.e(x252),.f(x253)); // 6 ins 1 outs level 2 + + xor6 x253i (.out(x253),.a(d98),.b(d57),.c(d8),.d(d84),.e(d38),.f(c8)); // 6 ins 1 outs level 1 + + xor6 x252i (.out(x252),.a(d31),.b(d59),.c(d26),.d(c10),.e(d13),.f(d6)); // 6 ins 1 outs level 1 + + xor6 x251i (.out(x251),.a(d51),.b(d24),.c(d32),.d(d107),.e(d16),.f(d1)); // 6 ins 1 outs level 1 + + xor6 x250i (.out(x250),.a(d85),.b(d39),.c(c15),.d(d96),.e(c14),.f(d94)); // 6 ins 1 outs level 1 + + xor6 x249i (.out(x249),.a(d111),.b(d37),.c(d0),.d(d118),.e(d89),.f(d67)); // 6 ins 1 outs level 1 + + xor6 x248i (.out(x248),.a(d72),.b(d30),.c(d68),.d(c27),.e(d44),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x247i (.out(x247),.a(x239),.b(x44),.c(x47),.d(x245),.e(x48),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x246i (.out(x246),.a(x240),.b(x37),.c(x241),.d(x242),.e(x243),.f(x244)); // 6 ins 1 outs level 2 + + xor6 x245i (.out(x245),.a(d119),.b(d98),.c(c10),.d(d97),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x244i (.out(x244),.a(d19),.b(d38),.c(d89),.d(d73),.e(d81),.f(d56)); // 6 ins 1 outs level 1 + + xor6 x243i (.out(x243),.a(d95),.b(d32),.c(d46),.d(d65),.e(d76),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x242i (.out(x242),.a(d37),.b(d86),.c(d68),.d(d1),.e(d71),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x241i (.out(x241),.a(d40),.b(d39),.c(c15),.d(c31),.e(c23),.f(d10)); // 6 ins 1 outs level 1 + + xor6 x240i (.out(x240),.a(c9),.b(d25),.c(d60),.d(d100),.e(d31),.f(c2)); // 6 ins 1 outs level 1 + + xor6 x239i (.out(x239),.a(d49),.b(c7),.c(d90),.d(c12),.e(d33),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x238i (.out(x238),.a(x231),.b(x70),.c(x34),.d(x58),.e(x55),.f(x52)); // 6 ins 1 outs level 2 + + xor6 x237i (.out(x237),.a(d82),.b(x232),.c(x233),.d(x234),.e(x235),.f(x236)); // 6 ins 1 outs level 2 + + xor6 x236i (.out(x236),.a(c6),.b(d80),.c(d74),.d(d48),.e(d111),.f(d115)); // 6 ins 1 outs level 1 + + xor6 x235i (.out(x235),.a(d70),.b(c31),.c(c2),.d(d45),.e(d81),.f(c27)); // 6 ins 1 outs level 1 + + xor6 x234i (.out(x234),.a(c18),.b(d117),.c(d19),.d(d119),.e(d118),.f(d57)); // 6 ins 1 outs level 1 + + xor6 x233i (.out(x233),.a(c26),.b(d50),.c(c29),.d(d2),.e(d59),.f(d110)); // 6 ins 1 outs level 1 + + xor6 x232i (.out(x232),.a(d75),.b(d86),.c(d0),.d(d68),.e(d40),.f(d69)); // 6 ins 1 outs level 1 + + xor6 x231i (.out(x231),.a(d62),.b(d3),.c(c7),.d(d15),.e(d18),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x230i (.out(x230),.a(x223),.b(x70),.c(x52),.d(x46),.e(x41),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x229i (.out(x229),.a(x224),.b(x225),.c(x226),.d(x227),.e(x228),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x228i (.out(x228),.a(d0),.b(d64),.c(d71),.d(d67),.e(d114),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x227i (.out(x227),.a(c27),.b(d63),.c(d19),.d(c30),.e(d99),.f(c19)); // 6 ins 1 outs level 1 + + xor6 x226i (.out(x226),.a(c23),.b(d42),.c(d13),.d(d47),.e(d20),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x225i (.out(x225),.a(d10),.b(c3),.c(c4),.d(d74),.e(d59),.f(d46)); // 6 ins 1 outs level 1 + + xor6 x224i (.out(x224),.a(d49),.b(d1),.c(d54),.d(c18),.e(d61),.f(d51)); // 6 ins 1 outs level 1 + + xor6 x223i (.out(x223),.a(d50),.b(d69),.c(d95),.d(d92),.e(d5),.f(d21)); // 6 ins 1 outs level 1 + + xor6 x222i (.out(x222),.a(x216),.b(x66),.c(x64),.d(x42),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x221i (.out(x221),.a(x217),.b(x34),.c(x52),.d(x220),.e(x218),.f(x219)); // 6 ins 1 outs level 2 + + xor6 x220i (.out(x220),.a(d71),.b(d91),.c(d119),.d(d107),.e(d100),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x219i (.out(x219),.a(d70),.b(d45),.c(d41),.d(d10),.e(d4),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x218i (.out(x218),.a(d29),.b(c19),.c(d21),.d(d40),.e(d72),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x217i (.out(x217),.a(d60),.b(d14),.c(d108),.d(d62),.e(c7),.f(d54)); // 6 ins 1 outs level 1 + + xor6 x216i (.out(x216),.a(d64),.b(d8),.c(d102),.d(d42),.e(d79),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x215i (.out(x215),.a(x208),.b(x70),.c(x37),.d(x47),.e(x39),.f(x36)); // 6 ins 1 outs level 2 + + xor6 x214i (.out(x214),.a(x209),.b(x68),.c(x213),.d(x210),.e(x211),.f(x212)); // 6 ins 1 outs level 2 + + xor6 x213i (.out(x213),.a(d42),.b(d95),.c(d16),.d(d3),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x212i (.out(x212),.a(d77),.b(d45),.c(c17),.d(d116),.e(d22),.f(d68)); // 6 ins 1 outs level 1 + + xor6 x211i (.out(x211),.a(d60),.b(d28),.c(d15),.d(d71),.e(c28),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x210i (.out(x210),.a(d54),.b(d29),.c(d37),.d(d47),.e(d111),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x209i (.out(x209),.a(d108),.b(c30),.c(d10),.d(d4),.e(c15),.f(c18)); // 6 ins 1 outs level 1 + + xor6 x208i (.out(x208),.a(d57),.b(d69),.c(d93),.d(d5),.e(c16),.f(d1)); // 6 ins 1 outs level 1 + + xor6 x207i (.out(x207),.a(x47),.b(x32),.c(x54),.d(x45),.e(x34),.f(x55)); // 6 ins 1 outs level 2 + + xor6 x206i (.out(x206),.a(x201),.b(x58),.c(x202),.d(x203),.e(x204),.f(x205)); // 6 ins 1 outs level 2 + + xor6 x205i (.out(x205),.a(c17),.b(d119),.c(d59),.d(d54),.e(c26),.f(d23)); // 6 ins 1 outs level 1 + + xor6 x204i (.out(x204),.a(c7),.b(d51),.c(d34),.d(d50),.e(c24),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x203i (.out(x203),.a(d37),.b(d68),.c(d77),.d(d67),.e(d114),.f(d70)); // 6 ins 1 outs level 1 + + xor6 x202i (.out(x202),.a(d118),.b(c25),.c(c19),.d(d42),.e(d66),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x201i (.out(x201),.a(d10),.b(d4),.c(d73),.d(d22),.e(d57),.f(d112)); // 6 ins 1 outs level 1 + + xor6 x200i (.out(x200),.a(x193),.b(x54),.c(x58),.d(x48),.e(x38),.f(x198)); // 6 ins 1 outs level 2 + + xor6 x199i (.out(x199),.a(x194),.b(x63),.c(x71),.d(x195),.e(x196),.f(x197)); // 6 ins 1 outs level 2 + + xor6 x198i (.out(x198),.a(d85),.b(d78),.c(d77),.d(d74),.e(d94),.f(d51)); // 6 ins 1 outs level 1 + + xor6 x197i (.out(x197),.a(d23),.b(d53),.c(d69),.d(d34),.e(d96),.f(c25)); // 6 ins 1 outs level 1 + + xor6 x196i (.out(x196),.a(c8),.b(d71),.c(d68),.d(d5),.e(d83),.f(c18)); // 6 ins 1 outs level 1 + + xor6 x195i (.out(x195),.a(d70),.b(d89),.c(c31),.d(d46),.e(d55),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x194i (.out(x194),.a(d60),.b(d64),.c(d81),.d(d102),.e(d16),.f(d66)); // 6 ins 1 outs level 1 + + xor6 x193i (.out(x193),.a(d61),.b(d84),.c(d103),.d(d33),.e(d79),.f(d67)); // 6 ins 1 outs level 1 + + xor6 x192i (.out(x192),.a(x184),.b(x32),.c(x190),.d(x33),.e(x38),.f(x53)); // 6 ins 1 outs level 2 + + xor6 x191i (.out(x191),.a(x185),.b(x55),.c(x186),.d(x187),.e(x188),.f(x189)); // 6 ins 1 outs level 2 + + xor6 x190i (.out(x190),.a(d19),.b(d71),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x189i (.out(x189),.a(d69),.b(c7),.c(d75),.d(d2),.e(d9),.f(d35)); // 6 ins 1 outs level 1 + + xor6 x188i (.out(x188),.a(d41),.b(d56),.c(d39),.d(d59),.e(d73),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x187i (.out(x187),.a(d83),.b(d106),.c(d66),.d(d90),.e(d77),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x186i (.out(x186),.a(d62),.b(d14),.c(d80),.d(d113),.e(d5),.f(d27)); // 6 ins 1 outs level 1 + + xor6 x185i (.out(x185),.a(c19),.b(d13),.c(d94),.d(c21),.e(d109),.f(d95)); // 6 ins 1 outs level 1 + + xor6 x184i (.out(x184),.a(d115),.b(d86),.c(c18),.d(d16),.e(d29),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x183i (.out(x183),.a(x175),.b(x50),.c(x44),.d(x42),.e(x181),.f(x65)); // 6 ins 1 outs level 2 + + xor6 x182i (.out(x182),.a(x176),.b(x46),.c(x177),.d(x178),.e(x179),.f(x180)); // 6 ins 1 outs level 2 + + xor6 x181i (.out(x181),.a(d0),.b(d14),.c(d52),.d(d85),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x180i (.out(x180),.a(d66),.b(d33),.c(d102),.d(d32),.e(d60),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x179i (.out(x179),.a(d103),.b(d26),.c(d110),.d(d16),.e(d25),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x178i (.out(x178),.a(c17),.b(c14),.c(d57),.d(d73),.e(d48),.f(d24)); // 6 ins 1 outs level 1 + + xor6 x177i (.out(x177),.a(d20),.b(d4),.c(d90),.d(d9),.e(c3),.f(d94)); // 6 ins 1 outs level 1 + + xor6 x176i (.out(x176),.a(d49),.b(d2),.c(d47),.d(d91),.e(d12),.f(c20)); // 6 ins 1 outs level 1 + + xor6 x175i (.out(x175),.a(d68),.b(d105),.c(d108),.d(c2),.e(d44),.f(d82)); // 6 ins 1 outs level 1 + + xor6 x174i (.out(x174),.a(x167),.b(x66),.c(x44),.d(x52),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x173i (.out(x173),.a(x168),.b(x38),.c(x169),.d(x170),.e(x171),.f(x172)); // 6 ins 1 outs level 2 + + xor6 x172i (.out(x172),.a(d71),.b(d116),.c(c17),.d(d102),.e(d82),.f(d97)); // 6 ins 1 outs level 1 + + xor6 x171i (.out(x171),.a(c28),.b(d53),.c(d46),.d(c6),.e(d63),.f(c3)); // 6 ins 1 outs level 1 + + xor6 x170i (.out(x170),.a(d111),.b(c8),.c(d92),.d(d42),.e(d104),.f(d101)); // 6 ins 1 outs level 1 + + xor6 x169i (.out(x169),.a(c23),.b(d110),.c(d1),.d(d41),.e(d4),.f(c4)); // 6 ins 1 outs level 1 + + xor6 x168i (.out(x168),.a(d77),.b(d13),.c(c14),.d(c25),.e(d24),.f(d86)); // 6 ins 1 outs level 1 + + xor6 x167i (.out(x167),.a(c16),.b(c9),.c(d96),.d(d21),.e(d57),.f(c13)); // 6 ins 1 outs level 1 + + xor6 x166i (.out(x166),.a(x63),.b(x61),.c(x56),.d(x36),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x165i (.out(x165),.a(x40),.b(x41),.c(x38),.d(x46),.e(x42),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x164i (.out(x164),.a(x158),.b(x163),.c(x159),.d(x160),.e(x161),.f(x162)); // 6 ins 1 outs level 2 + + xor6 x163i (.out(x163),.a(d98),.b(c10),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x162i (.out(x162),.a(d87),.b(d109),.c(d99),.d(d42),.e(d112),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x161i (.out(x161),.a(c22),.b(d25),.c(d78),.d(c23),.e(d66),.f(d10)); // 6 ins 1 outs level 1 + + xor6 x160i (.out(x160),.a(d69),.b(d88),.c(c0),.d(d5),.e(d18),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x159i (.out(x159),.a(c6),.b(d54),.c(d64),.d(d14),.e(d62),.f(d83)); // 6 ins 1 outs level 1 + + xor6 x158i (.out(x158),.a(d19),.b(d91),.c(d95),.d(d6),.e(c21),.f(d56)); // 6 ins 1 outs level 1 + + xor6 x157i (.out(x157),.a(c24),.b(d60),.c(d106),.d(d28),.e(d72),.f(d82)); // 6 ins 1 outs level 1 + + xor6 x156i (.out(x156),.a(x148),.b(x154),.c(x44),.d(x53),.e(x65),.f(x153)); // 6 ins 1 outs level 2 + + xor6 x155i (.out(x155),.a(x149),.b(x54),.c(x39),.d(x150),.e(x151),.f(x152)); // 6 ins 1 outs level 2 + + xor6 x154i (.out(x154),.a(c5),.b(d84),.c(d100),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x153i (.out(x153),.a(d19),.b(d71),.c(d114),.d(d118),.e(d87),.f(d77)); // 6 ins 1 outs level 1 + + xor6 x152i (.out(x152),.a(d65),.b(c16),.c(c23),.d(c30),.e(d56),.f(d11)); // 6 ins 1 outs level 1 + + xor6 x151i (.out(x151),.a(d103),.b(c0),.c(d73),.d(d42),.e(c1),.f(d89)); // 6 ins 1 outs level 1 + + xor6 x150i (.out(x150),.a(d23),.b(d64),.c(d6),.d(d61),.e(d107),.f(d51)); // 6 ins 1 outs level 1 + + xor6 x149i (.out(x149),.a(c26),.b(d93),.c(d63),.d(d3),.e(d33),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x148i (.out(x148),.a(d75),.b(d8),.c(d1),.d(d4),.e(d35),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x147i (.out(x147),.a(x139),.b(x68),.c(x35),.d(x145),.e(x48),.f(x61)); // 6 ins 1 outs level 2 + + xor6 x146i (.out(x146),.a(x140),.b(x49),.c(x141),.d(x142),.e(x143),.f(x144)); // 6 ins 1 outs level 2 + + xor6 x145i (.out(x145),.a(d104),.b(d80),.c(d95),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x144i (.out(x144),.a(d16),.b(d56),.c(d5),.d(d4),.e(d97),.f(d116)); // 6 ins 1 outs level 1 + + xor6 x143i (.out(x143),.a(d118),.b(d76),.c(d94),.d(d3),.e(d64),.f(d78)); // 6 ins 1 outs level 1 + + xor6 x142i (.out(x142),.a(d14),.b(d8),.c(d105),.d(d33),.e(c3),.f(c6)); // 6 ins 1 outs level 1 + + xor6 x141i (.out(x141),.a(c28),.b(d58),.c(d71),.d(d85),.e(d30),.f(d12)); // 6 ins 1 outs level 1 + + xor6 x140i (.out(x140),.a(c31),.b(d84),.c(d74),.d(c9),.e(d44),.f(d62)); // 6 ins 1 outs level 1 + + xor6 x139i (.out(x139),.a(d23),.b(d119),.c(d55),.d(d88),.e(d24),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x138i (.out(x138),.a(x132),.b(x63),.c(x66),.d(x47),.e(x37),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x137i (.out(x137),.a(x133),.b(x39),.c(x49),.d(x136),.e(x134),.f(x135)); // 6 ins 1 outs level 2 + + xor6 x136i (.out(x136),.a(d19),.b(d104),.c(d119),.d(c12),.e(d99),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x135i (.out(x135),.a(d75),.b(d51),.c(d50),.d(d56),.e(d111),.f(d91)); // 6 ins 1 outs level 1 + + xor6 x134i (.out(x134),.a(d35),.b(c15),.c(d110),.d(d26),.e(d22),.f(d24)); // 6 ins 1 outs level 1 + + xor6 x133i (.out(x133),.a(c6),.b(d17),.c(d48),.d(d4),.e(d102),.f(c11)); // 6 ins 1 outs level 1 + + xor6 x132i (.out(x132),.a(d95),.b(d16),.c(c27),.d(d32),.e(d78),.f(d21)); // 6 ins 1 outs level 1 + + xor6 x131i (.out(x131),.a(x123),.b(x34),.c(x129),.d(x32),.e(x38),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x130i (.out(x130),.a(x124),.b(x45),.c(x125),.d(x126),.e(x127),.f(x128)); // 6 ins 1 outs level 2 + + xor6 x129i (.out(x129),.a(d6),.b(d77),.c(d76),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x128i (.out(x128),.a(d57),.b(d14),.c(d18),.d(d30),.e(d60),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x127i (.out(x127),.a(d9),.b(d106),.c(d79),.d(d17),.e(d111),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x126i (.out(x126),.a(d27),.b(c4),.c(c2),.d(c5),.e(d22),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x125i (.out(x125),.a(d78),.b(d1),.c(d103),.d(d33),.e(d5),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x124i (.out(x124),.a(d58),.b(d88),.c(d47),.d(c17),.e(d36),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x123i (.out(x123),.a(d23),.b(d110),.c(d62),.d(d82),.e(c15),.f(d92)); // 6 ins 1 outs level 1 + + xor6 x122i (.out(x122),.a(x68),.b(x39),.c(x40),.d(x33),.e(x56),.f(x38)); // 6 ins 1 outs level 2 + + xor6 x121i (.out(x121),.a(x115),.b(x120),.c(x116),.d(x117),.e(x118),.f(x119)); // 6 ins 1 outs level 2 + + xor6 x120i (.out(x120),.a(d32),.b(d107),.c(c3),.d(d88),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x119i (.out(x119),.a(d28),.b(d70),.c(d2),.d(d59),.e(d46),.f(d86)); // 6 ins 1 outs level 1 + + xor6 x118i (.out(x118),.a(d22),.b(c25),.c(d84),.d(d19),.e(c24),.f(d6)); // 6 ins 1 outs level 1 + + xor6 x117i (.out(x117),.a(c30),.b(d105),.c(c23),.d(c2),.e(d77),.f(c8)); // 6 ins 1 outs level 1 + + xor6 x116i (.out(x116),.a(d114),.b(d10),.c(d118),.d(c14),.e(d49),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x115i (.out(x115),.a(d68),.b(d26),.c(d101),.d(d104),.e(c19),.f(c0)); // 6 ins 1 outs level 1 + + xor6 x114i (.out(x114),.a(d37),.b(d112),.c(c13),.d(d39),.e(d96),.f(d15)); // 6 ins 1 outs level 1 + + xor6 x113i (.out(x113),.a(x107),.b(x55),.c(x64),.d(x35),.e(x50),.f(x40)); // 6 ins 1 outs level 2 + + xor6 x112i (.out(x112),.a(x108),.b(x36),.c(x44),.d(x109),.e(x110),.f(x111)); // 6 ins 1 outs level 2 + + xor6 x111i (.out(x111),.a(d71),.b(d85),.c(d28),.d(d69),.e(d90),.f(d11)); // 6 ins 1 outs level 1 + + xor6 x110i (.out(x110),.a(d87),.b(d100),.c(d105),.d(d44),.e(d84),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x109i (.out(x109),.a(d79),.b(d118),.c(d75),.d(c31),.e(d108),.f(d35)); // 6 ins 1 outs level 1 + + xor6 x108i (.out(x108),.a(d119),.b(d8),.c(d51),.d(d13),.e(d81),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x107i (.out(x107),.a(c6),.b(d38),.c(c19),.d(c18),.e(d60),.f(d63)); // 6 ins 1 outs level 1 + + xor6 x106i (.out(x106),.a(x99),.b(x65),.c(x70),.d(x34),.e(x104),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x105i (.out(x105),.a(x100),.b(x56),.c(x46),.d(x101),.e(x102),.f(x103)); // 6 ins 1 outs level 2 + + xor6 x104i (.out(x104),.a(d72),.b(c27),.c(d93),.d(d64),.e(d81),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x103i (.out(x103),.a(d107),.b(d106),.c(d87),.d(d33),.e(d21),.f(d25)); // 6 ins 1 outs level 1 + + xor6 x102i (.out(x102),.a(d26),.b(d51),.c(d50),.d(d16),.e(d23),.f(d115)); // 6 ins 1 outs level 1 + + xor6 x101i (.out(x101),.a(d41),.b(d86),.c(c2),.d(d28),.e(d103),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x100i (.out(x100),.a(d114),.b(d45),.c(c16),.d(d34),.e(c26),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x99i (.out(x99),.a(d8),.b(d88),.c(d18),.d(d12),.e(d90),.f(c3)); // 6 ins 1 outs level 1 + + xor6 x98i (.out(x98),.a(x95),.b(x54),.c(x39),.d(x34),.e(x96),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x97i (.out(x97),.a(d71),.b(x92),.c(x53),.d(x93),.e(x94),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x96i (.out(x96),.a(d104),.b(d87),.c(d37),.d(c19),.e(d17),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x95i (.out(x95),.a(d105),.b(d62),.c(d91),.d(d99),.e(d73),.f(d66)); // 6 ins 1 outs level 1 + + xor6 x94i (.out(x94),.a(c17),.b(d89),.c(d107),.d(c1),.e(c6),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x93i (.out(x93),.a(d79),.b(c3),.c(c26),.d(d34),.e(d40),.f(d110)); // 6 ins 1 outs level 1 + + xor6 x92i (.out(x92),.a(d80),.b(d56),.c(c7),.d(d93),.e(d94),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x91i (.out(x91),.a(d53),.b(c11),.c(d51),.d(c14),.e(d5),.f(d24)); // 6 ins 1 outs level 1 + + xor6 x90i (.out(x90),.a(x83),.b(x54),.c(x41),.d(x37),.e(x39),.f(x32)); // 6 ins 1 outs level 2 + + xor6 x89i (.out(x89),.a(x84),.b(x33),.c(x88),.d(x85),.e(x86),.f(x87)); // 6 ins 1 outs level 2 + + xor6 x88i (.out(x88),.a(d50),.b(c4),.c(c11),.d(d19),.e(d34),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x87i (.out(x87),.a(d93),.b(c5),.c(d85),.d(d37),.e(d27),.f(c12)); // 6 ins 1 outs level 1 + + xor6 x86i (.out(x86),.a(c16),.b(d82),.c(d26),.d(d57),.e(d16),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x85i (.out(x85),.a(d73),.b(d61),.c(d92),.d(d66),.e(d109),.f(d68)); // 6 ins 1 outs level 1 + + xor6 x84i (.out(x84),.a(d43),.b(d23),.c(d24),.d(d100),.e(d47),.f(c6)); // 6 ins 1 outs level 1 + + xor6 x83i (.out(x83),.a(d48),.b(d90),.c(d74),.d(d62),.e(d67),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x82i (.out(x82),.a(x53),.b(x52),.c(x47),.d(x63),.e(x49),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x81i (.out(x81),.a(x74),.b(x54),.c(x32),.d(x44),.e(x38),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x80i (.out(x80),.a(x75),.b(x79),.c(x33),.d(x76),.e(x77),.f(x78)); // 6 ins 1 outs level 2 + + xor6 x79i (.out(x79),.a(d19),.b(d77),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x78i (.out(x78),.a(d8),.b(d60),.c(d80),.d(d74),.e(d1),.f(d56)); // 6 ins 1 outs level 1 + + xor6 x77i (.out(x77),.a(d36),.b(d62),.c(d111),.d(d39),.e(d93),.f(d102)); // 6 ins 1 outs level 1 + + xor6 x76i (.out(x76),.a(d115),.b(d29),.c(d118),.d(c25),.e(d27),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x75i (.out(x75),.a(d9),.b(c5),.c(d52),.d(d38),.e(c15),.f(d34)); // 6 ins 1 outs level 1 + + xor6 x74i (.out(x74),.a(d55),.b(d65),.c(d20),.d(c12),.e(d82),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x72i (.out(x72),.a(x38),.b(d10),.c(d66),.d(x40),.e(1'b0),.f(1'b0)); // 4 ins 3 outs level 2 + + xor6 x71i (.out(x71),.a(d36),.b(d2),.c(c26),.d(c1),.e(d103),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x70i (.out(x70),.a(d4),.b(d39),.c(d41),.d(d110),.e(d58),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x69i (.out(x69),.a(d109),.b(d61),.c(c21),.d(x48),.e(d94),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x68i (.out(x68),.a(d34),.b(d113),.c(c7),.d(d23),.e(d21),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x67i (.out(x67),.a(d112),.b(x34),.c(x36),.d(d37),.e(c24),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x66i (.out(x66),.a(d68),.b(d5),.c(d75),.d(d12),.e(d30),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x65i (.out(x65),.a(d64),.b(d17),.c(c19),.d(c31),.e(d83),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x64i (.out(x64),.a(d25),.b(d84),.c(c5),.d(c20),.e(d7),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x63i (.out(x63),.a(d86),.b(d47),.c(c14),.d(d16),.e(d13),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x62i (.out(x62),.a(d25),.b(d67),.c(x49),.d(d62),.e(x35),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x61i (.out(x61),.a(d57),.b(d66),.c(c16),.d(d118),.e(d114),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x60i (.out(x60),.a(d41),.b(c25),.c(x45),.d(d58),.e(d36),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x59i (.out(x59),.a(d52),.b(d14),.c(x41),.d(c26),.e(d99),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x58i (.out(x58),.a(d31),.b(d65),.c(d38),.d(d11),.e(d12),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x57i (.out(x57),.a(d69),.b(d85),.c(x37),.d(d17),.e(c0),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x56i (.out(x56),.a(c7),.b(d91),.c(c18),.d(d48),.e(c5),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x55i (.out(x55),.a(d33),.b(d113),.c(d63),.d(c27),.e(c15),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x54i (.out(x54),.a(d35),.b(c22),.c(c27),.d(d88),.e(d79),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x53i (.out(x53),.a(d26),.b(d42),.c(c8),.d(d27),.e(d96),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x52i (.out(x52),.a(d6),.b(d91),.c(d81),.d(d73),.e(d47),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x51i (.out(x51),.a(x32),.b(d76),.c(d32),.d(d43),.e(d1),.f(1'b0)); // 5 ins 8 outs level 2 + + xor6 x50i (.out(x50),.a(d28),.b(d40),.c(d78),.d(d3),.e(d107),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x49i (.out(x49),.a(d77),.b(d100),.c(c23),.d(c3),.e(d90),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x48i (.out(x48),.a(d108),.b(d9),.c(d18),.d(c0),.e(c20),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x47i (.out(x47),.a(d46),.b(d8),.c(c21),.d(d84),.e(d109),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x46i (.out(x46),.a(d55),.b(d70),.c(c6),.d(d110),.e(c15),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x45i (.out(x45),.a(d60),.b(d45),.c(c13),.d(d101),.e(1'b0),.f(1'b0)); // 4 ins 10 outs level 1 + + xor6 x44i (.out(x44),.a(d54),.b(d27),.c(d15),.d(d49),.e(d59),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x43i (.out(x43),.a(d80),.b(x39),.c(d79),.d(d106),.e(d24),.f(1'b0)); // 5 ins 11 outs level 2 + + xor6 x42i (.out(x42),.a(d2),.b(d56),.c(d50),.d(d51),.e(d74),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x41i (.out(x41),.a(d53),.b(d58),.c(d7),.d(c11),.e(d111),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x40i (.out(x40),.a(d92),.b(d102),.c(d93),.d(d22),.e(c4),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x39i (.out(x39),.a(d114),.b(d29),.c(d44),.d(d115),.e(d94),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x38i (.out(x38),.a(c29),.b(d52),.c(c16),.d(d31),.e(d117),.f(1'b0)); // 5 ins 13 outs level 1 + + xor6 x37i (.out(x37),.a(d105),.b(d0),.c(c22),.d(d87),.e(c31),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x36i (.out(x36),.a(c9),.b(d97),.c(d75),.d(c30),.e(d103),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x35i (.out(x35),.a(d112),.b(c24),.c(c12),.d(d20),.e(c25),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x34i (.out(x34),.a(c28),.b(d82),.c(d116),.d(d95),.e(d83),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x33i (.out(x33),.a(c2),.b(d89),.c(d50),.d(c17),.e(c1),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x32i (.out(x32),.a(c10),.b(d98),.c(d119),.d(d104),.e(d113),.f(1'b0)); // 5 ins 12 outs level 1 + + xor6 x23i (.out(x23),.a(x80),.b(x36),.c(x57),.d(x81),.e(x82),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x22i (.out(x22),.a(x89),.b(x48),.c(x59),.d(x60),.e(x58),.f(x90)); // 6 ins 1 outs level 3 + + xor6 x21i (.out(x21),.a(x91),.b(x72),.c(x69),.d(x97),.e(x98),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x20i (.out(x20),.a(x105),.b(x32),.c(x69),.d(x60),.e(x106),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x19i (.out(x19),.a(x112),.b(x33),.c(x63),.d(x43),.e(x113),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x18i (.out(x18),.a(x114),.b(x43),.c(x59),.d(x121),.e(x122),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x17i (.out(x17),.a(x130),.b(x62),.c(x56),.d(x57),.e(x131),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x16i (.out(x16),.a(x137),.b(x33),.c(x61),.d(x67),.e(x138),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x15i (.out(x15),.a(x146),.b(x33),.c(x45),.d(x44),.e(x59),.f(x147)); // 6 ins 1 outs level 3 + + xor6 x14i (.out(x14),.a(x155),.b(x35),.c(x46),.d(x59),.e(x51),.f(x156)); // 6 ins 1 outs level 3 + + xor6 x13i (.out(x13),.a(x157),.b(x51),.c(x165),.d(x166),.e(x164),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x12i (.out(x12),.a(x173),.b(x42),.c(x69),.d(x57),.e(x174),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x11i (.out(x11),.a(x182),.b(x38),.c(x60),.d(x51),.e(x183),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x10i (.out(x10),.a(x191),.b(x37),.c(x46),.d(x50),.e(x60),.f(x192)); // 6 ins 1 outs level 3 + + xor6 x9i (.out(x9),.a(x199),.b(x70),.c(x51),.d(x43),.e(x200),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x8i (.out(x8),.a(x206),.b(x36),.c(x50),.d(x57),.e(x51),.f(x207)); // 6 ins 1 outs level 3 + + xor6 x7i (.out(x7),.a(x214),.b(x42),.c(x64),.d(x43),.e(x51),.f(x215)); // 6 ins 1 outs level 3 + + xor6 x6i (.out(x6),.a(x221),.b(x72),.c(x58),.d(x51),.e(x222),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x5i (.out(x5),.a(x229),.b(x50),.c(x67),.d(x43),.e(x230),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x4i (.out(x4),.a(x237),.b(x36),.c(x47),.d(x62),.e(x43),.f(x238)); // 6 ins 1 outs level 3 + + xor6 x3i (.out(x3),.a(x246),.b(x57),.c(x71),.d(x59),.e(x247),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x2i (.out(x2),.a(x255),.b(x46),.c(x65),.d(x59),.e(x256),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x1i (.out(x1),.a(x257),.b(x43),.c(x55),.d(x57),.e(x264),.f(x265)); // 6 ins 1 outs level 3 + + xor6 x0i (.out(x0),.a(x271),.b(x45),.c(x43),.d(x273),.e(x272),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x31i (.out(x31),.a(x281),.b(x62),.c(x49),.d(x283),.e(x282),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x30i (.out(x30),.a(x284),.b(x43),.c(x59),.d(x291),.e(x292),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x29i (.out(x29),.a(x60),.b(x293),.c(x53),.d(x64),.e(x299),.f(x300)); // 6 ins 1 outs level 3 + + xor6 x28i (.out(x28),.a(x301),.b(x43),.c(x62),.d(x66),.e(x307),.f(x308)); // 6 ins 1 outs level 3 + + xor6 x27i (.out(x27),.a(x316),.b(x43),.c(x45),.d(x41),.e(x62),.f(x317)); // 6 ins 1 outs level 3 + + xor6 x26i (.out(x26),.a(x324),.b(x72),.c(x37),.d(x62),.e(x325),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x25i (.out(x25),.a(x332),.b(x32),.c(x60),.d(x333),.e(x334),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x24i (.out(x24),.a(x342),.b(x33),.c(x61),.d(x67),.e(x51),.f(x343)); // 6 ins 1 outs level 3 + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat128.v b/Advanced Synthesis Cookbook/crc/crc32_dat128.v new file mode 100644 index 0000000..db1e6f2 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat128.v @@ -0,0 +1,1293 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 128 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000000000000000000000000000 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111111111111111111111 +// 00000000001111111111222222222233 00000000001111111111222222222233333333334444444444555555555566666666667777777777888888888899999999990000000000111111111122222222 +// 01234567890123456789012345678901 01234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567 +// +// C00 = XXXX.X.XX.X...XX.XX.XXXX...X.XXX X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X.XXX +// C01 = ....XXXX.XXX..X.XX.XX...X..XXX.. XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XXXX...X..X.X....XXX....XXX.....X.....XXXX.XXX..X.XX.XX...X..XXX.. +// C02 = XXXX..X....XX.X.......XX.X.XX..X XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X.XXXX..X....XX.X.......XX.X.XX..X +// C03 = .XXXX..X....XX.X.......XX.X.XX.. .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X.XXXX..X....XX.X.......XX.X.XX.. +// C04 = .X..X..X..X..X.XXXX.XXXXXX.....X X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X.X.XXXX..XX..X.X...XX.X...XX..XX.X..X..X..X..X.XXXX.XXXXXX.....X +// C05 = .X.X...X..XX...XX..XX...XXXX.XXX XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X..X.X...X..XX...XX..XX...XXXX.XXX +// C06 = ..X.X...X..XX...XX..XX...XXXX.XX .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X..X.X...X..XX...XX..XX...XXXX.XX +// C07 = .XX....XXXX.XXXX....X..X..X.X.X. X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X.......XX.X..XXXX.XX......X.....X.X.XX....XXXX.XXXX....X..X..X.X.X. +// C08 = .X...X.X.X.X.X..XXX.X.XXX.....X. XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X.X...X.X.X.X.X..XXX.X.XXX.....X. +// C09 = X.X...X.X.X.X.X..XXX.X.XXX.....X .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X.X...X.X.X.X.X..XXX.X.XXX.....X +// C10 = X.X..X..XXXX.XX..X.X.X.XXXXX.XXX X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX..X..XXX.X.X.XX.X..X..X..XX...XXX.X..X..XXXX.XX..X.X.X.XXXXX.XXX +// C11 = ..X..XXXXX.XX....X...X.XXXX.XX.. XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX....XXX.X.XX.XX.X.X...XX.X....XX..X...X..XXXXX.XX....X...X.XXXX.XX.. +// C12 = XXX..XX..X..XXXX.X..XX.XXXX....X XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX.X..XX.XXXX....X +// C13 = .XXX..XX..X..XXXX.X..XX.XXXX.... .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX.X..XX.XXXX.... +// C14 = X.XXX..XX..X..XXXX.X..XX.XXXX... ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX.X..XX.XXXX... +// C15 = .X.XXX..XX..X..XXXX.X..XX.XXXX.. ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X.XXX..XX..X..XXXX.X..XX.XXXX.. +// C16 = .X.XX.XXXX...XXXX..XX.XXXX..X..X X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX.XXXX..X..X +// C17 = ..X.XX.XXXX...XXXX..XX.XXXX..X.. .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX.XXXX..X.. +// C18 = X..X.XX.XXXX...XXXX..XX.XXXX..X. ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX.XXXX..X. +// C19 = .X..X.XX.XXXX...XXXX..XX.XXXX..X ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX.XXXX..X +// C20 = ..X..X.XX.XXXX...XXXX..XX.XXXX.. ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX.XXXX.. +// C21 = X..X..X.XX.XXXX...XXXX..XX.XXXX. .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X..X.XX.XXXX...XXXX..XX.XXXX. +// C22 = ..XXXX..XX..XX...XXX...X.XXXX... X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX..XXXX....XX....X..X..X.XXXX.XXX...XXXX..XX..XX...XXX...X.XXXX... +// C23 = XXX.X.XXXX...X.X.X.X.XXXX.X.X.XX XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X.X.X.X.XXXX.X.X.XX +// C24 = .XXX.X.XXXX...X.X.X.X.XXXX.X.X.X .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X.X.X.X.XXXX.X.X.X +// C25 = ..XXX.X.XXXX...X.X.X.X.XXXX.X.X. ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X..XXX.X.XXXX...X.X.X.X.XXXX.X.X. +// C26 = .XX.X...XX.XX.XXXX...X.XXXX...X. X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X.XXXX...X. +// C27 = X.XX.X...XX.XX.XXXX...X.XXXX...X .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X.XXXX...X +// C28 = .X.XX.X...XX.XX.XXXX...X.XXXX... ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X.XXXX... +// C29 = X.X.XX.X...XX.XX.XXXX...X.XXXX.. ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X.XXXX.. +// C30 = XX.X.XX.X...XX.XX.XXXX...X.XXXX. ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X.XXXX. +// C31 = XXX.X.XX.X...XX.XX.XXXX...X.XXXX .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X.XX.X...XX.XX.XXXX...X.XXXX +// +module crc32_dat128 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [127:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat128_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat128_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat128_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [127:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95,d96,d97,d98,d99,d100,d101,d102,d103,d104,d105,d106,d107,d108,d109,d110, + d111,d112,d113,d114,d115,d116,d117,d118,d119,d120,d121,d122,d123,d124,d125,d126, + d127; + +assign { d127,d126,d125,d124,d123,d122,d121,d120,d119,d118,d117,d116,d115,d114,d113, + d112,d111,d110,d109,d108,d107,d106,d105,d104,d103,d102,d101,d100,d99,d98,d97, + d96,d95,d94,d93,d92,d91,d90,d89,d88,d87,d86,d85,d84,d83,d82,d81, + d80,d79,d78,d77,d76,d75,d74,d73,d72,d71,d70,d69,d68,d67,d66,d65, + d64,d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [127:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x31 = d80 ^ c13 ^ d122 ^ d59 ^ d127 ^ c20 ^ d112 ^ d60 ^ c7 ^ + d5 ^ d65 ^ c26 ^ d93 ^ d11 ^ c30 ^ d9 ^ d66 ^ d24 ^ c21 ^ + d94 ^ c22 ^ d95 ^ d25 ^ c9 ^ c16 ^ c2 ^ d125 ^ c14 ^ d36 ^ + c29 ^ d124 ^ d43 ^ d44 ^ d102 ^ c17 ^ c19 ^ d86 ^ d71 ^ d84 ^ + d49 ^ d64 ^ c31 ^ d29 ^ d47 ^ d8 ^ c0 ^ d72 ^ d52 ^ d116 ^ + d62 ^ c6 ^ d31 ^ d23 ^ d103 ^ d83 ^ d57 ^ d82 ^ d67 ^ d117 ^ + d109 ^ d46 ^ d126 ^ c28 ^ d54 ^ d115 ^ d98 ^ d113 ^ d97 ^ d15 ^ + d118 ^ d33 ^ d100 ^ d53 ^ d28 ^ c1 ^ d96 ^ d81 ^ c4 ^ d30 ^ + d78 ^ d105 ^ d27 ^ d110; // 83 ins 1 outs level 3 + + assign x30 = d29 ^ c15 ^ c28 ^ d64 ^ d94 ^ c19 ^ d101 ^ c6 ^ d53 ^ + c27 ^ d114 ^ c18 ^ d112 ^ d71 ^ d52 ^ d63 ^ d61 ^ c20 ^ d80 ^ + d124 ^ d42 ^ c0 ^ d56 ^ d23 ^ c13 ^ d10 ^ d109 ^ d81 ^ c16 ^ + d79 ^ d123 ^ d95 ^ d92 ^ d121 ^ c12 ^ d111 ^ d65 ^ c3 ^ d116 ^ + d117 ^ d43 ^ d59 ^ c30 ^ c21 ^ d85 ^ d96 ^ d108 ^ d30 ^ d14 ^ + d27 ^ d93 ^ d22 ^ d66 ^ d104 ^ d35 ^ d70 ^ c1 ^ d102 ^ d24 ^ + d99 ^ c5 ^ d8 ^ d126 ^ d46 ^ d32 ^ d115 ^ d77 ^ d82 ^ d28 ^ + c8 ^ c29 ^ d4 ^ d125 ^ d51 ^ d83 ^ d26 ^ d97 ^ d58 ^ d48 ^ + c25 ^ d7 ^ d45; // 82 ins 1 outs level 3 + + assign x29 = c4 ^ c20 ^ d29 ^ d3 ^ d82 ^ d107 ^ d57 ^ c7 ^ d27 ^ + d100 ^ d9 ^ d114 ^ d81 ^ d21 ^ d110 ^ d116 ^ d103 ^ c14 ^ d101 ^ + d70 ^ d98 ^ d120 ^ d42 ^ c19 ^ d80 ^ d7 ^ d108 ^ d58 ^ d45 ^ + d125 ^ d123 ^ d63 ^ c29 ^ c17 ^ d76 ^ d115 ^ d84 ^ d64 ^ d47 ^ + d113 ^ c0 ^ d31 ^ c28 ^ d96 ^ d34 ^ d94 ^ c2 ^ c15 ^ d69 ^ + d111 ^ d25 ^ d122 ^ d50 ^ c24 ^ d93 ^ c5 ^ c11 ^ d22 ^ d55 ^ + d13 ^ c27 ^ d62 ^ c12 ^ d44 ^ d6 ^ d26 ^ d95 ^ d92 ^ d124 ^ + d41 ^ c18 ^ d78 ^ c26 ^ d23 ^ d91 ^ d52 ^ d65 ^ d28 ^ d51 ^ + d60 ^ d79; // 81 ins 1 outs level 3 + + assign x28 = d100 ^ d68 ^ d63 ^ d2 ^ d92 ^ d75 ^ d8 ^ d21 ^ d69 ^ + c26 ^ d124 ^ d77 ^ d91 ^ c27 ^ d22 ^ d90 ^ d40 ^ d20 ^ d99 ^ + d83 ^ d56 ^ d64 ^ d78 ^ c11 ^ d113 ^ d121 ^ d44 ^ d54 ^ c17 ^ + c4 ^ d123 ^ c14 ^ d110 ^ d30 ^ d57 ^ d62 ^ d33 ^ d27 ^ d46 ^ + c16 ^ d5 ^ d12 ^ c3 ^ d115 ^ c23 ^ c10 ^ d24 ^ d106 ^ c13 ^ + d109 ^ c28 ^ d114 ^ d26 ^ d97 ^ d81 ^ c1 ^ d43 ^ d51 ^ d102 ^ + c18 ^ d112 ^ d59 ^ d122 ^ d107 ^ c6 ^ d28 ^ d80 ^ d95 ^ d94 ^ + d119 ^ c25 ^ d50 ^ d49 ^ d61 ^ d41 ^ d79 ^ d93 ^ d25 ^ d6 ^ + c19; // 80 ins 1 outs level 3 + + assign x27 = d111 ^ d67 ^ d123 ^ d1 ^ d48 ^ c5 ^ d26 ^ d21 ^ d61 ^ + d96 ^ d25 ^ d49 ^ c27 ^ d78 ^ c3 ^ c10 ^ d121 ^ c25 ^ c18 ^ + d32 ^ d101 ^ d23 ^ d53 ^ d42 ^ d5 ^ d20 ^ d98 ^ d45 ^ d105 ^ + d92 ^ d127 ^ d40 ^ d90 ^ d74 ^ c17 ^ d122 ^ d80 ^ c31 ^ d112 ^ + d106 ^ d89 ^ d93 ^ d11 ^ d56 ^ d114 ^ d55 ^ c16 ^ d118 ^ d43 ^ + c2 ^ c0 ^ d63 ^ d39 ^ d79 ^ c12 ^ c24 ^ d68 ^ d4 ^ d50 ^ + c22 ^ d120 ^ c26 ^ c13 ^ d76 ^ c15 ^ d60 ^ c9 ^ d62 ^ d7 ^ + d58 ^ d108 ^ d82 ^ d24 ^ d77 ^ d94 ^ d27 ^ d109 ^ d113 ^ d19 ^ + d99 ^ d91 ^ d29; // 82 ins 1 outs level 3 + + assign x26 = c2 ^ d55 ^ d110 ^ d48 ^ d119 ^ d26 ^ d6 ^ c4 ^ c21 ^ + d61 ^ c26 ^ c17 ^ d49 ^ d75 ^ d24 ^ c9 ^ d54 ^ d0 ^ d104 ^ + c23 ^ d79 ^ d28 ^ d88 ^ d93 ^ d111 ^ d112 ^ c1 ^ d107 ^ d4 ^ + d57 ^ d122 ^ d67 ^ d47 ^ c16 ^ d98 ^ d76 ^ d73 ^ c12 ^ d66 ^ + c15 ^ d95 ^ d10 ^ d60 ^ d121 ^ d31 ^ d120 ^ d38 ^ d108 ^ c14 ^ + d52 ^ d105 ^ d22 ^ d78 ^ d90 ^ d126 ^ d89 ^ d39 ^ d42 ^ d62 ^ + d19 ^ d100 ^ d20 ^ d25 ^ d18 ^ d92 ^ d59 ^ d3 ^ d97 ^ c11 ^ + d81 ^ c24 ^ d77 ^ c8 ^ d23 ^ d41 ^ c30 ^ d113 ^ d91 ^ d44 ^ + c25 ^ d117; // 81 ins 1 outs level 3 + + assign x25 = c17 ^ c24 ^ c4 ^ d89 ^ d86 ^ c25 ^ d121 ^ d61 ^ c21 ^ + d90 ^ c2 ^ d41 ^ d44 ^ c28 ^ d17 ^ c3 ^ d75 ^ d77 ^ d64 ^ + d62 ^ d122 ^ d21 ^ d87 ^ d48 ^ c23 ^ d106 ^ d37 ^ d99 ^ c8 ^ + d51 ^ d119 ^ d124 ^ d28 ^ d33 ^ d57 ^ c30 ^ d84 ^ d100 ^ d67 ^ + d126 ^ c10 ^ d36 ^ c26 ^ d104 ^ d38 ^ d74 ^ d95 ^ d29 ^ d83 ^ + c9 ^ d52 ^ d3 ^ d19 ^ d115 ^ c15 ^ d22 ^ d18 ^ d105 ^ d2 ^ + c6 ^ d92 ^ d102 ^ d91 ^ d8 ^ d107 ^ d76 ^ d117 ^ d31 ^ d120 ^ + d88 ^ d71 ^ d15 ^ d81 ^ d82 ^ c11 ^ d49 ^ d58 ^ d40 ^ c19 ^ + d56 ^ d11 ^ d111 ^ d93 ^ d98 ^ d113; // 85 ins 1 outs level 3 + + assign x24 = d27 ^ d56 ^ d30 ^ d114 ^ d28 ^ d125 ^ c27 ^ d48 ^ c14 ^ + c29 ^ d98 ^ d123 ^ d2 ^ d14 ^ d40 ^ c16 ^ c20 ^ d85 ^ c18 ^ + d37 ^ d83 ^ d73 ^ d103 ^ d55 ^ d89 ^ d81 ^ d51 ^ d63 ^ d57 ^ + d43 ^ d35 ^ d10 ^ d74 ^ d17 ^ d97 ^ d90 ^ d106 ^ d88 ^ d47 ^ + d110 ^ d101 ^ d92 ^ d105 ^ d60 ^ d80 ^ d119 ^ c5 ^ c8 ^ c3 ^ + d91 ^ d116 ^ d121 ^ d1 ^ d18 ^ c7 ^ d32 ^ d50 ^ d104 ^ c25 ^ + d70 ^ d36 ^ d66 ^ c24 ^ d127 ^ d112 ^ d16 ^ c31 ^ d21 ^ d75 ^ + d20 ^ d76 ^ c10 ^ c22 ^ d61 ^ d82 ^ c23 ^ c9 ^ d118 ^ c1 ^ + c2 ^ d94 ^ d39 ^ d87 ^ d120 ^ d7 ^ d99 ^ d86; // 87 ins 1 outs level 3 + + assign x23 = d50 ^ c2 ^ d113 ^ d74 ^ d60 ^ d16 ^ d124 ^ d85 ^ d29 ^ + d111 ^ d119 ^ c13 ^ d120 ^ d34 ^ d26 ^ d117 ^ d96 ^ d122 ^ c8 ^ + d1 ^ d118 ^ d104 ^ d38 ^ c6 ^ d54 ^ c21 ^ c9 ^ d72 ^ c17 ^ + d105 ^ d39 ^ d84 ^ d127 ^ d97 ^ d115 ^ c1 ^ d98 ^ d55 ^ d80 ^ + d36 ^ c31 ^ d59 ^ d126 ^ c23 ^ c30 ^ d75 ^ c0 ^ d47 ^ d65 ^ + d27 ^ c7 ^ d87 ^ d93 ^ d91 ^ d20 ^ d89 ^ d69 ^ d86 ^ d82 ^ + c26 ^ d100 ^ d102 ^ d0 ^ d56 ^ c15 ^ d42 ^ d103 ^ c4 ^ c22 ^ + d35 ^ d109 ^ d9 ^ d46 ^ d62 ^ d88 ^ d79 ^ d49 ^ d73 ^ d81 ^ + d13 ^ c28 ^ d90 ^ d31 ^ d19 ^ d15 ^ d17 ^ c24 ^ c19 ^ d6; // 89 ins 1 outs level 3 + + assign x22 = d101 ^ d62 ^ d26 ^ d89 ^ d18 ^ d65 ^ d0 ^ c28 ^ d31 ^ + d66 ^ d19 ^ d61 ^ d122 ^ d60 ^ c9 ^ d105 ^ d113 ^ d74 ^ d108 ^ + d124 ^ d88 ^ d41 ^ c3 ^ d121 ^ d12 ^ d100 ^ d38 ^ d34 ^ c23 ^ + d109 ^ c8 ^ d45 ^ d115 ^ c19 ^ d79 ^ d24 ^ d44 ^ d92 ^ c25 ^ + d90 ^ d55 ^ c5 ^ d58 ^ d27 ^ c4 ^ d43 ^ d123 ^ d9 ^ d67 ^ + c17 ^ d23 ^ d35 ^ d68 ^ c18 ^ c26 ^ d85 ^ d93 ^ c27 ^ d36 ^ + d99 ^ c13 ^ d11 ^ d94 ^ c2 ^ d37 ^ d104 ^ d48 ^ d29 ^ d114 ^ + d119 ^ c12 ^ d16 ^ d57 ^ d47 ^ d14 ^ d98 ^ d87 ^ d82 ^ d73 ^ + d52; // 80 ins 1 outs level 3 + + assign x21 = d80 ^ c19 ^ d89 ^ c25 ^ d62 ^ d120 ^ d10 ^ c21 ^ d109 ^ + d115 ^ d92 ^ d27 ^ d87 ^ d53 ^ d37 ^ d125 ^ d114 ^ d61 ^ c11 ^ + d95 ^ c28 ^ d124 ^ d42 ^ c24 ^ d88 ^ d56 ^ d18 ^ d52 ^ c20 ^ + d34 ^ d104 ^ d94 ^ d102 ^ d108 ^ d49 ^ d29 ^ c14 ^ d96 ^ c0 ^ + d22 ^ d117 ^ d91 ^ d73 ^ d107 ^ d116 ^ d51 ^ c12 ^ d110 ^ c8 ^ + d24 ^ d99 ^ d40 ^ d9 ^ d35 ^ c29 ^ c18 ^ d17 ^ c13 ^ d13 ^ + d121 ^ c9 ^ d82 ^ d31 ^ c6 ^ d105 ^ c3 ^ d83 ^ d123 ^ c30 ^ + d26 ^ d71 ^ c27 ^ d126 ^ d5; // 74 ins 1 outs level 3 + + assign x20 = c18 ^ d91 ^ d124 ^ d34 ^ d108 ^ c8 ^ c28 ^ d93 ^ d115 ^ + d25 ^ c27 ^ d26 ^ c5 ^ d123 ^ d94 ^ d116 ^ d101 ^ d52 ^ d33 ^ + d114 ^ c23 ^ d9 ^ d36 ^ d72 ^ d98 ^ d87 ^ d55 ^ d125 ^ d60 ^ + d122 ^ d12 ^ d30 ^ d61 ^ d88 ^ d17 ^ d28 ^ d81 ^ d109 ^ c7 ^ + d41 ^ c20 ^ d120 ^ d107 ^ c19 ^ d70 ^ c26 ^ d39 ^ d23 ^ c2 ^ + d119 ^ c24 ^ d90 ^ c12 ^ d51 ^ d104 ^ d82 ^ d113 ^ d48 ^ d8 ^ + d95 ^ d103 ^ d106 ^ c10 ^ c29 ^ c13 ^ c11 ^ d4 ^ d21 ^ d79 ^ + c17 ^ d50 ^ d86 ^ d16; // 73 ins 1 outs level 3 + + assign x19 = d7 ^ d80 ^ d105 ^ d3 ^ c9 ^ d123 ^ c31 ^ c23 ^ d8 ^ + d54 ^ d92 ^ d33 ^ d114 ^ d124 ^ d86 ^ d35 ^ d71 ^ c19 ^ d51 ^ + d15 ^ d122 ^ c22 ^ d81 ^ d49 ^ d103 ^ d78 ^ d69 ^ d32 ^ d121 ^ + d59 ^ c17 ^ c11 ^ d16 ^ c18 ^ d40 ^ d25 ^ c6 ^ c16 ^ d47 ^ + d97 ^ d90 ^ d20 ^ d50 ^ c1 ^ d113 ^ d94 ^ d87 ^ d100 ^ d89 ^ + d11 ^ d115 ^ d119 ^ c10 ^ c4 ^ c26 ^ d60 ^ c12 ^ d112 ^ c28 ^ + d118 ^ d93 ^ c25 ^ d107 ^ d102 ^ d29 ^ d22 ^ d127 ^ d24 ^ d106 ^ + d27 ^ d108 ^ d85 ^ c27 ^ c7 ^ d38; // 75 ins 1 outs level 3 + + assign x18 = c21 ^ c10 ^ d2 ^ d6 ^ d59 ^ d118 ^ d80 ^ c6 ^ d84 ^ + d106 ^ d121 ^ d70 ^ d46 ^ d88 ^ d21 ^ d26 ^ d99 ^ c22 ^ d86 ^ + c8 ^ d10 ^ d39 ^ c9 ^ c30 ^ d89 ^ d102 ^ c0 ^ d31 ^ d58 ^ + d92 ^ d28 ^ d48 ^ c26 ^ d112 ^ c16 ^ d91 ^ c5 ^ d19 ^ d49 ^ + d15 ^ c18 ^ d114 ^ d68 ^ c3 ^ d93 ^ c11 ^ d50 ^ c24 ^ d77 ^ + d105 ^ d7 ^ d101 ^ c25 ^ d85 ^ d120 ^ d96 ^ d111 ^ c15 ^ d32 ^ + d79 ^ d14 ^ d113 ^ d37 ^ c27 ^ d24 ^ c17 ^ d126 ^ d23 ^ d117 ^ + d123 ^ d34 ^ d104 ^ d122 ^ d107 ^ d53; // 75 ins 1 outs level 3 + + assign x17 = d116 ^ c25 ^ c5 ^ d30 ^ c29 ^ d57 ^ d113 ^ d90 ^ c15 ^ + d121 ^ d100 ^ d13 ^ d27 ^ d48 ^ d106 ^ d110 ^ d9 ^ d119 ^ c24 ^ + d58 ^ d69 ^ c21 ^ d78 ^ d1 ^ c14 ^ c20 ^ d38 ^ c23 ^ d67 ^ + d112 ^ d22 ^ c7 ^ d87 ^ d20 ^ d45 ^ d88 ^ d5 ^ d103 ^ d76 ^ + d105 ^ d111 ^ d14 ^ d6 ^ c8 ^ d104 ^ d49 ^ d117 ^ d85 ^ d84 ^ + c16 ^ c9 ^ d25 ^ c17 ^ d23 ^ d91 ^ c26 ^ d18 ^ c2 ^ d36 ^ + d31 ^ d125 ^ c4 ^ d98 ^ d83 ^ d101 ^ d120 ^ d52 ^ d95 ^ d92 ^ + c10 ^ d47 ^ d33 ^ d79 ^ d122; // 74 ins 1 outs level 3 + + assign x16 = c3 ^ d90 ^ d4 ^ d32 ^ d24 ^ d26 ^ d83 ^ d111 ^ c24 ^ + c23 ^ d109 ^ d5 ^ d8 ^ d97 ^ c19 ^ c1 ^ c16 ^ d56 ^ d104 ^ + d44 ^ d127 ^ d75 ^ d19 ^ c8 ^ d57 ^ d110 ^ d78 ^ d68 ^ d118 ^ + d47 ^ c6 ^ c13 ^ c28 ^ d87 ^ c14 ^ d12 ^ d119 ^ d121 ^ d22 ^ + c22 ^ d13 ^ c25 ^ c31 ^ d116 ^ c15 ^ d124 ^ c7 ^ c20 ^ d99 ^ + d66 ^ d94 ^ d37 ^ d84 ^ d82 ^ d0 ^ d48 ^ d29 ^ d112 ^ d30 ^ + d17 ^ d35 ^ d120 ^ d100 ^ d89 ^ d105 ^ c9 ^ d102 ^ d115 ^ d21 ^ + d103 ^ d77 ^ d51 ^ d91 ^ d46 ^ d86 ^ c4; // 76 ins 1 outs level 3 + + assign x15 = d100 ^ d104 ^ d15 ^ d16 ^ d76 ^ d59 ^ d12 ^ d27 ^ d116 ^ + d120 ^ d124 ^ c15 ^ d52 ^ d94 ^ c17 ^ d49 ^ d78 ^ c5 ^ d108 ^ + d60 ^ d5 ^ d20 ^ d112 ^ d123 ^ d34 ^ d114 ^ d3 ^ c26 ^ c20 ^ + d90 ^ d56 ^ d80 ^ d30 ^ d53 ^ d71 ^ d50 ^ d72 ^ c9 ^ c23 ^ + c1 ^ d57 ^ c24 ^ d44 ^ c3 ^ d54 ^ d77 ^ d111 ^ d74 ^ c8 ^ + d66 ^ d119 ^ d24 ^ c12 ^ d88 ^ d18 ^ d95 ^ c4 ^ c27 ^ c29 ^ + c28 ^ d97 ^ d105 ^ d85 ^ d101 ^ d125 ^ d33 ^ d113 ^ d84 ^ d89 ^ + d9 ^ c18 ^ d21 ^ d62 ^ d8 ^ d122 ^ d99 ^ d4 ^ d45 ^ d64 ^ + c16 ^ d55 ^ d7; // 82 ins 1 outs level 3 + + assign x14 = d32 ^ d75 ^ d98 ^ c8 ^ d115 ^ d104 ^ d26 ^ d59 ^ d103 ^ + d49 ^ d4 ^ d3 ^ d111 ^ d123 ^ d96 ^ c15 ^ d53 ^ c23 ^ c2 ^ + d15 ^ d44 ^ d7 ^ d77 ^ d2 ^ d70 ^ d100 ^ d6 ^ d122 ^ d118 ^ + d119 ^ c0 ^ d79 ^ d112 ^ d63 ^ d58 ^ d14 ^ c3 ^ d51 ^ d94 ^ + d65 ^ d19 ^ d52 ^ c22 ^ d83 ^ d55 ^ d107 ^ d89 ^ d110 ^ d71 ^ + d113 ^ d33 ^ c17 ^ c14 ^ d61 ^ c7 ^ d76 ^ d124 ^ d87 ^ d8 ^ + d56 ^ d23 ^ d48 ^ d43 ^ d93 ^ d20 ^ d121 ^ d17 ^ c19 ^ c25 ^ + d84 ^ d11 ^ d99 ^ d88 ^ d73 ^ c28 ^ c16 ^ c4 ^ c11 ^ d54 ^ + c26 ^ d29 ^ c27; // 82 ins 1 outs level 3 + + assign x13 = d52 ^ d47 ^ c15 ^ d111 ^ d18 ^ c22 ^ c25 ^ d121 ^ c21 ^ + d55 ^ d114 ^ d32 ^ c18 ^ d10 ^ c10 ^ d28 ^ d103 ^ d48 ^ d92 ^ + d16 ^ d82 ^ d88 ^ c3 ^ d78 ^ d31 ^ d57 ^ d123 ^ c6 ^ d53 ^ + d50 ^ d43 ^ d13 ^ d2 ^ d106 ^ d75 ^ d14 ^ d69 ^ d42 ^ d60 ^ + d25 ^ d70 ^ c24 ^ d76 ^ d95 ^ d54 ^ c16 ^ d72 ^ d117 ^ d62 ^ + c26 ^ c2 ^ d120 ^ d83 ^ c13 ^ d7 ^ d22 ^ d3 ^ d98 ^ d64 ^ + d99 ^ d122 ^ d87 ^ d86 ^ d102 ^ d74 ^ c27 ^ d19 ^ d97 ^ d51 ^ + d112 ^ c14 ^ d109 ^ d1 ^ d118 ^ c1 ^ d93 ^ d5 ^ d110 ^ d58 ^ + d6 ^ c7; // 81 ins 1 outs level 3 + + assign x12 = c15 ^ d98 ^ d2 ^ d108 ^ d57 ^ c31 ^ d127 ^ d91 ^ d46 ^ + d59 ^ d21 ^ d120 ^ d75 ^ d92 ^ d9 ^ d0 ^ c13 ^ c23 ^ c9 ^ + d94 ^ d81 ^ d117 ^ d113 ^ c0 ^ d49 ^ d27 ^ d111 ^ c1 ^ d56 ^ + d102 ^ d110 ^ d51 ^ d17 ^ c2 ^ c14 ^ d87 ^ d1 ^ d82 ^ d121 ^ + d42 ^ d30 ^ d47 ^ d71 ^ d122 ^ d5 ^ d119 ^ d77 ^ d24 ^ d96 ^ + d15 ^ d52 ^ c25 ^ d74 ^ d109 ^ d97 ^ d31 ^ d6 ^ d50 ^ d68 ^ + d85 ^ d12 ^ d116 ^ d41 ^ c5 ^ d105 ^ d13 ^ d86 ^ d18 ^ d54 ^ + c24 ^ c12 ^ d69 ^ c21 ^ c17 ^ c20 ^ d4 ^ d53 ^ d73 ^ d61 ^ + c6 ^ d101 ^ c26 ^ d63; // 83 ins 1 outs level 3 + + assign x11 = d28 ^ d64 ^ d90 ^ d70 ^ d24 ^ d41 ^ d31 ^ d33 ^ d65 ^ + d0 ^ c29 ^ d121 ^ d94 ^ d51 ^ d48 ^ d15 ^ d105 ^ d78 ^ d107 ^ + d54 ^ c6 ^ d4 ^ d9 ^ c24 ^ d68 ^ d113 ^ d12 ^ d82 ^ d108 ^ + d124 ^ c17 ^ c5 ^ d104 ^ d25 ^ c26 ^ d43 ^ d44 ^ d119 ^ d16 ^ + d59 ^ d103 ^ d117 ^ d20 ^ d40 ^ c28 ^ d76 ^ d55 ^ d36 ^ d17 ^ + d120 ^ d85 ^ d101 ^ c21 ^ c23 ^ d66 ^ d27 ^ d83 ^ d26 ^ d91 ^ + d47 ^ c11 ^ d56 ^ d102 ^ c9 ^ c7 ^ d1 ^ c12 ^ d14 ^ d122 ^ + c2 ^ c25 ^ d3 ^ d125 ^ d98 ^ d74 ^ d50 ^ d71 ^ d57 ^ c8 ^ + d73 ^ d45 ^ d58; // 82 ins 1 outs level 3 + + assign x10 = c14 ^ d109 ^ c11 ^ c24 ^ d117 ^ d31 ^ d59 ^ d32 ^ d50 ^ + c17 ^ c10 ^ d16 ^ d101 ^ d40 ^ d83 ^ d56 ^ d119 ^ c29 ^ d80 ^ + d19 ^ c8 ^ d5 ^ d2 ^ d36 ^ d106 ^ d62 ^ c0 ^ c19 ^ d70 ^ + d29 ^ d104 ^ d90 ^ d121 ^ c31 ^ d123 ^ d126 ^ d42 ^ c30 ^ c9 ^ + d78 ^ d60 ^ d69 ^ d86 ^ c13 ^ d94 ^ d0 ^ d96 ^ d113 ^ d115 ^ + d110 ^ d107 ^ d98 ^ d33 ^ d73 ^ d120 ^ d89 ^ d28 ^ d75 ^ d13 ^ + d3 ^ c25 ^ d58 ^ d39 ^ d71 ^ d9 ^ c5 ^ d122 ^ d35 ^ d14 ^ + d77 ^ d63 ^ c21 ^ c26 ^ d105 ^ d52 ^ c27 ^ d55 ^ c2 ^ d125 ^ + d127 ^ d26 ^ c23 ^ d66 ^ d95; // 84 ins 1 outs level 3 + + assign x9 = c14 ^ d39 ^ d67 ^ d58 ^ d4 ^ d98 ^ d78 ^ d80 ^ c10 ^ + d89 ^ d119 ^ d74 ^ d102 ^ d85 ^ d41 ^ d84 ^ d86 ^ d69 ^ d29 ^ + d81 ^ d18 ^ c21 ^ d114 ^ d106 ^ c19 ^ d83 ^ d60 ^ d13 ^ d11 ^ + d47 ^ d77 ^ c0 ^ d71 ^ d110 ^ d43 ^ d32 ^ d55 ^ c2 ^ d52 ^ + c17 ^ d79 ^ d24 ^ d70 ^ d120 ^ d38 ^ d35 ^ d113 ^ d64 ^ c18 ^ + d121 ^ d76 ^ d68 ^ d36 ^ c12 ^ d51 ^ d12 ^ d1 ^ d108 ^ d104 ^ + d44 ^ d34 ^ d33 ^ d115 ^ c25 ^ d127 ^ d46 ^ d88 ^ c8 ^ c6 ^ + d23 ^ d2 ^ d66 ^ d9 ^ d53 ^ d96 ^ c24 ^ d117 ^ c31 ^ d5 ^ + d61 ^ c23; // 81 ins 1 outs level 3 + + assign x8 = d87 ^ d82 ^ d67 ^ d12 ^ d114 ^ d78 ^ c24 ^ d97 ^ d22 ^ + d50 ^ d113 ^ d112 ^ d84 ^ d17 ^ d45 ^ d105 ^ d118 ^ d69 ^ d43 ^ + c18 ^ d34 ^ d52 ^ d80 ^ d126 ^ d11 ^ c30 ^ d116 ^ d37 ^ c17 ^ + c7 ^ d51 ^ c22 ^ c23 ^ d28 ^ d33 ^ d75 ^ d109 ^ c1 ^ d31 ^ + c20 ^ d3 ^ d119 ^ d59 ^ c5 ^ d32 ^ c9 ^ d77 ^ d73 ^ d40 ^ + d8 ^ c16 ^ d35 ^ d42 ^ d54 ^ d57 ^ d101 ^ d63 ^ d23 ^ d4 ^ + d107 ^ d120 ^ d65 ^ d46 ^ d66 ^ d95 ^ d10 ^ c11 ^ d60 ^ d38 ^ + d0 ^ d68 ^ d103 ^ d79 ^ d85 ^ d1 ^ d88 ^ d83 ^ d70 ^ d76 ^ + c13; // 80 ins 1 outs level 3 + + assign x7 = d0 ^ d110 ^ d28 ^ d32 ^ d97 ^ d39 ^ d111 ^ d21 ^ d37 ^ + d34 ^ d103 ^ d76 ^ c15 ^ d43 ^ d15 ^ c28 ^ d3 ^ d57 ^ d105 ^ + d29 ^ d116 ^ d54 ^ d46 ^ c23 ^ d47 ^ d104 ^ c7 ^ d79 ^ c26 ^ + d93 ^ c2 ^ d74 ^ d71 ^ d22 ^ c13 ^ c10 ^ d23 ^ d95 ^ d126 ^ + d16 ^ d41 ^ c9 ^ d10 ^ d50 ^ d25 ^ c12 ^ d56 ^ d45 ^ d51 ^ + d60 ^ d119 ^ d5 ^ d7 ^ d80 ^ c1 ^ d24 ^ d75 ^ d52 ^ d124 ^ + d98 ^ d87 ^ d77 ^ d108 ^ d58 ^ c20 ^ d2 ^ d42 ^ c14 ^ d109 ^ + d106 ^ d8 ^ d68 ^ d69 ^ c8 ^ d122 ^ c30; // 76 ins 1 outs level 3 + + assign x6 = d1 ^ d51 ^ d64 ^ c17 ^ d62 ^ d117 ^ d82 ^ d8 ^ d73 ^ + d92 ^ c8 ^ c11 ^ d50 ^ d6 ^ d75 ^ d121 ^ d40 ^ d81 ^ d93 ^ + d112 ^ c31 ^ d60 ^ c27 ^ d20 ^ d66 ^ d122 ^ d4 ^ d21 ^ d123 ^ + d126 ^ d80 ^ d45 ^ c30 ^ d22 ^ c12 ^ d84 ^ d70 ^ d54 ^ d25 ^ + d71 ^ c28 ^ d76 ^ d42 ^ d83 ^ d30 ^ c16 ^ d116 ^ c2 ^ d56 ^ + d107 ^ c25 ^ d124 ^ d43 ^ d55 ^ d127 ^ d7 ^ d5 ^ d29 ^ d108 ^ + c21 ^ d2 ^ d72 ^ d41 ^ d104 ^ c26 ^ c4 ^ d68 ^ d38 ^ c20 ^ + d65 ^ d98 ^ d14 ^ d95 ^ d79 ^ d74 ^ d100 ^ d11 ^ d47 ^ d52 ^ + d113; // 80 ins 1 outs level 3 + + assign x5 = d37 ^ d65 ^ d61 ^ d46 ^ d81 ^ d13 ^ c31 ^ d4 ^ c25 ^ + d78 ^ d24 ^ d10 ^ d70 ^ d69 ^ d122 ^ d3 ^ d0 ^ d116 ^ d126 ^ + d112 ^ d103 ^ c11 ^ d5 ^ d127 ^ d54 ^ d28 ^ d71 ^ d20 ^ d40 ^ + d72 ^ d79 ^ c19 ^ d80 ^ c7 ^ c27 ^ d91 ^ d82 ^ c26 ^ d64 ^ + d41 ^ d29 ^ d74 ^ d121 ^ c10 ^ d73 ^ d75 ^ c15 ^ d55 ^ c3 ^ + d59 ^ c1 ^ c16 ^ d83 ^ d92 ^ d51 ^ c20 ^ d19 ^ d6 ^ d21 ^ + d1 ^ d53 ^ d63 ^ d106 ^ c29 ^ d107 ^ d67 ^ d125 ^ d120 ^ d7 ^ + d115 ^ d49 ^ c24 ^ d97 ^ c30 ^ d111 ^ d39 ^ d44 ^ d42 ^ d50 ^ + d123 ^ d99 ^ d94; // 82 ins 1 outs level 3 + + assign x4 = d95 ^ d73 ^ c16 ^ c4 ^ d109 ^ d59 ^ d100 ^ d97 ^ d18 ^ + d91 ^ d63 ^ d84 ^ d46 ^ c23 ^ d94 ^ d113 ^ d24 ^ d40 ^ d15 ^ + d30 ^ d2 ^ c31 ^ d69 ^ d70 ^ d112 ^ d11 ^ d90 ^ d121 ^ d83 ^ + d77 ^ d50 ^ d3 ^ d47 ^ d120 ^ d74 ^ d48 ^ d33 ^ c24 ^ d86 ^ + d41 ^ d25 ^ c22 ^ c7 ^ d111 ^ c25 ^ d44 ^ d117 ^ c10 ^ c13 ^ + d45 ^ c21 ^ d20 ^ d58 ^ d65 ^ c1 ^ d8 ^ d19 ^ c18 ^ d29 ^ + d127 ^ d12 ^ d116 ^ c17 ^ d0 ^ d103 ^ d39 ^ c20 ^ d79 ^ d106 ^ + d114 ^ d38 ^ d57 ^ d67 ^ d119 ^ d118 ^ c15 ^ d31 ^ d68 ^ d6 ^ + d4; // 80 ins 1 outs level 3 + + assign x3 = c1 ^ d2 ^ c7 ^ d17 ^ d58 ^ d45 ^ d65 ^ c15 ^ c29 ^ + d36 ^ d68 ^ d39 ^ d109 ^ d80 ^ d52 ^ d8 ^ d19 ^ d59 ^ d27 ^ + d10 ^ d14 ^ d122 ^ d125 ^ d56 ^ d108 ^ c13 ^ d103 ^ d97 ^ d84 ^ + d18 ^ d38 ^ d90 ^ d76 ^ d100 ^ d54 ^ d89 ^ d53 ^ c23 ^ d60 ^ + d69 ^ c28 ^ d33 ^ d111 ^ d31 ^ d73 ^ d1 ^ c26 ^ d119 ^ d124 ^ + d32 ^ c2 ^ d71 ^ d3 ^ c24 ^ d120 ^ d81 ^ d85 ^ d25 ^ d40 ^ + c3 ^ c4 ^ c12 ^ d98 ^ d95 ^ d7 ^ d99 ^ d9 ^ d37 ^ d15 ^ + d86; // 70 ins 1 outs level 3 + + assign x2 = d107 ^ c14 ^ d59 ^ d119 ^ d9 ^ d127 ^ d26 ^ d70 ^ d84 ^ + d17 ^ d30 ^ d79 ^ d0 ^ c31 ^ c27 ^ d8 ^ d13 ^ c22 ^ d44 ^ + c1 ^ d110 ^ c0 ^ d55 ^ d52 ^ d75 ^ d72 ^ c3 ^ c2 ^ d96 ^ + d88 ^ d97 ^ d31 ^ d53 ^ d7 ^ d89 ^ d102 ^ d32 ^ d80 ^ d58 ^ + d123 ^ d57 ^ c23 ^ d24 ^ d14 ^ d16 ^ d39 ^ d99 ^ d38 ^ d94 ^ + c28 ^ d124 ^ c11 ^ d37 ^ d98 ^ d67 ^ d118 ^ d64 ^ d68 ^ d85 ^ + d83 ^ d6 ^ d51 ^ d35 ^ d36 ^ d1 ^ d2 ^ d108 ^ c12 ^ d18 ^ + c6 ^ c25 ^ d121; // 72 ins 1 outs level 3 + + assign x1 = d37 ^ d123 ^ d34 ^ d24 ^ c20 ^ d6 ^ d11 ^ d112 ^ d50 ^ + d74 ^ d60 ^ c4 ^ d102 ^ d87 ^ c11 ^ d16 ^ d124 ^ c29 ^ d9 ^ + d47 ^ c19 ^ c5 ^ d65 ^ d17 ^ d62 ^ c9 ^ d101 ^ d81 ^ d110 ^ + c24 ^ d72 ^ d49 ^ c7 ^ c28 ^ d13 ^ d100 ^ d125 ^ d107 ^ d58 ^ + c10 ^ c14 ^ c6 ^ d113 ^ d88 ^ d46 ^ d35 ^ d44 ^ d27 ^ d63 ^ + d12 ^ d115 ^ d1 ^ d51 ^ d64 ^ d38 ^ d0 ^ d103 ^ d56 ^ d79 ^ + d86 ^ d94 ^ d7 ^ d120 ^ d116 ^ c16 ^ d69 ^ d106 ^ d59 ^ d80 ^ + d105 ^ c27 ^ c17 ^ d28 ^ d33 ^ d53; // 75 ins 1 outs level 3 + + assign x0 = c22 ^ c29 ^ d6 ^ d83 ^ d85 ^ d68 ^ d31 ^ c15 ^ d118 ^ + d67 ^ d24 ^ c0 ^ d106 ^ d79 ^ d103 ^ d0 ^ d65 ^ d30 ^ d84 ^ + c14 ^ d60 ^ d10 ^ d95 ^ d66 ^ d63 ^ d73 ^ d82 ^ d87 ^ d98 ^ + d16 ^ c3 ^ c10 ^ c7 ^ d47 ^ c23 ^ d72 ^ d26 ^ d101 ^ d54 ^ + d32 ^ d61 ^ c21 ^ c5 ^ d96 ^ d119 ^ d114 ^ d29 ^ d48 ^ d37 ^ + d94 ^ d99 ^ d123 ^ d53 ^ c20 ^ c1 ^ d28 ^ c17 ^ d116 ^ d12 ^ + d127 ^ d104 ^ c18 ^ d58 ^ d45 ^ d50 ^ d97 ^ d125 ^ c2 ^ d55 ^ + d110 ^ d117 ^ d44 ^ d111 ^ c27 ^ d113 ^ d81 ^ d9 ^ c30 ^ d126 ^ + c31 ^ c8 ^ d25 ^ d34; // 83 ins 1 outs level 3 + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat128_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [127:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x378, x377, x376, x375, x374, x373, x372, + x371, x370, x369, x368, x367, x366, x365, x364, + x363, x362, x361, x360, x359, x358, x357, x356, + x355, x354, x353, x352, x351, x350, x349, x348, + x347, x346, x345, x344, x343, x342, x341, x340, + x339, x338, x337, x336, x335, x334, x333, x332, + x331, x330, x329, x328, x327, x326, x325, x324, + x323, x322, x321, x320, x319, x318, x317, x316, + x315, x314, x313, x312, x311, x310, x309, x308, + x307, x306, x305, x304, x303, x302, x301, x300, + x298, x297, x296, x295, x294, x293, x292, x291, + x290, x288, x287, x286, x285, x284, x283, x282, + x281, x280, x279, x278, x277, x276, x275, x274, + x273, x272, x271, x270, x269, x268, x267, x266, + x265, x264, x263, x262, x261, x260, x259, x258, + x257, x256, x255, x254, x253, x252, x251, x250, + x249, x248, x247, x246, x245, x244, x243, x242, + x241, x240, x239, x238, x237, x236, x235, x234, + x233, x232, x231, x230, x229, x228, x227, x226, + x225, x224, x223, x222, x221, x220, x219, x218, + x217, x216, x215, x214, x213, x212, x211, x210, + x209, x208, x207, x206, x205, x204, x203, x202, + x201, x200, x199, x198, x197, x196, x195, x194, + x193, x192, x191, x190, x189, x188, x187, x186, + x185, x184, x183, x182, x181, x180, x179, x178, + x177, x176, x175, x174, x173, x172, x171, x170, + x169, x168, x167, x166, x165, x164, x163, x162, + x161, x160, x159, x158, x157, x156, x155, x154, + x153, x152, x151, x150, x149, x148, x147, x146, + x145, x144, x143, x142, x141, x140, x139, x138, + x137, x136, x135, x134, x133, x132, x131, x130, + x129, x128, x127, x126, x125, x124, x123, x122, + x121, x120, x119, x118, x117, x116, x115, x114, + x113, x112, x111, x110, x109, x108, x107, x106, + x105, x104, x103, x102, x101, x100, x99, x98, + x97, x96, x95, x94, x93, x92, x91, x90, + x89, x88, x87, x86, x85, x84, x83, x82, + x81, x80, x79, x78, x77, x76, x75, x74, + x73, x72, x71, x70, x69, x68, x67, x66, + x65, x64, x63, x62, x61, x60, x59, x58, + x57, x56, x55, x54, x53, x52, x51, x50, + x49, x48, x47, x46, x45, x44, x43, x42, + x41, x40, x39, x38, x37, x36, x35, x34, + x33, x32, x31, x30, x29, x28, x27, x26, + x25, x24, x23, x22, x21, x20, x19, x18, + x17, x16, x15, x14, x13, x12, x11, x10, + x9, x8, x7, x6, x5, x4, x3, x2, + x1, x0; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95,d96,d97,d98,d99,d100,d101,d102,d103,d104,d105,d106,d107,d108,d109,d110, + d111,d112,d113,d114,d115,d116,d117,d118,d119,d120,d121,d122,d123,d124,d125,d126, + d127; + +assign { d127,d126,d125,d124,d123,d122,d121,d120,d119,d118,d117,d116,d115,d114,d113, + d112,d111,d110,d109,d108,d107,d106,d105,d104,d103,d102,d101,d100,d99,d98,d97, + d96,d95,d94,d93,d92,d91,d90,d89,d88,d87,d86,d85,d84,d83,d82,d81, + d80,d79,d78,d77,d76,d75,d74,d73,d72,d71,d70,d69,d68,d67,d66,d65, + d64,d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [127:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x378i (.out(x378),.a(x371),.b(x60),.c(x65),.d(x55),.e(x47),.f(x376)); // 6 ins 1 outs level 2 + + xor6 x377i (.out(x377),.a(d126),.b(x372),.c(x46),.d(x373),.e(x374),.f(x375)); // 6 ins 1 outs level 2 + + xor6 x376i (.out(x376),.a(c1),.b(c17),.c(d101),.d(d96),.e(d85),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x375i (.out(x375),.a(c14),.b(d26),.c(d72),.d(d97),.e(c0),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x374i (.out(x374),.a(d81),.b(d45),.c(d116),.d(d21),.e(d47),.f(d95)); // 6 ins 1 outs level 1 + + xor6 x373i (.out(x373),.a(d117),.b(d10),.c(d73),.d(d14),.e(d111),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x372i (.out(x372),.a(c30),.b(d31),.c(d6),.d(d67),.e(c7),.f(d58)); // 6 ins 1 outs level 1 + + xor6 x371i (.out(x371),.a(d53),.b(d54),.c(c15),.d(d29),.e(d104),.f(c8)); // 6 ins 1 outs level 1 + + xor6 x370i (.out(x370),.a(d107),.b(x361),.c(x70),.d(x36),.e(x45),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x369i (.out(x369),.a(x51),.b(x38),.c(x43),.d(x40),.e(x46),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x368i (.out(x368),.a(x362),.b(x367),.c(x363),.d(x364),.e(x365),.f(x366)); // 6 ins 1 outs level 2 + + xor6 x367i (.out(x367),.a(c30),.b(c5),.c(d119),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x366i (.out(x366),.a(c6),.b(d37),.c(d9),.d(d35),.e(c14),.f(d102)); // 6 ins 1 outs level 1 + + xor6 x365i (.out(x365),.a(d7),.b(d38),.c(d59),.d(d62),.e(d33),.f(d86)); // 6 ins 1 outs level 1 + + xor6 x364i (.out(x364),.a(d85),.b(d72),.c(d113),.d(d124),.e(d49),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x363i (.out(x363),.a(d17),.b(c16),.c(d88),.d(d80),.e(c19),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x362i (.out(x362),.a(d69),.b(d94),.c(c10),.d(d11),.e(c24),.f(d74)); // 6 ins 1 outs level 1 + + xor6 x361i (.out(x361),.a(d58),.b(d68),.c(c31),.d(d64),.e(d106),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x360i (.out(x360),.a(x66),.b(x43),.c(x60),.d(x34),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x359i (.out(x359),.a(x351),.b(x35),.c(x357),.d(x55),.e(x57),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x358i (.out(x358),.a(x352),.b(x51),.c(x353),.d(x354),.e(x355),.f(x356)); // 6 ins 1 outs level 2 + + xor6 x357i (.out(x357),.a(d18),.b(d121),.c(d36),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x356i (.out(x356),.a(d6),.b(d32),.c(c14),.d(d16),.e(d39),.f(d14)); // 6 ins 1 outs level 1 + + xor6 x355i (.out(x355),.a(d8),.b(d102),.c(d52),.d(d99),.e(c0),.f(d123)); // 6 ins 1 outs level 1 + + xor6 x354i (.out(x354),.a(c3),.b(d1),.c(d94),.d(d96),.e(d37),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x353i (.out(x353),.a(d73),.b(d26),.c(c28),.d(d58),.e(d113),.f(c6)); // 6 ins 1 outs level 1 + + xor6 x352i (.out(x352),.a(c11),.b(d119),.c(d17),.d(d85),.e(d12),.f(d51)); // 6 ins 1 outs level 1 + + xor6 x351i (.out(x351),.a(d2),.b(c27),.c(c25),.d(d107),.e(d70),.f(d64)); // 6 ins 1 outs level 1 + + xor6 x350i (.out(x350),.a(x342),.b(x69),.c(x34),.d(x347),.e(x348),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x349i (.out(x349),.a(x343),.b(d15),.c(x344),.d(x345),.e(x346),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x348i (.out(x348),.a(c7),.b(d101),.c(d19),.d(d81),.e(c24),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x347i (.out(x347),.a(d65),.b(d38),.c(d37),.d(d71),.e(d3),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x346i (.out(x346),.a(d122),.b(c13),.c(d14),.d(d8),.e(d52),.f(d31)); // 6 ins 1 outs level 1 + + xor6 x345i (.out(x345),.a(d18),.b(d99),.c(d68),.d(d73),.e(d109),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x344i (.out(x344),.a(d60),.b(d17),.c(d10),.d(d86),.e(d125),.f(d111)); // 6 ins 1 outs level 1 + + xor6 x343i (.out(x343),.a(d54),.b(d9),.c(d69),.d(d39),.e(d36),.f(d123)); // 6 ins 1 outs level 1 + + xor6 x342i (.out(x342),.a(d115),.b(d2),.c(d89),.d(d45),.e(d103),.f(c2)); // 6 ins 1 outs level 1 + + xor6 x341i (.out(x341),.a(x333),.b(x60),.c(x61),.d(x43),.e(x339),.f(x69)); // 6 ins 1 outs level 2 + + xor6 x340i (.out(x340),.a(x334),.b(x55),.c(x335),.d(x336),.e(x337),.f(x338)); // 6 ins 1 outs level 2 + + xor6 x339i (.out(x339),.a(d39),.b(c10),.c(c18),.d(c13),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x338i (.out(x338),.a(d109),.b(d116),.c(d86),.d(d15),.e(c7),.f(d94)); // 6 ins 1 outs level 1 + + xor6 x337i (.out(x337),.a(d114),.b(d45),.c(d58),.d(d20),.e(d78),.f(d112)); // 6 ins 1 outs level 1 + + xor6 x336i (.out(x336),.a(d46),.b(d65),.c(d4),.d(d47),.e(d106),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x335i (.out(x335),.a(d103),.b(d98),.c(c15),.d(d120),.e(d44),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x334i (.out(x334),.a(d49),.b(d18),.c(c20),.d(c4),.e(d113),.f(d100)); // 6 ins 1 outs level 1 + + xor6 x333i (.out(x333),.a(d6),.b(d73),.c(d117),.d(d80),.e(d54),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x332i (.out(x332),.a(x50),.b(x59),.c(x36),.d(x66),.e(x40),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x331i (.out(x331),.a(x323),.b(x39),.c(x34),.d(x328),.e(x329),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x330i (.out(x330),.a(d39),.b(x324),.c(x67),.d(x325),.e(x326),.f(x327)); // 6 ins 1 outs level 2 + + xor6 x329i (.out(x329),.a(d42),.b(d24),.c(d1),.d(c26),.e(d37),.f(d115)); // 6 ins 1 outs level 1 + + xor6 x328i (.out(x328),.a(d106),.b(d122),.c(d55),.d(c3),.e(d119),.f(c15)); // 6 ins 1 outs level 1 + + xor6 x327i (.out(x327),.a(d48),.b(d74),.c(d92),.d(c23),.e(d71),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x326i (.out(x326),.a(c19),.b(d28),.c(d54),.d(d5),.e(c10),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x325i (.out(x325),.a(d75),.b(d126),.c(c9),.d(d67),.e(d73),.f(d21)); // 6 ins 1 outs level 1 + + xor6 x324i (.out(x324),.a(d7),.b(d127),.c(d10),.d(d64),.e(c16),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x323i (.out(x323),.a(d103),.b(d40),.c(d83),.d(d29),.e(d41),.f(d17)); // 6 ins 1 outs level 1 + + xor6 x322i (.out(x322),.a(x313),.b(x38),.c(x32),.d(x320),.e(x55),.f(x71)); // 6 ins 1 outs level 2 + + xor6 x321i (.out(x321),.a(x314),.b(x315),.c(x316),.d(x317),.e(x318),.f(x319)); // 6 ins 1 outs level 2 + + xor6 x320i (.out(x320),.a(d82),.b(d76),.c(d72),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x319i (.out(x319),.a(c26),.b(d55),.c(d68),.d(c20),.e(d113),.f(d66)); // 6 ins 1 outs level 1 + + xor6 x318i (.out(x318),.a(d54),.b(c21),.c(d108),.d(d115),.e(d7),.f(d14)); // 6 ins 1 outs level 1 + + xor6 x317i (.out(x317),.a(c16),.b(d124),.c(c12),.d(d70),.e(d5),.f(c2)); // 6 ins 1 outs level 1 + + xor6 x316i (.out(x316),.a(d126),.b(c27),.c(d65),.d(c25),.e(d4),.f(d123)); // 6 ins 1 outs level 1 + + xor6 x315i (.out(x315),.a(c31),.b(d1),.c(d38),.d(d71),.e(d25),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x314i (.out(x314),.a(d50),.b(d60),.c(d79),.d(d73),.e(d8),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x313i (.out(x313),.a(d45),.b(d20),.c(d121),.d(d80),.e(d116),.f(d56)); // 6 ins 1 outs level 1 + + xor6 x312i (.out(x312),.a(d59),.b(x303),.c(x59),.d(x46),.e(x40),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x311i (.out(x311),.a(x308),.b(x70),.c(x61),.d(x43),.e(x309),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x310i (.out(x310),.a(d37),.b(x304),.c(x32),.d(x305),.e(x306),.f(x307)); // 6 ins 1 outs level 2 + + xor6 x309i (.out(x309),.a(d108),.b(d7),.c(c13),.d(d3),.e(d52),.f(d5)); // 6 ins 1 outs level 1 + + xor6 x308i (.out(x308),.a(d124),.b(d95),.c(d10),.d(d42),.e(d126),.f(d23)); // 6 ins 1 outs level 1 + + xor6 x307i (.out(x307),.a(d22),.b(d109),.c(c14),.d(d65),.e(c16),.f(d25)); // 6 ins 1 outs level 1 + + xor6 x306i (.out(x306),.a(d21),.b(c2),.c(d11),.d(c9),.e(d15),.f(d111)); // 6 ins 1 outs level 1 + + xor6 x305i (.out(x305),.a(d69),.b(d43),.c(d45),.d(c12),.e(d12),.f(c28)); // 6 ins 1 outs level 1 + + xor6 x304i (.out(x304),.a(d71),.b(d106),.c(d75),.d(d56),.e(d39),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x303i (.out(x303),.a(c10),.b(c17),.c(d32),.d(c20),.e(d57),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x302i (.out(x302),.a(x59),.b(x67),.c(x46),.d(x60),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x301i (.out(x301),.a(d23),.b(x293),.c(d10),.d(x57),.e(x47),.f(x298)); // 6 ins 1 outs level 2 + + xor6 x300i (.out(x300),.a(x294),.b(x68),.c(x40),.d(x295),.e(x296),.f(x297)); // 6 ins 1 outs level 2 + + xor6 x298i (.out(x298),.a(d16),.b(c17),.c(d109),.d(d42),.e(d69),.f(d112)); // 6 ins 1 outs level 1 + + xor6 x297i (.out(x297),.a(d101),.b(d82),.c(d70),.d(d105),.e(d103),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x296i (.out(x296),.a(d76),.b(d66),.c(d12),.d(d68),.e(d37),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x295i (.out(x295),.a(d126),.b(d40),.c(c13),.d(d1),.e(d45),.f(c5)); // 6 ins 1 outs level 1 + + xor6 x294i (.out(x294),.a(d78),.b(d95),.c(d11),.d(c11),.e(c20),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x293i (.out(x293),.a(d88),.b(d113),.c(d3),.d(c10),.e(d85),.f(d93)); // 6 ins 1 outs level 1 + + xor6 x292i (.out(x292),.a(d61),.b(x282),.c(x66),.d(x43),.e(x61),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x291i (.out(x291),.a(d23),.b(x48),.c(x55),.d(x35),.e(x47),.f(c17)); // 6 ins 1 outs level 2 + + xor6 x290i (.out(x290),.a(x283),.b(x284),.c(x285),.d(x286),.e(x287),.f(x288)); // 6 ins 1 outs level 2 + + xor6 x288i (.out(x288),.a(d117),.b(d36),.c(d52),.d(d120),.e(d51),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x287i (.out(x287),.a(d34),.b(d77),.c(d104),.d(d64),.e(d115),.f(d58)); // 6 ins 1 outs level 1 + + xor6 x286i (.out(x286),.a(d33),.b(c8),.c(d76),.d(d35),.e(d88),.f(d63)); // 6 ins 1 outs level 1 + + xor6 x285i (.out(x285),.a(c6),.b(d47),.c(d50),.d(d108),.e(d67),.f(d46)); // 6 ins 1 outs level 1 + + xor6 x284i (.out(x284),.a(d38),.b(d18),.c(d60),.d(d96),.e(c12),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x283i (.out(x283),.a(d89),.b(d1),.c(d106),.d(d28),.e(d66),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x282i (.out(x282),.a(d4),.b(d80),.c(d85),.d(d39),.e(d109),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x281i (.out(x281),.a(x69),.b(x65),.c(x53),.d(x48),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x280i (.out(x280),.a(x277),.b(x57),.c(x36),.d(x32),.e(x278),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x279i (.out(x279),.a(x272),.b(x42),.c(x273),.d(x274),.e(x275),.f(x276)); // 6 ins 1 outs level 2 + + xor6 x278i (.out(x278),.a(d39),.b(d25),.c(d16),.d(d117),.e(d52),.f(d19)); // 6 ins 1 outs level 1 + + xor6 x277i (.out(x277),.a(d120),.b(c11),.c(d102),.d(d2),.e(d106),.f(d94)); // 6 ins 1 outs level 1 + + xor6 x276i (.out(x276),.a(d42),.b(d88),.c(d126),.d(d77),.e(d107),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x275i (.out(x275),.a(d115),.b(d98),.c(d83),.d(d81),.e(d58),.f(d31)); // 6 ins 1 outs level 1 + + xor6 x274i (.out(x274),.a(c30),.b(d36),.c(d101),.d(c20),.e(d80),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x273i (.out(x273),.a(d124),.b(d62),.c(d56),.d(d28),.e(d32),.f(d127)); // 6 ins 1 outs level 1 + + xor6 x272i (.out(x272),.a(d13),.b(c10),.c(d59),.d(d63),.e(d60),.f(d105)); // 6 ins 1 outs level 1 + + xor6 x271i (.out(x271),.a(x262),.b(x37),.c(x35),.d(x269),.e(x43),.f(x65)); // 6 ins 1 outs level 2 + + xor6 x270i (.out(x270),.a(x263),.b(x264),.c(x265),.d(x266),.e(x267),.f(x268)); // 6 ins 1 outs level 2 + + xor6 x269i (.out(x269),.a(d48),.b(d91),.c(d102),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x268i (.out(x268),.a(d117),.b(d36),.c(d94),.d(d82),.e(d31),.f(d7)); // 6 ins 1 outs level 1 + + xor6 x267i (.out(x267),.a(c28),.b(d71),.c(d45),.d(d64),.e(c15),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x266i (.out(x266),.a(d103),.b(d41),.c(d26),.d(d65),.e(d110),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x265i (.out(x265),.a(c29),.b(d16),.c(d40),.d(d125),.e(d83),.f(d4)); // 6 ins 1 outs level 1 + + xor6 x264i (.out(x264),.a(c11),.b(d79),.c(d90),.d(d17),.e(d107),.f(d73)); // 6 ins 1 outs level 1 + + xor6 x263i (.out(x263),.a(d20),.b(d59),.c(d57),.d(d43),.e(d33),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x262i (.out(x262),.a(d74),.b(d54),.c(d69),.d(d25),.e(d51),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x261i (.out(x261),.a(x252),.b(x51),.c(x34),.d(x41),.e(x33),.f(x40)); // 6 ins 1 outs level 2 + + xor6 x260i (.out(x260),.a(x258),.b(x63),.c(x66),.d(x53),.e(x43),.f(x48)); // 6 ins 1 outs level 2 + + xor6 x259i (.out(x259),.a(x253),.b(x67),.c(x254),.d(x255),.e(x256),.f(x257)); // 6 ins 1 outs level 2 + + xor6 x258i (.out(x258),.a(d18),.b(c5),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x257i (.out(x257),.a(d41),.b(c19),.c(d80),.d(d21),.e(d108),.f(d6)); // 6 ins 1 outs level 1 + + xor6 x256i (.out(x256),.a(d54),.b(d127),.c(d75),.d(d95),.e(d0),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x255i (.out(x255),.a(d69),.b(d111),.c(d42),.d(d91),.e(d99),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x254i (.out(x254),.a(d55),.b(d96),.c(d57),.d(c20),.e(c13),.f(d73)); // 6 ins 1 outs level 1 + + xor6 x253i (.out(x253),.a(d107),.b(c15),.c(d2),.d(d44),.e(d49),.f(d122)); // 6 ins 1 outs level 1 + + xor6 x252i (.out(x252),.a(d30),.b(d31),.c(c12),.d(d74),.e(d46),.f(d77)); // 6 ins 1 outs level 1 + + xor6 x251i (.out(x251),.a(x242),.b(x47),.c(x41),.d(x249),.e(x53),.f(x71)); // 6 ins 1 outs level 2 + + xor6 x250i (.out(x250),.a(x243),.b(x244),.c(x245),.d(x246),.e(x247),.f(x248)); // 6 ins 1 outs level 2 + + xor6 x249i (.out(x249),.a(c1),.b(d16),.c(d99),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x248i (.out(x248),.a(d60),.b(d72),.c(d112),.d(d6),.e(d82),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x247i (.out(x247),.a(c6),.b(d103),.c(d21),.d(d87),.e(d74),.f(d7)); // 6 ins 1 outs level 1 + + xor6 x246i (.out(x246),.a(d25),.b(d88),.c(c7),.d(d13),.e(d42),.f(d83)); // 6 ins 1 outs level 1 + + xor6 x245i (.out(x245),.a(d106),.b(d63),.c(d93),.d(d14),.e(d54),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x244i (.out(x244),.a(c21),.b(d48),.c(d111),.d(d10),.e(d19),.f(d31)); // 6 ins 1 outs level 1 + + xor6 x243i (.out(x243),.a(d110),.b(c27),.c(d57),.d(c2),.e(d97),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x242i (.out(x242),.a(d18),.b(d1),.c(c16),.d(d122),.e(d98),.f(c13)); // 6 ins 1 outs level 1 + + xor6 x241i (.out(x241),.a(x232),.b(x60),.c(x68),.d(x45),.e(x32),.f(x42)); // 6 ins 1 outs level 2 + + xor6 x240i (.out(x240),.a(x238),.b(x40),.c(x38),.d(x34),.e(x37),.f(x35)); // 6 ins 1 outs level 2 + + xor6 x239i (.out(x239),.a(x233),.b(x48),.c(x234),.d(x235),.e(x236),.f(x237)); // 6 ins 1 outs level 2 + + xor6 x238i (.out(x238),.a(d23),.b(d73),.c(d7),.d(d121),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x237i (.out(x237),.a(c14),.b(c27),.c(d4),.d(d53),.e(d123),.f(d56)); // 6 ins 1 outs level 1 + + xor6 x236i (.out(x236),.a(d15),.b(c13),.c(c23),.d(d116),.e(d75),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x235i (.out(x235),.a(d49),.b(d79),.c(d2),.d(c25),.e(d48),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x234i (.out(x234),.a(d11),.b(d44),.c(d9),.d(d14),.e(d20),.f(c3)); // 6 ins 1 outs level 1 + + xor6 x233i (.out(x233),.a(d111),.b(d70),.c(d17),.d(d19),.e(c21),.f(d59)); // 6 ins 1 outs level 1 + + xor6 x232i (.out(x232),.a(d107),.b(d119),.c(d82),.d(d52),.e(d65),.f(d103)); // 6 ins 1 outs level 1 + + xor6 x231i (.out(x231),.a(x229),.b(x68),.c(x46),.d(x36),.e(x37),.f(x32)); // 6 ins 1 outs level 2 + + xor6 x230i (.out(x230),.a(x223),.b(x224),.c(x225),.d(x226),.e(x227),.f(x228)); // 6 ins 1 outs level 2 + + xor6 x229i (.out(x229),.a(d18),.b(d45),.c(d53),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x228i (.out(x228),.a(d21),.b(d4),.c(d74),.d(d15),.e(d52),.f(d62)); // 6 ins 1 outs level 1 + + xor6 x227i (.out(x227),.a(d9),.b(d72),.c(c24),.d(d30),.e(d44),.f(d113)); // 6 ins 1 outs level 1 + + xor6 x226i (.out(x226),.a(d64),.b(d105),.c(d116),.d(d94),.e(d20),.f(d78)); // 6 ins 1 outs level 1 + + xor6 x225i (.out(x225),.a(d49),.b(c5),.c(d112),.d(d5),.e(d57),.f(d95)); // 6 ins 1 outs level 1 + + xor6 x224i (.out(x224),.a(d66),.b(d3),.c(d111),.d(d71),.e(d99),.f(d83)); // 6 ins 1 outs level 1 + + xor6 x223i (.out(x223),.a(c4),.b(d12),.c(d100),.d(d90),.e(d1),.f(d24)); // 6 ins 1 outs level 1 + + xor6 x222i (.out(x222),.a(d55),.b(d58),.c(c18),.d(c3),.e(d114),.f(c28)); // 6 ins 1 outs level 1 + + xor6 x221i (.out(x221),.a(x60),.b(x38),.c(x53),.d(x40),.e(x43),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x220i (.out(x220),.a(x50),.b(x42),.c(x214),.d(x67),.e(x55),.f(x33)); // 6 ins 1 outs level 2 + + xor6 x219i (.out(x219),.a(x215),.b(d61),.c(x70),.d(x216),.e(x217),.f(x218)); // 6 ins 1 outs level 2 + + xor6 x218i (.out(x218),.a(d104),.b(d53),.c(c23),.d(d35),.e(c15),.f(c6)); // 6 ins 1 outs level 1 + + xor6 x217i (.out(x217),.a(d57),.b(d32),.c(d90),.d(d50),.e(d107),.f(c16)); // 6 ins 1 outs level 1 + + xor6 x216i (.out(x216),.a(d46),.b(c20),.c(c8),.d(d56),.e(d22),.f(d8)); // 6 ins 1 outs level 1 + + xor6 x215i (.out(x215),.a(d78),.b(d75),.c(d66),.d(d112),.e(c1),.f(d97)); // 6 ins 1 outs level 1 + + xor6 x214i (.out(x214),.a(d49),.b(d96),.c(d77),.d(c19),.e(d89),.f(d106)); // 6 ins 1 outs level 1 + + xor6 x213i (.out(x213),.a(x206),.b(x65),.c(x49),.d(x41),.e(x211),.f(x68)); // 6 ins 1 outs level 2 + + xor6 x212i (.out(x212),.a(x207),.b(x51),.c(x50),.d(x208),.e(x209),.f(x210)); // 6 ins 1 outs level 2 + + xor6 x211i (.out(x211),.a(d36),.b(d18),.c(d23),.d(d30),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x210i (.out(x210),.a(d50),.b(d112),.c(d89),.d(d98),.e(c7),.f(d106)); // 6 ins 1 outs level 1 + + xor6 x209i (.out(x209),.a(c14),.b(d6),.c(d116),.d(d19),.e(d5),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x208i (.out(x208),.a(d69),.b(d80),.c(c4),.d(d55),.e(d13),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x207i (.out(x207),.a(d87),.b(d90),.c(d56),.d(d79),.e(d100),.f(c10)); // 6 ins 1 outs level 1 + + xor6 x206i (.out(x206),.a(c16),.b(d105),.c(d125),.d(c20),.e(d66),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x205i (.out(x205),.a(x47),.b(x32),.c(x39),.d(x45),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x204i (.out(x204),.a(x195),.b(x33),.c(x202),.d(x37),.e(x201),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x203i (.out(x203),.a(x196),.b(x63),.c(x197),.d(x198),.e(x199),.f(x200)); // 6 ins 1 outs level 2 + + xor6 x202i (.out(x202),.a(d23),.b(d126),.c(d24),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x201i (.out(x201),.a(d68),.b(d80),.c(c16),.d(d96),.e(d14),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x200i (.out(x200),.a(d77),.b(c5),.c(d123),.d(c27),.e(d102),.f(d107)); // 6 ins 1 outs level 1 + + xor6 x199i (.out(x199),.a(d101),.b(d53),.c(d86),.d(d76),.e(c7),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x198i (.out(x198),.a(d92),.b(d117),.c(c0),.d(d89),.e(d79),.f(d34)); // 6 ins 1 outs level 1 + + xor6 x197i (.out(x197),.a(d39),.b(d10),.c(d88),.d(d70),.e(d2),.f(d99)); // 6 ins 1 outs level 1 + + xor6 x196i (.out(x196),.a(d26),.b(d46),.c(d118),.d(d59),.e(c30),.f(d7)); // 6 ins 1 outs level 1 + + xor6 x195i (.out(x195),.a(d119),.b(c22),.c(d113),.d(d31),.e(c21),.f(d81)); // 6 ins 1 outs level 1 + + xor6 x194i (.out(x194),.a(x185),.b(x47),.c(x69),.d(x38),.e(x45),.f(x192)); // 6 ins 1 outs level 2 + + xor6 x193i (.out(x193),.a(x186),.b(x187),.c(x188),.d(x189),.e(x190),.f(x191)); // 6 ins 1 outs level 2 + + xor6 x192i (.out(x192),.a(c22),.b(d102),.c(d35),.d(d108),.e(d86),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x191i (.out(x191),.a(d87),.b(d11),.c(d77),.d(d98),.e(d7),.f(d118)); // 6 ins 1 outs level 1 + + xor6 x190i (.out(x190),.a(d94),.b(d92),.c(d16),.d(d20),.e(d22),.f(d27)); // 6 ins 1 outs level 1 + + xor6 x189i (.out(x189),.a(d85),.b(d51),.c(d38),.d(d124),.e(d70),.f(d95)); // 6 ins 1 outs level 1 + + xor6 x188i (.out(x188),.a(d49),.b(d60),.c(d29),.d(c24),.e(d89),.f(d107)); // 6 ins 1 outs level 1 + + xor6 x187i (.out(x187),.a(d71),.b(c12),.c(c19),.d(d106),.e(d24),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x186i (.out(x186),.a(c9),.b(d28),.c(d47),.d(d6),.e(c27),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x185i (.out(x185),.a(c26),.b(d122),.c(d127),.d(d123),.e(d113),.f(d103)); // 6 ins 1 outs level 1 + + xor6 x184i (.out(x184),.a(x176),.b(x69),.c(x36),.d(x182),.e(x40),.f(x46)); // 6 ins 1 outs level 2 + + xor6 x183i (.out(x183),.a(x177),.b(x47),.c(x178),.d(x179),.e(x180),.f(x181)); // 6 ins 1 outs level 2 + + xor6 x182i (.out(x182),.a(c24),.b(d23),.c(d39),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x181i (.out(x181),.a(d106),.b(d124),.c(d30),.d(c26),.e(d109),.f(d41)); // 6 ins 1 outs level 1 + + xor6 x180i (.out(x180),.a(d70),.b(d86),.c(d101),.d(d103),.e(c11),.f(c12)); // 6 ins 1 outs level 1 + + xor6 x179i (.out(x179),.a(d8),.b(d91),.c(d81),.d(d12),.e(d98),.f(d115)); // 6 ins 1 outs level 1 + + xor6 x178i (.out(x178),.a(d72),.b(d36),.c(c5),.d(d32),.e(d26),.f(d108)); // 6 ins 1 outs level 1 + + xor6 x177i (.out(x177),.a(c28),.b(c23),.c(d88),.d(d52),.e(d21),.f(d99)); // 6 ins 1 outs level 1 + + xor6 x176i (.out(x176),.a(d93),.b(d110),.c(d40),.d(c13),.e(d48),.f(c19)); // 6 ins 1 outs level 1 + + xor6 x175i (.out(x175),.a(x48),.b(x63),.c(x53),.d(x39),.e(x40),.f(x42)); // 6 ins 1 outs level 2 + + xor6 x174i (.out(x174),.a(x168),.b(x173),.c(x169),.d(x170),.e(x171),.f(x172)); // 6 ins 1 outs level 2 + + xor6 x173i (.out(x173),.a(d126),.b(d115),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x172i (.out(x172),.a(d9),.b(d75),.c(d62),.d(d83),.e(d17),.f(c3)); // 6 ins 1 outs level 1 + + xor6 x171i (.out(x171),.a(d108),.b(c12),.c(d56),.d(d49),.e(d91),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x170i (.out(x170),.a(d114),.b(d18),.c(d27),.d(c25),.e(d86),.f(c11)); // 6 ins 1 outs level 1 + + xor6 x169i (.out(x169),.a(d24),.b(d110),.c(d34),.d(d104),.e(c8),.f(c18)); // 6 ins 1 outs level 1 + + xor6 x168i (.out(x168),.a(d15),.b(d53),.c(d37),.d(d40),.e(d31),.f(d10)); // 6 ins 1 outs level 1 + + xor6 x167i (.out(x167),.a(c28),.b(d80),.c(d121),.d(d13),.e(d81),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x166i (.out(x166),.a(x38),.b(x65),.c(x70),.d(x42),.e(x46),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x165i (.out(x165),.a(x157),.b(x57),.c(x43),.d(x39),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x164i (.out(x164),.a(x158),.b(x163),.c(x159),.d(x160),.e(x161),.f(x162)); // 6 ins 1 outs level 2 + + xor6 x163i (.out(x163),.a(d36),.b(d23),.c(d27),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x162i (.out(x162),.a(d108),.b(c19),.c(d101),.d(d58),.e(c26),.f(d103)); // 6 ins 1 outs level 1 + + xor6 x161i (.out(x161),.a(d98),.b(d92),.c(d121),.d(c12),.e(d90),.f(c18)); // 6 ins 1 outs level 1 + + xor6 x160i (.out(x160),.a(d11),.b(d52),.c(d43),.d(d44),.e(d74),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x159i (.out(x159),.a(d41),.b(c27),.c(c3),.d(c25),.e(d50),.f(d114)); // 6 ins 1 outs level 1 + + xor6 x158i (.out(x158),.a(d75),.b(d85),.c(d62),.d(d48),.e(d37),.f(d19)); // 6 ins 1 outs level 1 + + xor6 x157i (.out(x157),.a(d93),.b(d18),.c(d123),.d(d96),.e(d45),.f(d109)); // 6 ins 1 outs level 1 + + xor6 x156i (.out(x156),.a(x148),.b(x63),.c(x51),.d(x59),.e(x38),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x155i (.out(x155),.a(x152),.b(x46),.c(x42),.d(x34),.e(x50),.f(x153)); // 6 ins 1 outs level 2 + + xor6 x154i (.out(x154),.a(x149),.b(x48),.c(x35),.d(x32),.e(x150),.f(x151)); // 6 ins 1 outs level 2 + + xor6 x153i (.out(x153),.a(d102),.b(d69),.c(d126),.d(d109),.e(d79),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x152i (.out(x152),.a(d36),.b(d86),.c(d117),.d(d120),.e(d82),.f(d90)); // 6 ins 1 outs level 1 + + xor6 x151i (.out(x151),.a(d127),.b(d39),.c(d17),.d(c26),.e(d72),.f(d42)); // 6 ins 1 outs level 1 + + xor6 x150i (.out(x150),.a(d74),.b(c31),.c(d20),.d(c22),.e(d118),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x149i (.out(x149),.a(d6),.b(d31),.c(c15),.d(d54),.e(d13),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x148i (.out(x148),.a(d101),.b(d84),.c(d71),.d(d62),.e(d105),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x147i (.out(x147),.a(x139),.b(x70),.c(x47),.d(x55),.e(x36),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x146i (.out(x146),.a(x33),.b(x40),.c(x60),.d(x34),.e(x51),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x145i (.out(x145),.a(d39),.b(x140),.c(x141),.d(x142),.e(x143),.f(x144)); // 6 ins 1 outs level 2 + + xor6 x144i (.out(x144),.a(d36),.b(d104),.c(d18),.d(d55),.e(d16),.f(c2)); // 6 ins 1 outs level 1 + + xor6 x143i (.out(x143),.a(d60),.b(c16),.c(d66),.d(d40),.e(d59),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x142i (.out(x142),.a(d14),.b(d10),.c(d17),.d(c5),.e(d98),.f(d112)); // 6 ins 1 outs level 1 + + xor6 x141i (.out(x141),.a(d2),.b(d0),.c(d43),.d(d48),.e(d92),.f(d91)); // 6 ins 1 outs level 1 + + xor6 x140i (.out(x140),.a(d90),.b(c31),.c(d74),.d(d70),.e(d76),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x139i (.out(x139),.a(c8),.b(d57),.c(d81),.d(d7),.e(c14),.f(d86)); // 6 ins 1 outs level 1 + + xor6 x138i (.out(x138),.a(x48),.b(x50),.c(x71),.d(x49),.e(x38),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x137i (.out(x137),.a(x131),.b(x63),.c(x68),.d(x32),.e(x39),.f(x37)); // 6 ins 1 outs level 2 + + xor6 x136i (.out(x136),.a(x132),.b(d18),.c(x33),.d(x133),.e(x134),.f(x135)); // 6 ins 1 outs level 2 + + xor6 x135i (.out(x135),.a(d102),.b(c3),.c(d50),.d(d36),.e(d126),.f(c7)); // 6 ins 1 outs level 1 + + xor6 x134i (.out(x134),.a(d124),.b(d42),.c(d28),.d(c11),.e(d77),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x133i (.out(x133),.a(d93),.b(d37),.c(d56),.d(d86),.e(d106),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x132i (.out(x132),.a(d8),.b(d17),.c(d113),.d(d40),.e(c0),.f(d94)); // 6 ins 1 outs level 1 + + xor6 x131i (.out(x131),.a(c10),.b(c2),.c(d3),.d(d90),.e(c23),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x130i (.out(x130),.a(x123),.b(x37),.c(x50),.d(x57),.e(x45),.f(x33)); // 6 ins 1 outs level 2 + + xor6 x129i (.out(x129),.a(x124),.b(x49),.c(x128),.d(x125),.e(x126),.f(x127)); // 6 ins 1 outs level 2 + + xor6 x128i (.out(x128),.a(d23),.b(d18),.c(d39),.d(d126),.e(d10),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x127i (.out(x127),.a(d20),.b(d78),.c(d105),.d(d44),.e(d80),.f(d98)); // 6 ins 1 outs level 1 + + xor6 x126i (.out(x126),.a(d25),.b(d26),.c(d90),.d(d61),.e(d4),.f(c4)); // 6 ins 1 outs level 1 + + xor6 x125i (.out(x125),.a(d108),.b(c12),.c(d3),.d(d41),.e(c21),.f(d24)); // 6 ins 1 outs level 1 + + xor6 x124i (.out(x124),.a(d62),.b(d50),.c(d8),.d(d35),.e(d100),.f(c14)); // 6 ins 1 outs level 1 + + xor6 x123i (.out(x123),.a(d60),.b(d79),.c(d28),.d(d58),.e(d66),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x122i (.out(x122),.a(x112),.b(d87),.c(x60),.d(x47),.e(x42),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x121i (.out(x121),.a(x118),.b(x37),.c(x33),.d(x119),.e(x43),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x120i (.out(x120),.a(x113),.b(x50),.c(x114),.d(x115),.e(x116),.f(x117)); // 6 ins 1 outs level 2 + + xor6 x119i (.out(x119),.a(c9),.b(c16),.c(d77),.d(d39),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x118i (.out(x118),.a(c17),.b(d55),.c(d89),.d(d60),.e(c27),.f(c5)); // 6 ins 1 outs level 1 + + xor6 x117i (.out(x117),.a(d105),.b(d112),.c(d106),.d(d21),.e(d4),.f(d113)); // 6 ins 1 outs level 1 + + xor6 x116i (.out(x116),.a(d122),.b(d11),.c(d40),.d(d90),.e(d127),.f(d92)); // 6 ins 1 outs level 1 + + xor6 x115i (.out(x115),.a(d123),.b(d45),.c(d20),.d(d74),.e(d78),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x114i (.out(x114),.a(d23),.b(d5),.c(d67),.d(c0),.e(c2),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x113i (.out(x113),.a(d28),.b(c3),.c(d109),.d(d62),.e(d12),.f(d93)); // 6 ins 1 outs level 1 + + xor6 x112i (.out(x112),.a(d53),.b(d85),.c(d42),.d(d119),.e(d25),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x111i (.out(x111),.a(x103),.b(x109),.c(x38),.d(x47),.e(x69),.f(x108)); // 6 ins 1 outs level 2 + + xor6 x110i (.out(x110),.a(x104),.b(x71),.c(x45),.d(x105),.e(x106),.f(x107)); // 6 ins 1 outs level 2 + + xor6 x109i (.out(x109),.a(d44),.b(d26),.c(d41),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x108i (.out(x108),.a(d92),.b(d63),.c(d106),.d(d2),.e(d86),.f(d113)); // 6 ins 1 outs level 1 + + xor6 x107i (.out(x107),.a(d56),.b(c17),.c(d57),.d(d107),.e(d27),.f(d83)); // 6 ins 1 outs level 1 + + xor6 x106i (.out(x106),.a(d91),.b(d30),.c(c26),.d(d99),.e(d122),.f(c19)); // 6 ins 1 outs level 1 + + xor6 x105i (.out(x105),.a(c6),.b(c24),.c(c27),.d(d32),.e(d69),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x104i (.out(x104),.a(d124),.b(d94),.c(d20),.d(d78),.e(c3),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x103i (.out(x103),.a(c13),.b(d46),.c(d123),.d(d110),.e(d43),.f(d61)); // 6 ins 1 outs level 1 + + xor6 x102i (.out(x102),.a(x100),.b(x71),.c(x42),.d(x38),.e(x37),.f(x36)); // 6 ins 1 outs level 2 + + xor6 x101i (.out(x101),.a(x94),.b(x95),.c(x96),.d(x97),.e(x98),.f(x99)); // 6 ins 1 outs level 2 + + xor6 x100i (.out(x100),.a(d23),.b(c24),.c(d79),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x99i (.out(x99),.a(d65),.b(c21),.c(d108),.d(d27),.e(d101),.f(d111)); // 6 ins 1 outs level 1 + + xor6 x98i (.out(x98),.a(c19),.b(d91),.c(d57),.d(d28),.e(d34),.f(d75)); // 6 ins 1 outs level 1 + + xor6 x97i (.out(x97),.a(d7),.b(d114),.c(d50),.d(c17),.e(d122),.f(d60)); // 6 ins 1 outs level 1 + + xor6 x96i (.out(x96),.a(d41),.b(d84),.c(c12),.d(d78),.e(d63),.f(c0)); // 6 ins 1 outs level 1 + + xor6 x95i (.out(x95),.a(d117),.b(d69),.c(d3),.d(d13),.e(d70),.f(c7)); // 6 ins 1 outs level 1 + + xor6 x94i (.out(x94),.a(d80),.b(c30),.c(d112),.d(c18),.e(d94),.f(d31)); // 6 ins 1 outs level 1 + + xor6 x93i (.out(x93),.a(c14),.b(d116),.c(d45),.d(c13),.e(c5),.f(d82)); // 6 ins 1 outs level 1 + + xor6 x92i (.out(x92),.a(x83),.b(d1),.c(x51),.d(x39),.e(x36),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x91i (.out(x91),.a(x89),.b(x65),.c(x47),.d(x42),.e(x48),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x90i (.out(x90),.a(x84),.b(x59),.c(x85),.d(x86),.e(x87),.f(x88)); // 6 ins 1 outs level 2 + + xor6 x89i (.out(x89),.a(d115),.b(d126),.c(d104),.d(c8),.e(d121),.f(d35)); // 6 ins 1 outs level 1 + + xor6 x88i (.out(x88),.a(d63),.b(d30),.c(d108),.d(d109),.e(d64),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x87i (.out(x87),.a(d42),.b(d7),.c(c25),.d(d24),.e(d0),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x86i (.out(x86),.a(d112),.b(d83),.c(d70),.d(d10),.e(d54),.f(d23)); // 6 ins 1 outs level 1 + + xor6 x85i (.out(x85),.a(d22),.b(c3),.c(d102),.d(d53),.e(c28),.f(d116)); // 6 ins 1 outs level 1 + + xor6 x84i (.out(x84),.a(d43),.b(c12),.c(d48),.d(c10),.e(d58),.f(c6)); // 6 ins 1 outs level 1 + + xor6 x83i (.out(x83),.a(d111),.b(d4),.c(d51),.d(c15),.e(d79),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x82i (.out(x82),.a(x48),.b(x42),.c(x63),.d(x55),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x81i (.out(x81),.a(x73),.b(x38),.c(x77),.d(x78),.e(x79),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x80i (.out(x80),.a(x74),.b(x59),.c(x35),.d(x53),.e(x75),.f(x76)); // 6 ins 1 outs level 2 + + xor6 x79i (.out(x79),.a(d94),.b(d82),.c(d126),.d(d23),.e(d62),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x78i (.out(x78),.a(d25),.b(d60),.c(d77),.d(d103),.e(d78),.f(d27)); // 6 ins 1 outs level 1 + + xor6 x77i (.out(x77),.a(d53),.b(d49),.c(d44),.d(d31),.e(c17),.f(d64)); // 6 ins 1 outs level 1 + + xor6 x76i (.out(x76),.a(c22),.b(d24),.c(c26),.d(d36),.e(c20),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x75i (.out(x75),.a(d112),.b(d122),.c(c31),.d(d92),.e(d33),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x74i (.out(x74),.a(d67),.b(c23),.c(c29),.d(d11),.e(d118),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x73i (.out(x73),.a(d116),.b(d28),.c(d57),.d(d125),.e(d26),.f(d66)); // 6 ins 1 outs level 1 + + xor6 x72i (.out(x72),.a(x37),.b(d80),.c(d78),.d(d20),.e(d45),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x71i (.out(x71),.a(d64),.b(d62),.c(d75),.d(d51),.e(d21),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x70i (.out(x70),.a(d110),.b(d103),.c(d105),.d(d0),.e(d47),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x69i (.out(x69),.a(d25),.b(d95),.c(d33),.d(d90),.e(d40),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x68i (.out(x68),.a(d89),.b(d84),.c(d33),.d(d83),.e(d88),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x67i (.out(x67),.a(d107),.b(d17),.c(d79),.d(d4),.e(1'b0),.f(1'b0)); // 4 ins 5 outs level 1 + + xor6 x66i (.out(x66),.a(d53),.b(d63),.c(d44),.d(d13),.e(c31),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x65i (.out(x65),.a(c5),.b(d14),.c(d66),.d(d0),.e(c23),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x64i (.out(x64),.a(d119),.b(c3),.c(x37),.d(d53),.e(d123),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x63i (.out(x63),.a(c7),.b(d15),.c(d105),.d(c6),.e(1'b0),.f(1'b0)); // 4 ins 8 outs level 1 + + xor6 x62i (.out(x62),.a(c21),.b(x35),.c(d25),.d(d44),.e(d103),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x61i (.out(x61),.a(d2),.b(d41),.c(d29),.d(d74),.e(d11),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x60i (.out(x60),.a(d118),.b(d63),.c(c22),.d(d43),.e(1'b0),.f(1'b0)); // 4 ins 9 outs level 1 + + xor6 x59i (.out(x59),.a(c30),.b(d65),.c(d87),.d(d46),.e(d93),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x58i (.out(x58),.a(c3),.b(x39),.c(d21),.d(d106),.e(d37),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x57i (.out(x57),.a(d75),.b(d89),.c(d35),.d(d88),.e(d73),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x56i (.out(x56),.a(c12),.b(d124),.c(d7),.d(d108),.e(x51),.f(1'b0)); // 5 ins 5 outs level 2 + + xor6 x55i (.out(x55),.a(d84),.b(d83),.c(d30),.d(d43),.e(d127),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x54i (.out(x54),.a(d78),.b(d70),.c(d69),.d(x33),.e(d3),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x53i (.out(x53),.a(d86),.b(d102),.c(c14),.d(d109),.e(d5),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x52i (.out(x52),.a(c30),.b(d22),.c(d42),.d(x41),.e(d107),.f(1'b0)); // 5 ins 5 outs level 2 + + xor6 x51i (.out(x51),.a(d85),.b(d101),.c(d27),.d(d56),.e(d1),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x50i (.out(x50),.a(d19),.b(d91),.c(d111),.d(d48),.e(d49),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x49i (.out(x49),.a(d0),.b(d38),.c(d57),.d(d67),.e(d31),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x48i (.out(x48),.a(c21),.b(c19),.c(d81),.d(c0),.e(d71),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x47i (.out(x47),.a(d114),.b(d32),.c(c18),.d(c10),.e(d28),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x46i (.out(x46),.a(d60),.b(d16),.c(d50),.d(d34),.e(d119),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x45i (.out(x45),.a(c11),.b(d6),.c(d81),.d(d93),.e(d112),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x44i (.out(x44),.a(d77),.b(x34),.c(d8),.d(c16),.e(d54),.f(1'b0)); // 5 ins 10 outs level 2 + + xor6 x43i (.out(x43),.a(d79),.b(d24),.c(d98),.d(d68),.e(d12),.f(1'b0)); // 5 ins 12 outs level 1 + + xor6 x42i (.out(x42),.a(d124),.b(d29),.c(c13),.d(d26),.e(d96),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x41i (.out(x41),.a(d95),.b(d47),.c(d52),.d(d117),.e(d92),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x40i (.out(x40),.a(d120),.b(d116),.c(c9),.d(c7),.e(d51),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x39i (.out(x39),.a(d61),.b(d82),.c(d94),.d(d87),.e(d99),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x38i (.out(x38),.a(c28),.b(d115),.c(d98),.d(d100),.e(c4),.f(1'b0)); // 5 ins 12 outs level 1 + + xor6 x37i (.out(x37),.a(d120),.b(c26),.c(d76),.d(c15),.e(d58),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x36i (.out(x36),.a(d125),.b(c27),.c(c29),.d(d123),.e(c20),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x35i (.out(x35),.a(c2),.b(d55),.c(d110),.d(d113),.e(d9),.f(1'b0)); // 5 ins 12 outs level 1 + + xor6 x34i (.out(x34),.a(d97),.b(c1),.c(d80),.d(d59),.e(c23),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x33i (.out(x33),.a(d119),.b(d121),.c(d50),.d(c25),.e(c24),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x32i (.out(x32),.a(d122),.b(c8),.c(d104),.d(c9),.e(c17),.f(1'b0)); // 5 ins 13 outs level 1 + + xor6 x31i (.out(x31),.a(x80),.b(x41),.c(x44),.d(x82),.e(x81),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x30i (.out(x30),.a(x90),.b(x41),.c(x44),.d(x91),.e(x92),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x29i (.out(x29),.a(x93),.b(x45),.c(x52),.d(x62),.e(x101),.f(x102)); // 6 ins 1 outs level 3 + + xor6 x28i (.out(x28),.a(x110),.b(x33),.c(x43),.d(x44),.e(x53),.f(x111)); // 6 ins 1 outs level 3 + + xor6 x27i (.out(x27),.a(x120),.b(x39),.c(x56),.d(x121),.e(x122),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x26i (.out(x26),.a(x129),.b(x35),.c(x32),.d(x52),.e(x44),.f(x130)); // 6 ins 1 outs level 3 + + xor6 x25i (.out(x25),.a(x136),.b(x61),.c(x52),.d(x138),.e(x137),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x24i (.out(x24),.a(x145),.b(x57),.c(x58),.d(x146),.e(x147),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x23i (.out(x23),.a(x154),.b(x57),.c(x70),.d(x156),.e(x155),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x22i (.out(x22),.a(x164),.b(x35),.c(x49),.d(x165),.e(x166),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x21i (.out(x21),.a(x167),.b(x36),.c(x52),.d(x57),.e(x174),.f(x175)); // 6 ins 1 outs level 3 + + xor6 x20i (.out(x20),.a(x183),.b(x32),.c(x35),.d(x39),.e(x67),.f(x184)); // 6 ins 1 outs level 3 + + xor6 x19i (.out(x19),.a(x193),.b(x63),.c(x54),.d(x44),.e(x194),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x18i (.out(x18),.a(x203),.b(x50),.c(x58),.d(x205),.e(x204),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x17i (.out(x17),.a(x72),.b(x32),.c(x33),.d(x62),.e(x212),.f(x213)); // 6 ins 1 outs level 3 + + xor6 x16i (.out(x16),.a(x219),.b(x66),.c(x58),.d(x221),.e(x220),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x15i (.out(x15),.a(x222),.b(x44),.c(x56),.d(x230),.e(x231),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x14i (.out(x14),.a(x239),.b(x39),.c(x44),.d(x240),.e(x241),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x13i (.out(x13),.a(x250),.b(x60),.c(x64),.d(x54),.e(x251),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x12i (.out(x12),.a(x259),.b(x35),.c(x39),.d(x260),.e(x261),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x11i (.out(x11),.a(x270),.b(x63),.c(x32),.d(x56),.e(x54),.f(x271)); // 6 ins 1 outs level 3 + + xor6 x10i (.out(x10),.a(x279),.b(x35),.c(x54),.d(x281),.e(x280),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x9i (.out(x9),.a(x290),.b(x53),.c(x54),.d(x292),.e(x291),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x8i (.out(x8),.a(x300),.b(x49),.c(x44),.d(x302),.e(x301),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x7i (.out(x7),.a(x310),.b(x37),.c(x44),.d(x311),.e(x312),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x6i (.out(x6),.a(x321),.b(x45),.c(x61),.d(x52),.e(x322),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x5i (.out(x5),.a(x330),.b(x45),.c(x54),.d(x331),.e(x332),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x4i (.out(x4),.a(x340),.b(x49),.c(x50),.d(x54),.e(x44),.f(x341)); // 6 ins 1 outs level 3 + + xor6 x3i (.out(x3),.a(x349),.b(x38),.c(x64),.d(x56),.e(x350),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x2i (.out(x2),.a(x358),.b(x56),.c(x49),.d(x360),.e(x359),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x1i (.out(x1),.a(x368),.b(x59),.c(x66),.d(x369),.e(x370),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x0i (.out(x0),.a(x377),.b(x36),.c(x43),.d(x62),.e(x58),.f(x378)); // 6 ins 1 outs level 3 + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat128_any_byte.v b/Advanced Synthesis Cookbook/crc/crc32_dat128_any_byte.v new file mode 100644 index 0000000..9df050d --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat128_any_byte.v @@ -0,0 +1,257 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 06-13-2006 +// +// CRC32 of data with any size from 1 to 16 bytes (e.g. residues) +// the input data ports typically come from the same 128 bit +// register, but this is not a requirement. + +module crc32_dat128_any_byte ( + dat_size, + crc_in, + crc_out, + dat8,dat16,dat24,dat32, + dat40,dat48,dat56,dat64, + dat72,dat80,dat88,dat96, + dat104,dat112,dat120,dat128 +); + +input [3:0] dat_size; +input [31:0] crc_in; + +output [31:0] crc_out; +wire [31:0] crc_out; + +input [7:0] dat8; +input [15:0] dat16; +input [23:0] dat24; +input [31:0] dat32; +input [39:0] dat40; +input [47:0] dat48; +input [55:0] dat56; +input [63:0] dat64; + +input [71:0] dat72; +input [79:0] dat80; +input [87:0] dat88; +input [95:0] dat96; +input [103:0] dat104; +input [111:0] dat112; +input [119:0] dat120; +input [127:0] dat128; + +parameter METHOD = 1; // depth optimal factored +parameter REVERSE_DATA = 0; // Use LSB first + +// internal data signals +wire [7:0] dat8_w; +wire [15:0] dat16_w; +wire [23:0] dat24_w; +wire [31:0] dat32_w; +wire [39:0] dat40_w; +wire [47:0] dat48_w; +wire [55:0] dat56_w; +wire [63:0] dat64_w; + + +wire [71:0] dat72_w; +wire [79:0] dat80_w; +wire [87:0] dat88_w; +wire [95:0] dat96_w; +wire [103:0] dat104_w; +wire [111:0] dat112_w; +wire [119:0] dat120_w; +wire [127:0] dat128_w; + +////////////////////////////////////////////////////// +// Optional reversal of the data bits to do LSB +// of data 1st. No area cost +////////////////////////////////////////////////////// +genvar i; +generate +if (REVERSE_DATA) +begin + for (i=0; i<128; i=i+1) + begin : rev_128 + assign dat128_w[i] = dat128[127-i]; + end + for (i=0; i<120; i=i+1) + begin : rev_120 + assign dat120_w[i] = dat120[119-i]; + end + for (i=0; i<112; i=i+1) + begin : rev_112 + assign dat112_w[i] = dat112[111-i]; + end + for (i=0; i<104; i=i+1) + begin : rev_104 + assign dat104_w[i] = dat104[103-i]; + end + for (i=0; i<96; i=i+1) + begin : rev_96 + assign dat96_w[i] = dat96[95-i]; + end + for (i=0; i<88; i=i+1) + begin : rev_88 + assign dat88_w[i] = dat88[87-i]; + end + for (i=0; i<80; i=i+1) + begin : rev_80 + assign dat80_w[i] = dat80[79-i]; + end + for (i=0; i<72; i=i+1) + begin : rev_72 + assign dat72_w[i] = dat72[71-i]; + end + + + for (i=0; i<64; i=i+1) + begin : rev_64 + assign dat64_w[i] = dat64[63-i]; + end + for (i=0; i<56; i=i+1) + begin : rev_56 + assign dat56_w[i] = dat56[55-i]; + end + for (i=0; i<48; i=i+1) + begin : rev_48 + assign dat48_w[i] = dat48[47-i]; + end + for (i=0; i<40; i=i+1) + begin : rev_40 + assign dat40_w[i] = dat40[39-i]; + end + for (i=0; i<32; i=i+1) + begin : rev_32 + assign dat32_w[i] = dat32[31-i]; + end + for (i=0; i<24; i=i+1) + begin : rev_24 + assign dat24_w[i] = dat24[23-i]; + end + for (i=0; i<16; i=i+1) + begin : rev_16 + assign dat16_w[i] = dat16[15-i]; + end + for (i=0; i<8; i=i+1) + begin : rev_8 + assign dat8_w[i] = dat8[7-i]; + end +end +else +begin + // no reversal - pass along + assign dat128_w = dat128; + assign dat120_w = dat120; + assign dat112_w = dat112; + assign dat104_w = dat104; + assign dat96_w = dat96; + assign dat88_w = dat88; + assign dat80_w = dat80; + assign dat72_w = dat72; + + assign dat64_w = dat64; + assign dat56_w = dat56; + assign dat48_w = dat48; + assign dat40_w = dat40; + assign dat32_w = dat32; + assign dat24_w = dat24; + assign dat16_w = dat16; + assign dat8_w = dat8; +end +endgenerate + +////////////////////////////////////////////////////// +// define a parallel array of CRC units for one to +// sixteen bytes of data. +////////////////////////////////////////////////////// + wire [31:0] co_a,co_b,co_c,co_d,co_e,co_f,co_g,co_h; + wire [31:0] co_i,co_j,co_k,co_l,co_m,co_n,co_o,co_p; + + crc32_dat8 a (.crc_in (crc_in),.crc_out (co_a),.dat_in(dat8_w)); + crc32_dat16 b (.crc_in (crc_in),.crc_out (co_b),.dat_in(dat16_w)); + crc32_dat24 c (.crc_in (crc_in),.crc_out (co_c),.dat_in(dat24_w)); + crc32_dat32 d (.crc_in (crc_in),.crc_out (co_d),.dat_in(dat32_w)); + crc32_dat40 e (.crc_in (crc_in),.crc_out (co_e),.dat_in(dat40_w)); + crc32_dat48 f (.crc_in (crc_in),.crc_out (co_f),.dat_in(dat48_w)); + crc32_dat56 g (.crc_in (crc_in),.crc_out (co_g),.dat_in(dat56_w)); + crc32_dat64 h (.crc_in (crc_in),.crc_out (co_h),.dat_in(dat64_w)); + + crc32_dat72 ii (.crc_in (crc_in),.crc_out (co_i),.dat_in(dat72_w)); + crc32_dat80 j (.crc_in (crc_in),.crc_out (co_j),.dat_in(dat80_w)); + crc32_dat88 k (.crc_in (crc_in),.crc_out (co_k),.dat_in(dat88_w)); + crc32_dat96 l (.crc_in (crc_in),.crc_out (co_l),.dat_in(dat96_w)); + crc32_dat104 m (.crc_in (crc_in),.crc_out (co_m),.dat_in(dat104_w)); + crc32_dat112 n (.crc_in (crc_in),.crc_out (co_n),.dat_in(dat112_w)); + crc32_dat120 o (.crc_in (crc_in),.crc_out (co_o),.dat_in(dat120_w)); + crc32_dat128 p (.crc_in (crc_in),.crc_out (co_p),.dat_in(dat128_w)); + + defparam a .METHOD = METHOD; + defparam b .METHOD = METHOD; + defparam c .METHOD = METHOD; + defparam d .METHOD = METHOD; + defparam e .METHOD = METHOD; + defparam f .METHOD = METHOD; + defparam g .METHOD = METHOD; + defparam h .METHOD = METHOD; + + defparam ii .METHOD = METHOD; + defparam j .METHOD = METHOD; + defparam k .METHOD = METHOD; + defparam l .METHOD = METHOD; + defparam m .METHOD = METHOD; + defparam n .METHOD = METHOD; + defparam o .METHOD = METHOD; + defparam p .METHOD = METHOD; + +////////////////////////////////////////////////////// +// select the CRC output according to data width +////////////////////////////////////////////////////// +generate + for (i=0; i<32;i=i+1) + begin : parmux + wire [15:0] tmp_m; + + assign tmp_m[0] = co_a[i]; + assign tmp_m[1] = co_b[i]; + assign tmp_m[2] = co_c[i]; + assign tmp_m[3] = co_d[i]; + assign tmp_m[4] = co_e[i]; + assign tmp_m[5] = co_f[i]; + assign tmp_m[6] = co_g[i]; + assign tmp_m[7] = co_h[i]; + + assign tmp_m[8] = co_i[i]; + assign tmp_m[9] = co_j[i]; + assign tmp_m[10] = co_k[i]; + assign tmp_m[11] = co_l[i]; + assign tmp_m[12] = co_m[i]; + assign tmp_m[13] = co_n[i]; + assign tmp_m[14] = co_o[i]; + assign tmp_m[15] = co_p[i]; + + assign crc_out[i] = tmp_m[dat_size]; + end +endgenerate + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat16.v b/Advanced Synthesis Cookbook/crc/crc32_dat16.v new file mode 100644 index 0000000..40ecd74 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat16.v @@ -0,0 +1,384 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 16 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 2 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111 +// 01234567890123456789012345678901 0123456789012345 +// +// C00 = ................X.....X..XX.X... X.....X..XX.X... +// C01 = ................XX....XX.X.XXX.. XX....XX.X.XXX.. +// C02 = ................XXX...XXXX...XX. XXX...XXXX...XX. +// C03 = .................XXX...XXXX...XX .XXX...XXXX...XX +// C04 = ................X.XXX.X.X..XX..X X.XXX.X.X..XX..X +// C05 = ................XX.XXXXX..X..X.. XX.XXXXX..X..X.. +// C06 = .................XX.XXXXX..X..X. .XX.XXXXX..X..X. +// C07 = ................X.XX.X.XX.X....X X.XX.X.XX.X....X +// C08 = ................XX.XX...X.XXX... XX.XX...X.XXX... +// C09 = .................XX.XX...X.XXX.. .XX.XX...X.XXX.. +// C10 = ................X.XX.X...X...XX. X.XX.X...X...XX. +// C11 = ................XX.XX....X..X.XX XX.XX....X..X.XX +// C12 = ................XXX.XXX..X..XX.X XXX.XXX..X..XX.X +// C13 = .................XXX.XXX..X..XX. .XXX.XXX..X..XX. +// C14 = ..................XXX.XXX..X..XX ..XXX.XXX..X..XX +// C15 = ...................XXX.XXX..X..X ...XXX.XXX..X..X +// C16 = X...............X...XX..X...XX.. X...XX..X...XX.. +// C17 = .X...............X...XX..X...XX. .X...XX..X...XX. +// C18 = ..X...............X...XX..X...XX ..X...XX..X...XX +// C19 = ...X...............X...XX..X...X ...X...XX..X...X +// C20 = ....X...............X...XX..X... ....X...XX..X... +// C21 = .....X...............X...XX..X.. .....X...XX..X.. +// C22 = ......X.........X........X.XX.X. X........X.XX.X. +// C23 = .......X........XX....X..X...X.X XX....X..X...X.X +// C24 = ........X........XX....X..X...X. .XX....X..X...X. +// C25 = .........X........XX....X..X...X ..XX....X..X...X +// C26 = ..........X.....X..XX.X...X..... X..XX.X...X..... +// C27 = ...........X.....X..XX.X...X.... .X..XX.X...X.... +// C28 = ............X.....X..XX.X...X... ..X..XX.X...X... +// C29 = .............X.....X..XX.X...X.. ...X..XX.X...X.. +// C30 = ..............X.....X..XX.X...X. ....X..XX.X...X. +// C31 = ...............X.....X..XX.X...X .....X..XX.X...X +// +module crc32_dat16 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [15:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat16_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat16_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat16_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [15:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15; + +assign { d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [15:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x15 = d12 ^ c28 ^ d9 ^ c31 ^ d15 ^ c25 ^ d8 ^ c19 ^ c20 ^ + d3 ^ c23 ^ d5 ^ c24 ^ d7 ^ c21 ^ d4; // 16 ins 1 outs + + assign x14 = d11 ^ c27 ^ d8 ^ c30 ^ d14 ^ c24 ^ d7 ^ d15 ^ c22 ^ + d4 ^ c18 ^ c19 ^ d2 ^ c20 ^ d3 ^ c23 ^ d6 ^ c31; // 18 ins 1 outs + + assign x13 = d10 ^ c26 ^ d7 ^ c29 ^ d6 ^ d14 ^ c18 ^ d1 ^ c17 ^ + c19 ^ c22 ^ d5 ^ d2 ^ c21 ^ d3 ^ c30 ^ c23 ^ d13; // 18 ins 1 outs + + assign x12 = d9 ^ d0 ^ c22 ^ c25 ^ d6 ^ c28 ^ d5 ^ d13 ^ c17 ^ + d12 ^ c16 ^ c18 ^ c31 ^ d15 ^ d1 ^ c20 ^ d2 ^ c21 ^ d4 ^ + c29; // 20 ins 1 outs + + assign x11 = d1 ^ c31 ^ c16 ^ c25 ^ c17 ^ c30 ^ d14 ^ d12 ^ d15 ^ + d0 ^ d4 ^ c19 ^ c20 ^ d3 ^ c28 ^ d9; // 16 ins 1 outs + + assign x10 = d3 ^ c21 ^ c25 ^ d0 ^ c29 ^ d13 ^ d9 ^ d14 ^ c19 ^ + d2 ^ c18 ^ c30 ^ c16 ^ d5; // 14 ins 1 outs + + assign x9 = d2 ^ c20 ^ c28 ^ d12 ^ d5 ^ d13 ^ c18 ^ d1 ^ c27 ^ + c29 ^ c21 ^ d4 ^ d11 ^ c25 ^ c17 ^ d9; // 16 ins 1 outs + + assign x8 = c27 ^ d11 ^ d4 ^ d0 ^ c26 ^ c17 ^ d12 ^ c19 ^ d10 ^ + c28 ^ c20 ^ d3 ^ c16 ^ c24 ^ d1 ^ d8; // 16 ins 1 outs + + assign x7 = c26 ^ c31 ^ d15 ^ d0 ^ d8 ^ d10 ^ c16 ^ c18 ^ d5 ^ + c21 ^ d3 ^ c19 ^ d2 ^ c23 ^ c24 ^ d7; // 16 ins 1 outs + + assign x6 = d8 ^ c30 ^ d14 ^ c24 ^ d7 ^ c27 ^ d11 ^ c17 ^ c20 ^ + d2 ^ c18 ^ d1 ^ d5 ^ c22 ^ c21 ^ d4 ^ c23 ^ d6; // 18 ins 1 outs + + assign x5 = d7 ^ c29 ^ d13 ^ c23 ^ d6 ^ c22 ^ c19 ^ d1 ^ c17 ^ + d0 ^ d4 ^ c21 ^ c26 ^ d10 ^ c20 ^ d3 ^ c16 ^ d5; // 18 ins 1 outs + + assign x4 = d0 ^ d6 ^ c28 ^ d11 ^ c24 ^ c18 ^ d12 ^ c16 ^ c31 ^ + d15 ^ c22 ^ d3 ^ c20 ^ d8 ^ c27 ^ c19 ^ d2 ^ d4; // 18 ins 1 outs + + assign x3 = d10 ^ c23 ^ c17 ^ d8 ^ c30 ^ d14 ^ c24 ^ d2 ^ c19 ^ + d7 ^ c26 ^ c18 ^ d1 ^ d9 ^ c31 ^ d15 ^ c25 ^ d3; // 18 ins 1 outs + + assign x2 = d9 ^ d0 ^ c16 ^ d7 ^ c29 ^ d13 ^ c23 ^ d1 ^ c18 ^ + d6 ^ c25 ^ c17 ^ c22 ^ d8 ^ c30 ^ d14 ^ c24 ^ d2; // 18 ins 1 outs + + assign x1 = d9 ^ d0 ^ c22 ^ c25 ^ d6 ^ c28 ^ d12 ^ c17 ^ d11 ^ + c27 ^ c16 ^ d7 ^ c29 ^ d13 ^ c23 ^ d1; // 16 ins 1 outs + + assign x0 = c16 ^ d10 ^ c26 ^ d9 ^ d0 ^ c22 ^ c25 ^ d6 ^ c28 ^ + d12; // 10 ins 1 outs + + assign x31 = c21 ^ d11 ^ c27 ^ d5 ^ c24 ^ c31 ^ d15 ^ d9 ^ c25 ^ + d8 ^ c15; // 11 ins 1 outs + + assign x30 = c20 ^ d10 ^ c26 ^ d4 ^ c23 ^ c30 ^ d14 ^ d8 ^ c24 ^ + d7 ^ c14; // 11 ins 1 outs + + assign x29 = c25 ^ d3 ^ c22 ^ d9 ^ c29 ^ d13 ^ c19 ^ d7 ^ c23 ^ + d6 ^ c13; // 11 ins 1 outs + + assign x28 = c24 ^ d8 ^ c28 ^ d12 ^ c18 ^ d5 ^ c22 ^ d6 ^ c21 ^ + d2 ^ c12; // 11 ins 1 outs + + assign x27 = c23 ^ d7 ^ c27 ^ d11 ^ c17 ^ d5 ^ c20 ^ d1 ^ c21 ^ + d4 ^ c11; // 11 ins 1 outs + + assign x26 = c16 ^ d6 ^ c22 ^ d4 ^ c19 ^ d0 ^ c20 ^ d3 ^ c26 ^ + d10 ^ c10; // 11 ins 1 outs + + assign x25 = d11 ^ c24 ^ c18 ^ c31 ^ c19 ^ d2 ^ d8 ^ c27 ^ d15 ^ + d3 ^ c9; // 11 ins 1 outs + + assign x24 = d10 ^ c23 ^ c17 ^ c30 ^ c18 ^ d1 ^ d7 ^ c26 ^ d14 ^ + d2 ^ c8; // 11 ins 1 outs + + assign x23 = d9 ^ d0 ^ c16 ^ c29 ^ c17 ^ d6 ^ c25 ^ c22 ^ d13 ^ + d1 ^ c31 ^ d15 ^ c7; // 13 ins 1 outs + + assign x22 = c25 ^ c16 ^ c27 ^ d11 ^ d0 ^ d12 ^ c30 ^ d14 ^ c28 ^ + d9 ^ c6; // 11 ins 1 outs + + assign x21 = d5 ^ c25 ^ c21 ^ d9 ^ c26 ^ d10 ^ c29 ^ d13 ^ c5; // 9 ins 1 outs + + assign x20 = d4 ^ c24 ^ c20 ^ d9 ^ c28 ^ d12 ^ c25 ^ d8 ^ c4; // 9 ins 1 outs + + assign x19 = c31 ^ d3 ^ c23 ^ c19 ^ c27 ^ d11 ^ c24 ^ d7 ^ d15 ^ + d8 ^ c3; // 11 ins 1 outs + + assign x18 = c30 ^ d2 ^ c22 ^ d15 ^ c31 ^ c18 ^ c26 ^ d10 ^ c23 ^ + d6 ^ d14 ^ d7 ^ c2; // 13 ins 1 outs + + assign x17 = c29 ^ d14 ^ c30 ^ c17 ^ d5 ^ c22 ^ d9 ^ c21 ^ d1 ^ + d13 ^ d6 ^ c25 ^ c1; // 13 ins 1 outs + + assign x16 = c28 ^ d13 ^ c29 ^ c16 ^ d5 ^ d0 ^ c20 ^ d12 ^ c21 ^ + d4 ^ c24 ^ d8 ^ c0; // 13 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat16_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [15:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x64, x63, x62, x61, x60, x59, x58, + x57, x55, x54, x53, x52, x51, x50, x49, + x48, x47, x46, x45, x44, x43, x42, x41, + x40, x39, x38, x37, x36, x35, x34, x33, + x32, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15; + +assign { d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [15:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x64i (.out(x64),.a(d6),.b(c22),.c(d11),.d(c27),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x63i (.out(x63),.a(d7),.b(c23),.c(c18),.d(d2),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x62i (.out(x62),.a(c26),.b(c17),.c(c27),.d(d11),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x61i (.out(x61),.a(c17),.b(c18),.c(d2),.d(d1),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x60i (.out(x60),.a(c16),.b(d5),.c(d0),.d(c21),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x59i (.out(x59),.a(d9),.b(c25),.c(c21),.d(d5),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x58i (.out(x58),.a(c22),.b(d6),.c(c17),.d(d1),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x57i (.out(x57),.a(c2),.b(c31),.c(d7),.d(c23),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x55i (.out(x55),.a(c22),.b(c7),.c(c16),.d(d6),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x54i (.out(x54),.a(d6),.b(d9),.c(d10),.d(c22),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x53i (.out(x53),.a(c16),.b(c24),.c(d0),.d(d8),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x52i (.out(x52),.a(c30),.b(c27),.c(d11),.d(d14),.e(d4),.f(1'b0)); // 5 ins 1 outs + + xor6 x51i (.out(x51),.a(c25),.b(d14),.c(c30),.d(d9),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x50i (.out(x50),.a(c18),.b(d2),.c(d3),.d(c19),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x49i (.out(x49),.a(d4),.b(c20),.c(c22),.d(d6),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x48i (.out(x48),.a(d5),.b(c12),.c(d8),.d(c24),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x47i (.out(x47),.a(d4),.b(d8),.c(c20),.d(c24),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x46i (.out(x46),.a(d1),.b(d8),.c(d10),.d(c24),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x45i (.out(x45),.a(d3),.b(c29),.c(d5),.d(c21),.e(d13),.f(1'b0)); // 5 ins 2 outs + + xor6 x44i (.out(x44),.a(c30),.b(d14),.c(d5),.d(c21),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x43i (.out(x43),.a(d1),.b(c17),.c(d15),.d(c31),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x42i (.out(x42),.a(c29),.b(d10),.c(d5),.d(c21),.e(c26),.f(1'b0)); // 5 ins 3 outs + + xor6 x41i (.out(x41),.a(c27),.b(d11),.c(d5),.d(c21),.e(1'b0),.f(1'b0)); // 4 ins 4 outs + + xor6 x40i (.out(x40),.a(c19),.b(c23),.c(d7),.d(d3),.e(1'b0),.f(1'b0)); // 4 ins 4 outs + + xor6 x39i (.out(x39),.a(d12),.b(c28),.c(d0),.d(c16),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x38i (.out(x38),.a(d10),.b(c26),.c(c30),.d(d14),.e(1'b0),.f(1'b0)); // 4 ins 5 outs + + xor6 x37i (.out(x37),.a(c19),.b(d0),.c(d3),.d(c16),.e(1'b0),.f(1'b0)); // 4 ins 7 outs + + xor6 x36i (.out(x36),.a(c24),.b(c31),.c(d15),.d(d8),.e(1'b0),.f(1'b0)); // 4 ins 8 outs + + xor6 x35i (.out(x35),.a(c20),.b(d4),.c(d12),.d(c28),.e(1'b0),.f(1'b0)); // 4 ins 8 outs + + xor6 x34i (.out(x34),.a(d7),.b(c23),.c(d1),.d(c17),.e(1'b0),.f(1'b0)); // 4 ins 8 outs + + xor6 x33i (.out(x33),.a(c22),.b(c18),.c(d2),.d(d6),.e(1'b0),.f(1'b0)); // 4 ins 8 outs + + xor6 x32i (.out(x32),.a(c29),.b(d9),.c(c25),.d(d13),.e(1'b0),.f(1'b0)); // 4 ins 9 outs + + xor6 x15i (.out(x15),.a(x59),.b(x35),.c(x36),.d(x40),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x14i (.out(x14),.a(x52),.b(c20),.c(x33),.d(x36),.e(x40),.f(1'b0)); // 5 ins 1 outs + + xor6 x13i (.out(x13),.a(c19),.b(x33),.c(x34),.d(x45),.e(x38),.f(1'b0)); // 5 ins 1 outs + + xor6 x12i (.out(x12),.a(x60),.b(x33),.c(x35),.d(x43),.e(x32),.f(1'b0)); // 5 ins 1 outs + + xor6 x11i (.out(x11),.a(x51),.b(x35),.c(x37),.d(x43),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x10i (.out(x10),.a(c18),.b(x44),.c(d2),.d(x37),.e(x32),.f(1'b0)); // 5 ins 1 outs + + xor6 x9i (.out(x9),.a(x61),.b(x41),.c(x35),.d(x32),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x8i (.out(x8),.a(x46),.b(x35),.c(x37),.d(x62),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x7i (.out(x7),.a(c29),.b(x63),.c(x37),.d(x42),.e(x36),.f(1'b0)); // 5 ins 1 outs + + xor6 x6i (.out(x6),.a(x33),.b(x34),.c(c30),.d(d14),.e(x47),.f(x41)); // 6 ins 1 outs + + xor6 x5i (.out(x5),.a(x49),.b(d13),.c(x37),.d(x34),.e(x42),.f(1'b0)); // 5 ins 1 outs + + xor6 x4i (.out(x4),.a(x33),.b(x35),.c(x36),.d(d11),.e(x37),.f(c27)); // 6 ins 1 outs + + xor6 x3i (.out(x3),.a(d9),.b(x36),.c(c25),.d(x50),.e(x38),.f(x34)); // 6 ins 1 outs + + xor6 x2i (.out(x2),.a(x33),.b(x32),.c(x34),.d(x53),.e(c30),.f(d14)); // 6 ins 1 outs + + xor6 x1i (.out(x1),.a(x64),.b(x34),.c(x39),.d(x32),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x0i (.out(x0),.a(x54),.b(c25),.c(c26),.d(x39),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x31i (.out(x31),.a(x36),.b(x41),.c(d9),.d(c25),.e(c15),.f(1'b0)); // 5 ins 1 outs + + xor6 x30i (.out(x30),.a(d7),.b(c23),.c(x47),.d(x38),.e(c14),.f(1'b0)); // 5 ins 1 outs + + xor6 x29i (.out(x29),.a(d6),.b(x32),.c(x40),.d(c22),.e(c13),.f(1'b0)); // 5 ins 1 outs + + xor6 x28i (.out(x28),.a(c21),.b(d12),.c(c28),.d(x48),.e(x33),.f(1'b0)); // 5 ins 1 outs + + xor6 x27i (.out(x27),.a(x34),.b(d4),.c(x41),.d(c20),.e(c11),.f(1'b0)); // 5 ins 1 outs + + xor6 x26i (.out(x26),.a(d10),.b(c26),.c(x49),.d(x37),.e(c10),.f(1'b0)); // 5 ins 1 outs + + xor6 x25i (.out(x25),.a(d11),.b(c27),.c(x36),.d(x50),.e(c9),.f(1'b0)); // 5 ins 1 outs + + xor6 x24i (.out(x24),.a(c18),.b(d2),.c(x34),.d(x38),.e(c8),.f(1'b0)); // 5 ins 1 outs + + xor6 x23i (.out(x23),.a(d0),.b(x55),.c(x32),.d(x43),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x22i (.out(x22),.a(d11),.b(c27),.c(x51),.d(x39),.e(c6),.f(1'b0)); // 5 ins 1 outs + + xor6 x21i (.out(x21),.a(c29),.b(x32),.c(x42),.d(c5),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x20i (.out(x20),.a(c24),.b(c25),.c(d9),.d(d8),.e(x35),.f(c4)); // 6 ins 1 outs + + xor6 x19i (.out(x19),.a(d11),.b(c27),.c(x40),.d(x36),.e(c3),.f(1'b0)); // 5 ins 1 outs + + xor6 x18i (.out(x18),.a(x57),.b(d15),.c(x33),.d(x38),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x17i (.out(x17),.a(x58),.b(x32),.c(x44),.d(c1),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x16i (.out(x16),.a(x35),.b(d3),.c(x53),.d(x45),.e(c0),.f(1'b0)); // 5 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat24.v b/Advanced Synthesis Cookbook/crc/crc32_dat24.v new file mode 100644 index 0000000..85132f1 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat24.v @@ -0,0 +1,451 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 24 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 2 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111112222 +// 01234567890123456789012345678901 012345678901234567890123 +// +// C00 = ........X.....X..XX.X...X....... X.....X..XX.X...X....... +// C01 = ........XX....XX.X.XXX..XX...... XX....XX.X.XXX..XX...... +// C02 = ........XXX...XXXX...XX.XXX..... XXX...XXXX...XX.XXX..... +// C03 = .........XXX...XXXX...XX.XXX.... .XXX...XXXX...XX.XXX.... +// C04 = ........X.XXX.X.X..XX..X..XXX... X.XXX.X.X..XX..X..XXX... +// C05 = ........XX.XXXXX..X..X.....XXX.. XX.XXXXX..X..X.....XXX.. +// C06 = .........XX.XXXXX..X..X.....XXX. .XX.XXXXX..X..X.....XXX. +// C07 = ........X.XX.X.XX.X....XX....XXX X.XX.X.XX.X....XX....XXX +// C08 = ........XX.XX...X.XXX....X....XX XX.XX...X.XXX....X....XX +// C09 = .........XX.XX...X.XXX....X....X .XX.XX...X.XXX....X....X +// C10 = ........X.XX.X...X...XX.X..X.... X.XX.X...X...XX.X..X.... +// C11 = ........XX.XX....X..X.XXXX..X... XX.XX....X..X.XXXX..X... +// C12 = ........XXX.XXX..X..XX.X.XX..X.. XXX.XXX..X..XX.X.XX..X.. +// C13 = .........XXX.XXX..X..XX.X.XX..X. .XXX.XXX..X..XX.X.XX..X. +// C14 = ..........XXX.XXX..X..XX.X.XX..X ..XXX.XXX..X..XX.X.XX..X +// C15 = ...........XXX.XXX..X..XX.X.XX.. ...XXX.XXX..X..XX.X.XX.. +// C16 = ........X...XX..X...XX...X.X.XX. X...XX..X...XX...X.X.XX. +// C17 = .........X...XX..X...XX...X.X.XX .X...XX..X...XX...X.X.XX +// C18 = ..........X...XX..X...XX...X.X.X ..X...XX..X...XX...X.X.X +// C19 = ...........X...XX..X...XX...X.X. ...X...XX..X...XX...X.X. +// C20 = ............X...XX..X...XX...X.X ....X...XX..X...XX...X.X +// C21 = .............X...XX..X...XX...X. .....X...XX..X...XX...X. +// C22 = ........X........X.XX.X.X.XX...X X........X.XX.X.X.XX...X +// C23 = ........XX....X..X...X.XXX.XX... XX....X..X...X.XXX.XX... +// C24 = X........XX....X..X...X.XXX.XX.. .XX....X..X...X.XXX.XX.. +// C25 = .X........XX....X..X...X.XXX.XX. ..XX....X..X...X.XXX.XX. +// C26 = ..X.....X..XX.X...X.......XXX.XX X..XX.X...X.......XXX.XX +// C27 = ...X.....X..XX.X...X.......XXX.X .X..XX.X...X.......XXX.X +// C28 = ....X.....X..XX.X...X.......XXX. ..X..XX.X...X.......XXX. +// C29 = .....X.....X..XX.X...X.......XXX ...X..XX.X...X.......XXX +// C30 = ......X.....X..XX.X...X.......XX ....X..XX.X...X.......XX +// C31 = .......X.....X..XX.X...X.......X .....X..XX.X...X.......X +// +module crc32_dat24 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [23:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat24_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat24_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat24_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [23:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23; + +assign { d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [23:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x23 = d0 ^ c14 ^ c27 ^ d20 ^ c28 ^ d1 ^ c21 ^ d13 ^ d17 ^ + d9 ^ c8 ^ c9 ^ d16 ^ c17 ^ c23 ^ c25 ^ c24 ^ d15 ^ d19 ^ + d6; // 20 ins 1 outs + + assign x22 = c26 ^ d19 ^ c27 ^ d0 ^ c20 ^ d12 ^ d16 ^ c17 ^ d23 ^ + c22 ^ c24 ^ d9 ^ c19 ^ c8 ^ d18 ^ d11 ^ d14 ^ c31; // 18 ins 1 outs + + assign x21 = c25 ^ d18 ^ c26 ^ c13 ^ d22 ^ c18 ^ d9 ^ c17 ^ d17 ^ + d13 ^ d10 ^ c21 ^ c30 ^ d5; // 14 ins 1 outs + + assign x20 = d16 ^ c24 ^ d17 ^ d8 ^ c12 ^ c25 ^ d21 ^ c17 ^ c16 ^ + c31 ^ d23 ^ d9 ^ c20 ^ d12 ^ c29 ^ d4; // 16 ins 1 outs + + assign x19 = d15 ^ c24 ^ c11 ^ d16 ^ c16 ^ d7 ^ c15 ^ c30 ^ d22 ^ + d20 ^ d3 ^ d8 ^ c19 ^ d11 ^ c28 ^ c23; // 16 ins 1 outs + + assign x18 = c23 ^ c10 ^ d6 ^ d23 ^ d19 ^ d15 ^ c29 ^ d21 ^ c15 ^ + c14 ^ d10 ^ c18 ^ d2 ^ d14 ^ c22 ^ d7 ^ c27 ^ c31; // 18 ins 1 outs + + assign x17 = d13 ^ d1 ^ c22 ^ c9 ^ d5 ^ d22 ^ c30 ^ c26 ^ d18 ^ + c28 ^ d20 ^ d14 ^ d9 ^ c31 ^ c13 ^ d23 ^ c21 ^ c14 ^ c17 ^ + d6; // 20 ins 1 outs + + assign x16 = d12 ^ c20 ^ c12 ^ d0 ^ c8 ^ d21 ^ c29 ^ d17 ^ c25 ^ + c27 ^ d19 ^ c21 ^ d8 ^ c30 ^ c13 ^ d22 ^ d13 ^ d4 ^ c16 ^ + d5; // 20 ins 1 outs + + assign x15 = c11 ^ d15 ^ c23 ^ d20 ^ c28 ^ c26 ^ c29 ^ d12 ^ d8 ^ + c15 ^ d18 ^ c16 ^ c20 ^ d5 ^ d7 ^ c17 ^ d21 ^ c12 ^ d3 ^ + d9 ^ c13 ^ d4 ^ c24 ^ d16; // 24 ins 1 outs + + assign x14 = d8 ^ c22 ^ d14 ^ c16 ^ d19 ^ c27 ^ d15 ^ c10 ^ c15 ^ + c28 ^ d20 ^ c31 ^ c14 ^ d23 ^ d17 ^ d11 ^ c12 ^ c19 ^ c11 ^ + c25 ^ d2 ^ d6 ^ d4 ^ d3 ^ d7 ^ c23; // 26 ins 1 outs + + assign x13 = d1 ^ c15 ^ d13 ^ c21 ^ c10 ^ d18 ^ d7 ^ c14 ^ c27 ^ + d19 ^ c30 ^ c13 ^ c18 ^ d3 ^ d10 ^ c9 ^ c26 ^ d22 ^ c11 ^ + d16 ^ c24 ^ d5 ^ d2 ^ d14 ^ d6 ^ c22; // 26 ins 1 outs + + assign x12 = d0 ^ c14 ^ d12 ^ c25 ^ d9 ^ c17 ^ c9 ^ c13 ^ c8 ^ + c26 ^ c29 ^ c12 ^ d2 ^ d18 ^ d21 ^ d1 ^ d15 ^ c23 ^ c10 ^ + d5 ^ d4 ^ d6 ^ c21 ^ c20 ^ d13 ^ d17; // 26 ins 1 outs + + assign x11 = c22 ^ d17 ^ d9 ^ c12 ^ c11 ^ c28 ^ c25 ^ c8 ^ d1 ^ + d20 ^ d0 ^ d3 ^ c9 ^ d4 ^ d14 ^ c23 ^ c20 ^ d12 ^ d15 ^ + d16 ^ c17 ^ c24; // 22 ins 1 outs + + assign x10 = c11 ^ c10 ^ d16 ^ c21 ^ c17 ^ c24 ^ d14 ^ d9 ^ d0 ^ + c27 ^ d19 ^ d5 ^ d2 ^ c8 ^ c13 ^ d13 ^ d3 ^ c22; // 18 ins 1 outs + + assign x9 = c26 ^ c19 ^ d5 ^ d23 ^ c31 ^ d1 ^ d11 ^ c20 ^ c9 ^ + c13 ^ d12 ^ d18 ^ c17 ^ c12 ^ d2 ^ c10 ^ d4 ^ c21 ^ d13 ^ + d9; // 20 ins 1 outs + + assign x8 = c18 ^ d0 ^ d4 ^ d22 ^ c30 ^ d10 ^ c8 ^ d23 ^ c31 ^ + d1 ^ d17 ^ c25 ^ c12 ^ c19 ^ c16 ^ c11 ^ d11 ^ d8 ^ d3 ^ + c9 ^ c20 ^ d12; // 22 ins 1 outs + + assign x7 = d15 ^ c23 ^ d3 ^ d8 ^ d21 ^ d16 ^ d5 ^ d22 ^ c30 ^ + c24 ^ c11 ^ c10 ^ c18 ^ d0 ^ d10 ^ c15 ^ c13 ^ c8 ^ c29 ^ + d23 ^ c31 ^ d2 ^ c16 ^ d7; // 24 ins 1 outs + + assign x6 = c22 ^ d14 ^ c16 ^ d2 ^ c12 ^ d7 ^ c19 ^ d4 ^ d8 ^ + d21 ^ c29 ^ c15 ^ d1 ^ c10 ^ d11 ^ d5 ^ c14 ^ c9 ^ c28 ^ + d20 ^ d22 ^ c30 ^ c13 ^ d6; // 24 ins 1 outs + + assign x5 = d13 ^ c21 ^ c11 ^ c14 ^ d6 ^ d3 ^ c12 ^ c18 ^ d7 ^ + d20 ^ c28 ^ d0 ^ d10 ^ d4 ^ c13 ^ c8 ^ c27 ^ d19 ^ c15 ^ + d21 ^ c29 ^ d1 ^ c9 ^ d5; // 24 ins 1 outs + + assign x4 = d12 ^ c20 ^ c10 ^ d18 ^ c16 ^ d2 ^ c11 ^ c14 ^ d6 ^ + d19 ^ c27 ^ d15 ^ c23 ^ d3 ^ c12 ^ c8 ^ c19 ^ d8 ^ d11 ^ + c26 ^ d0 ^ d20 ^ c28 ^ d4; // 24 ins 1 outs + + assign x3 = d1 ^ c15 ^ d7 ^ c25 ^ c10 ^ d18 ^ c26 ^ d8 ^ c22 ^ + d14 ^ c16 ^ d2 ^ c11 ^ d10 ^ d17 ^ d9 ^ c9 ^ c18 ^ c17 ^ + d19 ^ c27 ^ d15 ^ c23 ^ d3; // 24 ins 1 outs + + assign x2 = d0 ^ c14 ^ d6 ^ c24 ^ d1 ^ c15 ^ d7 ^ c25 ^ d9 ^ + d17 ^ d13 ^ c21 ^ c10 ^ d18 ^ c26 ^ c9 ^ d16 ^ d8 ^ c8 ^ + c17 ^ c22 ^ d14 ^ c16 ^ d2; // 24 ins 1 outs + + assign x1 = d0 ^ c14 ^ d6 ^ c24 ^ c17 ^ d16 ^ d12 ^ c20 ^ c9 ^ + d11 ^ d1 ^ c15 ^ c8 ^ c19 ^ d7 ^ c25 ^ d9 ^ d17 ^ d13 ^ + c21; // 20 ins 1 outs + + assign x0 = c8 ^ d10 ^ d0 ^ c14 ^ d9 ^ c18 ^ d6 ^ c24 ^ c17 ^ + d16 ^ d12 ^ c20; // 12 ins 1 outs + + assign x31 = c19 ^ d8 ^ c16 ^ d15 ^ d5 ^ c17 ^ c13 ^ d11 ^ c23 ^ + d9 ^ c31 ^ d23 ^ c7; // 13 ins 1 outs + + assign x30 = d4 ^ d23 ^ c31 ^ d10 ^ c18 ^ c16 ^ d7 ^ c22 ^ d14 ^ + d8 ^ c12 ^ c30 ^ d22 ^ c15 ^ c6; // 15 ins 1 outs + + assign x29 = d3 ^ d22 ^ c30 ^ d9 ^ c17 ^ c14 ^ d13 ^ d7 ^ c15 ^ + c11 ^ c21 ^ c31 ^ c29 ^ d21 ^ d23 ^ d6 ^ c5; // 17 ins 1 outs + + assign x28 = c16 ^ d2 ^ d8 ^ d21 ^ d12 ^ c20 ^ c13 ^ c10 ^ d6 ^ + c29 ^ c30 ^ c28 ^ d20 ^ d22 ^ c14 ^ d5 ^ c4; // 17 ins 1 outs + + assign x27 = c12 ^ d7 ^ c19 ^ d21 ^ c31 ^ c15 ^ d1 ^ c13 ^ d5 ^ + d11 ^ c27 ^ d19 ^ c9 ^ c29 ^ c28 ^ d4 ^ d23 ^ d20 ^ c3; // 19 ins 1 outs + + assign x26 = c11 ^ c14 ^ d6 ^ d20 ^ c30 ^ c12 ^ c18 ^ d23 ^ c31 ^ + d0 ^ d4 ^ d10 ^ c26 ^ d18 ^ c8 ^ c28 ^ c27 ^ d3 ^ d22 ^ + d19 ^ c2; // 21 ins 1 outs + + assign x25 = c10 ^ d18 ^ c16 ^ d19 ^ c29 ^ d22 ^ c30 ^ d11 ^ d3 ^ + c19 ^ d8 ^ d17 ^ c23 ^ d15 ^ c11 ^ d2 ^ d21 ^ c26 ^ c25 ^ + c27 ^ c1; // 21 ins 1 outs + + assign x24 = d1 ^ c15 ^ d7 ^ c25 ^ c28 ^ d21 ^ c29 ^ d14 ^ d2 ^ + d17 ^ c9 ^ c22 ^ c26 ^ d20 ^ c18 ^ d10 ^ c24 ^ d18 ^ c10 ^ + d16 ^ c0; // 21 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat24_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [23:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x142, x141, x140, x139, x138, x137, x136, + x135, x134, x133, x132, x131, x130, x129, x128, + x127, x126, x125, x124, x123, x122, x121, x120, + x119, x118, x117, x116, x115, x114, x113, x112, + x111, x110, x109, x108, x107, x106, x105, x104, + x103, x102, x101, x100, x99, x98, x97, x96, + x95, x94, x93, x92, x91, x90, x89, x23, + x22, x21, x20, x19, x18, x17, x16, x15, + x14, x13, x12, x11, x10, x9, x8, x7, + x6, x5, x4, x3, x2, x1, x0, x31, + x30, x29, x28, x27, x26, x25, x24; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23; + +assign { d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [23:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x142i (.out(x142),.a(c0),.b(d18),.c(d21),.d(c29),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x141i (.out(x141),.a(c1),.b(c10),.c(d21),.d(c29),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x140i (.out(x140),.a(c15),.b(d2),.c(d7),.d(d11),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x139i (.out(x139),.a(d0),.b(c14),.c(d2),.d(d11),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x138i (.out(x138),.a(c15),.b(d19),.c(c27),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x137i (.out(x137),.a(c9),.b(d6),.c(d11),.d(c19),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x136i (.out(x136),.a(c9),.b(c10),.c(d0),.d(c8),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x135i (.out(x135),.a(d13),.b(d1),.c(d2),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x134i (.out(x134),.a(d2),.b(c30),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x133i (.out(x133),.a(d2),.b(d18),.c(c26),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x132i (.out(x132),.a(c14),.b(c13),.c(d1),.d(d0),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x131i (.out(x131),.a(c9),.b(d1),.c(d14),.d(c22),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x130i (.out(x130),.a(c19),.b(c22),.c(d14),.d(c11),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x129i (.out(x129),.a(d20),.b(d0),.c(c28),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x128i (.out(x128),.a(d0),.b(d3),.c(c2),.d(d1),.e(c8),.f(1'b0)); // 5 ins 1 outs + + xor6 x127i (.out(x127),.a(c3),.b(d2),.c(c27),.d(d19),.e(c11),.f(1'b0)); // 5 ins 1 outs + + xor6 x126i (.out(x126),.a(d0),.b(d18),.c(d8),.d(c16),.e(c26),.f(1'b0)); // 5 ins 1 outs + + xor6 x125i (.out(x125),.a(d10),.b(c18),.c(c9),.d(c17),.e(d9),.f(1'b0)); // 5 ins 1 outs + + xor6 x124i (.out(x124),.a(d10),.b(c14),.c(c22),.d(c18),.e(c9),.f(1'b0)); // 5 ins 1 outs + + xor6 x123i (.out(x123),.a(c8),.b(d15),.c(c26),.d(d14),.e(c23),.f(1'b0)); // 5 ins 1 outs + + xor6 x122i (.out(x122),.a(d19),.b(d6),.c(c11),.d(c14),.e(c27),.f(1'b0)); // 5 ins 1 outs + + xor6 x121i (.out(x121),.a(d3),.b(c17),.c(d9),.d(c5),.e(d21),.f(1'b0)); // 5 ins 1 outs + + xor6 x120i (.out(x120),.a(c23),.b(c10),.c(d9),.d(d18),.e(d0),.f(1'b0)); // 5 ins 1 outs + + xor6 x119i (.out(x119),.a(d3),.b(d1),.c(c13),.d(d22),.e(d5),.f(1'b0)); // 5 ins 1 outs + + xor6 x118i (.out(x118),.a(c28),.b(c9),.c(c26),.d(d20),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x117i (.out(x117),.a(d4),.b(c10),.c(c16),.d(c6),.e(d10),.f(1'b0)); // 5 ins 1 outs + + xor6 x116i (.out(x116),.a(c19),.b(d0),.c(d12),.d(c20),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x115i (.out(x115),.a(c8),.b(c18),.c(d10),.d(c22),.e(d7),.f(1'b0)); // 5 ins 1 outs + + xor6 x114i (.out(x114),.a(c9),.b(c21),.c(c10),.d(c8),.e(c26),.f(1'b0)); // 5 ins 1 outs + + xor6 x113i (.out(x113),.a(d13),.b(c27),.c(c13),.d(d19),.e(c21),.f(1'b0)); // 5 ins 2 outs + + xor6 x112i (.out(x112),.a(c25),.b(d23),.c(c29),.d(c31),.e(d21),.f(1'b0)); // 5 ins 2 outs + + xor6 x111i (.out(x111),.a(c19),.b(d22),.c(d1),.d(d11),.e(c30),.f(1'b0)); // 5 ins 2 outs + + xor6 x110i (.out(x110),.a(d20),.b(c28),.c(d6),.d(c10),.e(c19),.f(1'b0)); // 5 ins 2 outs + + xor6 x109i (.out(x109),.a(d2),.b(c23),.c(d15),.d(c27),.e(d19),.f(1'b0)); // 5 ins 2 outs + + xor6 x108i (.out(x108),.a(c18),.b(c21),.c(c25),.d(c30),.e(d17),.f(1'b0)); // 5 ins 1 outs + + xor6 x107i (.out(x107),.a(d7),.b(c9),.c(c11),.d(c15),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x106i (.out(x106),.a(d17),.b(c25),.c(c26),.d(c17),.e(d1),.f(1'b0)); // 5 ins 1 outs + + xor6 x105i (.out(x105),.a(c15),.b(d7),.c(d20),.d(c11),.e(c28),.f(1'b0)); // 5 ins 2 outs + + xor6 x104i (.out(x104),.a(c18),.b(d16),.c(c24),.d(d10),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x103i (.out(x103),.a(c14),.b(d8),.c(c30),.d(d22),.e(c16),.f(1'b0)); // 5 ins 4 outs + + xor6 x102i (.out(x102),.a(d2),.b(c22),.c(d0),.d(d3),.e(c11),.f(1'b0)); // 5 ins 4 outs + + xor6 x101i (.out(x101),.a(d10),.b(c18),.c(d6),.d(c14),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x100i (.out(x100),.a(d18),.b(c13),.c(c17),.d(d9),.e(d5),.f(1'b0)); // 5 ins 4 outs + + xor6 x99i (.out(x99),.a(c30),.b(d22),.c(d23),.d(c31),.e(1'b0),.f(1'b0)); // 4 ins 5 outs + + xor6 x98i (.out(x98),.a(d2),.b(d1),.c(c25),.d(d17),.e(1'b0),.f(1'b0)); // 4 ins 10 outs + + xor6 x97i (.out(x97),.a(d20),.b(d4),.c(d1),.d(c28),.e(c12),.f(1'b0)); // 5 ins 5 outs + + xor6 x96i (.out(x96),.a(d11),.b(d23),.c(c19),.d(c31),.e(1'b0),.f(1'b0)); // 4 ins 6 outs + + xor6 x95i (.out(x95),.a(c13),.b(d2),.c(c29),.d(d21),.e(d5),.f(1'b0)); // 5 ins 8 outs + + xor6 x94i (.out(x94),.a(d18),.b(c11),.c(d19),.d(c27),.e(c26),.f(1'b0)); // 5 ins 6 outs + + xor6 x93i (.out(x93),.a(c20),.b(d12),.c(c8),.d(d4),.e(c12),.f(1'b0)); // 5 ins 8 outs + + xor6 x92i (.out(x92),.a(d16),.b(c17),.c(c24),.d(d9),.e(c8),.f(1'b0)); // 5 ins 9 outs + + xor6 x91i (.out(x91),.a(d7),.b(d14),.c(c22),.d(c15),.e(c10),.f(1'b0)); // 5 ins 8 outs + + xor6 x90i (.out(x90),.a(c9),.b(d6),.c(c21),.d(d13),.e(c14),.f(1'b0)); // 5 ins 8 outs + + xor6 x89i (.out(x89),.a(d3),.b(c23),.c(d8),.d(c16),.e(d15),.f(1'b0)); // 5 ins 8 outs + + xor6 x23i (.out(x23),.a(x129),.b(x98),.c(x92),.d(x109),.e(x90),.f(1'b0)); // 5 ins 1 outs + + xor6 x22i (.out(x22),.a(x130),.b(x96),.c(x116),.d(x94),.e(x92),.f(1'b0)); // 5 ins 1 outs + + xor6 x21i (.out(x21),.a(x108),.b(d10),.c(c26),.d(d22),.e(d13),.f(x100)); // 6 ins 1 outs + + xor6 x20i (.out(x20),.a(d8),.b(x92),.c(c16),.d(x93),.e(d17),.f(x112)); // 6 ins 1 outs + + xor6 x19i (.out(x19),.a(d1),.b(x111),.c(x105),.d(d16),.e(c24),.f(x89)); // 6 ins 1 outs + + xor6 x18i (.out(x18),.a(x112),.b(c25),.c(x101),.d(x109),.e(x91),.f(1'b0)); // 5 ins 1 outs + + xor6 x17i (.out(x17),.a(x131),.b(x118),.c(x90),.d(x99),.e(x100),.f(1'b0)); // 5 ins 1 outs + + xor6 x16i (.out(x16),.a(x132),.b(x98),.c(x95),.d(x103),.e(x113),.f(x93)); // 6 ins 1 outs + + xor6 x15i (.out(x15),.a(x133),.b(x95),.c(x92),.d(x93),.e(x105),.f(x89)); // 6 ins 1 outs + + xor6 x14i (.out(x14),.a(x122),.b(x96),.c(x98),.d(x97),.e(x91),.f(x89)); // 6 ins 1 outs + + xor6 x13i (.out(x13),.a(x119),.b(x134),.c(x90),.d(x91),.e(x104),.f(x94)); // 6 ins 1 outs + + xor6 x12i (.out(x12),.a(d15),.b(x106),.c(x93),.d(x95),.e(x120),.f(x90)); // 6 ins 1 outs + + xor6 x11i (.out(x11),.a(x92),.b(x93),.c(x123),.d(x118),.e(x98),.f(x102)); // 6 ins 1 outs + + xor6 x10i (.out(x10),.a(d5),.b(d14),.c(c10),.d(x113),.e(x102),.f(x92)); // 6 ins 1 outs + + xor6 x9i (.out(x9),.a(x114),.b(x93),.c(x96),.d(x135),.e(x100),.f(1'b0)); // 5 ins 1 outs + + xor6 x8i (.out(x8),.a(x124),.b(x102),.c(x96),.d(x103),.e(x98),.f(x93)); // 6 ins 1 outs + + xor6 x7i (.out(x7),.a(x136),.b(x107),.c(x104),.d(x99),.e(x95),.f(x89)); // 6 ins 1 outs + + xor6 x6i (.out(x6),.a(x137),.b(x95),.c(x97),.d(x103),.e(x91),.f(1'b0)); // 5 ins 1 outs + + xor6 x5i (.out(x5),.a(x115),.b(x102),.c(x138),.d(x90),.e(x95),.f(x97)); // 6 ins 1 outs + + xor6 x4i (.out(x4),.a(x139),.b(x94),.c(x110),.d(x93),.e(x89),.f(1'b0)); // 5 ins 1 outs + + xor6 x3i (.out(x3),.a(x125),.b(x91),.c(x98),.d(x94),.e(x89),.f(1'b0)); // 5 ins 1 outs + + xor6 x2i (.out(x2),.a(x126),.b(x90),.c(x91),.d(x98),.e(x92),.f(1'b0)); // 5 ins 1 outs + + xor6 x1i (.out(x1),.a(x140),.b(x98),.c(x116),.d(x92),.e(x90),.f(1'b0)); // 5 ins 1 outs + + xor6 x0i (.out(x0),.a(c20),.b(x101),.c(d12),.d(d0),.e(x92),.f(1'b0)); // 5 ins 1 outs + + xor6 x31i (.out(x31),.a(x100),.b(c7),.c(d3),.d(d18),.e(x96),.f(x89)); // 6 ins 1 outs + + xor6 x30i (.out(x30),.a(c12),.b(x91),.c(d8),.d(x117),.e(c18),.f(x99)); // 6 ins 1 outs + + xor6 x29i (.out(x29),.a(x121),.b(c29),.c(x107),.d(x99),.e(x90),.f(1'b0)); // 5 ins 1 outs + + xor6 x28i (.out(x28),.a(c4),.b(x116),.c(x95),.d(d0),.e(x110),.f(x103)); // 6 ins 1 outs + + xor6 x27i (.out(x27),.a(x127),.b(x95),.c(x107),.d(x96),.e(x97),.f(1'b0)); // 5 ins 1 outs + + xor6 x26i (.out(x26),.a(x128),.b(x97),.c(x99),.d(x101),.e(x94),.f(1'b0)); // 5 ins 1 outs + + xor6 x25i (.out(x25),.a(x141),.b(x89),.c(x98),.d(x94),.e(x111),.f(1'b0)); // 5 ins 1 outs + + xor6 x24i (.out(x24),.a(x142),.b(x104),.c(x98),.d(x118),.e(x91),.f(1'b0)); // 5 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat32.v b/Advanced Synthesis Cookbook/crc/crc32_dat32.v new file mode 100644 index 0000000..35de9b5 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat32.v @@ -0,0 +1,526 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 32 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 2 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222222222233 +// 01234567890123456789012345678901 01234567890123456789012345678901 +// +// C00 = X.....X..XX.X...X.......XXX.XXXX X.....X..XX.X...X.......XXX.XXXX +// C01 = XX....XX.X.XXX..XX......X..XX... XX....XX.X.XXX..XX......X..XX... +// C02 = XXX...XXXX...XX.XXX.....X.X...XX XXX...XXXX...XX.XXX.....X.X...XX +// C03 = .XXX...XXXX...XX.XXX.....X.X...X .XXX...XXXX...XX.XXX.....X.X...X +// C04 = X.XXX.X.X..XX..X..XXX...XX...XXX X.XXX.X.X..XX..X..XXX...XX...XXX +// C05 = XX.XXXXX..X..X.....XXX..X...XX.. XX.XXXXX..X..X.....XXX..X...XX.. +// C06 = .XX.XXXXX..X..X.....XXX..X...XX. .XX.XXXXX..X..X.....XXX..X...XX. +// C07 = X.XX.X.XX.X....XX....XXXXX..XX.. X.XX.X.XX.X....XX....XXXXX..XX.. +// C08 = XX.XX...X.XXX....X....XX....X..X XX.XX...X.XXX....X....XX....X..X +// C09 = .XX.XX...X.XXX....X....XX....X.. .XX.XX...X.XXX....X....XX....X.. +// C10 = X.XX.X...X...XX.X..X......X.XX.X X.XX.X...X...XX.X..X......X.XX.X +// C11 = XX.XX....X..X.XXXX..X...XXXXX..X XX.XX....X..X.XXXX..X...XXXXX..X +// C12 = XXX.XXX..X..XX.X.XX..X..X..X..XX XXX.XXX..X..XX.X.XX..X..X..X..XX +// C13 = .XXX.XXX..X..XX.X.XX..X..X..X..X .XXX.XXX..X..XX.X.XX..X..X..X..X +// C14 = ..XXX.XXX..X..XX.X.XX..X..X..X.. ..XXX.XXX..X..XX.X.XX..X..X..X.. +// C15 = ...XXX.XXX..X..XX.X.XX..X..X..X. ...XXX.XXX..X..XX.X.XX..X..X..X. +// C16 = X...XX..X...XX...X.X.XX.X.X..XX. X...XX..X...XX...X.X.XX.X.X..XX. +// C17 = .X...XX..X...XX...X.X.XX.X.X..XX .X...XX..X...XX...X.X.XX.X.X..XX +// C18 = ..X...XX..X...XX...X.X.XX.X.X..X ..X...XX..X...XX...X.X.XX.X.X..X +// C19 = ...X...XX..X...XX...X.X.XX.X.X.. ...X...XX..X...XX...X.X.XX.X.X.. +// C20 = ....X...XX..X...XX...X.X.XX.X.X. ....X...XX..X...XX...X.X.XX.X.X. +// C21 = .....X...XX..X...XX...X.X.XX.X.X .....X...XX..X...XX...X.X.XX.X.X +// C22 = X........X.XX.X.X.XX...XX.XX.X.X X........X.XX.X.X.XX...XX.XX.X.X +// C23 = XX....X..X...X.XXX.XX.....XX.X.X XX....X..X...X.XXX.XX.....XX.X.X +// C24 = .XX....X..X...X.XXX.XX.....XX.X. .XX....X..X...X.XXX.XX.....XX.X. +// C25 = ..XX....X..X...X.XXX.XX.....XX.X ..XX....X..X...X.XXX.XX.....XX.X +// C26 = X..XX.X...X.......XXX.XXXXX.X..X X..XX.X...X.......XXX.XXXXX.X..X +// C27 = .X..XX.X...X.......XXX.XXXXX.X.. .X..XX.X...X.......XXX.XXXXX.X.. +// C28 = ..X..XX.X...X.......XXX.XXXXX.X. ..X..XX.X...X.......XXX.XXXXX.X. +// C29 = ...X..XX.X...X.......XXX.XXXXX.X ...X..XX.X...X.......XXX.XXXXX.X +// C30 = ....X..XX.X...X.......XXX.XXXXX. ....X..XX.X...X.......XXX.XXXXX. +// C31 = .....X..XX.X...X.......XXX.XXXXX .....X..XX.X...X.......XXX.XXXXX +// +module crc32_dat32 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [31:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat32_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat32_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat32_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [31:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31; + +assign { d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [31:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x31 = d31 ^ d29 ^ c29 ^ c30 ^ d30 ^ d11 ^ d8 ^ d15 ^ c27 ^ + d28 ^ c28 ^ d9 ^ d5 ^ c15 ^ d25 ^ c11 ^ c8 ^ c9 ^ d24 ^ + c31 ^ c23 ^ c25 ^ c24 ^ d23 ^ d27 ^ c5; // 26 ins 1 outs + + assign x30 = c14 ^ d30 ^ d28 ^ c28 ^ d7 ^ c4 ^ c26 ^ d27 ^ c27 ^ + d8 ^ c10 ^ d14 ^ d24 ^ c29 ^ c7 ^ c22 ^ c24 ^ d10 ^ d29 ^ + c8 ^ d26 ^ c23 ^ d4 ^ d22 ^ c30 ^ d23; // 26 ins 1 outs + + assign x29 = c3 ^ d28 ^ c28 ^ c29 ^ c9 ^ d27 ^ d13 ^ d31 ^ c25 ^ + d26 ^ c26 ^ c27 ^ d29 ^ c31 ^ d6 ^ d9 ^ c22 ^ c23 ^ d22 ^ + d25 ^ d21 ^ c13 ^ c21 ^ d23 ^ c6 ^ d7 ^ d3 ^ c7; // 28 ins 1 outs + + assign x28 = c2 ^ d27 ^ c27 ^ c28 ^ c8 ^ d24 ^ c24 ^ d25 ^ d28 ^ + d21 ^ c25 ^ c26 ^ d8 ^ c30 ^ d12 ^ c21 ^ c22 ^ d22 ^ d6 ^ + d5 ^ c6 ^ d26 ^ c20 ^ d30 ^ c12 ^ d20 ^ c5 ^ d2; // 28 ins 1 outs + + assign x27 = c1 ^ c27 ^ c7 ^ d1 ^ d26 ^ d23 ^ c24 ^ c21 ^ c11 ^ + d25 ^ d27 ^ d21 ^ d24 ^ d29 ^ d5 ^ c26 ^ d4 ^ d20 ^ c29 ^ + d7 ^ d11 ^ c20 ^ c5 ^ c19 ^ c25 ^ d19 ^ c4 ^ c23; // 28 ins 1 outs + + assign x26 = c0 ^ d24 ^ d31 ^ d10 ^ d25 ^ d3 ^ c23 ^ d4 ^ c24 ^ + c25 ^ d20 ^ c26 ^ c3 ^ c20 ^ d28 ^ d23 ^ d19 ^ c6 ^ d0 ^ + c28 ^ d26 ^ c10 ^ d18 ^ c18 ^ c4 ^ d22 ^ c22 ^ c19 ^ d6 ^ + c31; // 30 ins 1 outs + + assign x25 = d8 ^ d21 ^ d19 ^ c22 ^ c29 ^ d3 ^ d11 ^ c2 ^ c18 ^ + c8 ^ d31 ^ c28 ^ d18 ^ c3 ^ d22 ^ d15 ^ c19 ^ d17 ^ c15 ^ + d2 ^ c21 ^ d28 ^ d29 ^ c17 ^ c11 ^ c31; // 26 ins 1 outs + + assign x24 = d7 ^ c7 ^ d27 ^ d20 ^ c20 ^ c18 ^ d18 ^ c28 ^ c27 ^ + d30 ^ d17 ^ c1 ^ c17 ^ c2 ^ c21 ^ d16 ^ c10 ^ d2 ^ d10 ^ + d1 ^ d21 ^ c14 ^ c16 ^ d14 ^ d28 ^ c30; // 26 ins 1 outs + + assign x23 = d16 ^ c6 ^ d31 ^ c31 ^ d6 ^ d0 ^ d17 ^ c19 ^ c9 ^ + d19 ^ c17 ^ c16 ^ d29 ^ c29 ^ d26 ^ d20 ^ c27 ^ c15 ^ d13 ^ + c0 ^ c20 ^ d27 ^ d15 ^ d1 ^ c1 ^ c26 ^ c13 ^ d9; // 28 ins 1 outs + + assign x22 = c0 ^ c26 ^ c24 ^ c31 ^ d16 ^ d27 ^ c27 ^ d23 ^ d24 ^ + d0 ^ d29 ^ c16 ^ d18 ^ c14 ^ c18 ^ c9 ^ d19 ^ d31 ^ d12 ^ + c19 ^ c11 ^ c29 ^ d26 ^ d14 ^ d9 ^ d11 ^ c12 ^ c23; // 28 ins 1 outs + + assign x21 = d9 ^ d5 ^ c31 ^ c29 ^ c10 ^ d26 ^ d29 ^ d31 ^ c27 ^ + d27 ^ d17 ^ c13 ^ c18 ^ c17 ^ d18 ^ c9 ^ c26 ^ c5 ^ d24 ^ + c24 ^ d13 ^ d10 ^ d22 ^ c22; // 24 ins 1 outs + + assign x20 = c16 ^ d8 ^ c4 ^ d4 ^ c25 ^ d17 ^ c17 ^ c9 ^ c30 ^ + c8 ^ c26 ^ c28 ^ c12 ^ d16 ^ d26 ^ d28 ^ d9 ^ d23 ^ c23 ^ + d30 ^ d12 ^ c21 ^ d21 ^ d25; // 24 ins 1 outs + + assign x19 = d3 ^ c7 ^ c3 ^ c27 ^ c16 ^ d16 ^ c22 ^ d25 ^ c15 ^ + d15 ^ c11 ^ c25 ^ c8 ^ d27 ^ d8 ^ d29 ^ d11 ^ c29 ^ d22 ^ + d7 ^ c20 ^ d20 ^ d24 ^ c24; // 24 ins 1 outs + + assign x18 = d28 ^ d14 ^ d2 ^ c6 ^ c15 ^ d6 ^ c26 ^ c7 ^ c14 ^ + c10 ^ d24 ^ c21 ^ d19 ^ c24 ^ d31 ^ d26 ^ c28 ^ c2 ^ c23 ^ + d10 ^ d7 ^ c19 ^ d21 ^ c31 ^ d15 ^ d23; // 26 ins 1 outs + + assign x17 = c30 ^ d1 ^ c14 ^ c13 ^ c6 ^ c25 ^ d6 ^ c27 ^ c31 ^ + c23 ^ d31 ^ d14 ^ d9 ^ d25 ^ c20 ^ c9 ^ d5 ^ d30 ^ d20 ^ + d13 ^ d18 ^ c1 ^ d27 ^ d23 ^ c18 ^ c5 ^ c22 ^ d22; // 28 ins 1 outs + + assign x16 = d29 ^ c30 ^ d30 ^ c5 ^ d4 ^ d12 ^ c21 ^ d8 ^ c22 ^ + c29 ^ d5 ^ d22 ^ c8 ^ c12 ^ d13 ^ c26 ^ c24 ^ d26 ^ d24 ^ + d17 ^ c19 ^ c17 ^ c0 ^ d19 ^ c4 ^ d21 ^ c13 ^ d0; // 28 ins 1 outs + + assign x15 = d15 ^ c12 ^ d3 ^ c4 ^ d30 ^ c3 ^ c27 ^ d7 ^ d21 ^ + d27 ^ d24 ^ c21 ^ d5 ^ c15 ^ c24 ^ d12 ^ d4 ^ c18 ^ d8 ^ + d18 ^ c5 ^ c7 ^ c8 ^ c16 ^ d16 ^ d9 ^ c9 ^ d20 ^ c20 ^ + c30; // 30 ins 1 outs + + assign x14 = d14 ^ c14 ^ c11 ^ d2 ^ c3 ^ d8 ^ c26 ^ d26 ^ d20 ^ + d6 ^ d23 ^ c20 ^ c6 ^ d19 ^ c17 ^ c8 ^ c23 ^ d4 ^ d11 ^ + c2 ^ d7 ^ d17 ^ c4 ^ c29 ^ d3 ^ d15 ^ c19 ^ d29 ^ c7 ^ + c15; // 30 ins 1 outs + + assign x13 = c10 ^ d31 ^ c7 ^ d1 ^ c2 ^ d7 ^ c13 ^ d25 ^ d6 ^ + c31 ^ c19 ^ d5 ^ c5 ^ d19 ^ d3 ^ d10 ^ c18 ^ c16 ^ c22 ^ + c3 ^ d18 ^ c25 ^ d22 ^ c1 ^ c28 ^ d13 ^ d2 ^ c6 ^ c14 ^ + d14 ^ d28 ^ d16; // 32 ins 1 outs + + assign x12 = c6 ^ d9 ^ d12 ^ d31 ^ c31 ^ d6 ^ d0 ^ c1 ^ c27 ^ + c12 ^ d30 ^ d5 ^ c17 ^ d4 ^ c4 ^ d2 ^ c9 ^ c30 ^ c24 ^ + c21 ^ d21 ^ c5 ^ c2 ^ c13 ^ d15 ^ d24 ^ c18 ^ c0 ^ d17 ^ + c15 ^ d18 ^ d1 ^ d13 ^ d27; // 34 ins 1 outs + + assign x11 = c0 ^ d26 ^ c16 ^ d28 ^ d27 ^ d3 ^ c3 ^ d24 ^ c12 ^ + d25 ^ d17 ^ d16 ^ c15 ^ c27 ^ d14 ^ c24 ^ c1 ^ c4 ^ d15 ^ + d1 ^ c26 ^ d20 ^ c9 ^ c20 ^ d0 ^ c14 ^ c17 ^ c31 ^ d31 ^ + d12 ^ d9 ^ c25 ^ d4 ^ c28; // 34 ins 1 outs + + assign x10 = c9 ^ d14 ^ d2 ^ c3 ^ d9 ^ d26 ^ c2 ^ c5 ^ d0 ^ + c31 ^ c19 ^ d3 ^ c0 ^ c13 ^ d16 ^ d31 ^ c28 ^ d13 ^ c26 ^ + c14 ^ d5 ^ d29 ^ c29 ^ d28 ^ d19 ^ c16; // 26 ins 1 outs + + assign x9 = d1 ^ c2 ^ c13 ^ c11 ^ c1 ^ d11 ^ d29 ^ d13 ^ c5 ^ + c4 ^ d23 ^ d12 ^ c12 ^ c29 ^ c24 ^ c18 ^ d24 ^ d4 ^ c9 ^ + c23 ^ d9 ^ d5 ^ d2 ^ d18; // 24 ins 1 outs + + assign x8 = d12 ^ d31 ^ d0 ^ c28 ^ d28 ^ c11 ^ c12 ^ d10 ^ c10 ^ + c0 ^ c31 ^ d11 ^ c23 ^ c1 ^ c4 ^ d23 ^ c22 ^ c8 ^ d22 ^ + d8 ^ d3 ^ c3 ^ d1 ^ c17 ^ d4 ^ d17; // 26 ins 1 outs + + assign x7 = c8 ^ c10 ^ d16 ^ d3 ^ c5 ^ d28 ^ d10 ^ c15 ^ c24 ^ + c2 ^ c25 ^ c28 ^ d29 ^ c29 ^ d21 ^ d22 ^ c22 ^ c3 ^ c7 ^ + c16 ^ d8 ^ c21 ^ d7 ^ c23 ^ d0 ^ d25 ^ d5 ^ d24 ^ d23 ^ + c0 ^ d15 ^ d2; // 32 ins 1 outs + + assign x6 = c7 ^ d1 ^ d14 ^ d2 ^ c4 ^ c29 ^ d30 ^ c1 ^ c30 ^ + d25 ^ c5 ^ d20 ^ d5 ^ d21 ^ d7 ^ c2 ^ c6 ^ c14 ^ d6 ^ + c11 ^ d29 ^ c25 ^ c20 ^ d22 ^ c22 ^ c21 ^ d8 ^ d4 ^ d11 ^ + c8; // 30 ins 1 outs + + assign x5 = d6 ^ d7 ^ d13 ^ c6 ^ c7 ^ c24 ^ d28 ^ c10 ^ d10 ^ + d3 ^ d24 ^ d19 ^ c20 ^ c28 ^ d29 ^ c0 ^ c5 ^ d4 ^ c3 ^ + d20 ^ c29 ^ d5 ^ c21 ^ c1 ^ d0 ^ c19 ^ d21 ^ c13 ^ d1 ^ + c4; // 30 ins 1 outs + + assign x4 = c12 ^ c29 ^ d12 ^ d31 ^ c31 ^ d6 ^ d29 ^ c11 ^ d2 ^ + c30 ^ c2 ^ c6 ^ c15 ^ c19 ^ d15 ^ c18 ^ d3 ^ c4 ^ d19 ^ + d30 ^ d0 ^ c3 ^ d18 ^ d8 ^ d4 ^ c24 ^ d24 ^ c0 ^ d11 ^ + c20 ^ d20 ^ c8 ^ c25 ^ d25; // 34 ins 1 outs + + assign x3 = c10 ^ d31 ^ c7 ^ d1 ^ c8 ^ d27 ^ d14 ^ c14 ^ d10 ^ + d2 ^ c3 ^ d7 ^ d8 ^ d18 ^ c17 ^ d25 ^ c9 ^ c2 ^ d17 ^ + d19 ^ c31 ^ c25 ^ d9 ^ c15 ^ c27 ^ c1 ^ c19 ^ d15 ^ c18 ^ + d3; // 30 ins 1 outs + + assign x2 = d16 ^ c6 ^ d31 ^ c31 ^ d6 ^ d0 ^ d17 ^ c9 ^ c7 ^ + d1 ^ c2 ^ c30 ^ d7 ^ c17 ^ c1 ^ c26 ^ c8 ^ d13 ^ c16 ^ + d18 ^ d9 ^ d8 ^ d30 ^ d24 ^ c18 ^ c24 ^ c13 ^ d26 ^ d14 ^ + c14 ^ c0 ^ d2; // 32 ins 1 outs + + assign x1 = d16 ^ c6 ^ d6 ^ d0 ^ c1 ^ c27 ^ c28 ^ d28 ^ c12 ^ + c9 ^ c11 ^ d12 ^ d17 ^ c16 ^ c13 ^ c17 ^ d11 ^ d7 ^ c24 ^ + d24 ^ c0 ^ d27 ^ d13 ^ d9 ^ c7 ^ d1; // 26 ins 1 outs + + assign x0 = c0 ^ d24 ^ c10 ^ d26 ^ c24 ^ d30 ^ c16 ^ d28 ^ c26 ^ + c30 ^ c9 ^ d25 ^ d10 ^ c12 ^ c29 ^ d29 ^ c28 ^ c25 ^ d16 ^ + c6 ^ d9 ^ d12 ^ d31 ^ c31 ^ d6 ^ d0; // 26 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat32_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [31:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x631, x630, x629, x628, x627, x626, x625, + x624, x623, x622, x621, x620, x619, x618, x617, + x616, x615, x614, x613, x612, x611, x610, x609, + x608, x607, x606, x605, x604, x603, x602, x601, + x600, x599, x598, x597, x596, x595, x594, x593, + x592, x591, x590, x589, x588, x587, x586, x585, + x584, x583, x582, x581, x580, x579, x578, x577, + x576, x575, x574, x573, x572, x571, x570, x569, + x568, x567, x566, x565, x564, x563, x562, x561, + x560, x559, x558, x557, x556, x31, x30, x29, + x28, x27, x26, x25, x24, x23, x22, x21, + x20, x19, x18, x17, x16, x15, x14, x13, + x12, x11, x10, x9, x8, x7, x6, x5, + x4, x3, x2, x1, x0; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31; + +assign { d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [31:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x631i (.out(x631),.a(c9),.b(c28),.c(d9),.d(c1),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x630i (.out(x630),.a(c26),.b(d30),.c(d26),.d(c31),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x629i (.out(x629),.a(c19),.b(d19),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x628i (.out(x628),.a(d15),.b(d6),.c(c31),.d(c15),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x627i (.out(x627),.a(d21),.b(d10),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x626i (.out(x626),.a(c11),.b(d29),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x625i (.out(x625),.a(c2),.b(d16),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x624i (.out(x624),.a(d15),.b(d17),.c(c17),.d(c15),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x623i (.out(x623),.a(c16),.b(d16),.c(d22),.d(c31),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x622i (.out(x622),.a(c24),.b(c15),.c(c19),.d(d19),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x621i (.out(x621),.a(c16),.b(c26),.c(d26),.d(d16),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x620i (.out(x620),.a(c22),.b(c18),.c(d22),.d(c21),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x619i (.out(x619),.a(c19),.b(c30),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x618i (.out(x618),.a(d21),.b(d6),.c(c21),.d(d22),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x617i (.out(x617),.a(c11),.b(c16),.c(d11),.d(d21),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x616i (.out(x616),.a(c28),.b(d29),.c(c29),.d(d10),.e(d25),.f(c10)); // 6 ins 1 outs + + xor6 x615i (.out(x615),.a(c14),.b(c0),.c(d14),.d(d2),.e(c2),.f(c22)); // 6 ins 1 outs + + xor6 x614i (.out(x614),.a(d26),.b(d4),.c(c4),.d(c14),.e(d14),.f(c26)); // 6 ins 1 outs + + xor6 x613i (.out(x613),.a(c3),.b(d3),.c(d26),.d(c26),.e(c20),.f(d20)); // 6 ins 1 outs + + xor6 x612i (.out(x612),.a(c15),.b(d15),.c(d12),.d(d27),.e(c12),.f(c27)); // 6 ins 1 outs + + xor6 x611i (.out(x611),.a(c19),.b(c22),.c(d19),.d(c17),.e(d17),.f(c21)); // 6 ins 1 outs + + xor6 x610i (.out(x610),.a(d22),.b(c30),.c(c29),.d(d30),.e(c5),.f(d5)); // 6 ins 1 outs + + xor6 x609i (.out(x609),.a(d3),.b(c3),.c(d16),.d(c24),.e(d24),.f(1'b0)); // 5 ins 1 outs + + xor6 x608i (.out(x608),.a(c22),.b(c17),.c(c29),.d(d17),.e(d22),.f(c21)); // 6 ins 1 outs + + xor6 x607i (.out(x607),.a(d6),.b(d0),.c(c6),.d(c0),.e(c19),.f(d19)); // 6 ins 1 outs + + xor6 x606i (.out(x606),.a(d27),.b(d20),.c(c21),.d(c27),.e(d1),.f(c20)); // 6 ins 1 outs + + xor6 x605i (.out(x605),.a(c1),.b(d1),.c(d4),.d(c4),.e(c6),.f(c28)); // 6 ins 1 outs + + xor6 x604i (.out(x604),.a(c8),.b(d8),.c(c30),.d(d30),.e(d28),.f(d22)); // 6 ins 1 outs + + xor6 x603i (.out(x603),.a(d28),.b(d29),.c(d24),.d(d14),.e(c14),.f(c24)); // 6 ins 1 outs + + xor6 x602i (.out(x602),.a(d3),.b(c3),.c(c25),.d(c1),.e(d1),.f(d25)); // 6 ins 1 outs + + xor6 x601i (.out(x601),.a(d29),.b(c19),.c(c10),.d(c7),.e(c31),.f(d7)); // 6 ins 1 outs + + xor6 x600i (.out(x600),.a(c21),.b(d6),.c(d11),.d(c6),.e(d1),.f(c1)); // 6 ins 1 outs + + xor6 x599i (.out(x599),.a(d0),.b(c7),.c(d21),.d(d7),.e(c0),.f(d2)); // 6 ins 1 outs + + xor6 x598i (.out(x598),.a(c13),.b(d29),.c(c9),.d(d2),.e(c23),.f(d23)); // 6 ins 1 outs + + xor6 x597i (.out(x597),.a(c12),.b(d9),.c(c9),.d(c26),.e(d12),.f(c1)); // 6 ins 1 outs + + xor6 x596i (.out(x596),.a(d30),.b(d28),.c(c25),.d(c30),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x595i (.out(x595),.a(d24),.b(c30),.c(c24),.d(d18),.e(d0),.f(c18)); // 6 ins 2 outs + + xor6 x594i (.out(x594),.a(d31),.b(c2),.c(d2),.d(c6),.e(d25),.f(c25)); // 6 ins 1 outs + + xor6 x593i (.out(x593),.a(d21),.b(c5),.c(c30),.d(d2),.e(d5),.f(d30)); // 6 ins 1 outs + + xor6 x592i (.out(x592),.a(d19),.b(c19),.c(c22),.d(c5),.e(d5),.f(d31)); // 6 ins 2 outs + + xor6 x591i (.out(x591),.a(d25),.b(d15),.c(c10),.d(d28),.e(d10),.f(d24)); // 6 ins 1 outs + + xor6 x590i (.out(x590),.a(c30),.b(d30),.c(d21),.d(c28),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x589i (.out(x589),.a(d18),.b(d2),.c(c2),.d(d31),.e(d0),.f(d21)); // 6 ins 1 outs + + xor6 x588i (.out(x588),.a(c22),.b(d7),.c(c7),.d(c6),.e(c23),.f(d23)); // 6 ins 2 outs + + xor6 x587i (.out(x587),.a(d21),.b(d7),.c(c29),.d(c7),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x586i (.out(x586),.a(d5),.b(c5),.c(d22),.d(d21),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x585i (.out(x585),.a(c6),.b(c4),.c(c23),.d(d23),.e(d4),.f(d6)); // 6 ins 1 outs + + xor6 x584i (.out(x584),.a(d23),.b(c14),.c(d14),.d(d18),.e(c18),.f(c23)); // 6 ins 2 outs + + xor6 x583i (.out(x583),.a(d7),.b(d11),.c(c11),.d(c7),.e(c27),.f(d27)); // 6 ins 2 outs + + xor6 x582i (.out(x582),.a(d1),.b(c15),.c(c20),.d(d15),.e(d28),.f(d20)); // 6 ins 2 outs + + xor6 x581i (.out(x581),.a(d12),.b(c12),.c(c2),.d(d6),.e(c6),.f(d2)); // 6 ins 1 outs + + xor6 x580i (.out(x580),.a(c20),.b(c4),.c(d20),.d(d4),.e(d19),.f(c0)); // 6 ins 2 outs + + xor6 x579i (.out(x579),.a(d1),.b(c1),.c(d12),.d(d11),.e(c11),.f(c12)); // 6 ins 2 outs + + xor6 x578i (.out(x578),.a(c23),.b(d25),.c(d21),.d(d23),.e(c28),.f(c21)); // 6 ins 3 outs + + xor6 x577i (.out(x577),.a(d18),.b(c10),.c(d10),.d(c18),.e(1'b0),.f(1'b0)); // 4 ins 4 outs + + xor6 x576i (.out(x576),.a(c8),.b(d8),.c(c17),.d(d17),.e(d31),.f(c0)); // 6 ins 2 outs + + xor6 x575i (.out(x575),.a(d29),.b(d15),.c(c16),.d(c8),.e(d8),.f(c15)); // 6 ins 3 outs + + xor6 x574i (.out(x574),.a(d21),.b(c27),.c(d27),.d(c28),.e(c26),.f(d26)); // 6 ins 3 outs + + xor6 x573i (.out(x573),.a(c16),.b(c9),.c(d9),.d(d16),.e(1'b0),.f(1'b0)); // 4 ins 4 outs + + xor6 x572i (.out(x572),.a(c21),.b(d18),.c(c4),.d(c18),.e(c2),.f(d4)); // 6 ins 2 outs + + xor6 x571i (.out(x571),.a(c28),.b(d28),.c(c31),.d(c3),.e(d3),.f(d0)); // 6 ins 4 outs + + xor6 x570i (.out(x570),.a(c3),.b(d3),.c(c18),.d(d18),.e(c20),.f(d20)); // 6 ins 2 outs + + xor6 x569i (.out(x569),.a(d26),.b(d31),.c(c26),.d(d6),.e(c6),.f(c31)); // 6 ins 3 outs + + xor6 x568i (.out(x568),.a(c17),.b(c1),.c(c16),.d(d16),.e(d17),.f(d28)); // 6 ins 5 outs + + xor6 x567i (.out(x567),.a(d15),.b(c8),.c(d8),.d(c15),.e(c17),.f(d17)); // 6 ins 3 outs + + xor6 x566i (.out(x566),.a(c29),.b(c22),.c(c25),.d(c20),.e(d20),.f(d25)); // 6 ins 5 outs + + xor6 x565i (.out(x565),.a(c13),.b(d13),.c(d6),.d(c6),.e(c1),.f(d1)); // 6 ins 6 outs + + xor6 x564i (.out(x564),.a(c22),.b(d22),.c(d10),.d(c10),.e(d23),.f(c23)); // 6 ins 4 outs + + xor6 x563i (.out(x563),.a(d29),.b(c26),.c(c13),.d(d13),.e(d26),.f(c29)); // 6 ins 5 outs + + xor6 x562i (.out(x562),.a(d19),.b(d29),.c(c29),.d(d11),.e(c11),.f(c19)); // 6 ins 5 outs + + xor6 x561i (.out(x561),.a(c21),.b(d5),.c(c29),.d(c5),.e(c24),.f(d24)); // 6 ins 8 outs + + xor6 x560i (.out(x560),.a(d4),.b(c4),.c(c8),.d(d8),.e(c30),.f(d30)); // 6 ins 6 outs + + xor6 x559i (.out(x559),.a(d28),.b(c28),.c(c25),.d(d25),.e(c3),.f(d3)); // 6 ins 5 outs + + xor6 x558i (.out(x558),.a(d2),.b(c14),.c(d14),.d(c2),.e(c7),.f(d7)); // 6 ins 7 outs + + xor6 x557i (.out(x557),.a(d0),.b(c24),.c(d24),.d(c0),.e(d12),.f(c12)); // 6 ins 7 outs + + xor6 x556i (.out(x556),.a(d9),.b(c31),.c(c27),.d(c9),.e(d27),.f(d31)); // 6 ins 9 outs + + xor6 x31i (.out(x31),.a(x617),.b(x575),.c(x596),.d(x578),.e(x561),.f(x556)); // 6 ins 1 outs + + xor6 x30i (.out(x30),.a(x603),.b(x587),.c(x564),.d(x560),.e(x574),.f(1'b0)); // 5 ins 1 outs + + xor6 x29i (.out(x29),.a(x618),.b(x563),.c(x588),.d(x559),.e(x556),.f(1'b0)); // 5 ins 1 outs + + xor6 x28i (.out(x28),.a(x581),.b(x561),.c(x574),.d(x604),.e(x566),.f(1'b0)); // 5 ins 1 outs + + xor6 x27i (.out(x27),.a(x605),.b(x561),.c(x574),.d(x588),.e(x562),.f(x566)); // 6 ins 1 outs + + xor6 x26i (.out(x26),.a(x619),.b(x595),.c(x559),.d(x580),.e(x569),.f(x564)); // 6 ins 1 outs + + xor6 x25i (.out(x25),.a(x571),.b(x589),.c(x620),.d(x562),.e(x567),.f(1'b0)); // 5 ins 1 outs + + xor6 x24i (.out(x24),.a(x590),.b(x577),.c(x606),.d(x568),.e(x558),.f(1'b0)); // 5 ins 1 outs + + xor6 x23i (.out(x23),.a(x607),.b(x563),.c(x582),.d(x568),.e(x556),.f(1'b0)); // 5 ins 1 outs + + xor6 x22i (.out(x22),.a(x621),.b(x562),.c(x584),.d(x557),.e(x556),.f(1'b0)); // 5 ins 1 outs + + xor6 x21i (.out(x21),.a(x608),.b(x563),.c(x561),.d(x577),.e(x556),.f(1'b0)); // 5 ins 1 outs + + xor6 x20i (.out(x20),.a(c25),.b(x597),.c(d26),.d(x568),.e(x578),.f(x560)); // 6 ins 1 outs + + xor6 x19i (.out(x19),.a(d22),.b(x609),.c(x566),.d(x583),.e(x575),.f(1'b0)); // 5 ins 1 outs + + xor6 x18i (.out(x18),.a(x591),.b(x578),.c(x622),.d(x558),.e(x569),.f(1'b0)); // 5 ins 1 outs + + xor6 x17i (.out(x17),.a(x610),.b(x566),.c(x584),.d(x565),.e(x556),.f(1'b0)); // 5 ins 1 outs + + xor6 x16i (.out(x16),.a(x611),.b(x586),.c(x563),.d(x560),.e(x557),.f(1'b0)); // 5 ins 1 outs + + xor6 x15i (.out(x15),.a(x612),.b(x573),.c(x587),.d(x570),.e(x561),.f(x560)); // 6 ins 1 outs + + xor6 x14i (.out(x14),.a(x585),.b(x562),.c(x613),.d(x567),.e(x558),.f(1'b0)); // 5 ins 1 outs + + xor6 x13i (.out(x13),.a(x623),.b(x577),.c(x565),.d(x592),.e(x559),.f(x558)); // 6 ins 1 outs + + xor6 x12i (.out(x12),.a(x593),.b(x624),.c(x557),.d(x556),.e(x565),.f(x572)); // 6 ins 1 outs + + xor6 x11i (.out(x11),.a(x614),.b(x559),.c(x582),.d(x568),.e(x557),.f(x556)); // 6 ins 1 outs + + xor6 x10i (.out(x10),.a(x615),.b(x592),.c(x573),.d(x563),.e(x571),.f(1'b0)); // 5 ins 1 outs + + xor6 x9i (.out(x9),.a(x598),.b(d9),.c(d13),.d(x579),.e(x572),.f(x561)); // 6 ins 1 outs + + xor6 x8i (.out(x8),.a(c4),.b(x579),.c(d4),.d(x576),.e(x564),.f(x571)); // 6 ins 1 outs + + xor6 x7i (.out(x7),.a(x599),.b(x625),.c(x559),.d(x561),.e(x564),.f(x575)); // 6 ins 1 outs + + xor6 x6i (.out(x6),.a(x600),.b(x626),.c(x558),.d(x586),.e(x560),.f(x566)); // 6 ins 1 outs + + xor6 x5i (.out(x5),.a(x601),.b(x627),.c(x571),.d(x561),.e(x565),.f(x580)); // 6 ins 1 outs + + xor6 x4i (.out(x4),.a(x594),.b(x628),.c(x560),.d(x557),.e(x562),.f(x570)); // 6 ins 1 outs + + xor6 x3i (.out(x3),.a(x602),.b(x577),.c(x629),.d(x556),.e(x558),.f(x567)); // 6 ins 1 outs + + xor6 x2i (.out(x2),.a(x630),.b(x573),.c(x558),.d(x576),.e(x595),.f(x565)); // 6 ins 1 outs + + xor6 x1i (.out(x1),.a(x631),.b(x568),.c(x565),.d(x557),.e(x583),.f(1'b0)); // 5 ins 1 outs + + xor6 x0i (.out(x0),.a(x616),.b(x573),.c(x596),.d(x569),.e(x557),.f(1'b0)); // 5 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat32_any_byte.v b/Advanced Synthesis Cookbook/crc/crc32_dat32_any_byte.v new file mode 100644 index 0000000..453f0ec --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat32_any_byte.v @@ -0,0 +1,121 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-03-2006 +// +// CRC32 of data with any size from 1 to 4 bytes (e.g. residues) +// the input data ports typically come from the same 32 bit +// register, but this is not a requirement. + +module crc32_dat32_any_byte ( + dat_size, + crc_in, + crc_out, + dat8,dat16,dat24,dat32 +); + +input [1:0] dat_size; +input [31:0] crc_in; + +output [31:0] crc_out; +wire [31:0] crc_out; + +input [7:0] dat8; +input [15:0] dat16; +input [23:0] dat24; +input [31:0] dat32; + +parameter METHOD = 1; // depth optimal factored +parameter REVERSE_DATA = 0; // Use LSB first + +// internal data signals +wire [7:0] dat8_w; +wire [15:0] dat16_w; +wire [23:0] dat24_w; +wire [31:0] dat32_w; + +////////////////////////////////////////////////////// +// Optional reversal of the data bits to do LSB +// of data 1st. No area cost +////////////////////////////////////////////////////// +genvar i; +generate +if (REVERSE_DATA) +begin + for (i=0; i<32; i=i+1) + begin : rev_32 + assign dat32_w[i] = dat32[31-i]; + end + for (i=0; i<24; i=i+1) + begin : rev_24 + assign dat24_w[i] = dat24[23-i]; + end + for (i=0; i<16; i=i+1) + begin : rev_16 + assign dat16_w[i] = dat16[15-i]; + end + for (i=0; i<8; i=i+1) + begin : rev_8 + assign dat8_w[i] = dat8[7-i]; + end +end +else +begin + // no reversal - pass along + assign dat32_w = dat32; + assign dat24_w = dat24; + assign dat16_w = dat16; + assign dat8_w = dat8; +end +endgenerate + +////////////////////////////////////////////////////// +// define a parallel array of CRC units for one to +// eight bytes of data. +////////////////////////////////////////////////////// + wire [31:0] co_a,co_b,co_c,co_d; + crc32_dat8 a (.crc_in (crc_in),.crc_out (co_a),.dat_in(dat8_w)); + crc32_dat16 b (.crc_in (crc_in),.crc_out (co_b),.dat_in(dat16_w)); + crc32_dat24 c (.crc_in (crc_in),.crc_out (co_c),.dat_in(dat24_w)); + crc32_dat32 d (.crc_in (crc_in),.crc_out (co_d),.dat_in(dat32_w)); + + defparam a .METHOD = METHOD; + defparam b .METHOD = METHOD; + defparam c .METHOD = METHOD; + defparam d .METHOD = METHOD; + +////////////////////////////////////////////////////// +// select the CRC output according to data width +////////////////////////////////////////////////////// +generate + for (i=0; i<32;i=i+1) + begin : parmux + wire [3:0] tmp_m; + assign tmp_m[0] = co_a[i]; + assign tmp_m[1] = co_b[i]; + assign tmp_m[2] = co_c[i]; + assign tmp_m[3] = co_d[i]; + assign crc_out[i] = tmp_m[dat_size]; + end +endgenerate + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat40.v b/Advanced Synthesis Cookbook/crc/crc32_dat40.v new file mode 100644 index 0000000..827ac1a --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat40.v @@ -0,0 +1,569 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 40 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222222223333333333 +// 01234567890123456789012345678901 0123456789012345678901234567890123456789 +// +// C00 = .XX.X...X.......XXX.XXXXX.X..X.. X.....X..XX.X...X.......XXX.XXXXX.X..X.. +// C01 = .X.XXX..XX......X..XX....XXX.XX. XX....XX.X.XXX..XX......X..XX....XXX.XX. +// C02 = XX...XX.XXX.....X.X...XXX..XXXXX XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX +// C03 = XXX...XX.XXX.....X.X...XXX..XXXX .XXX...XXXX...XX.XXX.....X.X...XXX..XXXX +// C04 = X..XX..X..XXX...XX...XXX.X....XX X.XXX.X.X..XX..X..XXX...XX...XXX.X....XX +// C05 = ..X..X.....XXX..X...XX.......X.X XX.XXXXX..X..X.....XXX..X...XX.......X.X +// C06 = X..X..X.....XXX..X...XX.......X. .XX.XXXXX..X..X.....XXX..X...XX.......X. +// C07 = X.X....XX....XXXXX..XX..X.X..X.X X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X +// C08 = X.XXX....X....XX....X..XXXXX.XX. XX.XX...X.XXX....X....XX....X..XXXXX.XX. +// C09 = .X.XXX....X....XX....X..XXXXX.XX .XX.XX...X.XXX....X....XX....X..XXXXX.XX +// C10 = .X...XX.X..X......X.XX.XXX.XX..X X.XX.X...X...XX.X..X......X.XX.XXX.XX..X +// C11 = .X..X.XXXX..X...XXXXX..X.X..X... XX.XX....X..X.XXXX..X...XXXXX..X.X..X... +// C12 = .X..XX.X.XX..X..X..X..XX........ XXX.XXX..X..XX.X.XX..X..X..X..XX........ +// C13 = ..X..XX.X.XX..X..X..X..XX....... .XXX.XXX..X..XX.X.XX..X..X..X..XX....... +// C14 = X..X..XX.X.XX..X..X..X..XX...... ..XXX.XXX..X..XX.X.XX..X..X..X..XX...... +// C15 = XX..X..XX.X.XX..X..X..X..XX..... ...XXX.XXX..X..XX.X.XX..X..X..X..XX..... +// C16 = X...XX...X.X.XX.X.X..XX.X..X.X.. X...XX..X...XX...X.X.XX.X.X..XX.X..X.X.. +// C17 = .X...XX...X.X.XX.X.X..XX.X..X.X. .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X. +// C18 = ..X...XX...X.X.XX.X.X..XX.X..X.X ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X +// C19 = X..X...XX...X.X.XX.X.X..XX.X..X. ...X...XX..X...XX...X.X.XX.X.X..XX.X..X. +// C20 = XX..X...XX...X.X.XX.X.X..XX.X..X ....X...XX..X...XX...X.X.XX.X.X..XX.X..X +// C21 = .XX..X...XX...X.X.XX.X.X..XX.X.. .....X...XX..X...XX...X.X.XX.X.X..XX.X.. +// C22 = .X.XX.X.X.XX...XX.XX.X.X..XXXXX. X........X.XX.X.X.XX...XX.XX.X.X..XXXXX. +// C23 = .X...X.XXX.XX.....XX.X.X..XXX.XX XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX +// C24 = ..X...X.XXX.XX.....XX.X.X..XXX.X .XX....X..X...X.XXX.XX.....XX.X.X..XXX.X +// C25 = X..X...X.XXX.XX.....XX.X.X..XXX. ..XX....X..X...X.XXX.XX.....XX.X.X..XXX. +// C26 = ..X.......XXX.XXXXX.X..X......XX X..XX.X...X.......XXX.XXXXX.X..X......XX +// C27 = ...X.......XXX.XXXXX.X..X......X .X..XX.X...X.......XXX.XXXXX.X..X......X +// C28 = X...X.......XXX.XXXXX.X..X...... ..X..XX.X...X.......XXX.XXXXX.X..X...... +// C29 = .X...X.......XXX.XXXXX.X..X..... ...X..XX.X...X.......XXX.XXXXX.X..X..... +// C30 = X.X...X.......XXX.XXXXX.X..X.... ....X..XX.X...X.......XXX.XXXXX.X..X.... +// C31 = XX.X...X.......XXX.XXXXX.X..X... .....X..XX.X...X.......XXX.XXXXX.X..X... +// +module crc32_dat40 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [39:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat40_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat40_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat40_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [39:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39; + +assign { d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [39:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x7 = c16 ^ d34 ^ d37 ^ d10 ^ c26 ^ d29 ^ c17 ^ d21 ^ d15 ^ + d32 ^ c14 ^ c8 ^ c31 ^ d16 ^ d5 ^ c7 ^ d0 ^ d3 ^ d39 ^ + d8 ^ c15 ^ d28 ^ d2 ^ c20 ^ c0 ^ d22 ^ d23 ^ c13 ^ c29 ^ + d25 ^ c2 ^ c21 ^ d24 ^ c24 ^ d7; // 35 ins 1 outs + + assign x6 = d7 ^ c0 ^ d2 ^ c3 ^ d29 ^ c22 ^ d38 ^ d5 ^ d30 ^ + d20 ^ c30 ^ c6 ^ d1 ^ d25 ^ c21 ^ c17 ^ d14 ^ c13 ^ d4 ^ + d8 ^ d22 ^ d11 ^ c12 ^ d21 ^ c14 ^ d6; // 26 ins 1 outs + + assign x5 = d28 ^ c29 ^ c2 ^ c16 ^ d13 ^ c21 ^ d24 ^ d4 ^ d29 ^ + d19 ^ d10 ^ d37 ^ c13 ^ c20 ^ d7 ^ d6 ^ d1 ^ c12 ^ c31 ^ + d20 ^ d0 ^ d39 ^ d21 ^ c5 ^ c11 ^ d3 ^ d5; // 27 ins 1 outs + + assign x4 = d25 ^ c3 ^ c17 ^ c21 ^ d11 ^ d33 ^ d18 ^ d39 ^ d24 ^ + d29 ^ d6 ^ d3 ^ c16 ^ c31 ^ c23 ^ c12 ^ d38 ^ d8 ^ d12 ^ + c10 ^ d30 ^ d0 ^ c4 ^ c22 ^ c11 ^ d20 ^ c30 ^ d31 ^ d15 ^ + d19 ^ c25 ^ d2 ^ c7 ^ c0 ^ d4; // 35 ins 1 outs + + assign x3 = d31 ^ c24 ^ c17 ^ c25 ^ d10 ^ d1 ^ d18 ^ c0 ^ d2 ^ + d33 ^ c19 ^ c6 ^ d36 ^ d14 ^ d27 ^ d8 ^ d19 ^ d15 ^ c29 ^ + c28 ^ c10 ^ d25 ^ d32 ^ d37 ^ d39 ^ c30 ^ d17 ^ c2 ^ c11 ^ + c23 ^ c9 ^ d38 ^ c31 ^ d9 ^ c7 ^ d7 ^ c1 ^ d3; // 38 ins 1 outs + + assign x2 = d31 ^ d13 ^ d38 ^ c8 ^ c27 ^ d35 ^ c5 ^ d1 ^ c31 ^ + d14 ^ c1 ^ c30 ^ d7 ^ c22 ^ c9 ^ d37 ^ c10 ^ c16 ^ c28 ^ + d8 ^ c6 ^ d17 ^ d0 ^ d36 ^ c18 ^ d24 ^ d32 ^ d26 ^ c24 ^ + d16 ^ d30 ^ c29 ^ d6 ^ d9 ^ d18 ^ d39 ^ c23 ^ c0 ^ d2; // 39 ins 1 outs + + assign x1 = c20 ^ d28 ^ c4 ^ d0 ^ d37 ^ d24 ^ c25 ^ d12 ^ d6 ^ + d9 ^ d7 ^ c29 ^ c1 ^ c19 ^ d33 ^ c3 ^ c26 ^ d13 ^ d38 ^ + c9 ^ c8 ^ d34 ^ d17 ^ d11 ^ c30 ^ d16 ^ d27 ^ c16 ^ c27 ^ + d35 ^ c5 ^ d1; // 32 ins 1 outs + + assign x0 = d31 ^ d30 ^ d12 ^ c23 ^ c16 ^ d34 ^ c8 ^ d29 ^ d9 ^ + d6 ^ d37 ^ d10 ^ c24 ^ d24 ^ c21 ^ c2 ^ c17 ^ c1 ^ d25 ^ + c29 ^ d32 ^ c26 ^ d26 ^ c18 ^ c20 ^ d28 ^ d16 ^ c22 ^ c4 ^ + d0; // 30 ins 1 outs + + assign x31 = d24 ^ d15 ^ c25 ^ c22 ^ c23 ^ d8 ^ d25 ^ c19 ^ d30 ^ + d27 ^ c17 ^ c16 ^ d33 ^ d29 ^ c21 ^ d28 ^ d31 ^ c15 ^ d11 ^ + c0 ^ c20 ^ c7 ^ d23 ^ d9 ^ c1 ^ d5 ^ c3 ^ d36 ^ c28; // 29 ins 1 outs + + assign x30 = d29 ^ c15 ^ d32 ^ d7 ^ c0 ^ c21 ^ d10 ^ c22 ^ d24 ^ + d4 ^ d30 ^ c2 ^ d35 ^ d8 ^ d14 ^ c16 ^ d26 ^ c14 ^ c18 ^ + c27 ^ d27 ^ d28 ^ c6 ^ c19 ^ c24 ^ c20 ^ d22 ^ d23; // 28 ins 1 outs + + assign x29 = d9 ^ d28 ^ d29 ^ c20 ^ d23 ^ c15 ^ d13 ^ d3 ^ c1 ^ + d22 ^ d34 ^ c23 ^ c5 ^ c26 ^ d6 ^ d25 ^ c13 ^ c18 ^ c17 ^ + d26 ^ d27 ^ d31 ^ c14 ^ d7 ^ c21 ^ c19 ^ d21; // 27 ins 1 outs + + assign x28 = d33 ^ c25 ^ d12 ^ d2 ^ c16 ^ d26 ^ d21 ^ c13 ^ c18 ^ + d25 ^ c17 ^ d28 ^ d5 ^ c20 ^ d27 ^ c12 ^ d24 ^ d8 ^ d22 ^ + c4 ^ d30 ^ c22 ^ c19 ^ d6 ^ d20 ^ c14 ^ c0; // 27 ins 1 outs + + assign x27 = d29 ^ d26 ^ d11 ^ d4 ^ c18 ^ c17 ^ c31 ^ c16 ^ d24 ^ + c24 ^ c3 ^ c15 ^ d23 ^ c11 ^ d5 ^ c12 ^ c19 ^ d21 ^ d20 ^ + d25 ^ d32 ^ d19 ^ d7 ^ d39 ^ c13 ^ d27 ^ c21 ^ d1; // 28 ins 1 outs + + assign x26 = d31 ^ d6 ^ c11 ^ d24 ^ c17 ^ d28 ^ d0 ^ d22 ^ d3 ^ + c20 ^ c15 ^ d25 ^ c18 ^ c16 ^ c14 ^ c10 ^ c23 ^ d26 ^ c2 ^ + c30 ^ d39 ^ d38 ^ d10 ^ d4 ^ d18 ^ d19 ^ d20 ^ c31 ^ c12 ^ + d23; // 30 ins 1 outs + + assign x25 = d31 ^ d36 ^ c20 ^ c23 ^ d11 ^ c0 ^ d19 ^ d15 ^ c25 ^ + d37 ^ c30 ^ c10 ^ c14 ^ c13 ^ d8 ^ c28 ^ c7 ^ d2 ^ d18 ^ + d22 ^ d17 ^ d29 ^ c9 ^ c3 ^ d38 ^ c29 ^ d21 ^ d28 ^ d3 ^ + d33 ^ c21 ^ c11; // 32 ins 1 outs + + assign x24 = c22 ^ c9 ^ d30 ^ d36 ^ c20 ^ c24 ^ d37 ^ d17 ^ c19 ^ + d35 ^ d20 ^ d16 ^ d14 ^ c29 ^ d32 ^ c31 ^ c8 ^ c12 ^ d21 ^ + d7 ^ d28 ^ c10 ^ d39 ^ d10 ^ d1 ^ d18 ^ c6 ^ c27 ^ d27 ^ + d2 ^ c13 ^ c2 ^ c28; // 33 ins 1 outs + + assign x23 = c1 ^ d13 ^ d38 ^ c21 ^ c30 ^ d6 ^ d36 ^ c12 ^ d17 ^ + d16 ^ d19 ^ d31 ^ c28 ^ d15 ^ d27 ^ d1 ^ d9 ^ c31 ^ d29 ^ + c19 ^ c11 ^ d0 ^ d20 ^ c9 ^ c8 ^ d34 ^ c5 ^ c7 ^ c18 ^ + c23 ^ d35 ^ c27 ^ d26 ^ c26 ^ d39; // 35 ins 1 outs + + assign x22 = d12 ^ c29 ^ d38 ^ c11 ^ d37 ^ c3 ^ c10 ^ d35 ^ d31 ^ + c18 ^ d14 ^ d0 ^ d26 ^ c6 ^ d27 ^ c21 ^ c30 ^ c4 ^ d16 ^ + d19 ^ d24 ^ d9 ^ d36 ^ c27 ^ c1 ^ c26 ^ d29 ^ d11 ^ c28 ^ + d23 ^ c19 ^ d18 ^ c8 ^ d34 ^ c16 ^ c15 ^ c23; // 37 ins 1 outs + + assign x21 = c10 ^ d34 ^ d9 ^ c2 ^ c21 ^ d29 ^ d17 ^ c23 ^ c19 ^ + d13 ^ c5 ^ d27 ^ d18 ^ c18 ^ c16 ^ d31 ^ c29 ^ d26 ^ c26 ^ + c1 ^ d5 ^ c9 ^ c27 ^ d10 ^ d35 ^ d37 ^ c14 ^ d22 ^ d24; // 29 ins 1 outs + + assign x20 = d34 ^ d17 ^ c22 ^ d39 ^ c31 ^ c20 ^ d8 ^ c1 ^ c28 ^ + d28 ^ c17 ^ d12 ^ c4 ^ c9 ^ d30 ^ d33 ^ c25 ^ c8 ^ c13 ^ + d23 ^ d36 ^ c18 ^ c0 ^ d25 ^ d4 ^ c15 ^ d26 ^ d9 ^ c26 ^ + d21 ^ d16; // 31 ins 1 outs + + assign x19 = d16 ^ c19 ^ d38 ^ c30 ^ d15 ^ d7 ^ c0 ^ c24 ^ c16 ^ + c25 ^ d27 ^ d11 ^ c3 ^ c12 ^ d33 ^ d25 ^ d24 ^ c8 ^ c21 ^ + d22 ^ d3 ^ c27 ^ c7 ^ d35 ^ d29 ^ d8 ^ c14 ^ c17 ^ d32 ^ + d20; // 30 ins 1 outs + + assign x18 = d14 ^ d15 ^ d26 ^ c29 ^ c7 ^ d10 ^ c20 ^ c15 ^ c24 ^ + c2 ^ d6 ^ d34 ^ c31 ^ c23 ^ d32 ^ c13 ^ d24 ^ d2 ^ d28 ^ + d21 ^ d31 ^ c11 ^ d7 ^ c18 ^ d19 ^ d39 ^ c26 ^ c6 ^ c16 ^ + d23 ^ d37; // 31 ins 1 outs + + assign x17 = d27 ^ c28 ^ d36 ^ d30 ^ d5 ^ c22 ^ d9 ^ d38 ^ c23 ^ + c30 ^ c1 ^ c10 ^ c6 ^ d14 ^ d6 ^ c25 ^ d13 ^ d22 ^ d33 ^ + d20 ^ c12 ^ d1 ^ c14 ^ c19 ^ c5 ^ d25 ^ d23 ^ c17 ^ d31 ^ + c15 ^ d18; // 31 ins 1 outs + + assign x16 = c27 ^ c16 ^ d13 ^ d32 ^ d8 ^ d4 ^ c18 ^ c11 ^ d26 ^ + d17 ^ d22 ^ c0 ^ d29 ^ c5 ^ c14 ^ d37 ^ d21 ^ d19 ^ d0 ^ + c21 ^ d12 ^ d5 ^ c29 ^ c4 ^ c9 ^ c22 ^ d24 ^ c24 ^ d35 ^ + d30 ^ c13; // 31 ins 1 outs + + assign x15 = d34 ^ d9 ^ c19 ^ d16 ^ d7 ^ d21 ^ c10 ^ d24 ^ d4 ^ + d5 ^ d18 ^ d33 ^ c25 ^ c26 ^ d12 ^ d15 ^ d20 ^ c12 ^ c13 ^ + d27 ^ c4 ^ c8 ^ c7 ^ c16 ^ d8 ^ c22 ^ d30 ^ c0 ^ d3 ^ + c1; // 30 ins 1 outs + + assign x14 = d32 ^ c0 ^ d2 ^ d20 ^ d4 ^ d33 ^ d26 ^ d15 ^ d3 ^ + d14 ^ d23 ^ c18 ^ c7 ^ c3 ^ c9 ^ d6 ^ c15 ^ c6 ^ c24 ^ + d29 ^ c12 ^ d8 ^ c21 ^ c11 ^ d19 ^ d7 ^ d17 ^ d11 ^ c25; // 29 ins 1 outs + + assign x13 = d13 ^ d19 ^ c10 ^ c2 ^ d25 ^ d18 ^ d32 ^ d7 ^ c8 ^ + c17 ^ d22 ^ d1 ^ d10 ^ d3 ^ d2 ^ c23 ^ c24 ^ d31 ^ d28 ^ + c6 ^ c20 ^ d6 ^ c11 ^ d14 ^ c14 ^ c5 ^ d16 ^ d5; // 28 ins 1 outs + + assign x12 = d24 ^ d18 ^ c16 ^ c23 ^ d12 ^ d2 ^ d6 ^ d1 ^ c1 ^ + c10 ^ d13 ^ d21 ^ c19 ^ d17 ^ d0 ^ d27 ^ d15 ^ c7 ^ c5 ^ + d9 ^ d30 ^ d4 ^ d31 ^ c9 ^ d5 ^ c4 ^ c22 ^ c13; // 28 ins 1 outs + + assign x11 = d0 ^ c8 ^ c25 ^ d4 ^ d14 ^ d9 ^ c16 ^ d1 ^ d25 ^ + c23 ^ c6 ^ d3 ^ c28 ^ d17 ^ d27 ^ d16 ^ d31 ^ d33 ^ d36 ^ + c19 ^ d12 ^ c1 ^ d15 ^ d24 ^ c17 ^ c9 ^ d20 ^ c4 ^ c12 ^ + c7 ^ d28 ^ c20 ^ c18 ^ d26; // 34 ins 1 outs + + assign x10 = d36 ^ d5 ^ d35 ^ d39 ^ d9 ^ c24 ^ d19 ^ c6 ^ c11 ^ + d31 ^ c28 ^ c1 ^ c23 ^ c25 ^ d29 ^ c21 ^ d3 ^ d32 ^ c8 ^ + c27 ^ d16 ^ d13 ^ d28 ^ d14 ^ c5 ^ c31 ^ d0 ^ d33 ^ d2 ^ + c20 ^ c18 ^ d26; // 32 ins 1 outs + + assign x9 = d29 ^ d39 ^ c26 ^ d36 ^ d5 ^ d23 ^ c30 ^ c31 ^ d38 ^ + d13 ^ d24 ^ c25 ^ c28 ^ d34 ^ c1 ^ c10 ^ c15 ^ c21 ^ d2 ^ + d18 ^ d12 ^ c3 ^ d32 ^ c16 ^ d33 ^ c24 ^ c4 ^ d4 ^ d11 ^ + d1 ^ c5 ^ d35 ^ c27 ^ d9; // 34 ins 1 outs + + assign x8 = d28 ^ d35 ^ d34 ^ c24 ^ c26 ^ d32 ^ c25 ^ c2 ^ c23 ^ + c20 ^ d1 ^ d10 ^ c0 ^ c27 ^ d22 ^ d0 ^ d4 ^ d12 ^ d31 ^ + c30 ^ d11 ^ d17 ^ c4 ^ c9 ^ d38 ^ c29 ^ d23 ^ d3 ^ c15 ^ + d37 ^ c3 ^ d33 ^ c14 ^ d8; // 34 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat40_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [39:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x123, x122, x121, x120, x119, x118, x117, + x116, x115, x114, x113, x112, x111, x110, x109, + x108, x107, x106, x105, x104, x103, x102, x101, + x100, x99, x98, x97, x96, x95, x94, x93, + x92, x91, x90, x89, x88, x87, x86, x85, + x84, x83, x82, x81, x80, x79, x78, x77, + x76, x75, x74, x73, x72, x71, x70, x69, + x68, x67, x66, x65, x64, x63, x62, x61, + x60, x59, x58, x57, x56, x55, x54, x53, + x52, x51, x50, x49, x48, x47, x46, x45, + x44, x43, x42, x41, x40, x39, x38, x37, + x36, x35, x34, x33, x32, x7, x6, x5, + x4, x3, x2, x1, x0, x31, x30, x29, + x28, x27, x26, x25, x24, x23, x22, x21, + x20, x19, x18, x17, x16, x15, x14, x13, + x12, x11, x10, x9, x8; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39; + +assign { d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [39:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x123i (.out(x123),.a(d12),.b(d31),.c(c23),.d(c24),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x122i (.out(x122),.a(d9),.b(d2),.c(x96),.d(x33),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x121i (.out(x121),.a(x55),.b(c8),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x120i (.out(x120),.a(d16),.b(d1),.c(d7),.d(d6),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x119i (.out(x119),.a(d16),.b(d1),.c(x94),.d(d20),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x118i (.out(x118),.a(x34),.b(c8),.c(d23),.d(d19),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x117i (.out(x117),.a(x36),.b(d4),.c(c10),.d(d16),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x116i (.out(x116),.a(c3),.b(c14),.c(x93),.d(x48),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x115i (.out(x115),.a(d14),.b(c18),.c(x92),.d(d33),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x114i (.out(x114),.a(x48),.b(x63),.c(d5),.d(c24),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x113i (.out(x113),.a(x35),.b(d16),.c(d5),.d(c15),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x112i (.out(x112),.a(c27),.b(c19),.c(x87),.d(x51),.e(d18),.f(1'b0)); // 5 ins 1 outs + + xor6 x111i (.out(x111),.a(d14),.b(d6),.c(x41),.d(d20),.e(d39),.f(1'b0)); // 5 ins 1 outs + + xor6 x110i (.out(x110),.a(c2),.b(c18),.c(x32),.d(d26),.e(c26),.f(1'b0)); // 5 ins 1 outs + + xor6 x109i (.out(x109),.a(d15),.b(d14),.c(x55),.d(x48),.e(c30),.f(1'b0)); // 5 ins 1 outs + + xor6 x108i (.out(x108),.a(x84),.b(c17),.c(x45),.d(x33),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x107i (.out(x107),.a(d6),.b(x32),.c(c15),.d(x63),.e(c17),.f(1'b0)); // 5 ins 1 outs + + xor6 x106i (.out(x106),.a(x82),.b(x51),.c(x38),.d(c28),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x105i (.out(x105),.a(c6),.b(c30),.c(d38),.d(d32),.e(d6),.f(1'b0)); // 5 ins 1 outs + + xor6 x104i (.out(x104),.a(c12),.b(d4),.c(c4),.d(x51),.e(d20),.f(1'b0)); // 5 ins 1 outs + + xor6 x103i (.out(x103),.a(d35),.b(x41),.c(d4),.d(x37),.e(c6),.f(1'b0)); // 5 ins 1 outs + + xor6 x102i (.out(x102),.a(d10),.b(c2),.c(d35),.d(d25),.e(x38),.f(1'b0)); // 5 ins 1 outs + + xor6 x101i (.out(x101),.a(d11),.b(x50),.c(x33),.d(c3),.e(x72),.f(1'b0)); // 5 ins 1 outs + + xor6 x100i (.out(x100),.a(c6),.b(c17),.c(c19),.d(c25),.e(x34),.f(1'b0)); // 5 ins 1 outs + + xor6 x99i (.out(x99),.a(x46),.b(x42),.c(x55),.d(c17),.e(d2),.f(1'b0)); // 5 ins 1 outs + + xor6 x98i (.out(x98),.a(c20),.b(d23),.c(d28),.d(c1),.e(x35),.f(1'b0)); // 5 ins 1 outs + + xor6 x97i (.out(x97),.a(d1),.b(d3),.c(x44),.d(d19),.e(x47),.f(1'b0)); // 5 ins 1 outs + + xor6 x96i (.out(x96),.a(d4),.b(d36),.c(d23),.d(c1),.e(d32),.f(1'b0)); // 5 ins 1 outs + + xor6 x95i (.out(x95),.a(c18),.b(d26),.c(x50),.d(c19),.e(c11),.f(1'b0)); // 5 ins 1 outs + + xor6 x94i (.out(x94),.a(c30),.b(d38),.c(d25),.d(c12),.e(d27),.f(1'b0)); // 5 ins 1 outs + + xor6 x93i (.out(x93),.a(c13),.b(d11),.c(d36),.d(d21),.e(c17),.f(1'b0)); // 5 ins 1 outs + + xor6 x92i (.out(x92),.a(d26),.b(d27),.c(c14),.d(d22),.e(d2),.f(1'b0)); // 5 ins 1 outs + + xor6 x91i (.out(x91),.a(c5),.b(c19),.c(d6),.d(x32),.e(d27),.f(1'b0)); // 5 ins 1 outs + + xor6 x90i (.out(x90),.a(c0),.b(d32),.c(d8),.d(x41),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x89i (.out(x89),.a(c30),.b(d38),.c(d0),.d(x36),.e(x45),.f(1'b0)); // 5 ins 2 outs + + xor6 x88i (.out(x88),.a(x42),.b(d23),.c(c23),.d(d31),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x87i (.out(x87),.a(d14),.b(c17),.c(c10),.d(d7),.e(d6),.f(1'b0)); // 5 ins 1 outs + + xor6 x86i (.out(x86),.a(c6),.b(c24),.c(x38),.d(c11),.e(x37),.f(1'b0)); // 5 ins 1 outs + + xor6 x85i (.out(x85),.a(d18),.b(d36),.c(d39),.d(d28),.e(x37),.f(1'b0)); // 5 ins 1 outs + + xor6 x84i (.out(x84),.a(d25),.b(d32),.c(c19),.d(c31),.e(d19),.f(1'b0)); // 5 ins 1 outs + + xor6 x83i (.out(x83),.a(d23),.b(x33),.c(d25),.d(x45),.e(d28),.f(1'b0)); // 5 ins 1 outs + + xor6 x82i (.out(x82),.a(d5),.b(c4),.c(d1),.d(d30),.e(c22),.f(1'b0)); // 5 ins 1 outs + + xor6 x81i (.out(x81),.a(c31),.b(x63),.c(c6),.d(c12),.e(c28),.f(1'b0)); // 5 ins 1 outs + + xor6 x80i (.out(x80),.a(c4),.b(x51),.c(c7),.d(d39),.e(d15),.f(1'b0)); // 5 ins 2 outs + + xor6 x79i (.out(x79),.a(x36),.b(d10),.c(d27),.d(d34),.e(c24),.f(1'b0)); // 5 ins 1 outs + + xor6 x78i (.out(x78),.a(d38),.b(c7),.c(x47),.d(d12),.e(x40),.f(1'b0)); // 5 ins 1 outs + + xor6 x77i (.out(x77),.a(x42),.b(c11),.c(d7),.d(d39),.e(x36),.f(1'b0)); // 5 ins 1 outs + + xor6 x76i (.out(x76),.a(c8),.b(d32),.c(d35),.d(x45),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x75i (.out(x75),.a(d17),.b(x44),.c(c28),.d(x50),.e(c9),.f(1'b0)); // 5 ins 2 outs + + xor6 x74i (.out(x74),.a(x35),.b(d25),.c(d4),.d(d12),.e(1'b0),.f(1'b0)); // 4 ins 4 outs + + xor6 x73i (.out(x73),.a(d3),.b(c20),.c(x48),.d(d7),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x72i (.out(x72),.a(c24),.b(d20),.c(d6),.d(c11),.e(d30),.f(1'b0)); // 5 ins 2 outs + + xor6 x71i (.out(x71),.a(d23),.b(d2),.c(c21),.d(x45),.e(d29),.f(1'b0)); // 5 ins 2 outs + + xor6 x70i (.out(x70),.a(x36),.b(c20),.c(c31),.d(d22),.e(c28),.f(1'b0)); // 5 ins 1 outs + + xor6 x69i (.out(x69),.a(d0),.b(d39),.c(c8),.d(d2),.e(x46),.f(1'b0)); // 5 ins 2 outs + + xor6 x68i (.out(x68),.a(c1),.b(d27),.c(d16),.d(x40),.e(d9),.f(1'b0)); // 5 ins 2 outs + + xor6 x67i (.out(x67),.a(d30),.b(x46),.c(d27),.d(c22),.e(d23),.f(1'b0)); // 5 ins 2 outs + + xor6 x66i (.out(x66),.a(x47),.b(c0),.c(d8),.d(x36),.e(d19),.f(1'b0)); // 5 ins 2 outs + + xor6 x65i (.out(x65),.a(c25),.b(c28),.c(x47),.d(d33),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x64i (.out(x64),.a(d19),.b(x34),.c(c31),.d(d28),.e(d5),.f(1'b0)); // 5 ins 2 outs + + xor6 x63i (.out(x63),.a(d14),.b(d36),.c(c22),.d(c15),.e(d30),.f(1'b0)); // 5 ins 3 outs + + xor6 x62i (.out(x62),.a(x37),.b(d7),.c(x41),.d(c8),.e(d3),.f(1'b0)); // 5 ins 3 outs + + xor6 x61i (.out(x61),.a(d14),.b(c10),.c(d18),.d(d39),.e(x47),.f(1'b0)); // 5 ins 3 outs + + xor6 x60i (.out(x60),.a(c27),.b(d25),.c(c15),.d(x34),.e(c31),.f(1'b0)); // 5 ins 2 outs + + xor6 x59i (.out(x59),.a(c13),.b(d21),.c(d34),.d(x46),.e(c26),.f(1'b0)); // 5 ins 3 outs + + xor6 x58i (.out(x58),.a(d14),.b(c22),.c(d20),.d(d5),.e(x44),.f(1'b0)); // 5 ins 5 outs + + xor6 x57i (.out(x57),.a(c23),.b(d31),.c(d2),.d(d19),.e(x38),.f(1'b0)); // 5 ins 3 outs + + xor6 x56i (.out(x56),.a(x51),.b(d28),.c(c19),.d(d33),.e(c20),.f(1'b0)); // 5 ins 3 outs + + xor6 x55i (.out(x55),.a(d6),.b(c12),.c(d20),.d(c9),.e(d17),.f(1'b0)); // 5 ins 3 outs + + xor6 x54i (.out(x54),.a(c8),.b(x32),.c(x42),.d(x34),.e(c27),.f(1'b0)); // 5 ins 3 outs + + xor6 x53i (.out(x53),.a(c15),.b(d32),.c(d7),.d(x40),.e(c4),.f(1'b0)); // 5 ins 4 outs + + xor6 x52i (.out(x52),.a(c19),.b(x33),.c(c27),.d(d22),.e(c14),.f(1'b0)); // 5 ins 4 outs + + xor6 x51i (.out(x51),.a(c16),.b(d6),.c(d24),.d(c4),.e(1'b0),.f(1'b0)); // 4 ins 5 outs + + xor6 x50i (.out(x50),.a(d0),.b(c12),.c(d39),.d(c4),.e(c22),.f(1'b0)); // 5 ins 3 outs + + xor6 x49i (.out(x49),.a(d37),.b(x37),.c(x33),.d(c29),.e(c31),.f(1'b0)); // 5 ins 5 outs + + xor6 x48i (.out(x48),.a(c21),.b(d29),.c(c11),.d(d35),.e(d28),.f(1'b0)); // 5 ins 4 outs + + xor6 x47i (.out(x47),.a(c5),.b(d39),.c(d5),.d(d13),.e(1'b0),.f(1'b0)); // 4 ins 5 outs + + xor6 x46i (.out(x46),.a(c15),.b(c18),.c(d14),.d(c11),.e(d26),.f(1'b0)); // 5 ins 4 outs + + xor6 x45i (.out(x45),.a(c3),.b(d1),.c(d11),.d(d7),.e(1'b0),.f(1'b0)); // 4 ins 5 outs + + xor6 x44i (.out(x44),.a(d30),.b(c13),.c(d4),.d(c12),.e(d21),.f(1'b0)); // 5 ins 4 outs + + xor6 x43i (.out(x43),.a(c7),.b(d3),.c(x35),.d(d15),.e(1'b0),.f(1'b0)); // 4 ins 9 outs + + xor6 x42i (.out(x42),.a(d32),.b(c24),.c(d2),.d(d19),.e(c6),.f(1'b0)); // 5 ins 4 outs + + xor6 x41i (.out(x41),.a(d22),.b(d23),.c(d25),.d(c17),.e(c14),.f(1'b0)); // 5 ins 5 outs + + xor6 x40i (.out(x40),.a(d12),.b(c26),.c(c8),.d(d34),.e(c27),.f(1'b0)); // 5 ins 4 outs + + xor6 x39i (.out(x39),.a(c28),.b(d27),.c(d1),.d(c19),.e(x32),.f(1'b0)); // 5 ins 8 outs + + xor6 x38i (.out(x38),.a(c30),.b(c31),.c(d38),.d(c10),.e(d18),.f(1'b0)); // 5 ins 6 outs + + xor6 x37i (.out(x37),.a(d28),.b(c20),.c(c2),.d(d7),.e(d10),.f(1'b0)); // 5 ins 5 outs + + xor6 x36i (.out(x36),.a(c9),.b(d37),.c(d17),.d(d35),.e(c29),.f(1'b0)); // 5 ins 6 outs + + xor6 x35i (.out(x35),.a(c17),.b(c25),.c(c0),.d(d33),.e(d8),.f(1'b0)); // 5 ins 5 outs + + xor6 x34i (.out(x34),.a(d0),.b(d36),.c(c18),.d(d26),.e(d16),.f(1'b0)); // 5 ins 5 outs + + xor6 x33i (.out(x33),.a(c21),.b(d24),.c(c24),.d(c16),.e(d29),.f(1'b0)); // 5 ins 7 outs + + xor6 x32i (.out(x32),.a(d9),.b(c23),.c(d31),.d(d14),.e(c1),.f(1'b0)); // 5 ins 5 outs + + xor6 x7i (.out(x7),.a(x113),.b(x43),.c(x90),.d(x69),.e(x59),.f(x49)); // 6 ins 1 outs + + xor6 x6i (.out(x6),.a(x105),.b(x90),.c(x71),.d(x58),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x5i (.out(x5),.a(d0),.b(x97),.c(x49),.d(x72),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x4i (.out(x4),.a(x101),.b(x43),.c(x74),.d(x35),.e(x57),.f(1'b0)); // 5 ins 1 outs + + xor6 x3i (.out(x3),.a(d36),.b(x77),.c(x43),.d(x39),.e(x102),.f(1'b0)); // 5 ins 1 outs + + xor6 x2i (.out(x2),.a(d7),.b(x106),.c(x54),.d(x66),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x1i (.out(x1),.a(c5),.b(c25),.c(x89),.d(x68),.e(x56),.f(d13)); // 6 ins 1 outs + + xor6 x0i (.out(x0),.a(x107),.b(x53),.c(x60),.d(x49),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x31i (.out(x31),.a(x83),.b(x43),.c(x73),.d(x114),.e(x39),.f(1'b0)); // 5 ins 1 outs + + xor6 x30i (.out(x30),.a(c11),.b(x90),.c(x103),.d(x52),.e(x67),.f(1'b0)); // 5 ins 1 outs + + xor6 x29i (.out(x29),.a(d13),.b(x91),.c(d35),.d(x73),.e(x41),.f(x59)); // 6 ins 1 outs + + xor6 x28i (.out(x28),.a(x115),.b(x56),.c(x58),.d(x74),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x27i (.out(x27),.a(x108),.b(d39),.c(x67),.d(x58),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x26i (.out(x26),.a(x104),.b(d14),.c(x57),.d(x69),.e(x62),.f(1'b0)); // 5 ins 1 outs + + xor6 x25i (.out(x25),.a(x70),.b(x43),.c(x116),.d(x57),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x24i (.out(x24),.a(x85),.b(x64),.c(x117),.d(x39),.e(x54),.f(x58)); // 6 ins 1 outs + + xor6 x23i (.out(x23),.a(x78),.b(x39),.c(x64),.d(x109),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x22i (.out(x22),.a(x86),.b(x49),.c(x76),.d(x39),.e(x118),.f(x53)); // 6 ins 1 outs + + xor6 x21i (.out(x21),.a(x79),.b(x110),.c(x61),.d(x52),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x20i (.out(x20),.a(d9),.b(x98),.c(x40),.d(x75),.e(x60),.f(1'b0)); // 5 ins 1 outs + + xor6 x19i (.out(x19),.a(x119),.b(x43),.c(x76),.d(x52),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x18i (.out(x18),.a(x88),.b(x80),.c(x33),.d(x49),.e(x59),.f(1'b0)); // 5 ins 1 outs + + xor6 x17i (.out(x17),.a(x81),.b(x38),.c(x65),.d(x39),.e(x111),.f(1'b0)); // 5 ins 1 outs + + xor6 x16i (.out(x16),.a(x95),.b(d32),.c(d12),.d(x44),.e(x52),.f(x66)); // 6 ins 1 outs + + xor6 x15i (.out(x15),.a(x112),.b(x43),.c(x58),.d(x68),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x14i (.out(x14),.a(x99),.b(d1),.c(d4),.d(x43),.e(x71),.f(1'b0)); // 5 ins 1 outs + + xor6 x13i (.out(x13),.a(x120),.b(c11),.c(x62),.d(x88),.e(x61),.f(1'b0)); // 5 ins 1 outs + + xor6 x12i (.out(x12),.a(d2),.b(x80),.c(d12),.d(x75),.e(x39),.f(x61)); // 6 ins 1 outs + + xor6 x11i (.out(x11),.a(x100),.b(x39),.c(x56),.d(x121),.e(x43),.f(x74)); // 6 ins 1 outs + + xor6 x10i (.out(x10),.a(x65),.b(d7),.c(x54),.d(x73),.e(c31),.f(1'b0)); // 5 ins 1 outs + + xor6 x9i (.out(x9),.a(x122),.b(x38),.c(x65),.d(x76),.e(x53),.f(1'b0)); // 5 ins 1 outs + + xor6 x8i (.out(x8),.a(x123),.b(x74),.c(x89),.d(x62),.e(x53),.f(1'b0)); // 5 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat48.v b/Advanced Synthesis Cookbook/crc/crc32_dat48.v new file mode 100644 index 0000000..51c4f11 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat48.v @@ -0,0 +1,629 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 48 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111112222222222333333333344444444 +// 01234567890123456789012345678901 012345678901234567890123456789012345678901234567 +// +// C00 = X.......XXX.XXXXX.X..X......XX.X X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.X +// C01 = XX......X..XX....XXX.XX.....X.XX XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX +// C02 = XXX.....X.X...XXX..XXXXX....X... XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X... +// C03 = .XXX.....X.X...XXX..XXXXX....X.. .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X.. +// C04 = ..XXX...XX...XXX.X....XXXX..XXXX X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXX +// C05 = ...XXX..X...XX.......X.XXXX.X.X. XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X. +// C06 = ....XXX..X...XX.......X.XXXX.X.X .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X +// C07 = X....XXXXX..XX..X.X..X.X.XXX.XXX X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX +// C08 = .X....XX....X..XXXXX.XX.X.XX.XX. XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX. +// C09 = ..X....XX....X..XXXXX.XX.X.XX.XX .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX +// C10 = X..X......X.XX.XXX.XX..XX.X..... X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X..... +// C11 = XX..X...XXXXX..X.X..X...XX.XXX.X XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.X +// C12 = .XX..X..X..X..XX.........XX...XX XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX +// C13 = X.XX..X..X..X..XX.........XX...X .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...X +// C14 = .X.XX..X..X..X..XX.........XX... ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX... +// C15 = X.X.XX..X..X..X..XX.........XX.. ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX.. +// C16 = .X.X.XX.X.X..XX.X..X.X......X.XX X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XX +// C17 = ..X.X.XX.X.X..XX.X..X.X......X.X .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.X +// C18 = ...X.X.XX.X.X..XX.X..X.X......X. ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X. +// C19 = X...X.X.XX.X.X..XX.X..X.X......X ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X +// C20 = XX...X.X.XX.X.X..XX.X..X.X...... ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X...... +// C21 = .XX...X.X.XX.X.X..XX.X..X.X..... .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X..... +// C22 = X.XX...XX.XX.X.X..XXXXX..X.XXX.X X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.X +// C23 = XX.XX.....XX.X.X..XXX.XX..X...XX XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX +// C24 = XXX.XX.....XX.X.X..XXX.XX..X...X .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...X +// C25 = .XXX.XX.....XX.X.X..XXX.XX..X... ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X... +// C26 = ..XXX.XXXXX.X..X......XX.XX.X..X X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..X +// C27 = ...XXX.XXXXX.X..X......XX.XX.X.. .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X.. +// C28 = ....XXX.XXXXX.X..X......XX.XX.X. ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X. +// C29 = .....XXX.XXXXX.X..X......XX.XX.X ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X +// C30 = ......XXX.XXXXX.X..X......XX.XX. ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX. +// C31 = .......XXX.XXXXX.X..X......XX.XX .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX +// +module crc32_dat48 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [47:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat48_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat48_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat48_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [47:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47; + +assign { d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [47:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x15 = c17 ^ c18 ^ d21 ^ d20 ^ c5 ^ d45 ^ d18 ^ d33 ^ d9 ^ + d12 ^ d5 ^ c11 ^ d27 ^ d4 ^ c8 ^ d15 ^ d24 ^ d8 ^ c14 ^ + d7 ^ d16 ^ d44 ^ c28 ^ d30 ^ d34 ^ c4 ^ c29 ^ c0 ^ c2 ^ + d3; // 30 ins 1 outs + + assign x14 = c13 ^ d2 ^ d11 ^ d43 ^ d29 ^ d26 ^ d6 ^ c3 ^ d44 ^ + d33 ^ c10 ^ c28 ^ d4 ^ d3 ^ d23 ^ d20 ^ d14 ^ d32 ^ d8 ^ + c16 ^ c17 ^ c7 ^ c4 ^ d15 ^ d19 ^ d17 ^ c1 ^ d7 ^ c27; // 29 ins 1 outs + + assign x13 = d31 ^ d1 ^ c12 ^ c15 ^ d32 ^ d7 ^ c2 ^ d25 ^ c6 ^ + c16 ^ d43 ^ d14 ^ d10 ^ d13 ^ c9 ^ d16 ^ d42 ^ d22 ^ c0 ^ + d6 ^ c27 ^ d47 ^ d5 ^ d3 ^ d2 ^ c3 ^ d18 ^ d19 ^ c26 ^ + d28 ^ c31; // 31 ins 1 outs + + assign x12 = d31 ^ d6 ^ d30 ^ d21 ^ c15 ^ d42 ^ d24 ^ d2 ^ c31 ^ + c8 ^ d1 ^ c5 ^ c26 ^ d12 ^ c11 ^ d18 ^ c2 ^ d17 ^ d0 ^ + d47 ^ c14 ^ c1 ^ c30 ^ d27 ^ d9 ^ d13 ^ d15 ^ d46 ^ d5 ^ + d4 ^ d41 ^ c25; // 32 ins 1 outs + + assign x11 = d47 ^ d3 ^ d40 ^ d9 ^ d26 ^ d44 ^ d45 ^ d15 ^ d43 ^ + c4 ^ d1 ^ d25 ^ c9 ^ c24 ^ c29 ^ c28 ^ d14 ^ c27 ^ d4 ^ + d41 ^ c31 ^ d33 ^ d12 ^ c25 ^ c17 ^ d20 ^ d17 ^ c15 ^ c1 ^ + c11 ^ d16 ^ d27 ^ d31 ^ d28 ^ c0 ^ d24 ^ c8 ^ c20 ^ c12 ^ + d36 ^ d0 ^ c10; // 42 ins 1 outs + + assign x10 = c12 ^ d26 ^ c20 ^ d42 ^ d35 ^ c26 ^ d2 ^ d29 ^ d0 ^ + d5 ^ d40 ^ d39 ^ d9 ^ c10 ^ c17 ^ c13 ^ d31 ^ c24 ^ d16 ^ + c16 ^ c23 ^ d3 ^ c3 ^ c15 ^ d28 ^ c0 ^ d19 ^ d32 ^ d33 ^ + d36 ^ d13 ^ d14 ^ c19; // 33 ins 1 outs + + assign x9 = c13 ^ c25 ^ d33 ^ c22 ^ c28 ^ c20 ^ c19 ^ c18 ^ c7 ^ + d39 ^ d13 ^ d23 ^ c30 ^ c31 ^ d36 ^ c17 ^ d1 ^ d35 ^ d38 ^ + d29 ^ c23 ^ d34 ^ c2 ^ d47 ^ d44 ^ d2 ^ d18 ^ d12 ^ d46 ^ + c8 ^ c16 ^ d11 ^ d32 ^ d24 ^ d5 ^ d4 ^ c27 ^ d43 ^ d41 ^ + d9; // 40 ins 1 outs + + assign x8 = c21 ^ d46 ^ d37 ^ d31 ^ c12 ^ d40 ^ d11 ^ c22 ^ c6 ^ + d3 ^ c18 ^ d35 ^ c24 ^ d10 ^ d1 ^ d33 ^ d22 ^ d17 ^ d42 ^ + d12 ^ c1 ^ d45 ^ d34 ^ c30 ^ c19 ^ d23 ^ d43 ^ c7 ^ c16 ^ + c15 ^ d0 ^ d32 ^ c26 ^ c17 ^ c27 ^ d4 ^ c29 ^ d28 ^ d38 ^ + d8; // 40 ins 1 outs + + assign x7 = d29 ^ c12 ^ c8 ^ c31 ^ d8 ^ c18 ^ c16 ^ d45 ^ d28 ^ + d21 ^ d47 ^ d32 ^ c23 ^ c27 ^ d10 ^ d25 ^ c9 ^ c30 ^ d7 ^ + d42 ^ d46 ^ d2 ^ c7 ^ c0 ^ d0 ^ c13 ^ d16 ^ d39 ^ c6 ^ + d37 ^ d15 ^ d41 ^ d24 ^ d5 ^ d34 ^ c29 ^ c5 ^ c21 ^ d22 ^ + c26 ^ d43 ^ c25 ^ d23 ^ d3; // 44 ins 1 outs + + assign x6 = c9 ^ d7 ^ c22 ^ d30 ^ d25 ^ d20 ^ d11 ^ d8 ^ c31 ^ + c26 ^ d45 ^ d21 ^ d42 ^ d6 ^ d1 ^ c24 ^ c29 ^ c6 ^ c14 ^ + d14 ^ c27 ^ c13 ^ d5 ^ d4 ^ d38 ^ d22 ^ d29 ^ d43 ^ d47 ^ + c4 ^ d41 ^ c25 ^ d40 ^ d2 ^ c5; // 35 ins 1 outs + + assign x5 = c21 ^ d46 ^ d28 ^ d1 ^ d24 ^ d10 ^ d37 ^ c8 ^ c12 ^ + d44 ^ c24 ^ d29 ^ d20 ^ c25 ^ d41 ^ d4 ^ d5 ^ c30 ^ c13 ^ + c28 ^ d0 ^ d39 ^ c3 ^ d6 ^ c4 ^ d7 ^ c26 ^ c5 ^ c23 ^ + d42 ^ d3 ^ d21 ^ d40 ^ d19 ^ d13; // 35 ins 1 outs + + assign x4 = c14 ^ d0 ^ d12 ^ d31 ^ d25 ^ d45 ^ d18 ^ d2 ^ c30 ^ + c2 ^ c29 ^ c23 ^ c9 ^ d44 ^ c13 ^ d19 ^ d6 ^ d24 ^ d47 ^ + d40 ^ c17 ^ c31 ^ c22 ^ d38 ^ c4 ^ d11 ^ d30 ^ c24 ^ d15 ^ + c8 ^ d33 ^ d46 ^ d8 ^ d29 ^ c28 ^ c3 ^ d39 ^ d3 ^ c15 ^ + d20 ^ c25 ^ d41 ^ d4; // 43 ins 1 outs + + assign x3 = c22 ^ d27 ^ c1 ^ d18 ^ d2 ^ c20 ^ c11 ^ d14 ^ d39 ^ + d9 ^ c21 ^ d10 ^ d38 ^ c29 ^ d15 ^ c15 ^ d40 ^ d32 ^ d1 ^ + c16 ^ d45 ^ d31 ^ c17 ^ d36 ^ d33 ^ d7 ^ c3 ^ c24 ^ d8 ^ + c23 ^ d17 ^ d25 ^ c2 ^ c9 ^ d19 ^ d3 ^ d37; // 37 ins 1 outs + + assign x2 = d24 ^ c15 ^ d30 ^ d6 ^ d0 ^ c28 ^ d31 ^ c19 ^ d17 ^ + c10 ^ c8 ^ c0 ^ c22 ^ c16 ^ c14 ^ d18 ^ d2 ^ c21 ^ d9 ^ + c2 ^ d26 ^ c23 ^ d38 ^ d7 ^ d32 ^ c1 ^ d1 ^ c20 ^ d16 ^ + d13 ^ d8 ^ d36 ^ d37 ^ d35 ^ d44 ^ d14 ^ d39; // 37 ins 1 outs + + assign x1 = c18 ^ d0 ^ c12 ^ d6 ^ d44 ^ c11 ^ d11 ^ d28 ^ d12 ^ + c21 ^ d46 ^ d16 ^ c17 ^ d13 ^ c0 ^ d24 ^ c28 ^ d35 ^ d7 ^ + d37 ^ d38 ^ d9 ^ d47 ^ c30 ^ d34 ^ c19 ^ c22 ^ d27 ^ c1 ^ + d17 ^ d33 ^ c31 ^ d1 ^ c8; // 34 ins 1 outs + + assign x0 = c28 ^ c13 ^ d10 ^ d26 ^ c18 ^ d9 ^ c14 ^ d47 ^ c10 ^ + d0 ^ d12 ^ d29 ^ c12 ^ c8 ^ c31 ^ c21 ^ c29 ^ d34 ^ d24 ^ + c0 ^ d28 ^ c15 ^ c16 ^ d31 ^ d25 ^ d45 ^ d30 ^ d6 ^ d44 ^ + d37 ^ d16 ^ c9 ^ d32; // 33 ins 1 outs + + assign x31 = d30 ^ d11 ^ d46 ^ d29 ^ c30 ^ d33 ^ d44 ^ c12 ^ d25 ^ + d24 ^ d27 ^ c14 ^ c28 ^ d23 ^ d15 ^ d9 ^ d36 ^ c31 ^ c20 ^ + d5 ^ c11 ^ d8 ^ d28 ^ d31 ^ c9 ^ c8 ^ c13 ^ c17 ^ c7 ^ + c15 ^ d43 ^ c27 ^ d47; // 33 ins 1 outs + + assign x30 = d35 ^ c19 ^ d10 ^ c16 ^ c29 ^ d46 ^ c11 ^ d45 ^ d4 ^ + c10 ^ d43 ^ c12 ^ d22 ^ d8 ^ d29 ^ c6 ^ d32 ^ d30 ^ c30 ^ + d14 ^ d24 ^ d27 ^ d23 ^ d7 ^ c13 ^ c27 ^ d28 ^ c26 ^ c14 ^ + c7 ^ d26 ^ c8 ^ d42; // 33 ins 1 outs + + assign x29 = d47 ^ d34 ^ c28 ^ c10 ^ d42 ^ d23 ^ d7 ^ d22 ^ c25 ^ + c6 ^ d27 ^ d25 ^ c15 ^ d9 ^ d21 ^ c5 ^ c31 ^ d31 ^ d26 ^ + d29 ^ d41 ^ c18 ^ c29 ^ c11 ^ c26 ^ c13 ^ d13 ^ d44 ^ c9 ^ + d6 ^ c12 ^ d3 ^ d45 ^ d28 ^ c7; // 35 ins 1 outs + + assign x28 = d33 ^ d21 ^ c14 ^ c30 ^ d25 ^ c17 ^ d46 ^ c12 ^ d6 ^ + d22 ^ c5 ^ c28 ^ c6 ^ c10 ^ d40 ^ d2 ^ d20 ^ c4 ^ d5 ^ + c9 ^ d12 ^ d41 ^ c11 ^ c25 ^ d26 ^ c8 ^ d27 ^ d8 ^ d44 ^ + c27 ^ d43 ^ d30 ^ d28 ^ d24 ^ c24; // 35 ins 1 outs + + assign x27 = d24 ^ d27 ^ c29 ^ c10 ^ d23 ^ d5 ^ d1 ^ c24 ^ c9 ^ + d20 ^ d39 ^ d19 ^ c3 ^ d45 ^ d25 ^ d26 ^ d29 ^ c4 ^ c8 ^ + d4 ^ d42 ^ c13 ^ c27 ^ c16 ^ c7 ^ d43 ^ d32 ^ d7 ^ d11 ^ + d21 ^ c11 ^ d40 ^ c23 ^ c5 ^ c26; // 35 ins 1 outs + + assign x26 = d26 ^ d0 ^ d19 ^ d3 ^ c31 ^ d44 ^ d24 ^ d10 ^ c10 ^ + d22 ^ d23 ^ c28 ^ c8 ^ c7 ^ d18 ^ c12 ^ c3 ^ c2 ^ c9 ^ + d42 ^ c15 ^ d4 ^ c23 ^ d6 ^ d25 ^ d31 ^ d28 ^ d47 ^ d41 ^ + d39 ^ c4 ^ c26 ^ c6 ^ d38 ^ c25 ^ d20 ^ c22; // 37 ins 1 outs + + assign x25 = d33 ^ c3 ^ c24 ^ c21 ^ c28 ^ d44 ^ d38 ^ d29 ^ c22 ^ + d17 ^ d2 ^ c2 ^ d18 ^ c1 ^ d28 ^ c6 ^ d22 ^ c17 ^ c25 ^ + d21 ^ d11 ^ d41 ^ d40 ^ c12 ^ d36 ^ d31 ^ d15 ^ c5 ^ d8 ^ + c20 ^ c13 ^ d37 ^ d3 ^ d19 ^ c15; // 35 ins 1 outs + + assign x24 = d27 ^ d17 ^ c12 ^ c31 ^ d18 ^ c27 ^ c14 ^ d39 ^ d21 ^ + d40 ^ d16 ^ d30 ^ c23 ^ c16 ^ c2 ^ c11 ^ c0 ^ d37 ^ c5 ^ + d32 ^ d7 ^ d28 ^ c20 ^ c21 ^ d20 ^ c4 ^ c19 ^ d36 ^ c24 ^ + d43 ^ d35 ^ d14 ^ d1 ^ c1 ^ d47 ^ d10 ^ d2; // 37 ins 1 outs + + assign x23 = c31 ^ d46 ^ d13 ^ d31 ^ d42 ^ d35 ^ d16 ^ d34 ^ d15 ^ + c15 ^ d9 ^ c23 ^ d0 ^ c3 ^ d17 ^ c0 ^ d6 ^ d29 ^ c26 ^ + d20 ^ d36 ^ c10 ^ d47 ^ d38 ^ d39 ^ c4 ^ c18 ^ c1 ^ d1 ^ + d19 ^ c20 ^ d26 ^ d27 ^ c22 ^ c19 ^ c13 ^ c30 ^ c11; // 38 ins 1 outs + + assign x22 = c28 ^ d26 ^ c18 ^ d47 ^ c10 ^ d0 ^ d18 ^ c22 ^ c13 ^ + c0 ^ d38 ^ d41 ^ d9 ^ d23 ^ d11 ^ c15 ^ d16 ^ c27 ^ c7 ^ + c3 ^ d37 ^ d14 ^ d44 ^ c2 ^ c19 ^ c20 ^ d43 ^ d29 ^ d35 ^ + d36 ^ d45 ^ d31 ^ c11 ^ d27 ^ d24 ^ d34 ^ c29 ^ c21 ^ c31 ^ + d19 ^ c25 ^ c8 ^ d12; // 43 ins 1 outs + + assign x21 = c1 ^ d27 ^ c10 ^ c2 ^ c13 ^ d26 ^ d40 ^ c21 ^ c8 ^ + d34 ^ d42 ^ d9 ^ d18 ^ c26 ^ d10 ^ c18 ^ c24 ^ c19 ^ d17 ^ + d37 ^ d31 ^ c6 ^ c15 ^ d35 ^ d29 ^ d5 ^ c11 ^ d22 ^ d24 ^ + d13; // 30 ins 1 outs + + assign x20 = d16 ^ d26 ^ d34 ^ c23 ^ c18 ^ d33 ^ d28 ^ d9 ^ c1 ^ + c10 ^ d21 ^ c17 ^ c14 ^ d25 ^ d8 ^ c12 ^ d23 ^ c7 ^ c5 ^ + d17 ^ c0 ^ d12 ^ d39 ^ d4 ^ d41 ^ c9 ^ c25 ^ d36 ^ c20 ^ + d30; // 30 ins 1 outs + + assign x19 = d8 ^ c8 ^ c22 ^ c17 ^ d22 ^ d7 ^ d3 ^ d38 ^ d16 ^ + c6 ^ d11 ^ c31 ^ d25 ^ d24 ^ c11 ^ c16 ^ d32 ^ c0 ^ d20 ^ + c19 ^ d29 ^ d33 ^ c24 ^ c9 ^ d40 ^ d27 ^ c4 ^ d15 ^ d47 ^ + c13 ^ d35; // 31 ins 1 outs + + assign x18 = c10 ^ c15 ^ c18 ^ d37 ^ c3 ^ c16 ^ d46 ^ d7 ^ d6 ^ + d28 ^ c23 ^ d32 ^ d15 ^ c21 ^ d26 ^ d39 ^ c12 ^ d19 ^ c30 ^ + d34 ^ d14 ^ c8 ^ d2 ^ d24 ^ d21 ^ d31 ^ d23 ^ c7 ^ c5 ^ + d10; // 30 ins 1 outs + + assign x17 = d30 ^ c6 ^ d6 ^ d47 ^ d14 ^ c20 ^ d23 ^ c11 ^ d25 ^ + c14 ^ c31 ^ c29 ^ d27 ^ d13 ^ d38 ^ d45 ^ d5 ^ c17 ^ d18 ^ + c15 ^ c9 ^ d20 ^ c2 ^ d22 ^ d1 ^ c7 ^ d31 ^ c4 ^ d33 ^ + c22 ^ d9 ^ d36; // 32 ins 1 outs + + assign x16 = d26 ^ c19 ^ c6 ^ d22 ^ c5 ^ d30 ^ d24 ^ d13 ^ c13 ^ + c21 ^ d35 ^ d17 ^ c28 ^ c31 ^ d5 ^ d32 ^ d29 ^ d8 ^ d37 ^ + d44 ^ d4 ^ c30 ^ d19 ^ c16 ^ c8 ^ d12 ^ d46 ^ d0 ^ c10 ^ + c1 ^ d47 ^ c14 ^ c3 ^ d21; // 34 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat48_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [47:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x251, x250, x249, x248, x247, x246, x245, + x244, x243, x242, x241, x240, x239, x238, x237, + x236, x235, x234, x233, x232, x231, x230, x229, + x228, x227, x226, x225, x224, x223, x222, x221, + x220, x219, x218, x217, x216, x215, x214, x213, + x212, x211, x210, x209, x208, x207, x206, x205, + x204, x203, x202, x201, x200, x199, x198, x197, + x196, x195, x194, x193, x192, x191, x190, x189, + x188, x187, x186, x185, x184, x183, x182, x181, + x180, x179, x178, x177, x176, x175, x174, x173, + x172, x171, x170, x169, x168, x167, x166, x165, + x164, x163, x162, x161, x160, x159, x158, x157, + x156, x155, x154, x153, x152, x151, x150, x149, + x148, x147, x146, x145, x144, x143, x142, x141, + x15, x14, x13, x12, x11, x10, x9, x8, + x7, x6, x5, x4, x3, x2, x1, x0, + x31, x30, x29, x28, x27, x26, x25, x24, + x23, x22, x21, x20, x19, x18, x17, x16; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47; + +assign { d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [47:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x251i (.out(x251),.a(c20),.b(d22),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x250i (.out(x250),.a(x158),.b(d41),.c(c27),.d(d43),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x249i (.out(x249),.a(d22),.b(x219),.c(x199),.d(x195),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x248i (.out(x248),.a(x149),.b(d39),.c(c5),.d(d21),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x247i (.out(x247),.a(x150),.b(d22),.c(c6),.d(c5),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x246i (.out(x246),.a(c31),.b(d9),.c(c11),.d(d47),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x245i (.out(x245),.a(x151),.b(d11),.c(d45),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x244i (.out(x244),.a(x186),.b(x141),.c(d5),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x243i (.out(x243),.a(x156),.b(d6),.c(d29),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x242i (.out(x242),.a(x157),.b(c13),.c(c14),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x241i (.out(x241),.a(c3),.b(c20),.c(d19),.d(d36),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x240i (.out(x240),.a(x148),.b(x213),.c(x174),.d(x155),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x239i (.out(x239),.a(x151),.b(x167),.c(c22),.d(d2),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x238i (.out(x238),.a(x149),.b(x175),.c(d11),.d(c18),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x237i (.out(x237),.a(x144),.b(d4),.c(d14),.d(d44),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x236i (.out(x236),.a(x150),.b(c2),.c(d45),.d(c29),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x235i (.out(x235),.a(x141),.b(d10),.c(x157),.d(d32),.e(d8),.f(1'b0)); // 5 ins 1 outs + + xor6 x234i (.out(x234),.a(d9),.b(d10),.c(c3),.d(d7),.e(x166),.f(1'b0)); // 5 ins 1 outs + + xor6 x233i (.out(x233),.a(c29),.b(d45),.c(x206),.d(x158),.e(x151),.f(1'b0)); // 5 ins 1 outs + + xor6 x232i (.out(x232),.a(d13),.b(d12),.c(d6),.d(x163),.e(x175),.f(1'b0)); // 5 ins 1 outs + + xor6 x231i (.out(x231),.a(c5),.b(d14),.c(d15),.d(x163),.e(x148),.f(1'b0)); // 5 ins 1 outs + + xor6 x230i (.out(x230),.a(d9),.b(x156),.c(d12),.d(c14),.e(d23),.f(1'b0)); // 5 ins 1 outs + + xor6 x229i (.out(x229),.a(x193),.b(d40),.c(c24),.d(d24),.e(c21),.f(1'b0)); // 5 ins 1 outs + + xor6 x228i (.out(x228),.a(d33),.b(d47),.c(c30),.d(c31),.e(d0),.f(1'b0)); // 5 ins 1 outs + + xor6 x227i (.out(x227),.a(x201),.b(d29),.c(x154),.d(x150),.e(x147),.f(1'b0)); // 5 ins 1 outs + + xor6 x226i (.out(x226),.a(c20),.b(x151),.c(x152),.d(d5),.e(d8),.f(1'b0)); // 5 ins 1 outs + + xor6 x225i (.out(x225),.a(x158),.b(d6),.c(x167),.d(x147),.e(x149),.f(1'b0)); // 5 ins 1 outs + + xor6 x224i (.out(x224),.a(x199),.b(c19),.c(d7),.d(c6),.e(d35),.f(1'b0)); // 5 ins 1 outs + + xor6 x223i (.out(x223),.a(d31),.b(d3),.c(d10),.d(c17),.e(x174),.f(1'b0)); // 5 ins 1 outs + + xor6 x222i (.out(x222),.a(x150),.b(x199),.c(x193),.d(d4),.e(d8),.f(1'b0)); // 5 ins 1 outs + + xor6 x221i (.out(x221),.a(d16),.b(d6),.c(c7),.d(d30),.e(c9),.f(1'b0)); // 5 ins 1 outs + + xor6 x220i (.out(x220),.a(d16),.b(c1),.c(c21),.d(x154),.e(c0),.f(1'b0)); // 5 ins 1 outs + + xor6 x219i (.out(x219),.a(d36),.b(d14),.c(d25),.d(d1),.e(c6),.f(1'b0)); // 5 ins 1 outs + + xor6 x218i (.out(x218),.a(c30),.b(d46),.c(x159),.d(d40),.e(c14),.f(1'b0)); // 5 ins 1 outs + + xor6 x217i (.out(x217),.a(c14),.b(d3),.c(d30),.d(x144),.e(x166),.f(1'b0)); // 5 ins 1 outs + + xor6 x216i (.out(x216),.a(c16),.b(d32),.c(x159),.d(x163),.e(d8),.f(1'b0)); // 5 ins 1 outs + + xor6 x215i (.out(x215),.a(x159),.b(d17),.c(d35),.d(x182),.e(d1),.f(1'b0)); // 5 ins 1 outs + + xor6 x214i (.out(x214),.a(x142),.b(c28),.c(d44),.d(d40),.e(d7),.f(1'b0)); // 5 ins 1 outs + + xor6 x213i (.out(x213),.a(d13),.b(c3),.c(d19),.d(d0),.e(c13),.f(1'b0)); // 5 ins 1 outs + + xor6 x212i (.out(x212),.a(x163),.b(d45),.c(c0),.d(c25),.e(x148),.f(1'b0)); // 5 ins 1 outs + + xor6 x211i (.out(x211),.a(c23),.b(d10),.c(x148),.d(d13),.e(x155),.f(1'b0)); // 5 ins 1 outs + + xor6 x210i (.out(x210),.a(x154),.b(d33),.c(d44),.d(c28),.e(x186),.f(1'b0)); // 5 ins 1 outs + + xor6 x209i (.out(x209),.a(d7),.b(x193),.c(d27),.d(x163),.e(x147),.f(1'b0)); // 5 ins 1 outs + + xor6 x208i (.out(x208),.a(x142),.b(x155),.c(x153),.d(d30),.e(x175),.f(1'b0)); // 5 ins 1 outs + + xor6 x207i (.out(x207),.a(c25),.b(d41),.c(c7),.d(x154),.e(d8),.f(1'b0)); // 5 ins 1 outs + + xor6 x206i (.out(x206),.a(c18),.b(d35),.c(d34),.d(d15),.e(d12),.f(1'b0)); // 5 ins 1 outs + + xor6 x205i (.out(x205),.a(x153),.b(c17),.c(d7),.d(d0),.e(d33),.f(1'b0)); // 5 ins 1 outs + + xor6 x204i (.out(x204),.a(d2),.b(c28),.c(d11),.d(x155),.e(c13),.f(1'b0)); // 5 ins 1 outs + + xor6 x203i (.out(x203),.a(x144),.b(d18),.c(x163),.d(x152),.e(d6),.f(1'b0)); // 5 ins 1 outs + + xor6 x202i (.out(x202),.a(c6),.b(c7),.c(c16),.d(d22),.e(x152),.f(1'b0)); // 5 ins 1 outs + + xor6 x201i (.out(x201),.a(c26),.b(c7),.c(c14),.d(d11),.e(d42),.f(1'b0)); // 5 ins 1 outs + + xor6 x200i (.out(x200),.a(x147),.b(d19),.c(c16),.d(x153),.e(d32),.f(1'b0)); // 5 ins 1 outs + + xor6 x199i (.out(x199),.a(d36),.b(c20),.c(c13),.d(d29),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x198i (.out(x198),.a(d37),.b(c18),.c(x151),.d(d10),.e(c8),.f(1'b0)); // 5 ins 1 outs + + xor6 x197i (.out(x197),.a(d6),.b(x143),.c(x147),.d(d3),.e(d15),.f(1'b0)); // 5 ins 1 outs + + xor6 x196i (.out(x196),.a(x148),.b(x157),.c(d36),.d(d33),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x195i (.out(x195),.a(d19),.b(d3),.c(c3),.d(d25),.e(d2),.f(1'b0)); // 5 ins 2 outs + + xor6 x194i (.out(x194),.a(c0),.b(c6),.c(x182),.d(d9),.e(d22),.f(1'b0)); // 5 ins 1 outs + + xor6 x193i (.out(x193),.a(d16),.b(d29),.c(c10),.d(d26),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x192i (.out(x192),.a(d42),.b(d15),.c(d2),.d(x163),.e(c26),.f(1'b0)); // 5 ins 2 outs + + xor6 x191i (.out(x191),.a(x150),.b(d36),.c(d17),.d(d35),.e(d40),.f(1'b0)); // 5 ins 1 outs + + xor6 x190i (.out(x190),.a(d36),.b(x141),.c(d25),.d(c9),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x189i (.out(x189),.a(x148),.b(d17),.c(c1),.d(c7),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x188i (.out(x188),.a(d6),.b(x166),.c(c19),.d(c29),.e(d35),.f(1'b0)); // 5 ins 2 outs + + xor6 x187i (.out(x187),.a(d39),.b(d1),.c(x148),.d(c23),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x186i (.out(x186),.a(d46),.b(c30),.c(d12),.d(d43),.e(c27),.f(1'b0)); // 5 ins 2 outs + + xor6 x185i (.out(x185),.a(c15),.b(x149),.c(d31),.d(c14),.e(x147),.f(1'b0)); // 5 ins 2 outs + + xor6 x184i (.out(x184),.a(c8),.b(d24),.c(x152),.d(d7),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x183i (.out(x183),.a(d38),.b(x155),.c(d7),.d(d5),.e(d40),.f(1'b0)); // 5 ins 1 outs + + xor6 x182i (.out(x182),.a(d14),.b(d13),.c(c13),.d(d33),.e(c17),.f(1'b0)); // 5 ins 2 outs + + xor6 x181i (.out(x181),.a(x153),.b(d21),.c(c0),.d(x163),.e(d4),.f(1'b0)); // 5 ins 2 outs + + xor6 x180i (.out(x180),.a(d18),.b(c2),.c(d27),.d(x142),.e(c11),.f(1'b0)); // 5 ins 2 outs + + xor6 x179i (.out(x179),.a(d31),.b(d23),.c(x151),.d(c15),.e(d13),.f(1'b0)); // 5 ins 3 outs + + xor6 x178i (.out(x178),.a(c19),.b(c22),.c(d7),.d(d38),.e(x152),.f(1'b0)); // 5 ins 2 outs + + xor6 x177i (.out(x177),.a(d37),.b(c21),.c(d14),.d(x149),.e(1'b0),.f(1'b0)); // 4 ins 5 outs + + xor6 x176i (.out(x176),.a(c22),.b(x150),.c(x142),.d(d38),.e(x153),.f(1'b0)); // 5 ins 2 outs + + xor6 x175i (.out(x175),.a(d9),.b(d46),.c(c30),.d(d41),.e(c25),.f(1'b0)); // 5 ins 3 outs + + xor6 x174i (.out(x174),.a(c24),.b(d40),.c(d5),.d(c26),.e(d42),.f(1'b0)); // 5 ins 2 outs + + xor6 x173i (.out(x173),.a(x158),.b(d29),.c(d28),.d(c12),.e(d0),.f(1'b0)); // 5 ins 3 outs + + xor6 x172i (.out(x172),.a(d43),.b(c27),.c(x147),.d(d11),.e(d14),.f(1'b0)); // 5 ins 2 outs + + xor6 x171i (.out(x171),.a(x146),.b(d18),.c(x167),.d(x159),.e(c2),.f(1'b0)); // 5 ins 2 outs + + xor6 x170i (.out(x170),.a(c17),.b(d2),.c(d25),.d(c9),.e(x143),.f(1'b0)); // 5 ins 2 outs + + xor6 x169i (.out(x169),.a(d16),.b(d32),.c(c16),.d(d0),.e(x153),.f(1'b0)); // 5 ins 4 outs + + xor6 x168i (.out(x168),.a(d1),.b(x157),.c(d34),.d(d5),.e(c7),.f(1'b0)); // 5 ins 2 outs + + xor6 x167i (.out(x167),.a(d30),.b(d1),.c(d47),.d(c31),.e(d5),.f(1'b0)); // 5 ins 3 outs + + xor6 x166i (.out(x166),.a(d27),.b(d11),.c(c13),.d(c11),.e(1'b0),.f(1'b0)); // 4 ins 4 outs + + xor6 x165i (.out(x165),.a(d29),.b(x146),.c(d4),.d(d23),.e(1'b0),.f(1'b0)); // 4 ins 6 outs + + xor6 x164i (.out(x164),.a(x154),.b(d30),.c(d4),.d(x141),.e(c24),.f(1'b0)); // 5 ins 3 outs + + xor6 x163i (.out(x163),.a(d6),.b(c18),.c(d34),.d(d16),.e(1'b0),.f(1'b0)); // 4 ins 9 outs + + xor6 x162i (.out(x162),.a(x156),.b(c8),.c(d24),.d(d40),.e(c0),.f(1'b0)); // 5 ins 4 outs + + xor6 x161i (.out(x161),.a(d3),.b(d44),.c(d21),.d(c28),.e(x143),.f(1'b0)); // 5 ins 4 outs + + xor6 x160i (.out(x160),.a(d11),.b(d40),.c(x142),.d(x144),.e(c24),.f(1'b0)); // 5 ins 6 outs + + xor6 x159i (.out(x159),.a(d28),.b(d14),.c(d10),.d(c0),.e(c12),.f(1'b0)); // 5 ins 5 outs + + xor6 x158i (.out(x158),.a(c7),.b(c20),.c(d31),.d(c15),.e(d23),.f(1'b0)); // 5 ins 4 outs + + xor6 x157i (.out(x157),.a(c19),.b(c13),.c(d13),.d(d9),.e(d35),.f(1'b0)); // 5 ins 5 outs + + xor6 x156i (.out(x156),.a(d8),.b(d6),.c(c10),.d(d30),.e(d26),.f(1'b0)); // 5 ins 4 outs + + xor6 x155i (.out(x155),.a(d29),.b(d6),.c(d20),.d(c4),.e(d4),.f(1'b0)); // 5 ins 5 outs + + xor6 x154i (.out(x154),.a(c24),.b(c5),.c(d21),.d(d5),.e(c14),.f(1'b0)); // 5 ins 5 outs + + xor6 x153i (.out(x153),.a(c20),.b(d2),.c(d39),.d(c23),.e(1'b0),.f(1'b0)); // 4 ins 7 outs + + xor6 x152i (.out(x152),.a(d37),.b(d10),.c(d46),.d(c21),.e(c30),.f(1'b0)); // 5 ins 5 outs + + xor6 x151i (.out(x151),.a(d22),.b(c26),.c(c7),.d(d42),.e(c6),.f(1'b0)); // 5 ins 6 outs + + xor6 x150i (.out(x150),.a(d27),.b(c0),.c(c11),.d(d20),.e(c4),.f(1'b0)); // 5 ins 7 outs + + xor6 x149i (.out(x149),.a(c22),.b(d38),.c(d36),.d(c2),.e(d18),.f(1'b0)); // 5 ins 5 outs + + xor6 x148i (.out(x148),.a(d26),.b(d19),.c(c10),.d(d29),.e(c3),.f(1'b0)); // 5 ins 7 outs + + xor6 x147i (.out(x147),.a(c9),.b(d25),.c(c29),.d(d45),.e(c13),.f(1'b0)); // 5 ins 7 outs + + xor6 x146i (.out(x146),.a(d7),.b(d43),.c(c27),.d(d32),.e(c16),.f(1'b0)); // 5 ins 2 outs + + xor6 x145i (.out(x145),.a(d9),.b(d44),.c(x141),.d(d12),.e(c28),.f(1'b0)); // 5 ins 9 outs + + xor6 x144i (.out(x144),.a(d8),.b(d33),.c(d15),.d(c17),.e(d3),.f(1'b0)); // 5 ins 4 outs + + xor6 x143i (.out(x143),.a(c12),.b(c5),.c(d41),.d(d28),.e(c25),.f(1'b0)); // 5 ins 4 outs + + xor6 x142i (.out(x142),.a(d17),.b(c1),.c(d1),.d(d31),.e(c15),.f(1'b0)); // 5 ins 5 outs + + xor6 x141i (.out(x141),.a(d47),.b(c31),.c(c8),.d(d24),.e(d0),.f(1'b0)); // 5 ins 6 outs + + xor6 x15i (.out(x15),.a(x203),.b(x184),.c(x145),.d(x236),.e(x164),.f(1'b0)); // 5 ins 1 outs + + xor6 x14i (.out(x14),.a(x204),.b(x165),.c(x189),.d(x237),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x13i (.out(x13),.a(d23),.b(x221),.c(x179),.d(x195),.e(x171),.f(1'b0)); // 5 ins 1 outs + + xor6 x12i (.out(x12),.a(x232),.b(x164),.c(x192),.d(x180),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x11i (.out(x11),.a(c5),.b(x222),.c(x143),.d(x145),.e(x172),.f(x160)); // 6 ins 1 outs + + xor6 x10i (.out(x10),.a(c15),.b(x223),.c(x159),.d(x196),.e(x169),.f(1'b0)); // 5 ins 1 outs + + xor6 x9i (.out(x9),.a(x205),.b(x165),.c(x238),.d(x145),.e(x168),.f(1'b0)); // 5 ins 1 outs + + xor6 x8i (.out(x8),.a(x233),.b(x165),.c(x173),.d(x178),.e(x160),.f(1'b0)); // 5 ins 1 outs + + xor6 x7i (.out(x7),.a(x197),.b(x141),.c(x165),.d(x226),.e(x181),.f(1'b0)); // 5 ins 1 outs + + xor6 x6i (.out(x6),.a(x183),.b(x239),.c(x172),.d(x207),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x5i (.out(x5),.a(x240),.b(x187),.c(x184),.d(x161),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x4i (.out(x4),.a(x208),.b(x160),.c(x145),.d(x241),.e(x185),.f(1'b0)); // 5 ins 1 outs + + xor6 x3i (.out(x3),.a(x200),.b(x177),.c(x234),.d(x160),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x2i (.out(x2),.a(x214),.b(x162),.c(x242),.d(x177),.e(x169),.f(1'b0)); // 5 ins 1 outs + + xor6 x1i (.out(x1),.a(x215),.b(c1),.c(x163),.d(x166),.e(x178),.f(x145)); // 6 ins 1 outs + + xor6 x0i (.out(x0),.a(x216),.b(x177),.c(x243),.d(x145),.e(x185),.f(1'b0)); // 5 ins 1 outs + + xor6 x31i (.out(x31),.a(x217),.b(x145),.c(x244),.d(x190),.e(x173),.f(1'b0)); // 5 ins 1 outs + + xor6 x30i (.out(x30),.a(x218),.b(x162),.c(x245),.d(x165),.e(x188),.f(1'b0)); // 5 ins 1 outs + + xor6 x29i (.out(x29),.a(x209),.b(x246),.c(x161),.d(x179),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x28i (.out(x28),.a(x210),.b(x170),.c(x247),.d(x162),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x27i (.out(x27),.a(x227),.b(x165),.c(x162),.d(x187),.e(x156),.f(1'b0)); // 5 ins 1 outs + + xor6 x26i (.out(x26),.a(x211),.b(x179),.c(x190),.d(x248),.e(x161),.f(1'b0)); // 5 ins 1 outs + + xor6 x25i (.out(x25),.a(x249),.b(x177),.c(x161),.d(x160),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x24i (.out(x24),.a(x191),.b(c19),.c(d37),.d(x153),.e(x171),.f(x220)); // 6 ins 1 outs + + xor6 x23i (.out(x23),.a(d46),.b(x196),.c(x228),.d(x176),.e(x192),.f(1'b0)); // 5 ins 1 outs + + xor6 x22i (.out(x22),.a(x212),.b(x177),.c(x250),.d(x145),.e(x188),.f(1'b0)); // 5 ins 1 outs + + xor6 x21i (.out(x21),.a(x198),.b(d16),.c(x180),.d(x229),.e(x168),.f(1'b0)); // 5 ins 1 outs + + xor6 x20i (.out(x20),.a(x230),.b(x157),.c(x196),.d(x170),.e(x189),.f(x181)); // 6 ins 1 outs + + xor6 x19i (.out(x19),.a(x224),.b(x251),.c(x160),.d(x169),.e(x190),.f(x176)); // 6 ins 1 outs + + xor6 x18i (.out(x18),.a(x231),.b(d21),.c(x169),.d(x184),.e(x173),.f(1'b0)); // 5 ins 1 outs + + xor6 x17i (.out(x17),.a(x194),.b(c14),.c(x150),.d(x225),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x16i (.out(x16),.a(x189),.b(x202),.c(x145),.d(x164),.e(x235),.f(1'b0)); // 5 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat56.v b/Advanced Synthesis Cookbook/crc/crc32_dat56.v new file mode 100644 index 0000000..87bd557 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat56.v @@ -0,0 +1,648 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 56 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222222222233333333334444444444555555 +// 01234567890123456789012345678901 01234567890123456789012345678901234567890123456789012345 +// +// C00 = XXX.XXXXX.X..X......XX.XX.X..XXX X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX +// C01 = X..XX....XXX.XX.....X.XX.XXX.X.. XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X.. +// C02 = X.X...XXX..XXXXX....X......XXX.X XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X +// C03 = .X.X...XXX..XXXXX....X......XXX. .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX. +// C04 = XX...XXX.X....XXXX..XXXXX.X..... X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X..... +// C05 = X...XX.......X.XXXX.X.X..XXX.XXX XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX +// C06 = .X...XX.......X.XXXX.X.X..XXX.XX .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XX +// C07 = XX..XX..X.X..X.X.XXX.XXX..XXX.X. X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X. +// C08 = ....X..XXXXX.XX.X.XX.XX...XXX.X. XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X. +// C09 = X....X..XXXXX.XX.X.XX.XX...XXX.X .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X +// C10 = ..X.XX.XXX.XX..XX.X.......X.X..X X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..X +// C11 = XXXXX..X.X..X...XX.XXX.XX.XX..XX XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XX +// C12 = X..X..XX.........XX...XX.XXXXXX. XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX. +// C13 = .X..X..XX.........XX...XX.XXXXXX .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX +// C14 = ..X..X..XX.........XX...XX.XXXXX ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXX +// C15 = X..X..X..XX.........XX...XX.XXXX ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXX +// C16 = X.X..XX.X..X.X......X.XXX..X.... X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X.... +// C17 = .X.X..XX.X..X.X......X.XXX..X... .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X... +// C18 = X.X.X..XX.X..X.X......X.XXX..X.. ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X.. +// C19 = XX.X.X..XX.X..X.X......X.XXX..X. ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X. +// C20 = .XX.X.X..XX.X..X.X......X.XXX..X ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X +// C21 = X.XX.X.X..XX.X..X.X......X.XXX.. .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX.. +// C22 = X.XX.X.X..XXXXX..X.XXX.XX...X..X X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X +// C23 = ..XX.X.X..XXX.XX..X...XX.XX...XX XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XX +// C24 = ...XX.X.X..XXX.XX..X...XX.XX...X .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...X +// C25 = ....XX.X.X..XXX.XX..X...XX.XX... ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX... +// C26 = XXX.X..X......XX.XX.X..XXX..X.XX X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX +// C27 = XXXX.X..X......XX.XX.X..XXX..X.X .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.X +// C28 = XXXXX.X..X......XX.XX.X..XXX..X. ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X. +// C29 = .XXXXX.X..X......XX.XX.X..XXX..X ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X +// C30 = X.XXXXX.X..X......XX.XX.X..XXX.. ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX.. +// C31 = XX.XXXXX.X..X......XX.XX.X..XXX. .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX. +// +module crc32_dat56 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [55:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat56_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat56_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat56_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [55:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55; + +assign { d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [55:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x23 = d29 ^ d47 ^ c7 ^ c26 ^ c11 ^ d13 ^ d17 ^ c25 ^ c18 ^ + d49 ^ c10 ^ d38 ^ d54 ^ d55 ^ c12 ^ d50 ^ d20 ^ d36 ^ d39 ^ + d34 ^ d6 ^ d16 ^ d9 ^ d0 ^ d19 ^ c30 ^ c22 ^ c3 ^ c14 ^ + c15 ^ c5 ^ d1 ^ d31 ^ d15 ^ c23 ^ d46 ^ d42 ^ c2 ^ c31 ^ + d26 ^ d35 ^ d27; // 42 ins 1 outs + + assign x22 = c24 ^ d44 ^ c21 ^ c20 ^ d36 ^ d43 ^ d19 ^ d16 ^ d9 ^ + d55 ^ c19 ^ d14 ^ c3 ^ d52 ^ d41 ^ d18 ^ c2 ^ c12 ^ c28 ^ + c31 ^ d11 ^ c0 ^ c7 ^ c10 ^ c13 ^ c11 ^ d38 ^ d45 ^ c23 ^ + d35 ^ c14 ^ d0 ^ c17 ^ d31 ^ d26 ^ d37 ^ d23 ^ d27 ^ d47 ^ + d29 ^ d48 ^ d24 ^ c5 ^ d12 ^ d34; // 45 ins 1 outs + + assign x21 = d34 ^ d9 ^ d5 ^ d17 ^ d40 ^ c25 ^ c2 ^ d29 ^ d35 ^ + c16 ^ d51 ^ d22 ^ d18 ^ d52 ^ d53 ^ d24 ^ d31 ^ d42 ^ c28 ^ + c5 ^ c0 ^ c10 ^ d37 ^ c7 ^ d49 ^ c18 ^ c29 ^ c11 ^ c13 ^ + c27 ^ d13 ^ d10 ^ c3 ^ d26 ^ d27; // 35 ins 1 outs + + assign x20 = d39 ^ d30 ^ d36 ^ d33 ^ c15 ^ d50 ^ c24 ^ d28 ^ c31 ^ + d16 ^ d9 ^ c28 ^ c26 ^ d34 ^ d41 ^ d52 ^ d26 ^ c17 ^ c12 ^ + c2 ^ c6 ^ d25 ^ d8 ^ d55 ^ c10 ^ d48 ^ c1 ^ c4 ^ c27 ^ + d17 ^ d21 ^ d23 ^ c9 ^ d51 ^ d4 ^ d12; // 36 ins 1 outs + + assign x19 = c30 ^ c8 ^ d54 ^ d29 ^ d32 ^ d11 ^ c23 ^ c9 ^ d50 ^ + c14 ^ d33 ^ d16 ^ d35 ^ c27 ^ d47 ^ d27 ^ c16 ^ c3 ^ d51 ^ + d40 ^ d22 ^ d15 ^ d38 ^ c11 ^ d49 ^ d7 ^ d3 ^ d20 ^ c25 ^ + c5 ^ c26 ^ d25 ^ c1 ^ d24 ^ c0 ^ d8; // 36 ins 1 outs + + assign x18 = d46 ^ d39 ^ d34 ^ d53 ^ d32 ^ c10 ^ d31 ^ d10 ^ d15 ^ + c8 ^ d37 ^ d48 ^ c4 ^ c7 ^ d6 ^ d26 ^ d7 ^ d50 ^ c24 ^ + d24 ^ c29 ^ d14 ^ d2 ^ c13 ^ c2 ^ c15 ^ d49 ^ c0 ^ d19 ^ + c26 ^ c25 ^ d28 ^ c22 ^ d21 ^ d23; // 35 ins 1 outs + + assign x17 = d1 ^ c14 ^ d38 ^ d13 ^ d25 ^ d23 ^ d36 ^ d18 ^ d27 ^ + d49 ^ d47 ^ c1 ^ d52 ^ d14 ^ d45 ^ c12 ^ d5 ^ d9 ^ d48 ^ + c9 ^ c23 ^ c28 ^ c6 ^ d33 ^ c3 ^ d30 ^ c7 ^ d20 ^ c24 ^ + d6 ^ c21 ^ d22 ^ c25 ^ d31; // 34 ins 1 outs + + assign x16 = d37 ^ d47 ^ c5 ^ c11 ^ c2 ^ d19 ^ c22 ^ d4 ^ c0 ^ + d21 ^ d0 ^ d30 ^ d44 ^ d22 ^ d26 ^ c23 ^ d32 ^ d29 ^ c20 ^ + c24 ^ c6 ^ c27 ^ c8 ^ d35 ^ d48 ^ d51 ^ d13 ^ d17 ^ d24 ^ + d8 ^ c13 ^ d12 ^ d5 ^ d46; // 34 ins 1 outs + + assign x15 = d34 ^ d33 ^ d3 ^ c26 ^ d54 ^ d30 ^ c20 ^ d12 ^ d15 ^ + d4 ^ d7 ^ c31 ^ d55 ^ d18 ^ c29 ^ c30 ^ d50 ^ d20 ^ d21 ^ + d9 ^ d27 ^ c28 ^ c0 ^ d8 ^ d5 ^ d24 ^ c3 ^ d45 ^ c6 ^ + d44 ^ c9 ^ d49 ^ c25 ^ c21 ^ c10 ^ d16 ^ d52 ^ d53; // 38 ins 1 outs + + assign x14 = d32 ^ d26 ^ d14 ^ d7 ^ d33 ^ d55 ^ d44 ^ d17 ^ c30 ^ + c27 ^ c24 ^ d49 ^ d8 ^ d54 ^ c20 ^ d2 ^ d4 ^ c28 ^ c25 ^ + d20 ^ c29 ^ d6 ^ d15 ^ d3 ^ c8 ^ d43 ^ c2 ^ d11 ^ c9 ^ + d53 ^ c19 ^ d51 ^ d19 ^ d29 ^ d48 ^ d23 ^ c5 ^ c31 ^ d52; // 39 ins 1 outs + + assign x13 = c28 ^ d19 ^ d31 ^ c27 ^ d13 ^ d53 ^ d55 ^ c1 ^ d1 ^ + d48 ^ c23 ^ d2 ^ d14 ^ d7 ^ d54 ^ d52 ^ c18 ^ d3 ^ d22 ^ + d51 ^ d47 ^ d43 ^ d18 ^ c7 ^ c19 ^ c24 ^ c31 ^ c8 ^ d50 ^ + d32 ^ c4 ^ c26 ^ d5 ^ d42 ^ c30 ^ d6 ^ c29 ^ d16 ^ d28 ^ + d25 ^ d10; // 41 ins 1 outs + + assign x12 = c0 ^ d54 ^ c29 ^ c6 ^ d13 ^ d21 ^ d50 ^ c25 ^ d15 ^ + d27 ^ c27 ^ d41 ^ c17 ^ d51 ^ d2 ^ d6 ^ d5 ^ d24 ^ d49 ^ + c3 ^ d12 ^ c22 ^ d9 ^ d52 ^ c30 ^ d0 ^ c26 ^ d4 ^ d46 ^ + d18 ^ d30 ^ d42 ^ d53 ^ c28 ^ c7 ^ d17 ^ d1 ^ d31 ^ d47 ^ + c18 ^ c23; // 41 ins 1 outs + + assign x11 = d51 ^ d12 ^ c4 ^ d50 ^ c19 ^ d43 ^ d33 ^ d54 ^ c3 ^ + c16 ^ c20 ^ d48 ^ d27 ^ d17 ^ c9 ^ d9 ^ c21 ^ d20 ^ d40 ^ + d41 ^ d44 ^ d28 ^ c31 ^ d15 ^ c27 ^ d1 ^ d3 ^ d16 ^ d31 ^ + d25 ^ d26 ^ c26 ^ c7 ^ d47 ^ c17 ^ d24 ^ d36 ^ c30 ^ c23 ^ + c2 ^ c12 ^ c0 ^ d45 ^ d14 ^ c24 ^ c1 ^ d55 ^ d0 ^ d4; // 49 ins 1 outs + + assign x10 = d36 ^ c2 ^ d3 ^ c12 ^ d42 ^ d31 ^ c4 ^ c8 ^ c31 ^ + d5 ^ d16 ^ c15 ^ c11 ^ d50 ^ c5 ^ d52 ^ d26 ^ c28 ^ c26 ^ + d2 ^ c7 ^ d40 ^ d19 ^ d32 ^ d29 ^ d35 ^ d28 ^ c9 ^ d9 ^ + d55 ^ d0 ^ d13 ^ d14 ^ d33 ^ c18 ^ d39 ^ c16; // 37 ins 1 outs + + assign x9 = d47 ^ c23 ^ d35 ^ c17 ^ d4 ^ d53 ^ d29 ^ c31 ^ d52 ^ + c8 ^ d18 ^ c9 ^ c15 ^ d33 ^ d32 ^ c29 ^ c27 ^ c5 ^ d39 ^ + c22 ^ d34 ^ c10 ^ d38 ^ d46 ^ c14 ^ d13 ^ d24 ^ d41 ^ c28 ^ + c0 ^ d23 ^ d44 ^ d51 ^ d43 ^ c12 ^ c11 ^ d11 ^ c20 ^ d12 ^ + d55 ^ d5 ^ d2 ^ c19 ^ d1 ^ d36 ^ d9; // 46 ins 1 outs + + assign x8 = d31 ^ d54 ^ d0 ^ d51 ^ d40 ^ c16 ^ d52 ^ d37 ^ d17 ^ + c30 ^ d3 ^ d45 ^ d32 ^ c13 ^ d12 ^ d33 ^ c8 ^ d46 ^ d35 ^ + c4 ^ d34 ^ c18 ^ d50 ^ d23 ^ d43 ^ c22 ^ d8 ^ c26 ^ d22 ^ + c7 ^ c21 ^ d28 ^ d10 ^ c28 ^ d42 ^ c27 ^ c10 ^ c14 ^ c9 ^ + d4 ^ d38 ^ c11 ^ d11 ^ c19 ^ d1; // 45 ins 1 outs + + assign x7 = d37 ^ d16 ^ c10 ^ d47 ^ d8 ^ d39 ^ d54 ^ c0 ^ c1 ^ + d3 ^ d51 ^ c26 ^ c19 ^ d43 ^ d42 ^ c27 ^ c4 ^ d24 ^ d7 ^ + c8 ^ c17 ^ c22 ^ d45 ^ d0 ^ d46 ^ d25 ^ c18 ^ d29 ^ d50 ^ + c21 ^ d21 ^ d10 ^ d2 ^ d23 ^ d5 ^ c30 ^ d15 ^ c23 ^ c28 ^ + c15 ^ c5 ^ d32 ^ d41 ^ d22 ^ c13 ^ d34 ^ d52 ^ d28; // 48 ins 1 outs + + assign x6 = c21 ^ d50 ^ c6 ^ c1 ^ c30 ^ d52 ^ c31 ^ d25 ^ d42 ^ + d47 ^ d8 ^ c5 ^ d11 ^ d41 ^ c17 ^ d21 ^ d2 ^ c26 ^ d4 ^ + d30 ^ c23 ^ d38 ^ d29 ^ d22 ^ c28 ^ c27 ^ d40 ^ d7 ^ c18 ^ + d54 ^ c14 ^ d5 ^ d6 ^ d51 ^ d45 ^ d14 ^ d55 ^ d20 ^ d1 ^ + c16 ^ c19 ^ d43; // 42 ins 1 outs + + assign x5 = c25 ^ d29 ^ c31 ^ d55 ^ c26 ^ d24 ^ d46 ^ c20 ^ d49 ^ + c22 ^ d41 ^ d40 ^ d4 ^ d50 ^ c27 ^ d5 ^ c29 ^ d53 ^ d20 ^ + c16 ^ d21 ^ d19 ^ d28 ^ d6 ^ c4 ^ c18 ^ d37 ^ c30 ^ d1 ^ + d39 ^ d0 ^ d54 ^ d13 ^ d7 ^ c15 ^ c17 ^ d51 ^ d3 ^ c5 ^ + d10 ^ c0 ^ c13 ^ d44 ^ d42; // 44 ins 1 outs + + assign x4 = d24 ^ d48 ^ d29 ^ d47 ^ d6 ^ d18 ^ c26 ^ d8 ^ d19 ^ + d15 ^ c7 ^ d44 ^ c0 ^ d4 ^ d0 ^ d38 ^ d40 ^ c16 ^ d12 ^ + c24 ^ d50 ^ d33 ^ c6 ^ c1 ^ d3 ^ d46 ^ d20 ^ d11 ^ c15 ^ + d45 ^ d39 ^ c5 ^ c22 ^ d2 ^ d31 ^ c9 ^ d25 ^ d30 ^ c20 ^ + c17 ^ c21 ^ c23 ^ c14 ^ d41; // 44 ins 1 outs + + assign x3 = d10 ^ d32 ^ d31 ^ c3 ^ d33 ^ c8 ^ d2 ^ d52 ^ d17 ^ + c7 ^ d38 ^ d14 ^ d7 ^ d3 ^ d27 ^ d54 ^ d19 ^ d15 ^ d40 ^ + d45 ^ c1 ^ c16 ^ d1 ^ c13 ^ c15 ^ d39 ^ c12 ^ c30 ^ d37 ^ + d8 ^ d36 ^ d53 ^ c9 ^ c14 ^ d25 ^ c21 ^ d9 ^ c28 ^ c29 ^ + d18; // 40 ins 1 outs + + assign x2 = d26 ^ c31 ^ c11 ^ d32 ^ d51 ^ d30 ^ d6 ^ d24 ^ c29 ^ + c0 ^ c7 ^ d16 ^ c2 ^ d53 ^ d1 ^ d36 ^ d39 ^ c6 ^ d14 ^ + c13 ^ c20 ^ c15 ^ d9 ^ d35 ^ d55 ^ d37 ^ d2 ^ c12 ^ d17 ^ + d44 ^ d38 ^ c28 ^ d7 ^ c8 ^ d18 ^ c14 ^ d52 ^ d13 ^ c27 ^ + d31 ^ d8 ^ d0; // 42 ins 1 outs + + assign x1 = d44 ^ d37 ^ d16 ^ d1 ^ d0 ^ c27 ^ d27 ^ d11 ^ c11 ^ + c13 ^ d51 ^ d13 ^ c14 ^ d7 ^ d38 ^ d12 ^ d17 ^ d50 ^ c26 ^ + d35 ^ d24 ^ d49 ^ c0 ^ c23 ^ c25 ^ d47 ^ c29 ^ d9 ^ c9 ^ + d53 ^ d34 ^ c20 ^ c22 ^ d28 ^ d46 ^ c10 ^ d6 ^ c4 ^ c3 ^ + d33; // 40 ins 1 outs + + assign x0 = d10 ^ d0 ^ d55 ^ c1 ^ d9 ^ d50 ^ c8 ^ c6 ^ c24 ^ + d44 ^ d37 ^ d16 ^ c4 ^ d6 ^ c10 ^ d28 ^ c21 ^ c20 ^ d34 ^ + d12 ^ c13 ^ d32 ^ c5 ^ d45 ^ c0 ^ d54 ^ d53 ^ c2 ^ c29 ^ + c23 ^ c30 ^ d24 ^ d48 ^ d29 ^ d47 ^ c7 ^ c26 ^ d26 ^ c31 ^ + d30 ^ d25 ^ d31; // 42 ins 1 outs + + assign x31 = d49 ^ d54 ^ d52 ^ c29 ^ d31 ^ d43 ^ d24 ^ c28 ^ d23 ^ + d29 ^ c5 ^ c23 ^ d8 ^ c3 ^ d25 ^ c0 ^ c12 ^ c9 ^ d5 ^ + d28 ^ d44 ^ d15 ^ d30 ^ d46 ^ d47 ^ c4 ^ d11 ^ c1 ^ d9 ^ + d27 ^ c20 ^ d53 ^ c25 ^ d33 ^ c22 ^ c19 ^ d36 ^ c30 ^ c6 ^ + c7; // 40 ins 1 outs + + assign x30 = d14 ^ d29 ^ d4 ^ d23 ^ c8 ^ c18 ^ d30 ^ d48 ^ d8 ^ + d26 ^ c22 ^ c5 ^ c0 ^ d46 ^ c11 ^ d35 ^ d52 ^ c28 ^ c6 ^ + d24 ^ d10 ^ d7 ^ c3 ^ d45 ^ d22 ^ d28 ^ c2 ^ c19 ^ d32 ^ + c4 ^ c27 ^ d43 ^ d51 ^ d53 ^ c24 ^ d42 ^ c29 ^ c21 ^ d27; // 39 ins 1 outs + + assign x29 = d50 ^ d55 ^ c27 ^ d7 ^ c20 ^ c31 ^ d23 ^ d29 ^ c1 ^ + c10 ^ d6 ^ c2 ^ c17 ^ d31 ^ c23 ^ c21 ^ d13 ^ d42 ^ d9 ^ + d44 ^ d26 ^ d28 ^ c7 ^ c18 ^ d47 ^ d27 ^ d25 ^ d45 ^ c3 ^ + d3 ^ c4 ^ c5 ^ d22 ^ d34 ^ d51 ^ c26 ^ d41 ^ d52 ^ c28 ^ + d21; // 40 ins 1 outs + + assign x28 = d30 ^ c27 ^ d21 ^ d12 ^ d26 ^ d22 ^ d24 ^ d2 ^ c3 ^ + d33 ^ c2 ^ d41 ^ d49 ^ c9 ^ c1 ^ d51 ^ c4 ^ c17 ^ d50 ^ + d8 ^ c26 ^ d5 ^ c6 ^ d46 ^ c25 ^ d25 ^ c0 ^ d20 ^ d28 ^ + d6 ^ c22 ^ d27 ^ d44 ^ c20 ^ c30 ^ d43 ^ c19 ^ c16 ^ d54 ^ + d40; // 40 ins 1 outs + + assign x27 = c3 ^ c25 ^ d4 ^ d5 ^ d29 ^ d55 ^ c29 ^ c18 ^ d45 ^ + c31 ^ d25 ^ d49 ^ d7 ^ c15 ^ d53 ^ d42 ^ c2 ^ d24 ^ c5 ^ + d19 ^ d39 ^ d11 ^ d48 ^ c24 ^ c26 ^ c16 ^ d40 ^ c0 ^ d27 ^ + c19 ^ d1 ^ d26 ^ c21 ^ c1 ^ c8 ^ d20 ^ d32 ^ d23 ^ d21 ^ + d43 ^ d50; // 41 ins 1 outs + + assign x26 = c0 ^ c23 ^ d6 ^ c20 ^ d38 ^ d19 ^ d28 ^ c15 ^ c18 ^ + c4 ^ d54 ^ d48 ^ c31 ^ d3 ^ c28 ^ d4 ^ c7 ^ d31 ^ d23 ^ + d44 ^ c2 ^ c24 ^ c1 ^ d55 ^ d0 ^ d42 ^ d22 ^ d10 ^ c14 ^ + d39 ^ d41 ^ d25 ^ c17 ^ d26 ^ d47 ^ d20 ^ d18 ^ d49 ^ d24 ^ + c25 ^ d52 ^ c30; // 42 ins 1 outs + + assign x25 = d2 ^ c25 ^ c5 ^ d33 ^ d38 ^ d28 ^ d36 ^ d49 ^ d22 ^ + c20 ^ c24 ^ d3 ^ d8 ^ c14 ^ d52 ^ d31 ^ c4 ^ d21 ^ d37 ^ + d18 ^ d11 ^ c17 ^ c12 ^ c9 ^ c7 ^ c28 ^ d51 ^ c13 ^ c27 ^ + d41 ^ c16 ^ d40 ^ d17 ^ d15 ^ d19 ^ d44 ^ d29 ^ d48; // 38 ins 1 outs + + assign x24 = c24 ^ d10 ^ d28 ^ d48 ^ c26 ^ d27 ^ c19 ^ c6 ^ d32 ^ + d7 ^ d51 ^ d18 ^ d21 ^ c13 ^ d30 ^ d43 ^ d35 ^ c31 ^ c8 ^ + c11 ^ d40 ^ d37 ^ d16 ^ c27 ^ c12 ^ c23 ^ d17 ^ d2 ^ c16 ^ + d55 ^ d20 ^ d1 ^ d50 ^ c15 ^ d14 ^ c3 ^ d39 ^ d36 ^ d47 ^ + c4; // 40 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat56_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [55:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x696, x695, x694, x693, x692, x691, x690, + x689, x688, x687, x686, x685, x684, x683, x682, + x681, x680, x679, x678, x677, x676, x675, x674, + x673, x672, x671, x670, x669, x668, x667, x666, + x665, x664, x663, x662, x661, x660, x659, x658, + x657, x656, x655, x654, x653, x652, x651, x650, + x649, x648, x647, x646, x645, x644, x643, x642, + x641, x640, x639, x638, x637, x636, x635, x634, + x633, x632, x631, x630, x629, x628, x627, x626, + x625, x624, x623, x622, x621, x620, x619, x618, + x617, x616, x615, x614, x613, x612, x611, x610, + x609, x608, x607, x606, x605, x604, x603, x602, + x601, x600, x599, x598, x597, x596, x595, x594, + x593, x592, x591, x590, x589, x588, x587, x586, + x585, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55; + +assign { d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [55:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x696i (.out(x696),.a(d37),.b(x660),.c(c20),.d(d3),.e(c13),.f(1'b0)); // 5 ins 1 outs + + xor6 x695i (.out(x695),.a(x603),.b(x587),.c(c26),.d(d49),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x694i (.out(x694),.a(x590),.b(d28),.c(c29),.d(d31),.e(d47),.f(1'b0)); // 5 ins 1 outs + + xor6 x693i (.out(x693),.a(x585),.b(c11),.c(d35),.d(d5),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x692i (.out(x692),.a(x590),.b(c23),.c(d47),.d(d13),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x691i (.out(x691),.a(c23),.b(d27),.c(d9),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x690i (.out(x690),.a(x598),.b(c6),.c(c23),.d(c17),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x689i (.out(x689),.a(x617),.b(d43),.c(d13),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x688i (.out(x688),.a(c27),.b(d51),.c(d12),.d(d4),.e(x634),.f(1'b0)); // 5 ins 1 outs + + xor6 x687i (.out(x687),.a(d55),.b(c31),.c(d24),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x686i (.out(x686),.a(d7),.b(d3),.c(d24),.d(x667),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x685i (.out(x685),.a(x587),.b(c26),.c(c18),.d(d20),.e(d50),.f(1'b0)); // 5 ins 1 outs + + xor6 x684i (.out(x684),.a(d19),.b(c5),.c(c23),.d(d46),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x683i (.out(x683),.a(x636),.b(d14),.c(d5),.d(d9),.e(d25),.f(1'b0)); // 5 ins 1 outs + + xor6 x682i (.out(x682),.a(x589),.b(x631),.c(d0),.d(d7),.e(c24),.f(1'b0)); // 5 ins 1 outs + + xor6 x681i (.out(x681),.a(x585),.b(d28),.c(d17),.d(d11),.e(d44),.f(1'b0)); // 5 ins 1 outs + + xor6 x680i (.out(x680),.a(d2),.b(x594),.c(c15),.d(c2),.e(d46),.f(1'b0)); // 5 ins 1 outs + + xor6 x679i (.out(x679),.a(d14),.b(d26),.c(d12),.d(x592),.e(d28),.f(x603)); // 6 ins 1 outs + + xor6 x678i (.out(x678),.a(d46),.b(d33),.c(c18),.d(d42),.e(d10),.f(x600)); // 6 ins 1 outs + + xor6 x677i (.out(x677),.a(x593),.b(c29),.c(d53),.d(c15),.e(d11),.f(d6)); // 6 ins 1 outs + + xor6 x676i (.out(x676),.a(x614),.b(x594),.c(d21),.d(c31),.e(d11),.f(c15)); // 6 ins 1 outs + + xor6 x675i (.out(x675),.a(d21),.b(d23),.c(d12),.d(x586),.e(x585),.f(1'b0)); // 5 ins 1 outs + + xor6 x674i (.out(x674),.a(d34),.b(x603),.c(d7),.d(d20),.e(c10),.f(x598)); // 6 ins 1 outs + + xor6 x673i (.out(x673),.a(d22),.b(d7),.c(c19),.d(x598),.e(d14),.f(d5)); // 6 ins 1 outs + + xor6 x672i (.out(x672),.a(x595),.b(x601),.c(c10),.d(x588),.e(d23),.f(c22)); // 6 ins 1 outs + + xor6 x671i (.out(x671),.a(x597),.b(c31),.c(d15),.d(c4),.e(x613),.f(c22)); // 6 ins 1 outs + + xor6 x670i (.out(x670),.a(d39),.b(d35),.c(c11),.d(c25),.e(c20),.f(x613)); // 6 ins 1 outs + + xor6 x669i (.out(x669),.a(d22),.b(x598),.c(c2),.d(d20),.e(d43),.f(d41)); // 6 ins 1 outs + + xor6 x668i (.out(x668),.a(d42),.b(d10),.c(x614),.d(d32),.e(c8),.f(x587)); // 6 ins 1 outs + + xor6 x667i (.out(x667),.a(c17),.b(d17),.c(d22),.d(d19),.e(d43),.f(1'b0)); // 5 ins 1 outs + + xor6 x666i (.out(x666),.a(d20),.b(d47),.c(x640),.d(d40),.e(x617),.f(x597)); // 6 ins 1 outs + + xor6 x665i (.out(x665),.a(x594),.b(d17),.c(x591),.d(x601),.e(d3),.f(c3)); // 6 ins 1 outs + + xor6 x664i (.out(x664),.a(c5),.b(x595),.c(d29),.d(c20),.e(d2),.f(d41)); // 6 ins 1 outs + + xor6 x663i (.out(x663),.a(x588),.b(c9),.c(x631),.d(x595),.e(d26),.f(d5)); // 6 ins 1 outs + + xor6 x662i (.out(x662),.a(c24),.b(c9),.c(d7),.d(d5),.e(x588),.f(x585)); // 6 ins 1 outs + + xor6 x661i (.out(x661),.a(d38),.b(x616),.c(d3),.d(x607),.e(d24),.f(d22)); // 6 ins 1 outs + + xor6 x660i (.out(x660),.a(d26),.b(c7),.c(d31),.d(c4),.e(d17),.f(c15)); // 6 ins 1 outs + + xor6 x659i (.out(x659),.a(d4),.b(c25),.c(c0),.d(d0),.e(d50),.f(x622)); // 6 ins 1 outs + + xor6 x658i (.out(x658),.a(c9),.b(d21),.c(d9),.d(d10),.e(x612),.f(1'b0)); // 5 ins 1 outs + + xor6 x657i (.out(x657),.a(x592),.b(d30),.c(c9),.d(c24),.e(d24),.f(1'b0)); // 5 ins 1 outs + + xor6 x656i (.out(x656),.a(d46),.b(d11),.c(d13),.d(x604),.e(d41),.f(1'b0)); // 5 ins 1 outs + + xor6 x655i (.out(x655),.a(x604),.b(c20),.c(d8),.d(d29),.e(d24),.f(1'b0)); // 5 ins 1 outs + + xor6 x654i (.out(x654),.a(c1),.b(c7),.c(x622),.d(c3),.e(d25),.f(1'b0)); // 5 ins 1 outs + + xor6 x653i (.out(x653),.a(d21),.b(x597),.c(x614),.d(d18),.e(c10),.f(1'b0)); // 5 ins 1 outs + + xor6 x652i (.out(x652),.a(x597),.b(c25),.c(c1),.d(d0),.e(d3),.f(1'b0)); // 5 ins 1 outs + + xor6 x651i (.out(x651),.a(d10),.b(x604),.c(d53),.d(x616),.e(x603),.f(1'b0)); // 5 ins 1 outs + + xor6 x650i (.out(x650),.a(x597),.b(x592),.c(x612),.d(c21),.e(d45),.f(1'b0)); // 5 ins 1 outs + + xor6 x649i (.out(x649),.a(x586),.b(d47),.c(x607),.d(x592),.e(x585),.f(1'b0)); // 5 ins 1 outs + + xor6 x648i (.out(x648),.a(c12),.b(d24),.c(x588),.d(d36),.e(x587),.f(c19)); // 6 ins 1 outs + + xor6 x647i (.out(x647),.a(d9),.b(c17),.c(x585),.d(d2),.e(c0),.f(1'b0)); // 5 ins 2 outs + + xor6 x646i (.out(x646),.a(d9),.b(c17),.c(x622),.d(d18),.e(x586),.f(d48)); // 6 ins 1 outs + + xor6 x645i (.out(x645),.a(x589),.b(x587),.c(d39),.d(d19),.e(d45),.f(c21)); // 6 ins 1 outs + + xor6 x644i (.out(x644),.a(d42),.b(x590),.c(d4),.d(d48),.e(d39),.f(d55)); // 6 ins 1 outs + + xor6 x643i (.out(x643),.a(c0),.b(d8),.c(d22),.d(x588),.e(d16),.f(d7)); // 6 ins 2 outs + + xor6 x642i (.out(x642),.a(c4),.b(d38),.c(x592),.d(d55),.e(c14),.f(d47)); // 6 ins 1 outs + + xor6 x641i (.out(x641),.a(d44),.b(c31),.c(d49),.d(x612),.e(d20),.f(d17)); // 6 ins 1 outs + + xor6 x640i (.out(x640),.a(c12),.b(d36),.c(c16),.d(c19),.e(d43),.f(d28)); // 6 ins 1 outs + + xor6 x639i (.out(x639),.a(x586),.b(d18),.c(d41),.d(x593),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x638i (.out(x638),.a(d53),.b(d28),.c(x603),.d(d15),.e(c0),.f(x590)); // 6 ins 2 outs + + xor6 x637i (.out(x637),.a(x597),.b(c4),.c(d37),.d(c13),.e(c17),.f(c22)); // 6 ins 1 outs + + xor6 x636i (.out(x636),.a(d20),.b(d4),.c(c15),.d(d18),.e(d3),.f(1'b0)); // 5 ins 2 outs + + xor6 x635i (.out(x635),.a(c22),.b(d28),.c(x590),.d(x607),.e(d10),.f(d1)); // 6 ins 2 outs + + xor6 x634i (.out(x634),.a(c9),.b(d35),.c(c11),.d(d21),.e(d33),.f(1'b0)); // 5 ins 1 outs + + xor6 x633i (.out(x633),.a(x622),.b(d33),.c(x601),.d(c8),.e(c2),.f(d32)); // 6 ins 2 outs + + xor6 x632i (.out(x632),.a(d16),.b(c1),.c(d19),.d(d25),.e(d53),.f(x594)); // 6 ins 2 outs + + xor6 x631i (.out(x631),.a(d2),.b(d35),.c(c11),.d(c15),.e(d23),.f(d14)); // 6 ins 2 outs + + xor6 x630i (.out(x630),.a(d41),.b(c24),.c(d18),.d(d13),.e(x588),.f(d48)); // 6 ins 2 outs + + xor6 x629i (.out(x629),.a(d1),.b(x603),.c(d40),.d(c16),.e(c3),.f(d27)); // 6 ins 3 outs + + xor6 x628i (.out(x628),.a(c21),.b(d32),.c(c8),.d(x607),.e(d10),.f(d45)); // 6 ins 2 outs + + xor6 x627i (.out(x627),.a(d11),.b(d44),.c(x586),.d(c17),.e(d30),.f(c6)); // 6 ins 2 outs + + xor6 x626i (.out(x626),.a(d1),.b(d22),.c(c21),.d(x588),.e(d33),.f(d45)); // 6 ins 2 outs + + xor6 x625i (.out(x625),.a(d28),.b(d19),.c(d54),.d(x598),.e(c23),.f(c30)); // 6 ins 3 outs + + xor6 x624i (.out(x624),.a(d0),.b(d17),.c(x600),.d(d47),.e(c0),.f(d44)); // 6 ins 2 outs + + xor6 x623i (.out(x623),.a(d12),.b(d39),.c(c22),.d(d46),.e(x612),.f(d15)); // 6 ins 3 outs + + xor6 x622i (.out(x622),.a(d23),.b(d9),.c(c4),.d(c12),.e(d36),.f(1'b0)); // 5 ins 4 outs + + xor6 x621i (.out(x621),.a(d17),.b(d21),.c(x589),.d(d10),.e(d4),.f(x585)); // 6 ins 2 outs + + xor6 x620i (.out(x620),.a(d53),.b(d14),.c(d24),.d(x587),.e(x586),.f(x594)); // 6 ins 4 outs + + xor6 x619i (.out(x619),.a(d16),.b(d3),.c(c0),.d(d17),.e(c24),.f(x591)); // 6 ins 2 outs + + xor6 x618i (.out(x618),.a(x587),.b(d12),.c(d30),.d(c6),.e(d53),.f(d24)); // 6 ins 2 outs + + xor6 x617i (.out(x617),.a(c31),.b(d55),.c(d16),.d(c15),.e(1'b0),.f(1'b0)); // 4 ins 3 outs + + xor6 x616i (.out(x616),.a(d47),.b(c5),.c(c29),.d(d29),.e(c4),.f(d26)); // 6 ins 2 outs + + xor6 x615i (.out(x615),.a(c30),.b(d24),.c(d54),.d(d10),.e(d22),.f(x595)); // 6 ins 3 outs + + xor6 x614i (.out(x614),.a(c2),.b(d7),.c(c18),.d(c21),.e(d45),.f(d46)); // 6 ins 3 outs + + xor6 x613i (.out(x613),.a(c30),.b(c31),.c(d54),.d(d42),.e(c18),.f(d1)); // 6 ins 3 outs + + xor6 x612i (.out(x612),.a(c14),.b(d38),.c(d33),.d(c20),.e(d21),.f(d11)); // 6 ins 4 outs + + xor6 x611i (.out(x611),.a(x593),.b(c9),.c(d23),.d(d52),.e(d13),.f(c28)); // 6 ins 3 outs + + xor6 x610i (.out(x610),.a(d11),.b(c24),.c(c25),.d(x591),.e(x589),.f(d49)); // 6 ins 3 outs + + xor6 x609i (.out(x609),.a(d48),.b(d39),.c(d16),.d(x587),.e(d26),.f(x600)); // 6 ins 4 outs + + xor6 x608i (.out(x608),.a(d43),.b(x591),.c(c22),.d(c23),.e(c20),.f(c19)); // 6 ins 3 outs + + xor6 x607i (.out(x607),.a(d16),.b(d37),.c(c13),.d(c29),.e(d7),.f(1'b0)); // 5 ins 4 outs + + xor6 x606i (.out(x606),.a(d12),.b(d52),.c(x590),.d(c29),.e(c28),.f(d17)); // 6 ins 4 outs + + xor6 x605i (.out(x605),.a(x585),.b(d43),.c(d6),.d(d4),.e(d20),.f(c17)); // 6 ins 5 outs + + xor6 x604i (.out(x604),.a(c22),.b(d34),.c(d21),.d(c10),.e(c2),.f(d5)); // 6 ins 4 outs + + xor6 x603i (.out(x603),.a(c24),.b(c0),.c(d26),.d(c1),.e(d25),.f(d20)); // 6 ins 6 outs + + xor6 x602i (.out(x602),.a(x589),.b(d48),.c(c27),.d(d26),.e(d22),.f(d51)); // 6 ins 6 outs + + xor6 x601i (.out(x601),.a(d40),.b(c28),.c(c16),.d(d31),.e(c7),.f(d52)); // 6 ins 3 outs + + xor6 x600i (.out(x600),.a(c10),.b(d13),.c(d34),.d(c3),.e(d27),.f(d12)); // 6 ins 3 outs + + xor6 x599i (.out(x599),.a(d18),.b(d39),.c(d1),.d(x593),.e(d2),.f(d14)); // 6 ins 5 outs + + xor6 x598i (.out(x598),.a(d44),.b(d40),.c(d2),.d(c16),.e(c0),.f(d21)); // 6 ins 5 outs + + xor6 x597i (.out(x597),.a(d27),.b(d30),.c(d4),.d(c6),.e(c24),.f(c3)); // 6 ins 8 outs + + xor6 x596i (.out(x596),.a(d19),.b(c26),.c(x588),.d(c5),.e(d50),.f(d29)); // 6 ins 7 outs + + xor6 x595i (.out(x595),.a(d42),.b(d3),.c(d0),.d(d39),.e(d28),.f(c18)); // 6 ins 5 outs + + xor6 x594i (.out(x594),.a(c8),.b(d43),.c(c19),.d(d32),.e(c29),.f(d23)); // 6 ins 5 outs + + xor6 x593i (.out(x593),.a(c23),.b(c14),.c(d38),.d(c12),.e(d36),.f(c15)); // 6 ins 6 outs + + xor6 x592i (.out(x592),.a(d8),.b(d41),.c(c21),.d(c1),.e(d45),.f(d25)); // 6 ins 6 outs + + xor6 x591i (.out(x591),.a(c30),.b(d54),.c(d33),.d(d15),.e(c9),.f(d8)); // 6 ins 4 outs + + xor6 x590i (.out(x590),.a(d46),.b(d53),.c(d49),.d(d5),.e(c25),.f(d24)); // 6 ins 6 outs + + xor6 x589i (.out(x589),.a(d37),.b(c13),.c(d35),.d(c11),.e(c8),.f(d32)); // 6 ins 5 outs + + xor6 x588i (.out(x588),.a(d31),.b(d48),.c(d0),.d(c7),.e(d47),.f(d6)); // 6 ins 9 outs + + xor6 x587i (.out(x587),.a(d55),.b(c31),.c(d9),.d(c20),.e(d44),.f(c2)); // 6 ins 8 outs + + xor6 x586i (.out(x586),.a(c28),.b(d52),.c(c5),.d(c17),.e(d29),.f(c0)); // 6 ins 6 outs + + xor6 x585i (.out(x585),.a(c27),.b(c23),.c(c26),.d(d51),.e(d50),.f(c4)); // 6 ins 9 outs + + xor6 x23i (.out(x23),.a(x641),.b(x593),.c(x623),.d(x609),.e(x670),.f(x596)); // 6 ins 1 outs + + xor6 x22i (.out(x22),.a(x645),.b(x609),.c(x620),.d(x630),.e(x677),.f(1'b0)); // 5 ins 1 outs + + xor6 x21i (.out(x21),.a(x646),.b(x633),.c(x602),.d(x606),.e(x678),.f(1'b0)); // 5 ins 1 outs + + xor6 x20i (.out(x20),.a(x650),.b(c17),.c(x681),.d(x611),.e(x609),.f(1'b0)); // 5 ins 1 outs + + xor6 x19i (.out(x19),.a(x661),.b(d1),.c(c14),.d(x629),.e(x585),.f(x610)); // 6 ins 1 outs + + xor6 x18i (.out(x18),.a(d39),.b(x651),.c(x638),.d(x682),.e(x596),.f(1'b0)); // 5 ins 1 outs + + xor6 x17i (.out(x17),.a(d49),.b(x652),.c(x683),.d(x626),.e(x611),.f(1'b0)); // 5 ins 1 outs + + xor6 x16i (.out(x16),.a(x655),.b(x684),.c(x597),.d(x602),.e(x624),.f(1'b0)); // 5 ins 1 outs + + xor6 x15i (.out(x15),.a(x653),.b(d34),.c(x685),.d(x606),.e(x619),.f(1'b0)); // 5 ins 1 outs + + xor6 x14i (.out(x14),.a(x686),.b(x620),.c(x647),.d(x610),.e(x605),.f(x602)); // 6 ins 1 outs + + xor6 x13i (.out(x13),.a(x662),.b(x611),.c(x687),.d(x599),.e(x615),.f(x632)); // 6 ins 1 outs + + xor6 x12i (.out(x12),.a(d21),.b(x671),.c(x647),.d(x630),.e(x606),.f(1'b0)); // 5 ins 1 outs + + xor6 x11i (.out(x11),.a(x648),.b(x629),.c(x679),.d(x619),.e(x605),.f(1'b0)); // 5 ins 1 outs + + xor6 x10i (.out(x10),.a(x663),.b(c15),.c(x617),.d(d13),.e(x596),.f(x633)); // 6 ins 1 outs + + xor6 x9i (.out(x9),.a(x656),.b(d47),.c(x688),.d(x599),.e(x620),.f(1'b0)); // 5 ins 1 outs + + xor6 x8i (.out(x8),.a(d34),.b(x672),.c(x608),.d(x623),.e(x626),.f(x621)); // 6 ins 1 outs + + xor6 x7i (.out(x7),.a(d15),.b(x649),.c(x680),.d(x604),.e(x615),.f(1'b0)); // 5 ins 1 outs + + xor6 x6i (.out(x6),.a(x642),.b(x613),.c(x627),.d(x673),.e(x605),.f(1'b0)); // 5 ins 1 outs + + xor6 x5i (.out(x5),.a(x664),.b(x689),.c(x605),.d(x625),.e(x635),.f(1'b0)); // 5 ins 1 outs + + xor6 x4i (.out(x4),.a(x657),.b(x690),.c(x636),.d(x596),.e(x623),.f(1'b0)); // 5 ins 1 outs + + xor6 x3i (.out(x3),.a(x632),.b(x665),.c(x691),.d(x599),.e(x628),.f(1'b0)); // 5 ins 1 outs + + xor6 x2i (.out(x2),.a(x692),.b(x606),.c(x643),.d(x618),.e(x602),.f(x599)); // 6 ins 1 outs + + xor6 x1i (.out(x1),.a(d6),.b(x658),.c(x693),.d(x635),.e(x624),.f(1'b0)); // 5 ins 1 outs + + xor6 x0i (.out(x0),.a(c4),.b(x674),.c(x625),.d(x596),.e(x618),.f(x628)); // 6 ins 1 outs + + xor6 x31i (.out(x31),.a(x654),.b(d27),.c(x694),.d(x627),.e(x608),.f(1'b0)); // 5 ins 1 outs + + xor6 x30i (.out(x30),.a(d28),.b(d8),.c(x637),.d(x602),.e(x620),.f(x668)); // 6 ins 1 outs + + xor6 x29i (.out(x29),.a(x675),.b(x592),.c(x595),.d(x609),.e(x643),.f(1'b0)); // 5 ins 1 outs + + xor6 x28i (.out(x28),.a(d12),.b(x669),.c(x605),.d(x608),.e(x597),.f(x638)); // 6 ins 1 outs + + xor6 x27i (.out(x27),.a(x644),.b(x588),.c(x596),.d(x629),.e(x676),.f(1'b0)); // 5 ins 1 outs + + xor6 x26i (.out(x26),.a(x659),.b(x596),.c(x639),.d(x695),.e(x615),.f(1'b0)); // 5 ins 1 outs + + xor6 x25i (.out(x25),.a(x696),.b(x639),.c(x610),.d(x625),.e(x602),.f(1'b0)); // 5 ins 1 outs + + xor6 x24i (.out(x24),.a(d48),.b(d7),.c(x666),.d(x599),.e(x593),.f(x621)); // 6 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat64.v b/Advanced Synthesis Cookbook/crc/crc32_dat64.v new file mode 100644 index 0000000..b29a231 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat64.v @@ -0,0 +1,687 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 64 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222222223333333333444444444455555555556666 +// 01234567890123456789012345678901 0123456789012345678901234567890123456789012345678901234567890123 +// +// C00 = X.X..X......XX.XX.X..XXX..X.XX.X X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X +// C01 = .XXX.XX.....X.XX.XXX.X..X.XXX.XX XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XX +// C02 = X..XXXXX....X......XXX.X.XXX.... XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX.... +// C03 = XX..XXXXX....X......XXX.X.XXX... .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX... +// C04 = .X....XXXX..XXXXX.X......XXX...X X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X +// C05 = .....X.XXXX.X.X..XXX.XXX...X.X.X XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.X +// C06 = ......X.XXXX.X.X..XXX.XXX...X.X. .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X. +// C07 = X.X..X.X.XXX.XXX..XXX.X.XXX.X... X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X... +// C08 = XXXX.XX.X.XX.XX...XXX.X..X.XX..X XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X +// C09 = XXXXX.XX.X.XX.XX...XXX.X..X.XX.. .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX.. +// C10 = XX.XX..XX.X.......X.X..XX.XXX.XX X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX +// C11 = .X..X...XX.XXX.XX.XX..XXXXXX.... XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX.... +// C12 = .........XX...XX.XXXXXX.XX.X.X.X XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X +// C13 = X.........XX...XX.XXXXXX.XX.X.X. .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X. +// C14 = XX.........XX...XX.XXXXXX.XX.X.X ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X +// C15 = .XX.........XX...XX.XXXXXX.XX.X. ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X. +// C16 = X..X.X......X.XXX..X....XX...... X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX...... +// C17 = .X..X.X......X.XXX..X....XX..... .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX..... +// C18 = X.X..X.X......X.XXX..X....XX.... ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX.... +// C19 = XX.X..X.X......X.XXX..X....XX... ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX... +// C20 = .XX.X..X.X......X.XXX..X....XX.. ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX.. +// C21 = ..XX.X..X.X......X.XXX..X....XX. .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX. +// C22 = ..XXXXX..X.XXX.XX...X..X.XX.XXX. X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX. +// C23 = ..XXX.XX..X...XX.XX...XXX..XX.X. XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X. +// C24 = X..XXX.XX..X...XX.XX...XXX..XX.X .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X +// C25 = .X..XXX.XX..X...XX.XX...XXX..XX. ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX. +// C26 = ......XX.XX.X..XXX..X.XX.X.XXXX. X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX. +// C27 = X......XX.XX.X..XXX..X.XX.X.XXXX .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX +// C28 = .X......XX.XX.X..XXX..X.XX.X.XXX ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXX +// C29 = ..X......XX.XX.X..XXX..X.XX.X.XX ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XX +// C30 = X..X......XX.XX.X..XXX..X.XX.X.X ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.X +// C31 = .X..X......XX.XX.X..XXX..X.XX.X. .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X. +// +module crc32_dat64 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [63:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat64_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat64_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat64_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [63:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63; + +assign { d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [63:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x31 = c1 ^ d33 ^ d53 ^ d5 ^ c11 ^ d52 ^ d25 ^ c25 ^ d11 ^ + d57 ^ c17 ^ d46 ^ d62 ^ c27 ^ c12 ^ d15 ^ d28 ^ d44 ^ d47 ^ + d59 ^ c21 ^ d24 ^ d36 ^ d8 ^ d27 ^ c30 ^ c20 ^ c22 ^ d31 ^ + c4 ^ c14 ^ c15 ^ d30 ^ d9 ^ d49 ^ d23 ^ d60 ^ c28 ^ d29 ^ + d54 ^ d43; // 41 ins 1 outs + + assign x30 = c24 ^ d23 ^ c21 ^ d61 ^ d51 ^ d59 ^ c26 ^ d27 ^ d24 ^ + c0 ^ d63 ^ d28 ^ d22 ^ d14 ^ c29 ^ d58 ^ d26 ^ d35 ^ d4 ^ + d52 ^ c31 ^ c20 ^ c19 ^ d7 ^ c10 ^ c13 ^ c11 ^ d46 ^ d53 ^ + c27 ^ d43 ^ c14 ^ d8 ^ c16 ^ d48 ^ d30 ^ d45 ^ d29 ^ d56 ^ + d32 ^ d10 ^ c3 ^ d42; // 43 ins 1 outs + + assign x29 = d13 ^ d63 ^ d42 ^ c20 ^ d31 ^ d25 ^ c19 ^ d41 ^ d50 ^ + c23 ^ d60 ^ d9 ^ c26 ^ d6 ^ d26 ^ c18 ^ d27 ^ d28 ^ d34 ^ + d3 ^ d57 ^ c12 ^ c9 ^ c10 ^ d45 ^ d7 ^ d62 ^ d29 ^ d52 ^ + c28 ^ c13 ^ d51 ^ d23 ^ d21 ^ d47 ^ c15 ^ c25 ^ d55 ^ c31 ^ + c2 ^ d58 ^ d44 ^ c30 ^ d22; // 44 ins 1 outs + + assign x28 = c24 ^ d12 ^ d21 ^ c29 ^ d59 ^ d62 ^ d49 ^ c22 ^ d44 ^ + d41 ^ c18 ^ c27 ^ d6 ^ c19 ^ c1 ^ d24 ^ d63 ^ c25 ^ d5 ^ + c30 ^ d8 ^ d2 ^ d46 ^ d50 ^ c12 ^ d40 ^ d27 ^ d56 ^ c31 ^ + d30 ^ c14 ^ d54 ^ d33 ^ d51 ^ d61 ^ d25 ^ c17 ^ c8 ^ c9 ^ + d28 ^ d26 ^ d22 ^ d20 ^ d57 ^ c11 ^ d43; // 46 ins 1 outs + + assign x27 = c29 ^ d49 ^ c18 ^ d29 ^ c8 ^ c23 ^ c10 ^ d40 ^ d19 ^ + c21 ^ d32 ^ d63 ^ d45 ^ c13 ^ d24 ^ d43 ^ c30 ^ d53 ^ d58 ^ + d62 ^ d42 ^ d5 ^ d61 ^ c26 ^ d23 ^ c24 ^ c11 ^ d7 ^ c31 ^ + d60 ^ d11 ^ c0 ^ c28 ^ d20 ^ d26 ^ d55 ^ d1 ^ c16 ^ c17 ^ + d48 ^ d39 ^ d21 ^ d4 ^ d50 ^ d25 ^ d27 ^ d56 ^ c7; // 48 ins 1 outs + + assign x26 = d47 ^ c28 ^ d0 ^ d28 ^ d31 ^ d62 ^ d57 ^ d19 ^ d42 ^ + c29 ^ d3 ^ c10 ^ d39 ^ d18 ^ d23 ^ d60 ^ d24 ^ d61 ^ c17 ^ + c7 ^ c22 ^ d26 ^ d48 ^ c12 ^ c23 ^ c25 ^ d25 ^ d22 ^ d41 ^ + d10 ^ d49 ^ c16 ^ c9 ^ c15 ^ d44 ^ d55 ^ d4 ^ d52 ^ c20 ^ + d38 ^ c27 ^ d20 ^ d54 ^ d59 ^ c6 ^ c30 ^ d6; // 47 ins 1 outs + + assign x25 = d58 ^ d51 ^ c20 ^ d48 ^ d19 ^ d28 ^ d49 ^ d36 ^ d21 ^ + d15 ^ d3 ^ d44 ^ d57 ^ c19 ^ c4 ^ c25 ^ c8 ^ c30 ^ d22 ^ + d11 ^ c12 ^ d37 ^ d31 ^ d17 ^ c26 ^ c9 ^ d8 ^ d62 ^ d52 ^ + c6 ^ d41 ^ c29 ^ d38 ^ c24 ^ d40 ^ c16 ^ d56 ^ c1 ^ d29 ^ + d18 ^ d61 ^ d2 ^ d33 ^ c17 ^ c5; // 45 ins 1 outs + + assign x24 = c19 ^ d10 ^ d30 ^ d60 ^ d57 ^ d7 ^ d17 ^ d28 ^ c5 ^ + c11 ^ d32 ^ d14 ^ d36 ^ c23 ^ c7 ^ d61 ^ c0 ^ d50 ^ c24 ^ + d63 ^ d18 ^ d55 ^ c15 ^ c18 ^ d40 ^ d37 ^ c4 ^ c3 ^ c31 ^ + d2 ^ d1 ^ c16 ^ c8 ^ d43 ^ c29 ^ c28 ^ d48 ^ d21 ^ d27 ^ + c25 ^ d16 ^ d56 ^ d20 ^ d39 ^ d35 ^ d51 ^ d47; // 47 ins 1 outs + + assign x23 = d16 ^ d6 ^ d54 ^ d56 ^ d27 ^ d9 ^ d42 ^ d46 ^ c3 ^ + d0 ^ d39 ^ d38 ^ d20 ^ d35 ^ d50 ^ d31 ^ d15 ^ c18 ^ c22 ^ + d59 ^ c15 ^ d47 ^ d17 ^ c30 ^ c7 ^ d55 ^ c23 ^ c6 ^ d34 ^ + d36 ^ c4 ^ d19 ^ c17 ^ d62 ^ c2 ^ d26 ^ d29 ^ c24 ^ c14 ^ + d60 ^ d13 ^ c27 ^ c28 ^ c10 ^ d49 ^ d1; // 46 ins 1 outs + + assign x22 = d55 ^ d44 ^ d47 ^ c4 ^ c15 ^ c28 ^ c3 ^ d41 ^ d9 ^ + d52 ^ c16 ^ c30 ^ c2 ^ d38 ^ c29 ^ d57 ^ d16 ^ d62 ^ c20 ^ + d37 ^ c11 ^ c6 ^ c25 ^ d58 ^ d43 ^ d60 ^ d14 ^ d23 ^ d11 ^ + d26 ^ d35 ^ c26 ^ d19 ^ d18 ^ d0 ^ c9 ^ d61 ^ d29 ^ c13 ^ + d36 ^ d34 ^ d27 ^ d48 ^ d45 ^ d24 ^ c12 ^ c23 ^ d12 ^ d31 ^ + c5; // 50 ins 1 outs + + assign x21 = d29 ^ d27 ^ c21 ^ c20 ^ d17 ^ d61 ^ d31 ^ c10 ^ d9 ^ + d56 ^ d52 ^ d10 ^ d22 ^ d37 ^ d62 ^ d35 ^ c2 ^ c5 ^ d42 ^ + d5 ^ d53 ^ d51 ^ d26 ^ c3 ^ c19 ^ c24 ^ c17 ^ c8 ^ d34 ^ + d40 ^ d49 ^ d13 ^ c30 ^ c29 ^ d24 ^ d18; // 36 ins 1 outs + + assign x20 = d28 ^ d48 ^ c29 ^ d33 ^ d21 ^ d34 ^ d16 ^ d36 ^ d23 ^ + d4 ^ d30 ^ c9 ^ d52 ^ c4 ^ d41 ^ c20 ^ d51 ^ c2 ^ c1 ^ + c19 ^ c16 ^ d17 ^ d60 ^ d8 ^ d12 ^ d26 ^ d50 ^ d61 ^ c28 ^ + c7 ^ d25 ^ d9 ^ d39 ^ d55 ^ c18 ^ c23; // 36 ins 1 outs + + assign x19 = d27 ^ d59 ^ d20 ^ c0 ^ c6 ^ c28 ^ c22 ^ d60 ^ c18 ^ + d35 ^ d50 ^ c19 ^ d33 ^ d15 ^ d25 ^ d38 ^ d7 ^ c1 ^ d40 ^ + c8 ^ d49 ^ d54 ^ c3 ^ c15 ^ d32 ^ c27 ^ d3 ^ d11 ^ d24 ^ + d29 ^ d47 ^ d51 ^ c17 ^ d16 ^ d22 ^ d8; // 36 ins 1 outs + + assign x18 = c7 ^ d39 ^ c2 ^ c21 ^ d59 ^ d19 ^ d31 ^ d50 ^ d23 ^ + d24 ^ c18 ^ d58 ^ d14 ^ c0 ^ c5 ^ d2 ^ c26 ^ d10 ^ d7 ^ + d48 ^ c14 ^ c27 ^ d49 ^ d15 ^ d53 ^ c17 ^ d32 ^ d46 ^ d34 ^ + d28 ^ d26 ^ d21 ^ d6 ^ d37 ^ c16; // 35 ins 1 outs + + assign x17 = c17 ^ c1 ^ d36 ^ d45 ^ d38 ^ d14 ^ c25 ^ d13 ^ d52 ^ + c6 ^ c13 ^ d31 ^ d33 ^ c15 ^ d30 ^ d49 ^ c4 ^ d1 ^ d23 ^ + d47 ^ c16 ^ d48 ^ c26 ^ d25 ^ d5 ^ d27 ^ d58 ^ d22 ^ d6 ^ + d20 ^ d18 ^ d9 ^ d57 ^ c20; // 34 ins 1 outs + + assign x16 = d48 ^ d24 ^ c16 ^ d0 ^ d8 ^ d32 ^ d30 ^ d26 ^ d29 ^ + c12 ^ d37 ^ d51 ^ d12 ^ c15 ^ c24 ^ c5 ^ d47 ^ d44 ^ c3 ^ + d57 ^ c19 ^ c0 ^ d56 ^ d13 ^ d5 ^ d35 ^ c25 ^ d4 ^ c14 ^ + d22 ^ d21 ^ d17 ^ d46 ^ d19; // 34 ins 1 outs + + assign x15 = d12 ^ d9 ^ d62 ^ d34 ^ c21 ^ d59 ^ d45 ^ d24 ^ c22 ^ + d56 ^ c17 ^ c24 ^ c18 ^ c12 ^ d30 ^ d27 ^ d5 ^ d60 ^ d44 ^ + c1 ^ d33 ^ d3 ^ d55 ^ c23 ^ d54 ^ c28 ^ d8 ^ d53 ^ c27 ^ + d50 ^ d57 ^ d21 ^ d20 ^ d18 ^ c25 ^ d49 ^ d7 ^ d16 ^ c2 ^ + d15 ^ d4 ^ d52 ^ c13 ^ c20 ^ c30; // 45 ins 1 outs + + assign x14 = c20 ^ d14 ^ d54 ^ d52 ^ d2 ^ c29 ^ d56 ^ d26 ^ d32 ^ + c26 ^ c27 ^ c19 ^ c24 ^ d17 ^ d7 ^ d20 ^ d15 ^ c11 ^ d49 ^ + c31 ^ d59 ^ c12 ^ d11 ^ c1 ^ c22 ^ d55 ^ d4 ^ d63 ^ d43 ^ + d8 ^ d33 ^ c17 ^ d3 ^ d53 ^ d6 ^ d61 ^ c16 ^ d19 ^ d48 ^ + c21 ^ d29 ^ d51 ^ d58 ^ c0 ^ c23 ^ d44 ^ d23; // 47 ins 1 outs + + assign x13 = c19 ^ c23 ^ c22 ^ d60 ^ d57 ^ d52 ^ d28 ^ d1 ^ c15 ^ + d51 ^ d50 ^ d3 ^ c16 ^ d5 ^ c11 ^ c10 ^ d32 ^ d43 ^ d13 ^ + d14 ^ d31 ^ d54 ^ d58 ^ d7 ^ c25 ^ c26 ^ c20 ^ d48 ^ d53 ^ + d19 ^ c0 ^ c30 ^ d6 ^ d62 ^ d55 ^ d10 ^ c21 ^ d18 ^ d25 ^ + c18 ^ c28 ^ d2 ^ d42 ^ d47 ^ d22 ^ d16; // 46 ins 1 outs + + assign x12 = d4 ^ d59 ^ d63 ^ d9 ^ c24 ^ c25 ^ c14 ^ c20 ^ d12 ^ + d51 ^ c21 ^ d50 ^ d47 ^ d41 ^ d57 ^ d5 ^ d31 ^ d24 ^ c31 ^ + d52 ^ c27 ^ d0 ^ d18 ^ c22 ^ d2 ^ c18 ^ c9 ^ c15 ^ c19 ^ + d17 ^ d42 ^ d46 ^ c17 ^ d13 ^ d49 ^ d1 ^ d61 ^ d27 ^ d15 ^ + d56 ^ d54 ^ d30 ^ d6 ^ d21 ^ c10 ^ d53 ^ c29; // 47 ins 1 outs + + assign x11 = d15 ^ d28 ^ d58 ^ c25 ^ c18 ^ c19 ^ d14 ^ d36 ^ d40 ^ + d59 ^ d33 ^ c16 ^ d41 ^ d26 ^ c4 ^ c11 ^ d43 ^ d16 ^ d1 ^ + d47 ^ d27 ^ d50 ^ c27 ^ c9 ^ c13 ^ c24 ^ d56 ^ d54 ^ d48 ^ + d51 ^ c26 ^ d57 ^ c1 ^ c8 ^ d0 ^ d45 ^ d3 ^ d24 ^ c12 ^ + d17 ^ c23 ^ d25 ^ d9 ^ d12 ^ d31 ^ c22 ^ c15 ^ d44 ^ d4 ^ + d55 ^ d20; // 51 ins 1 outs + + assign x10 = c1 ^ c24 ^ d3 ^ d52 ^ d35 ^ d36 ^ d55 ^ d31 ^ d16 ^ + c4 ^ d26 ^ d29 ^ d32 ^ d14 ^ c8 ^ c0 ^ c10 ^ d2 ^ d42 ^ + d63 ^ c23 ^ c30 ^ c7 ^ d60 ^ c26 ^ d0 ^ d28 ^ d50 ^ c31 ^ + d40 ^ d19 ^ d59 ^ c18 ^ c28 ^ c20 ^ d9 ^ c27 ^ d58 ^ d5 ^ + d62 ^ d33 ^ d13 ^ d56 ^ d39 ^ c3; // 45 ins 1 outs + + assign x9 = d32 ^ d29 ^ c1 ^ d51 ^ c29 ^ d34 ^ d11 ^ c2 ^ d52 ^ + d33 ^ c23 ^ d58 ^ c28 ^ d41 ^ d60 ^ d61 ^ d23 ^ d13 ^ d24 ^ + d9 ^ c3 ^ c26 ^ c11 ^ d1 ^ d5 ^ d18 ^ d47 ^ d12 ^ c19 ^ + d2 ^ c9 ^ d43 ^ c20 ^ c12 ^ c0 ^ d44 ^ d36 ^ c15 ^ d46 ^ + d55 ^ c4 ^ c6 ^ c14 ^ d35 ^ c21 ^ c7 ^ d39 ^ d38 ^ d53 ^ + d4; // 50 ins 1 outs + + assign x8 = d10 ^ d45 ^ c13 ^ c1 ^ d51 ^ d34 ^ c0 ^ d60 ^ d37 ^ + c2 ^ d33 ^ c8 ^ c5 ^ d8 ^ d57 ^ d12 ^ c31 ^ d0 ^ d54 ^ + d35 ^ d32 ^ d23 ^ d17 ^ d63 ^ d50 ^ d28 ^ c10 ^ c11 ^ c18 ^ + d42 ^ c19 ^ c27 ^ d59 ^ d11 ^ c25 ^ d31 ^ c6 ^ d40 ^ d1 ^ + c22 ^ d4 ^ d22 ^ d52 ^ d43 ^ c20 ^ c28 ^ d3 ^ d46 ^ d38 ^ + c3 ^ c14; // 51 ins 1 outs + + assign x7 = d47 ^ c5 ^ d24 ^ d28 ^ d60 ^ c0 ^ d29 ^ c25 ^ c24 ^ + c20 ^ c19 ^ d22 ^ d7 ^ c13 ^ d2 ^ d54 ^ d3 ^ c11 ^ d41 ^ + d56 ^ d37 ^ d57 ^ d25 ^ c18 ^ c10 ^ d45 ^ d51 ^ c22 ^ d43 ^ + d46 ^ d23 ^ c7 ^ c2 ^ d16 ^ c9 ^ d10 ^ d15 ^ d39 ^ d42 ^ + d58 ^ d21 ^ d5 ^ c15 ^ c26 ^ d0 ^ d32 ^ d50 ^ d52 ^ d8 ^ + d34 ^ c28 ^ c14; // 52 ins 1 outs + + assign x6 = d11 ^ d30 ^ c18 ^ c28 ^ c9 ^ d29 ^ d41 ^ c22 ^ d14 ^ + d54 ^ c6 ^ d8 ^ d7 ^ d55 ^ d21 ^ d51 ^ c13 ^ d6 ^ d50 ^ + c24 ^ d60 ^ d25 ^ d52 ^ d42 ^ d40 ^ d56 ^ c15 ^ d2 ^ d5 ^ + d45 ^ d1 ^ d47 ^ c23 ^ d4 ^ d20 ^ d43 ^ c11 ^ c20 ^ c19 ^ + d38 ^ c30 ^ c8 ^ d62 ^ c10 ^ d22; // 45 ins 1 outs + + assign x5 = d53 ^ d10 ^ d24 ^ d50 ^ c14 ^ d59 ^ c29 ^ d51 ^ d20 ^ + c12 ^ c31 ^ c5 ^ d5 ^ c23 ^ d0 ^ d42 ^ d54 ^ d44 ^ c21 ^ + d29 ^ d41 ^ d37 ^ d4 ^ c27 ^ c17 ^ c7 ^ c19 ^ d46 ^ d13 ^ + c22 ^ d61 ^ d21 ^ d7 ^ d49 ^ d39 ^ d1 ^ c9 ^ d55 ^ c10 ^ + d19 ^ d3 ^ d6 ^ c18 ^ d63 ^ d40 ^ d28 ^ c8; // 47 ins 1 outs + + assign x4 = c1 ^ d11 ^ d19 ^ d33 ^ d18 ^ d50 ^ d48 ^ c26 ^ c7 ^ + d29 ^ d58 ^ d39 ^ c31 ^ c8 ^ d6 ^ d46 ^ d0 ^ d4 ^ d40 ^ + c15 ^ d8 ^ d2 ^ c14 ^ d3 ^ d15 ^ c18 ^ d30 ^ c6 ^ d12 ^ + d25 ^ c13 ^ d31 ^ d45 ^ d59 ^ d57 ^ c9 ^ d24 ^ c25 ^ d47 ^ + d41 ^ c12 ^ c16 ^ d63 ^ d44 ^ d20 ^ c27 ^ d38; // 47 ins 1 outs + + assign x3 = c22 ^ d39 ^ d38 ^ c20 ^ d14 ^ d58 ^ c1 ^ d32 ^ d3 ^ + d52 ^ d40 ^ d17 ^ c8 ^ d8 ^ c21 ^ d59 ^ d9 ^ c24 ^ c6 ^ + d45 ^ d25 ^ d27 ^ c26 ^ d31 ^ d54 ^ d19 ^ d1 ^ d36 ^ c5 ^ + d60 ^ d18 ^ d2 ^ d7 ^ c4 ^ d15 ^ d33 ^ c0 ^ c28 ^ d53 ^ + c13 ^ c27 ^ d56 ^ d37 ^ c7 ^ d10; // 45 ins 1 outs + + assign x2 = d0 ^ d9 ^ d24 ^ d37 ^ c27 ^ c5 ^ d58 ^ d32 ^ c12 ^ + c25 ^ c23 ^ d57 ^ d17 ^ d31 ^ d35 ^ c21 ^ d13 ^ d59 ^ d1 ^ + d44 ^ d26 ^ d2 ^ d18 ^ c3 ^ d30 ^ d8 ^ d55 ^ c0 ^ d51 ^ + d16 ^ d52 ^ d6 ^ d39 ^ c7 ^ d7 ^ d53 ^ c6 ^ c4 ^ d36 ^ + c26 ^ d38 ^ c20 ^ d14 ^ c19; // 44 ins 1 outs + + assign x1 = d44 ^ d47 ^ d12 ^ d58 ^ d0 ^ c26 ^ d16 ^ c2 ^ d53 ^ + d56 ^ d27 ^ d63 ^ d24 ^ d28 ^ d1 ^ d49 ^ c27 ^ d34 ^ c6 ^ + d59 ^ d35 ^ d33 ^ d7 ^ d6 ^ c15 ^ d13 ^ c17 ^ d17 ^ c21 ^ + c12 ^ d50 ^ d60 ^ c1 ^ d51 ^ c31 ^ c14 ^ c3 ^ d11 ^ c24 ^ + c18 ^ c28 ^ d62 ^ c30 ^ d38 ^ d46 ^ c19 ^ d9 ^ c5 ^ d37; // 49 ins 1 outs + + assign x0 = c0 ^ d55 ^ d44 ^ d47 ^ c5 ^ c15 ^ c22 ^ d31 ^ d12 ^ + d58 ^ d37 ^ d9 ^ d28 ^ d63 ^ c16 ^ d10 ^ d25 ^ c23 ^ c12 ^ + d24 ^ d45 ^ d48 ^ c28 ^ c18 ^ c31 ^ d60 ^ c21 ^ d34 ^ c13 ^ + d29 ^ d26 ^ c29 ^ d30 ^ d50 ^ d32 ^ d61 ^ d0 ^ c26 ^ d16 ^ + c2 ^ d53 ^ d6 ^ d54; // 43 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat64_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [63:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x767, x766, x765, x764, x763, x762, x761, + x760, x759, x758, x757, x756, x755, x754, x753, + x752, x751, x750, x749, x748, x747, x746, x745, + x744, x743, x742, x741, x740, x739, x738, x737, + x736, x735, x734, x733, x732, x731, x730, x729, + x728, x727, x726, x725, x724, x723, x722, x721, + x720, x719, x718, x717, x716, x715, x714, x713, + x712, x711, x710, x709, x708, x707, x706, x705, + x704, x703, x702, x701, x700, x699, x698, x697, + x696, x695, x694, x693, x692, x691, x690, x689, + x688, x687, x686, x685, x684, x683, x682, x681, + x680, x679, x678, x677, x676, x675, x674, x673, + x672, x671, x670, x669, x668, x667, x666, x665, + x664, x663, x662, x661, x660, x659, x658, x657, + x656, x655, x654, x653, x652, x651, x650, x649, + x648, x647, x646, x645, x644, x31, x30, x29, + x28, x27, x26, x25, x24, x23, x22, x21, + x20, x19, x18, x17, x16, x15, x14, x13, + x12, x11, x10, x9, x8, x7, x6, x5, + x4, x3, x2, x1, x0; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63; + +assign { d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [63:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x767i (.out(x767),.a(x678),.b(d60),.c(d41),.d(c22),.e(d10),.f(1'b0)); // 5 ins 1 outs + + xor6 x766i (.out(x766),.a(x644),.b(x664),.c(d60),.d(d34),.e(d11),.f(1'b0)); // 5 ins 1 outs + + xor6 x765i (.out(x765),.a(d45),.b(d24),.c(d19),.d(d12),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x764i (.out(x764),.a(x648),.b(x660),.c(d18),.d(d40),.e(c21),.f(1'b0)); // 5 ins 1 outs + + xor6 x763i (.out(x763),.a(x659),.b(x653),.c(d5),.d(d52),.e(c14),.f(1'b0)); // 5 ins 1 outs + + xor6 x762i (.out(x762),.a(c16),.b(c28),.c(x738),.d(d26),.e(x658),.f(1'b0)); // 5 ins 1 outs + + xor6 x761i (.out(x761),.a(x746),.b(d13),.c(d56),.d(d42),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x760i (.out(x760),.a(c6),.b(d16),.c(d55),.d(d32),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x759i (.out(x759),.a(d17),.b(d2),.c(d13),.d(d11),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x758i (.out(x758),.a(c28),.b(x731),.c(d60),.d(d50),.e(c18),.f(1'b0)); // 5 ins 1 outs + + xor6 x757i (.out(x757),.a(x649),.b(d19),.c(d20),.d(d22),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x756i (.out(x756),.a(d45),.b(c15),.c(d48),.d(d27),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x755i (.out(x755),.a(x729),.b(d0),.c(x648),.d(x666),.e(x659),.f(1'b0)); // 5 ins 1 outs + + xor6 x754i (.out(x754),.a(d18),.b(d14),.c(d27),.d(d7),.e(d62),.f(1'b0)); // 5 ins 1 outs + + xor6 x753i (.out(x753),.a(x645),.b(d12),.c(d3),.d(d62),.e(d38),.f(1'b0)); // 5 ins 1 outs + + xor6 x752i (.out(x752),.a(d3),.b(d52),.c(d0),.d(x662),.e(x664),.f(d13)); // 6 ins 1 outs + + xor6 x751i (.out(x751),.a(x700),.b(c8),.c(x668),.d(d25),.e(d37),.f(c24)); // 6 ins 1 outs + + xor6 x750i (.out(x750),.a(d49),.b(c8),.c(c12),.d(d2),.e(d21),.f(1'b0)); // 5 ins 1 outs + + xor6 x749i (.out(x749),.a(c31),.b(d6),.c(d21),.d(x720),.e(x666),.f(1'b0)); // 5 ins 1 outs + + xor6 x748i (.out(x748),.a(d14),.b(x645),.c(d27),.d(x667),.e(d19),.f(1'b0)); // 5 ins 1 outs + + xor6 x747i (.out(x747),.a(d11),.b(x652),.c(x645),.d(d12),.e(x659),.f(1'b0)); // 5 ins 1 outs + + xor6 x746i (.out(x746),.a(c22),.b(c21),.c(d9),.d(d7),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x745i (.out(x745),.a(x651),.b(d44),.c(d8),.d(d47),.e(c12),.f(d22)); // 6 ins 1 outs + + xor6 x744i (.out(x744),.a(d55),.b(d39),.c(x662),.d(c10),.e(d47),.f(d29)); // 6 ins 1 outs + + xor6 x743i (.out(x743),.a(x658),.b(d5),.c(d14),.d(c6),.e(c9),.f(x656)); // 6 ins 1 outs + + xor6 x742i (.out(x742),.a(x652),.b(d10),.c(x670),.d(d34),.e(c2),.f(c14)); // 6 ins 1 outs + + xor6 x741i (.out(x741),.a(d38),.b(d0),.c(d44),.d(c12),.e(d26),.f(x649)); // 6 ins 1 outs + + xor6 x740i (.out(x740),.a(x667),.b(d8),.c(d21),.d(x647),.e(x658),.f(c30)); // 6 ins 1 outs + + xor6 x739i (.out(x739),.a(d3),.b(x650),.c(x672),.d(d15),.e(d46),.f(d28)); // 6 ins 1 outs + + xor6 x738i (.out(x738),.a(c4),.b(d9),.c(d24),.d(d14),.e(d36),.f(1'b0)); // 5 ins 1 outs + + xor6 x737i (.out(x737),.a(d50),.b(c18),.c(c17),.d(x669),.e(x691),.f(x664)); // 6 ins 1 outs + + xor6 x736i (.out(x736),.a(x647),.b(d32),.c(d25),.d(x660),.e(d5),.f(c6)); // 6 ins 1 outs + + xor6 x735i (.out(x735),.a(d13),.b(d42),.c(d10),.d(x710),.e(x666),.f(x668)); // 6 ins 1 outs + + xor6 x734i (.out(x734),.a(x667),.b(x659),.c(d30),.d(d27),.e(x654),.f(d19)); // 6 ins 1 outs + + xor6 x733i (.out(x733),.a(d33),.b(c1),.c(x664),.d(x672),.e(c9),.f(1'b0)); // 5 ins 1 outs + + xor6 x732i (.out(x732),.a(d33),.b(d6),.c(d52),.d(d15),.e(x646),.f(1'b0)); // 5 ins 1 outs + + xor6 x731i (.out(x731),.a(d34),.b(d52),.c(d20),.d(d62),.e(d12),.f(1'b0)); // 5 ins 1 outs + + xor6 x730i (.out(x730),.a(c24),.b(x694),.c(c13),.d(x660),.e(d23),.f(1'b0)); // 5 ins 1 outs + + xor6 x729i (.out(x729),.a(d38),.b(c27),.c(d59),.d(c6),.e(d49),.f(c4)); // 6 ins 1 outs + + xor6 x728i (.out(x728),.a(x665),.b(d36),.c(c5),.d(x678),.e(d10),.f(c16)); // 6 ins 1 outs + + xor6 x727i (.out(x727),.a(d30),.b(d7),.c(d3),.d(x651),.e(d53),.f(x650)); // 6 ins 2 outs + + xor6 x726i (.out(x726),.a(x655),.b(c24),.c(d63),.d(d2),.e(x662),.f(c20)); // 6 ins 1 outs + + xor6 x725i (.out(x725),.a(c16),.b(c4),.c(d53),.d(x665),.e(d2),.f(c31)); // 6 ins 1 outs + + xor6 x724i (.out(x724),.a(x665),.b(c8),.c(d16),.d(d14),.e(c30),.f(1'b0)); // 5 ins 1 outs + + xor6 x723i (.out(x723),.a(c23),.b(x669),.c(d25),.d(x644),.e(x680),.f(1'b0)); // 5 ins 1 outs + + xor6 x722i (.out(x722),.a(d24),.b(x669),.c(c4),.d(d25),.e(x672),.f(1'b0)); // 5 ins 1 outs + + xor6 x721i (.out(x721),.a(x672),.b(c21),.c(d4),.d(d53),.e(x700),.f(d20)); // 6 ins 1 outs + + xor6 x720i (.out(x720),.a(d63),.b(d31),.c(d27),.d(d3),.e(d13),.f(d10)); // 6 ins 1 outs + + xor6 x719i (.out(x719),.a(d6),.b(d21),.c(c2),.d(d8),.e(x644),.f(c28)); // 6 ins 1 outs + + xor6 x718i (.out(x718),.a(x656),.b(x668),.c(c30),.d(c17),.e(d28),.f(d48)); // 6 ins 1 outs + + xor6 x717i (.out(x717),.a(d60),.b(d52),.c(x665),.d(d53),.e(x650),.f(c6)); // 6 ins 1 outs + + xor6 x716i (.out(x716),.a(x649),.b(x648),.c(x665),.d(x655),.e(d13),.f(x675)); // 6 ins 1 outs + + xor6 x715i (.out(x715),.a(d59),.b(c27),.c(x667),.d(d27),.e(x662),.f(d18)); // 6 ins 1 outs + + xor6 x714i (.out(x714),.a(x659),.b(d17),.c(x680),.d(d5),.e(d7),.f(x653)); // 6 ins 1 outs + + xor6 x713i (.out(x713),.a(x691),.b(c23),.c(x658),.d(x653),.e(d27),.f(d42)); // 6 ins 1 outs + + xor6 x712i (.out(x712),.a(x648),.b(d1),.c(c22),.d(d22),.e(d2),.f(x688)); // 6 ins 1 outs + + xor6 x711i (.out(x711),.a(d32),.b(x681),.c(x692),.d(d46),.e(c9),.f(d8)); // 6 ins 1 outs + + xor6 x710i (.out(x710),.a(c3),.b(d35),.c(c29),.d(d61),.e(c24),.f(d38)); // 6 ins 1 outs + + xor6 x709i (.out(x709),.a(d24),.b(d8),.c(d25),.d(x654),.e(x652),.f(d60)); // 6 ins 2 outs + + xor6 x708i (.out(x708),.a(x678),.b(x694),.c(d50),.d(c18),.e(d6),.f(d29)); // 6 ins 1 outs + + xor6 x707i (.out(x707),.a(c10),.b(d23),.c(x660),.d(c4),.e(x694),.f(c25)); // 6 ins 1 outs + + xor6 x706i (.out(x706),.a(d49),.b(c2),.c(x681),.d(d24),.e(d46),.f(d34)); // 6 ins 1 outs + + xor6 x705i (.out(x705),.a(x668),.b(d15),.c(x645),.d(d33),.e(c0),.f(c1)); // 6 ins 1 outs + + xor6 x704i (.out(x704),.a(x653),.b(d39),.c(x658),.d(c13),.e(c7),.f(d41)); // 6 ins 1 outs + + xor6 x703i (.out(x703),.a(d15),.b(d56),.c(x650),.d(d57),.e(c25),.f(1'b0)); // 5 ins 1 outs + + xor6 x702i (.out(x702),.a(c17),.b(d20),.c(x644),.d(d36),.e(c24),.f(1'b0)); // 5 ins 1 outs + + xor6 x701i (.out(x701),.a(x654),.b(d37),.c(d48),.d(d17),.e(c28),.f(d28)); // 6 ins 2 outs + + xor6 x700i (.out(x700),.a(d6),.b(c8),.c(d30),.d(d26),.e(d22),.f(d40)); // 6 ins 2 outs + + xor6 x699i (.out(x699),.a(x652),.b(x662),.c(d16),.d(d62),.e(d54),.f(1'b0)); // 5 ins 2 outs + + xor6 x698i (.out(x698),.a(d5),.b(d62),.c(x659),.d(c31),.e(c6),.f(c2)); // 6 ins 2 outs + + xor6 x697i (.out(x697),.a(d26),.b(d3),.c(x650),.d(d29),.e(d31),.f(d28)); // 6 ins 2 outs + + xor6 x696i (.out(x696),.a(d6),.b(x647),.c(x667),.d(d52),.e(c24),.f(c14)); // 6 ins 2 outs + + xor6 x695i (.out(x695),.a(c26),.b(c4),.c(x650),.d(d8),.e(d58),.f(x655)); // 6 ins 2 outs + + xor6 x694i (.out(x694),.a(c28),.b(c24),.c(c10),.d(c11),.e(d43),.f(1'b0)); // 5 ins 3 outs + + xor6 x693i (.out(x693),.a(c10),.b(d12),.c(x670),.d(x656),.e(x666),.f(x646)); // 6 ins 2 outs + + xor6 x692i (.out(x692),.a(c22),.b(c0),.c(d3),.d(d58),.e(c26),.f(d5)); // 6 ins 2 outs + + xor6 x691i (.out(x691),.a(c5),.b(c14),.c(d37),.d(d28),.e(d21),.f(d13)); // 6 ins 2 outs + + xor6 x690i (.out(x690),.a(c8),.b(d31),.c(d18),.d(c21),.e(x655),.f(d9)); // 6 ins 2 outs + + xor6 x689i (.out(x689),.a(d20),.b(d23),.c(d49),.d(d4),.e(c17),.f(x645)); // 6 ins 2 outs + + xor6 x688i (.out(x688),.a(d55),.b(d30),.c(d16),.d(d21),.e(d7),.f(c23)); // 6 ins 2 outs + + xor6 x687i (.out(x687),.a(c16),.b(d34),.c(x650),.d(x653),.e(d23),.f(c4)); // 6 ins 3 outs + + xor6 x686i (.out(x686),.a(d1),.b(x646),.c(c9),.d(d57),.e(c25),.f(1'b0)); // 5 ins 2 outs + + xor6 x685i (.out(x685),.a(c20),.b(x648),.c(d29),.d(x651),.e(x678),.f(x669)); // 6 ins 2 outs + + xor6 x684i (.out(x684),.a(d35),.b(c3),.c(d20),.d(x644),.e(c8),.f(1'b0)); // 5 ins 2 outs + + xor6 x683i (.out(x683),.a(d0),.b(c1),.c(d33),.d(d12),.e(d28),.f(x645)); // 6 ins 3 outs + + xor6 x682i (.out(x682),.a(c7),.b(c10),.c(d56),.d(d24),.e(d39),.f(x646)); // 6 ins 2 outs + + xor6 x681i (.out(x681),.a(d23),.b(d15),.c(c7),.d(d39),.e(d7),.f(d10)); // 6 ins 3 outs + + xor6 x680i (.out(x680),.a(c30),.b(d43),.c(d6),.d(d2),.e(c11),.f(c21)); // 6 ins 2 outs + + xor6 x679i (.out(x679),.a(d3),.b(d15),.c(d29),.d(x649),.e(d44),.f(d11)); // 6 ins 2 outs + + xor6 x678i (.out(x678),.a(d63),.b(c31),.c(d61),.d(c29),.e(1'b0),.f(1'b0)); // 4 ins 4 outs + + xor6 x677i (.out(x677),.a(d35),.b(x649),.c(c3),.d(d14),.e(d16),.f(d36)); // 6 ins 2 outs + + xor6 x676i (.out(x676),.a(d54),.b(d15),.c(d17),.d(x648),.e(d27),.f(d31)); // 6 ins 3 outs + + xor6 x675i (.out(x675),.a(c13),.b(c2),.c(d45),.d(d36),.e(d4),.f(d18)); // 6 ins 2 outs + + xor6 x674i (.out(x674),.a(d42),.b(x654),.c(d19),.d(d6),.e(d56),.f(d1)); // 6 ins 3 outs + + xor6 x673i (.out(x673),.a(x656),.b(d48),.c(c30),.d(d6),.e(c20),.f(c10)); // 6 ins 5 outs + + xor6 x672i (.out(x672),.a(d25),.b(d47),.c(d30),.d(c14),.e(d38),.f(c15)); // 6 ins 4 outs + + xor6 x671i (.out(x671),.a(x656),.b(d19),.c(d4),.d(d12),.e(x653),.f(c15)); // 6 ins 5 outs + + xor6 x670i (.out(x670),.a(c20),.b(d0),.c(d37),.d(d24),.e(d29),.f(c5)); // 6 ins 3 outs + + xor6 x669i (.out(x669),.a(d53),.b(d14),.c(d13),.d(d19),.e(d48),.f(1'b0)); // 5 ins 4 outs + + xor6 x668i (.out(x668),.a(d62),.b(d22),.c(d52),.d(c19),.e(d51),.f(d40)); // 6 ins 4 outs + + xor6 x667i (.out(x667),.a(d23),.b(d25),.c(d58),.d(c26),.e(c13),.f(d45)); // 6 ins 5 outs + + xor6 x666i (.out(x666),.a(d26),.b(d34),.c(c2),.d(d9),.e(d29),.f(c30)); // 6 ins 4 outs + + xor6 x665i (.out(x665),.a(c4),.b(c28),.c(d32),.d(d1),.e(c0),.f(d40)); // 6 ins 5 outs + + xor6 x664i (.out(x664),.a(d2),.b(d58),.c(d6),.d(d59),.e(c27),.f(c26)); // 6 ins 5 outs + + xor6 x663i (.out(x663),.a(x645),.b(x647),.c(d44),.d(c12),.e(c30),.f(c21)); // 6 ins 5 outs + + xor6 x662i (.out(x662),.a(d1),.b(d7),.c(d51),.d(c20),.e(c10),.f(c19)); // 6 ins 5 outs + + xor6 x661i (.out(x661),.a(x648),.b(d21),.c(d30),.d(d2),.e(d57),.f(c25)); // 6 ins 5 outs + + xor6 x660i (.out(x660),.a(d62),.b(d29),.c(d38),.d(d52),.e(d60),.f(d11)); // 6 ins 5 outs + + xor6 x659i (.out(x659),.a(c10),.b(d46),.c(c14),.d(d13),.e(d35),.f(c3)); // 6 ins 6 outs + + xor6 x658i (.out(x658),.a(d4),.b(d8),.c(d20),.d(d40),.e(c8),.f(d48)); // 6 ins 5 outs + + xor6 x657i (.out(x657),.a(x644),.b(d25),.c(d45),.d(d54),.e(d41),.f(c13)); // 6 ins 5 outs + + xor6 x656i (.out(x656),.a(d19),.b(c9),.c(d41),.d(d47),.e(d61),.f(c29)); // 6 ins 5 outs + + xor6 x655i (.out(x655),.a(d9),.b(d38),.c(d56),.d(c5),.e(d37),.f(d17)); // 6 ins 4 outs + + xor6 x654i (.out(x654),.a(c23),.b(d62),.c(c7),.d(d39),.e(d60),.f(d55)); // 6 ins 4 outs + + xor6 x653i (.out(x653),.a(d0),.b(d46),.c(c31),.d(d50),.e(c18),.f(d63)); // 6 ins 6 outs + + xor6 x652i (.out(x652),.a(d22),.b(d60),.c(d42),.d(d52),.e(d28),.f(d10)); // 6 ins 4 outs + + xor6 x651i (.out(x651),.a(c16),.b(d26),.c(d32),.d(c21),.e(c0),.f(d7)); // 6 ins 5 outs + + xor6 x650i (.out(x650),.a(d9),.b(c24),.c(c1),.d(d33),.e(c20),.f(d36)); // 6 ins 8 outs + + xor6 x649i (.out(x649),.a(d31),.b(d57),.c(c25),.d(d18),.e(c6),.f(c16)); // 6 ins 6 outs + + xor6 x648i (.out(x648),.a(d51),.b(d56),.c(c11),.d(c24),.e(d43),.f(c19)); // 6 ins 7 outs + + xor6 x647i (.out(x647),.a(d27),.b(c17),.c(d49),.d(d5),.e(d24),.f(d53)); // 6 ins 5 outs + + xor6 x646i (.out(x646),.a(d44),.b(c23),.c(c26),.d(c12),.e(d55),.f(d58)); // 6 ins 4 outs + + xor6 x645i (.out(x645),.a(d59),.b(c27),.c(d54),.d(c22),.e(d8),.f(d3)); // 6 ins 7 outs + + xor6 x644i (.out(x644),.a(c28),.b(d50),.c(c18),.d(d16),.e(c15),.f(d47)); // 6 ins 6 outs + + xor6 x31i (.out(x31),.a(x707),.b(d31),.c(d57),.d(x739),.e(x663),.f(1'b0)); // 5 ins 1 outs + + xor6 x30i (.out(x30),.a(x734),.b(d4),.c(d59),.d(c27),.e(x709),.f(x685)); // 6 ins 1 outs + + xor6 x29i (.out(x29),.a(x749),.b(d23),.c(x699),.d(x686),.e(x657),.f(1'b0)); // 5 ins 1 outs + + xor6 x28i (.out(x28),.a(x663),.b(x721),.c(x671),.d(x683),.e(x753),.f(x661)); // 6 ins 1 outs + + xor6 x27i (.out(x27),.a(d11),.b(x708),.c(x674),.d(x651),.e(x740),.f(1'b0)); // 5 ins 1 outs + + xor6 x26i (.out(x26),.a(c15),.b(c28),.c(x741),.d(x689),.e(x709),.f(x673)); // 6 ins 1 outs + + xor6 x25i (.out(x25),.a(d47),.b(x718),.c(x679),.d(x750),.e(x695),.f(1'b0)); // 5 ins 1 outs + + xor6 x24i (.out(x24),.a(x728),.b(x684),.c(x754),.d(x661),.e(x701),.f(1'b0)); // 5 ins 1 outs + + xor6 x23i (.out(x23),.a(c22),.b(x702),.c(x755),.d(x676),.e(x674),.f(1'b0)); // 5 ins 1 outs + + xor6 x22i (.out(x22),.a(c4),.b(x730),.c(x756),.d(x677),.e(x693),.f(1'b0)); // 5 ins 1 outs + + xor6 x21i (.out(x21),.a(c10),.b(c20),.c(x735),.d(x647),.e(x690),.f(1'b0)); // 5 ins 1 outs + + xor6 x20i (.out(x20),.a(x719),.b(x701),.c(x687),.d(x751),.e(x671),.f(1'b0)); // 5 ins 1 outs + + xor6 x19i (.out(x19),.a(d53),.b(x705),.c(d7),.d(x684),.e(x736),.f(1'b0)); // 5 ins 1 outs + + xor6 x18i (.out(x18),.a(d7),.b(d31),.c(x651),.d(x706),.e(x737),.f(1'b0)); // 5 ins 1 outs + + xor6 x17i (.out(x17),.a(x722),.b(d1),.c(x757),.d(x650),.e(x696),.f(1'b0)); // 5 ins 1 outs + + xor6 x16i (.out(x16),.a(x714),.b(x671),.c(x670),.d(x673),.e(x745),.f(x661)); // 6 ins 1 outs + + xor6 x15i (.out(x15),.a(x703),.b(x663),.c(x758),.d(x675),.e(x688),.f(1'b0)); // 5 ins 1 outs + + xor6 x14i (.out(x14),.a(x732),.b(c1),.c(x759),.d(x685),.e(x689),.f(1'b0)); // 5 ins 1 outs + + xor6 x13i (.out(x13),.a(x723),.b(x649),.c(x760),.d(x699),.e(x692),.f(1'b0)); // 5 ins 1 outs + + xor6 x12i (.out(x12),.a(x715),.b(x761),.c(x696),.d(x676),.e(x661),.f(x671)); // 6 ins 1 outs + + xor6 x11i (.out(x11),.a(x762),.b(x676),.c(x686),.d(x683),.e(x657),.f(1'b0)); // 5 ins 1 outs + + xor6 x10i (.out(x10),.a(x724),.b(x664),.c(x763),.d(x674),.e(x697),.f(1'b0)); // 5 ins 1 outs + + xor6 x9i (.out(x9),.a(x687),.b(x725),.c(x698),.d(x671),.e(x764),.f(x682)); // 6 ins 1 outs + + xor6 x8i (.out(x8),.a(x716),.b(d46),.c(c8),.d(x747),.e(x687),.f(1'b0)); // 5 ins 1 outs + + xor6 x7i (.out(x7),.a(d30),.b(c10),.c(x711),.d(x661),.e(x657),.f(x742)); // 6 ins 1 outs + + xor6 x6i (.out(x6),.a(x712),.b(d42),.c(x660),.d(x673),.e(x743),.f(x657)); // 6 ins 1 outs + + xor6 x5i (.out(x5),.a(c7),.b(x713),.c(d10),.d(x673),.e(x663),.f(x744)); // 6 ins 1 outs + + xor6 x4i (.out(x4),.a(c12),.b(x704),.c(x765),.d(x733),.e(x679),.f(1'b0)); // 5 ins 1 outs + + xor6 x3i (.out(x3),.a(d2),.b(x717),.c(x681),.d(x748),.e(x690),.f(1'b0)); // 5 ins 1 outs + + xor6 x2i (.out(x2),.a(x752),.b(x727),.c(x677),.d(x682),.e(x695),.f(1'b0)); // 5 ins 1 outs + + xor6 x1i (.out(x1),.a(x726),.b(x663),.c(x683),.d(x766),.e(x698),.f(1'b0)); // 5 ins 1 outs + + xor6 x0i (.out(x0),.a(x767),.b(x657),.c(x697),.d(x727),.e(x673),.f(x693)); // 6 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat64_any_byte.v b/Advanced Synthesis Cookbook/crc/crc32_dat64_any_byte.v new file mode 100644 index 0000000..93279e4 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat64_any_byte.v @@ -0,0 +1,162 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-24-2006 +// +// CRC32 of data with any size from 1 to 8 bytes (e.g. residues) +// the input data ports typically come from the same 64 bit +// register, but this is not a requirement. + +module crc32_dat64_any_byte ( + dat_size, + crc_in, + crc_out, + dat8,dat16,dat24,dat32, + dat40,dat48,dat56,dat64 +); + +input [2:0] dat_size; +input [31:0] crc_in; + +output [31:0] crc_out; +wire [31:0] crc_out; + +input [7:0] dat8; +input [15:0] dat16; +input [23:0] dat24; +input [31:0] dat32; +input [39:0] dat40; +input [47:0] dat48; +input [55:0] dat56; +input [63:0] dat64; + +parameter METHOD = 1; // depth optimal factored +parameter REVERSE_DATA = 0; // Use LSB first + +// internal data signals +wire [7:0] dat8_w; +wire [15:0] dat16_w; +wire [23:0] dat24_w; +wire [31:0] dat32_w; +wire [39:0] dat40_w; +wire [47:0] dat48_w; +wire [55:0] dat56_w; +wire [63:0] dat64_w; + +////////////////////////////////////////////////////// +// Optional reversal of the data bits to do LSB +// of data 1st. No area cost +////////////////////////////////////////////////////// +genvar i; +generate +if (REVERSE_DATA) +begin + for (i=0; i<64; i=i+1) + begin : rev_64 + assign dat64_w[i] = dat64[63-i]; + end + for (i=0; i<56; i=i+1) + begin : rev_56 + assign dat56_w[i] = dat56[55-i]; + end + for (i=0; i<48; i=i+1) + begin : rev_48 + assign dat48_w[i] = dat48[47-i]; + end + for (i=0; i<40; i=i+1) + begin : rev_40 + assign dat40_w[i] = dat40[39-i]; + end + for (i=0; i<32; i=i+1) + begin : rev_32 + assign dat32_w[i] = dat32[31-i]; + end + for (i=0; i<24; i=i+1) + begin : rev_24 + assign dat24_w[i] = dat24[23-i]; + end + for (i=0; i<16; i=i+1) + begin : rev_16 + assign dat16_w[i] = dat16[15-i]; + end + for (i=0; i<8; i=i+1) + begin : rev_8 + assign dat8_w[i] = dat8[7-i]; + end +end +else +begin + // no reversal - pass along + assign dat64_w = dat64; + assign dat56_w = dat56; + assign dat48_w = dat48; + assign dat40_w = dat40; + assign dat32_w = dat32; + assign dat24_w = dat24; + assign dat16_w = dat16; + assign dat8_w = dat8; +end +endgenerate + +////////////////////////////////////////////////////// +// define a parallel array of CRC units for one to +// eight bytes of data. +////////////////////////////////////////////////////// + wire [31:0] co_a,co_b,co_c,co_d,co_e,co_f,co_g,co_h; + crc32_dat8 a (.crc_in (crc_in),.crc_out (co_a),.dat_in(dat8_w)); + crc32_dat16 b (.crc_in (crc_in),.crc_out (co_b),.dat_in(dat16_w)); + crc32_dat24 c (.crc_in (crc_in),.crc_out (co_c),.dat_in(dat24_w)); + crc32_dat32 d (.crc_in (crc_in),.crc_out (co_d),.dat_in(dat32_w)); + crc32_dat40 e (.crc_in (crc_in),.crc_out (co_e),.dat_in(dat40_w)); + crc32_dat48 f (.crc_in (crc_in),.crc_out (co_f),.dat_in(dat48_w)); + crc32_dat56 g (.crc_in (crc_in),.crc_out (co_g),.dat_in(dat56_w)); + crc32_dat64 h (.crc_in (crc_in),.crc_out (co_h),.dat_in(dat64_w)); + + defparam a .METHOD = METHOD; + defparam b .METHOD = METHOD; + defparam c .METHOD = METHOD; + defparam d .METHOD = METHOD; + defparam e .METHOD = METHOD; + defparam f .METHOD = METHOD; + defparam g .METHOD = METHOD; + defparam h .METHOD = METHOD; + +////////////////////////////////////////////////////// +// select the CRC output according to data width +////////////////////////////////////////////////////// +generate + for (i=0; i<32;i=i+1) + begin : parmux + wire [7:0] tmp_m; + assign tmp_m[0] = co_a[i]; + assign tmp_m[1] = co_b[i]; + assign tmp_m[2] = co_c[i]; + assign tmp_m[3] = co_d[i]; + assign tmp_m[4] = co_e[i]; + assign tmp_m[5] = co_f[i]; + assign tmp_m[6] = co_g[i]; + assign tmp_m[7] = co_h[i]; + assign crc_out[i] = tmp_m[dat_size]; + end +endgenerate + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat72.v b/Advanced Synthesis Cookbook/crc/crc32_dat72.v new file mode 100644 index 0000000..9bfc71a --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat72.v @@ -0,0 +1,750 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 72 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111112222222222333333333344444444445555555555666666666677 +// 01234567890123456789012345678901 012345678901234567890123456789012345678901234567890123456789012345678901 +// +// C00 = ....XX.XX.X..XXX..X.XX.X.XXXX... X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX... +// C01 = ....X.XX.XXX.X..X.XXX.XXXX...X.. XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XXXX...X.. +// C02 = ....X......XXX.X.XXX....X..XX.X. XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X. +// C03 = X....X......XXX.X.XXX....X..XX.X .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X +// C04 = XX..XXXXX.X......XXX...X.X.XXXX. X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X.X.XXXX. +// C05 = XXX.X.X..XXX.XXX...X.X.XXX.X.XXX XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXX +// C06 = XXXX.X.X..XXX.XXX...X.X.XXX.X.XX .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XX +// C07 = .XXX.XXX..XXX.X.XXX.X.......XX.X X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X.......XX.X +// C08 = X.XX.XX...XXX.X..X.XX..X.XXXXXX. XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX. +// C09 = .X.XX.XX...XXX.X..X.XX..X.XXXXXX .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX +// C10 = X.X.......X.X..XX.XXX.XX..X..XXX X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX..X..XXX +// C11 = XX.XXX.XX.XX..XXXXXX....XXX.X.XX XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX....XXX.X.XX +// C12 = .XX...XX.XXXXXX.XX.X.X.X....XX.X XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X +// C13 = ..XX...XX.XXXXXX.XX.X.X.X....XX. .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX. +// C14 = ...XX...XX.XXXXXX.XX.X.X.X....XX ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX +// C15 = ....XX...XX.XXXXXX.XX.X.X.X....X ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....X +// C16 = ....X.XXX..X....XX........X.X... X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X... +// C17 = .....X.XXX..X....XX........X.X.. .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X.. +// C18 = ......X.XXX..X....XX........X.X. ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X. +// C19 = X......X.XXX..X....XX........X.X ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X +// C20 = .X......X.XXX..X....XX........X. ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X. +// C21 = X.X......X.XXX..X....XX........X .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X +// C22 = .X.XXX.XX...X..X.XX.XXX..XXXX... X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX..XXXX... +// C23 = ..X...XX.XX...XXX..XX.X..X...X.. XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X.. +// C24 = X..X...XX.XX...XXX..XX.X..X...X. .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X. +// C25 = XX..X...XX.XX...XXX..XX.X..X...X ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X +// C26 = .XX.X..XXX..X.XX.X.XXXX...XX.... X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.... +// C27 = X.XX.X..XXX..X.XX.X.XXXX...XX... .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX... +// C28 = XX.XX.X..XXX..X.XX.X.XXXX...XX.. ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.. +// C29 = .XX.XX.X..XXX..X.XX.X.XXXX...XX. ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX. +// C30 = ..XX.XX.X..XXX..X.XX.X.XXXX...XX ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX +// C31 = ...XX.XX.X..XXX..X.XX.X.XXXX...X .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...X +// +module crc32_dat72 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [71:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat72_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat72_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat72_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [71:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71; + +assign { d71,d70,d69,d68,d67,d66,d65,d64,d63,d62,d61,d60,d59,d58,d57, + d56,d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [71:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x7 = d47 ^ d0 ^ d56 ^ d69 ^ c18 ^ d21 ^ c11 ^ c14 ^ d46 ^ + c5 ^ d43 ^ d45 ^ d5 ^ c20 ^ d22 ^ d15 ^ c17 ^ d7 ^ d34 ^ + d3 ^ c12 ^ c10 ^ d16 ^ c29 ^ d60 ^ c2 ^ d8 ^ d10 ^ d57 ^ + c7 ^ d39 ^ d2 ^ c28 ^ d58 ^ d71 ^ d25 ^ d68 ^ d54 ^ d24 ^ + d23 ^ d37 ^ d51 ^ d50 ^ c16 ^ d52 ^ c6 ^ d29 ^ d32 ^ d28 ^ + c3 ^ c31 ^ d42 ^ d41 ^ c1; // 54 ins 1 outs level 3 + + assign x6 = c28 ^ c26 ^ d56 ^ d66 ^ d14 ^ d7 ^ c11 ^ d2 ^ c16 ^ + d4 ^ d25 ^ d38 ^ c25 ^ d21 ^ d70 ^ c3 ^ d68 ^ d40 ^ c31 ^ + d8 ^ d6 ^ d52 ^ d11 ^ c12 ^ d60 ^ d29 ^ d41 ^ d65 ^ c14 ^ + d62 ^ c22 ^ d55 ^ c24 ^ d50 ^ c20 ^ c1 ^ c7 ^ c2 ^ c5 ^ + d64 ^ d43 ^ d71 ^ d5 ^ c15 ^ d22 ^ d20 ^ d42 ^ d51 ^ c0 ^ + d30 ^ c10 ^ d1 ^ c30 ^ d47 ^ d54 ^ d45; // 56 ins 1 outs level 3 + + assign x5 = c13 ^ d53 ^ d50 ^ c10 ^ d1 ^ d51 ^ d54 ^ c11 ^ d63 ^ + d41 ^ c19 ^ c14 ^ d20 ^ c31 ^ c15 ^ d0 ^ d5 ^ d70 ^ c2 ^ + c4 ^ d6 ^ d19 ^ c25 ^ d44 ^ d10 ^ c0 ^ d64 ^ c30 ^ c21 ^ + d37 ^ c9 ^ d40 ^ d61 ^ d29 ^ d71 ^ d65 ^ d24 ^ d28 ^ d55 ^ + d42 ^ d4 ^ d3 ^ c1 ^ c6 ^ d49 ^ d67 ^ c29 ^ c23 ^ d59 ^ + d46 ^ d13 ^ c24 ^ c27 ^ d39 ^ d69 ^ d7 ^ d21; // 57 ins 1 outs level 3 + + assign x4 = c4 ^ d45 ^ d48 ^ d12 ^ d31 ^ d67 ^ d70 ^ d63 ^ c18 ^ + d8 ^ d25 ^ d40 ^ d4 ^ c27 ^ d15 ^ c29 ^ c28 ^ d18 ^ d6 ^ + d39 ^ c8 ^ d33 ^ d57 ^ d3 ^ d59 ^ d41 ^ c6 ^ d29 ^ d38 ^ + c25 ^ d58 ^ d11 ^ d46 ^ d2 ^ d0 ^ d24 ^ d44 ^ d69 ^ d47 ^ + d68 ^ c17 ^ c1 ^ c19 ^ c10 ^ c30 ^ d50 ^ d65 ^ d30 ^ c5 ^ + d19 ^ c23 ^ c7 ^ d20 ^ c0; // 54 ins 1 outs level 3 + + assign x3 = d2 ^ d39 ^ d36 ^ d31 ^ d56 ^ d3 ^ d69 ^ d54 ^ d32 ^ + d58 ^ d60 ^ c19 ^ d10 ^ c12 ^ c25 ^ d68 ^ d9 ^ c20 ^ c29 ^ + d7 ^ d8 ^ c0 ^ d19 ^ d18 ^ c31 ^ d15 ^ c5 ^ c16 ^ d38 ^ + c28 ^ d52 ^ d1 ^ c14 ^ d65 ^ d59 ^ d14 ^ c13 ^ d53 ^ d71 ^ + d40 ^ d45 ^ d17 ^ d25 ^ d33 ^ d37 ^ c18 ^ d27; // 47 ins 1 outs level 3 + + assign x2 = d55 ^ d59 ^ d44 ^ d14 ^ c30 ^ c24 ^ c27 ^ d9 ^ d16 ^ + c28 ^ c4 ^ d6 ^ d37 ^ d26 ^ c15 ^ d52 ^ d38 ^ d64 ^ d57 ^ + d18 ^ d13 ^ d32 ^ d7 ^ d24 ^ d67 ^ c12 ^ d35 ^ d58 ^ d31 ^ + c17 ^ c11 ^ d17 ^ d0 ^ c18 ^ d51 ^ d53 ^ c19 ^ d1 ^ d68 ^ + c13 ^ d70 ^ d2 ^ d39 ^ d36 ^ d8 ^ d30; // 46 ins 1 outs level 3 + + assign x1 = d47 ^ d6 ^ c13 ^ d53 ^ d50 ^ c10 ^ d1 ^ d51 ^ d0 ^ + c11 ^ d7 ^ d13 ^ d9 ^ d69 ^ d63 ^ d62 ^ d16 ^ d64 ^ c16 ^ + c4 ^ c24 ^ d46 ^ c29 ^ d49 ^ c6 ^ d33 ^ d37 ^ d65 ^ c9 ^ + d44 ^ d17 ^ c20 ^ d38 ^ c25 ^ c23 ^ c18 ^ d60 ^ d24 ^ c19 ^ + c7 ^ d11 ^ d34 ^ d28 ^ d35 ^ d12 ^ d27 ^ d56 ^ c22 ^ d59 ^ + d58; // 50 ins 1 outs level 3 + + assign x0 = d16 ^ c27 ^ d55 ^ c21 ^ c26 ^ d61 ^ d47 ^ d28 ^ d24 ^ + c25 ^ d32 ^ d29 ^ d37 ^ c28 ^ d60 ^ c14 ^ c8 ^ d6 ^ d9 ^ + d10 ^ c20 ^ c4 ^ d45 ^ d48 ^ c18 ^ c15 ^ d63 ^ d26 ^ d12 ^ + d31 ^ d58 ^ d34 ^ d67 ^ c7 ^ c23 ^ d44 ^ d66 ^ d0 ^ d54 ^ + c5 ^ d30 ^ d25 ^ c13 ^ d65 ^ d68 ^ d53 ^ d50 ^ c10; // 48 ins 1 outs level 3 + + assign x31 = d24 ^ d36 ^ d62 ^ d64 ^ d11 ^ c26 ^ c20 ^ d54 ^ c3 ^ + d8 ^ d47 ^ d71 ^ d46 ^ d28 ^ d43 ^ d65 ^ d33 ^ d23 ^ c12 ^ + c22 ^ d67 ^ c25 ^ c31 ^ d25 ^ d30 ^ c7 ^ d66 ^ c19 ^ c9 ^ + d49 ^ c6 ^ d53 ^ d15 ^ d44 ^ c4 ^ d27 ^ c17 ^ d60 ^ c13 ^ + d5 ^ d59 ^ d31 ^ c24 ^ c14 ^ d29 ^ d52 ^ c27 ^ d57 ^ d9; // 49 ins 1 outs level 3 + + assign x30 = c30 ^ d61 ^ d59 ^ d63 ^ d70 ^ c21 ^ c8 ^ d30 ^ d28 ^ + c3 ^ c18 ^ d52 ^ d65 ^ c16 ^ d14 ^ c2 ^ d46 ^ c19 ^ c11 ^ + d32 ^ d24 ^ d71 ^ d7 ^ d45 ^ d58 ^ c6 ^ d23 ^ d66 ^ c24 ^ + d29 ^ d22 ^ c25 ^ d51 ^ d4 ^ d43 ^ c26 ^ d27 ^ d26 ^ d8 ^ + d10 ^ c13 ^ c12 ^ d42 ^ d48 ^ d56 ^ d53 ^ d35 ^ d64 ^ c23 ^ + c31 ^ c5; // 51 ins 1 outs level 3 + + assign x29 = c20 ^ d51 ^ c17 ^ d7 ^ d28 ^ c30 ^ d62 ^ c22 ^ d44 ^ + c4 ^ d25 ^ d31 ^ d47 ^ d70 ^ d50 ^ d13 ^ d64 ^ c29 ^ d22 ^ + d45 ^ d6 ^ c1 ^ d58 ^ c2 ^ c5 ^ d57 ^ d69 ^ c25 ^ d3 ^ + d60 ^ c18 ^ d34 ^ c24 ^ c23 ^ c10 ^ d42 ^ d27 ^ d63 ^ d41 ^ + d21 ^ d9 ^ d65 ^ c12 ^ c15 ^ d23 ^ c11 ^ d29 ^ c7 ^ d52 ^ + d55 ^ d26; // 51 ins 1 outs level 3 + + assign x28 = c28 ^ d27 ^ d5 ^ d41 ^ d8 ^ c10 ^ d24 ^ d44 ^ c21 ^ + d63 ^ d6 ^ d46 ^ d59 ^ c4 ^ d68 ^ c6 ^ c1 ^ d12 ^ d33 ^ + c24 ^ d25 ^ d56 ^ d2 ^ d64 ^ d50 ^ d69 ^ d20 ^ c0 ^ d28 ^ + d22 ^ d43 ^ c11 ^ d54 ^ d61 ^ d62 ^ c23 ^ d51 ^ c14 ^ d40 ^ + d57 ^ c29 ^ c22 ^ c3 ^ c19 ^ d26 ^ d21 ^ c17 ^ d30 ^ d49 ^ + c16 ^ c9; // 51 ins 1 outs level 3 + + assign x27 = d20 ^ d7 ^ c18 ^ d32 ^ c27 ^ c5 ^ c0 ^ d21 ^ d49 ^ + c13 ^ d1 ^ d25 ^ d42 ^ d43 ^ c23 ^ c8 ^ c22 ^ d23 ^ c9 ^ + d48 ^ d58 ^ d29 ^ d61 ^ d45 ^ c3 ^ c15 ^ d40 ^ c16 ^ d4 ^ + d19 ^ d56 ^ d60 ^ d63 ^ d67 ^ d27 ^ d50 ^ c20 ^ d55 ^ d39 ^ + d11 ^ c21 ^ d24 ^ d68 ^ c28 ^ c10 ^ d53 ^ d62 ^ d26 ^ c2 ^ + d5; // 50 ins 1 outs level 3 + + assign x26 = d25 ^ d24 ^ d48 ^ d4 ^ d54 ^ d0 ^ d19 ^ d66 ^ d28 ^ + c2 ^ d44 ^ d47 ^ c7 ^ d39 ^ c12 ^ d31 ^ d61 ^ c19 ^ d20 ^ + c15 ^ d22 ^ d6 ^ d67 ^ c17 ^ d26 ^ d18 ^ c4 ^ d3 ^ d62 ^ + c1 ^ c22 ^ d23 ^ c20 ^ d10 ^ c8 ^ c14 ^ d42 ^ d60 ^ c26 ^ + d57 ^ d52 ^ d49 ^ c21 ^ d55 ^ c27 ^ d38 ^ d41 ^ d59 ^ c9; // 49 ins 1 outs level 3 + + assign x25 = c22 ^ c27 ^ d8 ^ d31 ^ c1 ^ d44 ^ c17 ^ d28 ^ d22 ^ + d33 ^ d21 ^ d62 ^ d51 ^ d2 ^ d41 ^ d52 ^ d38 ^ c18 ^ c4 ^ + d29 ^ c24 ^ d36 ^ d67 ^ d58 ^ c11 ^ d64 ^ d56 ^ d3 ^ d11 ^ + d37 ^ c8 ^ c12 ^ d49 ^ d18 ^ d57 ^ d48 ^ c16 ^ c21 ^ d40 ^ + d71 ^ c9 ^ d61 ^ d17 ^ d15 ^ c31 ^ d19 ^ c0; // 47 ins 1 outs level 3 + + assign x24 = d55 ^ d66 ^ d14 ^ c30 ^ c23 ^ d10 ^ d48 ^ d36 ^ d16 ^ + d40 ^ d17 ^ c16 ^ d37 ^ d61 ^ c26 ^ d56 ^ d20 ^ d63 ^ d7 ^ + d51 ^ c11 ^ d60 ^ c3 ^ d50 ^ c8 ^ c0 ^ d39 ^ d1 ^ d2 ^ + d70 ^ d21 ^ c21 ^ d43 ^ c10 ^ d18 ^ d47 ^ c20 ^ d35 ^ c17 ^ + c15 ^ c7 ^ d57 ^ d30 ^ d27 ^ d32 ^ d28; // 46 ins 1 outs level 3 + + assign x23 = d50 ^ d20 ^ d35 ^ d36 ^ d42 ^ c7 ^ d39 ^ d65 ^ c20 ^ + d16 ^ d55 ^ c19 ^ d9 ^ d6 ^ d34 ^ d29 ^ d54 ^ d0 ^ d1 ^ + c10 ^ c6 ^ d19 ^ d31 ^ c15 ^ d59 ^ c22 ^ d26 ^ d56 ^ d27 ^ + c14 ^ c25 ^ d38 ^ d60 ^ d47 ^ d17 ^ d69 ^ c9 ^ d15 ^ d49 ^ + c2 ^ c29 ^ d46 ^ c16 ^ d62 ^ d13; // 45 ins 1 outs level 3 + + assign x22 = d68 ^ d43 ^ d62 ^ c5 ^ d35 ^ d9 ^ d0 ^ d18 ^ d60 ^ + c3 ^ c25 ^ d36 ^ d38 ^ d65 ^ d66 ^ d44 ^ c8 ^ d47 ^ d23 ^ + c7 ^ d57 ^ d67 ^ d34 ^ c12 ^ d58 ^ d19 ^ c1 ^ c22 ^ c15 ^ + d31 ^ d12 ^ d26 ^ c18 ^ d41 ^ c17 ^ d11 ^ d48 ^ d14 ^ d45 ^ + c4 ^ c20 ^ c28 ^ d37 ^ d29 ^ d24 ^ d27 ^ d61 ^ c26 ^ c21 ^ + d55 ^ c27 ^ d16 ^ d52; // 53 ins 1 outs level 3 + + assign x21 = d49 ^ d17 ^ c22 ^ d34 ^ c12 ^ c13 ^ d42 ^ d9 ^ c31 ^ + d31 ^ d35 ^ c9 ^ c16 ^ d13 ^ d71 ^ c11 ^ d53 ^ d40 ^ d51 ^ + d37 ^ d22 ^ d29 ^ d62 ^ d5 ^ c2 ^ d52 ^ d56 ^ d61 ^ d27 ^ + c0 ^ d18 ^ c21 ^ d26 ^ d10 ^ d24; // 35 ins 1 outs level 3 + + assign x20 = d12 ^ d70 ^ c30 ^ d17 ^ d52 ^ d16 ^ d41 ^ c20 ^ c1 ^ + d33 ^ c21 ^ c12 ^ d55 ^ d48 ^ c8 ^ c11 ^ d36 ^ d39 ^ d34 ^ + d30 ^ d28 ^ d60 ^ d51 ^ d8 ^ d26 ^ d4 ^ c15 ^ d25 ^ d50 ^ + d21 ^ d9 ^ d23 ^ c10 ^ d61; // 34 ins 1 outs level 3 + + assign x19 = d40 ^ d16 ^ d50 ^ c0 ^ c10 ^ c19 ^ d22 ^ d69 ^ d27 ^ + c20 ^ c11 ^ d47 ^ d49 ^ d29 ^ c14 ^ d60 ^ c29 ^ d24 ^ d33 ^ + d38 ^ d71 ^ d15 ^ d35 ^ c31 ^ c9 ^ d32 ^ d3 ^ c7 ^ d7 ^ + d59 ^ d54 ^ d51 ^ d8 ^ d11 ^ d25 ^ d20; // 36 ins 1 outs level 3 + + assign x18 = d28 ^ d34 ^ c10 ^ d49 ^ d46 ^ c9 ^ c6 ^ c30 ^ d24 ^ + d14 ^ d26 ^ c8 ^ d39 ^ c13 ^ d10 ^ c28 ^ d7 ^ d2 ^ d58 ^ + c19 ^ d48 ^ d53 ^ d50 ^ d59 ^ c18 ^ d6 ^ d37 ^ d19 ^ d15 ^ + d31 ^ d70 ^ d23 ^ d68 ^ d32 ^ d21; // 35 ins 1 outs level 3 + + assign x17 = d18 ^ d69 ^ c27 ^ d38 ^ c18 ^ c8 ^ c12 ^ d27 ^ d5 ^ + c17 ^ d33 ^ d49 ^ c5 ^ d58 ^ d48 ^ d25 ^ d67 ^ c9 ^ d13 ^ + d57 ^ d14 ^ d31 ^ d1 ^ d30 ^ d23 ^ d9 ^ d22 ^ d52 ^ d20 ^ + d45 ^ c29 ^ d36 ^ d6 ^ c7 ^ d47; // 35 ins 1 outs level 3 + + assign x16 = d47 ^ d21 ^ c8 ^ c26 ^ d24 ^ d13 ^ d35 ^ d22 ^ d17 ^ + c4 ^ d5 ^ c17 ^ d12 ^ d0 ^ c16 ^ d30 ^ d26 ^ d57 ^ d8 ^ + c11 ^ d56 ^ c28 ^ d66 ^ d48 ^ c7 ^ d37 ^ d29 ^ d51 ^ d44 ^ + d68 ^ d4 ^ d32 ^ d19 ^ c6 ^ d46; // 35 ins 1 outs level 3 + + assign x15 = d4 ^ d66 ^ d59 ^ d50 ^ c5 ^ d44 ^ d20 ^ d7 ^ d34 ^ + d21 ^ d9 ^ d12 ^ c10 ^ d27 ^ d57 ^ d71 ^ c24 ^ d54 ^ c26 ^ + d15 ^ c31 ^ c9 ^ c16 ^ c14 ^ d45 ^ d60 ^ c19 ^ d30 ^ c17 ^ + d55 ^ c15 ^ c4 ^ c20 ^ d56 ^ d16 ^ d5 ^ d24 ^ d33 ^ d18 ^ + d53 ^ d64 ^ d3 ^ c22 ^ d62 ^ d52 ^ d49 ^ d8 ^ c13 ^ c12; // 49 ins 1 outs level 3 + + assign x14 = d65 ^ d58 ^ d56 ^ c30 ^ d3 ^ d44 ^ d4 ^ d17 ^ d14 ^ + d7 ^ d2 ^ d32 ^ c19 ^ d70 ^ d8 ^ c12 ^ d11 ^ c23 ^ d26 ^ + c11 ^ c16 ^ d51 ^ c25 ^ d49 ^ d43 ^ d61 ^ c18 ^ d15 ^ d55 ^ + d71 ^ d33 ^ d54 ^ c14 ^ d6 ^ c9 ^ c15 ^ d59 ^ c8 ^ d48 ^ + d29 ^ c4 ^ d52 ^ c21 ^ d53 ^ d23 ^ d63 ^ c13 ^ c31 ^ c3 ^ + d20 ^ d19; // 51 ins 1 outs level 3 + + assign x13 = d14 ^ c30 ^ c29 ^ c14 ^ d54 ^ d53 ^ d6 ^ d19 ^ d62 ^ + c3 ^ d55 ^ d60 ^ d16 ^ d2 ^ d5 ^ d42 ^ c8 ^ c15 ^ d70 ^ + d51 ^ c17 ^ d25 ^ d31 ^ c13 ^ d32 ^ c22 ^ c24 ^ d3 ^ d50 ^ + c20 ^ d48 ^ d58 ^ d64 ^ c10 ^ d47 ^ d69 ^ d10 ^ d13 ^ c12 ^ + d22 ^ d7 ^ c7 ^ c18 ^ c11 ^ d1 ^ d18 ^ d52 ^ d57 ^ c2 ^ + d43 ^ d28; // 51 ins 1 outs level 3 + + assign x12 = d0 ^ d68 ^ d53 ^ d50 ^ c10 ^ d9 ^ c31 ^ d31 ^ d71 ^ + c17 ^ c23 ^ c1 ^ d63 ^ d52 ^ c19 ^ d59 ^ d24 ^ d47 ^ c12 ^ + d4 ^ d56 ^ d41 ^ d5 ^ c6 ^ c2 ^ d2 ^ d49 ^ d42 ^ d61 ^ + c13 ^ c28 ^ d12 ^ d6 ^ d69 ^ c14 ^ d18 ^ c29 ^ d15 ^ c21 ^ + d57 ^ d27 ^ c7 ^ d17 ^ d30 ^ c9 ^ d46 ^ c16 ^ c11 ^ d13 ^ + d54 ^ d21 ^ d51 ^ d1; // 53 ins 1 outs level 3 + + assign x11 = c15 ^ d33 ^ c24 ^ c25 ^ c16 ^ d9 ^ d25 ^ d68 ^ c1 ^ + c8 ^ d17 ^ c28 ^ d58 ^ d64 ^ d56 ^ c19 ^ d55 ^ c26 ^ c5 ^ + d24 ^ d31 ^ d3 ^ c3 ^ d16 ^ d59 ^ d28 ^ c11 ^ d51 ^ d1 ^ + d41 ^ c14 ^ d14 ^ d40 ^ c10 ^ d50 ^ c0 ^ d27 ^ d36 ^ d15 ^ + d65 ^ d71 ^ c17 ^ d54 ^ d0 ^ c31 ^ d66 ^ c30 ^ d44 ^ c7 ^ + d4 ^ d70 ^ d43 ^ d12 ^ d26 ^ d57 ^ c18 ^ d20 ^ d48 ^ d45 ^ + c4 ^ d47; // 61 ins 1 outs level 3 + + assign x10 = d69 ^ d0 ^ d66 ^ d71 ^ d40 ^ d55 ^ c29 ^ c20 ^ c26 ^ + d28 ^ d52 ^ d29 ^ d36 ^ c23 ^ d16 ^ d9 ^ d58 ^ d35 ^ c16 ^ + d26 ^ c2 ^ d19 ^ c31 ^ d31 ^ d3 ^ d63 ^ c0 ^ c19 ^ d42 ^ + c22 ^ d13 ^ d62 ^ d60 ^ c15 ^ c18 ^ c30 ^ d39 ^ d5 ^ d56 ^ + d14 ^ d59 ^ c10 ^ d2 ^ d70 ^ d32 ^ c12 ^ d33 ^ d50; // 48 ins 1 outs level 3 + + assign x9 = c20 ^ c15 ^ c7 ^ d32 ^ d55 ^ c29 ^ d44 ^ d13 ^ d47 ^ + d5 ^ d36 ^ d69 ^ d24 ^ c18 ^ c27 ^ c31 ^ c30 ^ c11 ^ d66 ^ + d18 ^ d9 ^ d35 ^ d23 ^ d29 ^ d67 ^ c4 ^ d43 ^ d4 ^ d39 ^ + d34 ^ d64 ^ d38 ^ d41 ^ c26 ^ c13 ^ d68 ^ d11 ^ d51 ^ c21 ^ + d2 ^ d12 ^ d70 ^ d58 ^ d61 ^ c3 ^ c28 ^ d33 ^ c12 ^ d53 ^ + d52 ^ c6 ^ d46 ^ c1 ^ d60 ^ d71 ^ d1 ^ c24; // 57 ins 1 outs level 3 + + assign x8 = c25 ^ d12 ^ d34 ^ d66 ^ d65 ^ c5 ^ d68 ^ c14 ^ c27 ^ + d59 ^ d35 ^ d33 ^ c0 ^ c6 ^ d60 ^ c29 ^ c28 ^ c26 ^ c11 ^ + c23 ^ d51 ^ d52 ^ c20 ^ d40 ^ d31 ^ d43 ^ d17 ^ d54 ^ c17 ^ + d32 ^ c3 ^ d1 ^ c19 ^ d38 ^ d23 ^ d22 ^ d57 ^ d11 ^ c10 ^ + d45 ^ c30 ^ d46 ^ c12 ^ d42 ^ d70 ^ d63 ^ d3 ^ d69 ^ d67 ^ + d10 ^ d4 ^ d8 ^ d37 ^ d28 ^ c2 ^ d50 ^ d0; // 57 ins 1 outs level 3 + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat72_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [71:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x640, x639, x638, x637, x636, x635, x634, + x633, x632, x631, x630, x629, x628, x627, x626, + x625, x624, x623, x622, x621, x620, x618, x617, + x616, x615, x614, x613, x612, x611, x610, x609, + x608, x607, x606, x605, x603, x602, x601, x600, + x599, x598, x597, x596, x595, x594, x593, x592, + x591, x590, x589, x588, x587, x586, x585, x584, + x583, x582, x581, x580, x579, x578, x577, x576, + x575, x574, x573, x572, x571, x570, x569, x568, + x567, x566, x565, x564, x563, x562, x561, x560, + x559, x558, x557, x556, x555, x554, x553, x552, + x551, x550, x549, x548, x547, x546, x545, x544, + x543, x542, x541, x540, x539, x538, x537, x536, + x535, x534, x533, x532, x531, x530, x529, x528, + x527, x526, x525, x524, x523, x522, x521, x520, + x519, x518, x517, x516, x515, x514, x513, x512, + x511, x510, x509, x508, x507, x506, x505, x504, + x503, x502, x501, x500, x499, x498, x497, x496, + x495, x494, x493, x492, x491, x7, x6, x5, + x4, x3, x2, x1, x0, x31, x30, x29, + x28, x27, x26, x25, x24, x23, x22, x21, + x20, x19, x18, x17, x16, x15, x14, x13, + x12, x11, x10, x9, x8; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71; + +assign { d71,d70,d69,d68,d67,d66,d65,d64,d63,d62,d61,d60,d59,d58,d57, + d56,d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [71:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x640i (.out(x640),.a(x516),.b(x522),.c(x503),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 2 + + xor6 x639i (.out(x639),.a(x638),.b(d32),.c(x506),.d(x551),.e(x500),.f(x491)); // 6 ins 1 outs level 2 + + xor6 x638i (.out(x638),.a(d8),.b(d27),.c(c6),.d(d38),.e(c17),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x637i (.out(x637),.a(x635),.b(x511),.c(x495),.d(x516),.e(x636),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x636i (.out(x636),.a(d61),.b(d36),.c(d41),.d(d4),.e(d68),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x635i (.out(x635),.a(c31),.b(c1),.c(d1),.d(d57),.e(d69),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x634i (.out(x634),.a(x552),.b(x503),.c(x515),.d(x512),.e(x499),.f(d61)); // 6 ins 1 outs level 2 + + xor6 x633i (.out(x633),.a(d31),.b(d69),.c(d13),.d(c31),.e(d22),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x632i (.out(x632),.a(x495),.b(x631),.c(x513),.d(x492),.e(x494),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x631i (.out(x631),.a(d64),.b(c16),.c(d28),.d(c24),.e(d56),.f(d29)); // 6 ins 1 outs level 1 + + xor6 x630i (.out(x630),.a(x629),.b(x519),.c(x511),.d(x518),.e(x491),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x629i (.out(x629),.a(d15),.b(d18),.c(c13),.d(c31),.e(d41),.f(d53)); // 6 ins 1 outs level 1 + + xor6 x628i (.out(x628),.a(x626),.b(x492),.c(x627),.d(x558),.e(x505),.f(x549)); // 6 ins 1 outs level 2 + + xor6 x627i (.out(x627),.a(c30),.b(d19),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x626i (.out(x626),.a(d62),.b(c22),.c(d14),.d(c7),.e(d24),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x625i (.out(x625),.a(x624),.b(x562),.c(x530),.d(x529),.e(x513),.f(x497)); // 6 ins 1 outs level 2 + + xor6 x624i (.out(x624),.a(d6),.b(d17),.c(d51),.d(d70),.e(d25),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x623i (.out(x623),.a(x621),.b(x548),.c(x493),.d(x622),.e(x513),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x622i (.out(x622),.a(d44),.b(c4),.c(d16),.d(d12),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x621i (.out(x621),.a(d70),.b(d9),.c(d24),.d(d34),.e(d40),.f(d4)); // 6 ins 1 outs level 1 + + xor6 x620i (.out(x620),.a(d35),.b(x618),.c(x541),.d(x495),.e(x494),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x618i (.out(x618),.a(d32),.b(d5),.c(d13),.d(d46),.e(d19),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x617i (.out(x617),.a(d52),.b(x616),.c(x548),.d(x495),.e(x511),.f(x534)); // 6 ins 1 outs level 2 + + xor6 x616i (.out(x616),.a(d14),.b(c5),.c(c12),.d(d23),.e(d47),.f(d33)); // 6 ins 1 outs level 1 + + xor6 x615i (.out(x615),.a(x613),.b(x508),.c(x614),.d(x529),.e(x558),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x614i (.out(x614),.a(d26),.b(c28),.c(d10),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x613i (.out(x613),.a(c19),.b(d59),.c(d37),.d(d21),.e(d69),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x612i (.out(x612),.a(x610),.b(x495),.c(x513),.d(x611),.e(x525),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x611i (.out(x611),.a(c10),.b(d50),.c(d47),.d(d24),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x610i (.out(x610),.a(c11),.b(d11),.c(d69),.d(d35),.e(d32),.f(d25)); // 6 ins 1 outs level 1 + + xor6 x609i (.out(x609),.a(x608),.b(x494),.c(d48),.d(x496),.e(x512),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x608i (.out(x608),.a(d47),.b(c8),.c(d61),.d(c1),.e(d17),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x607i (.out(x607),.a(d26),.b(d61),.c(d24),.d(c11),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x606i (.out(x606),.a(d27),.b(d35),.c(d18),.d(c31),.e(d13),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x605i (.out(x605),.a(x603),.b(d35),.c(d27),.d(x492),.e(x516),.f(x496)); // 6 ins 1 outs level 2 + + xor6 x603i (.out(x603),.a(d6),.b(d62),.c(c22),.d(d37),.e(c15),.f(d55)); // 6 ins 1 outs level 1 + + xor6 x602i (.out(x602),.a(d26),.b(x601),.c(x525),.d(x511),.e(x506),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x601i (.out(x601),.a(d36),.b(d20),.c(d31),.d(d35),.e(d15),.f(d46)); // 6 ins 1 outs level 1 + + xor6 x600i (.out(x600),.a(d16),.b(x599),.c(x529),.d(x506),.e(x505),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x599i (.out(x599),.a(d36),.b(d4),.c(d30),.d(c11),.e(c7),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x598i (.out(x598),.a(x597),.b(x520),.c(x491),.d(x499),.e(x497),.f(x524)); // 6 ins 1 outs level 2 + + xor6 x597i (.out(x597),.a(c31),.b(d24),.c(d71),.d(d11),.e(d0),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x596i (.out(x596),.a(x594),.b(x493),.c(x491),.d(x595),.e(x503),.f(x520)); // 6 ins 1 outs level 2 + + xor6 x595i (.out(x595),.a(d44),.b(c4),.c(d10),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x594i (.out(x594),.a(c26),.b(c21),.c(d39),.d(d69),.e(c8),.f(d66)); // 6 ins 1 outs level 1 + + xor6 x593i (.out(x593),.a(x591),.b(x508),.c(x592),.d(x530),.e(x519),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x592i (.out(x592),.a(c27),.b(d27),.c(d28),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x591i (.out(x591),.a(d29),.b(d45),.c(d67),.d(d11),.e(d23),.f(d68)); // 6 ins 1 outs level 1 + + xor6 x590i (.out(x590),.a(d5),.b(x589),.c(x499),.d(x524),.e(x501),.f(x550)); // 6 ins 1 outs level 2 + + xor6 x589i (.out(x589),.a(d20),.b(d6),.c(d7),.d(c9),.e(d71),.f(c28)); // 6 ins 1 outs level 1 + + xor6 x588i (.out(x588),.a(x587),.b(d34),.c(x495),.d(x524),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x587i (.out(x587),.a(d48),.b(c11),.c(d27),.d(d2),.e(d7),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x586i (.out(x586),.a(x503),.b(x585),.c(x542),.d(x498),.e(x496),.f(x492)); // 6 ins 1 outs level 2 + + xor6 x585i (.out(x585),.a(d32),.b(d11),.c(d23),.d(c31),.e(d27),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x584i (.out(x584),.a(x582),.b(x498),.c(x583),.d(x562),.e(x551),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x583i (.out(x583),.a(c31),.b(d36),.c(d63),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x582i (.out(x582),.a(d62),.b(d9),.c(d1),.d(d30),.e(d46),.f(c22)); // 6 ins 1 outs level 1 + + xor6 x581i (.out(x581),.a(d0),.b(x580),.c(x549),.d(x525),.e(x518),.f(x501)); // 6 ins 1 outs level 2 + + xor6 x580i (.out(x580),.a(d16),.b(d9),.c(d36),.d(d37),.e(d25),.f(c11)); // 6 ins 1 outs level 1 + + xor6 x579i (.out(x579),.a(x502),.b(x525),.c(x562),.d(x499),.e(x495),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x578i (.out(x578),.a(c21),.b(d49),.c(d28),.d(d11),.e(d37),.f(d68)); // 6 ins 1 outs level 1 + + xor6 x577i (.out(x577),.a(x576),.b(x493),.c(x515),.d(x512),.e(x561),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x576i (.out(x576),.a(c30),.b(d31),.c(c15),.d(d52),.e(c12),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x575i (.out(x575),.a(x574),.b(x517),.c(x546),.d(x513),.e(x506),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x574i (.out(x574),.a(d38),.b(c9),.c(d21),.d(d5),.e(d14),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x573i (.out(x573),.a(x571),.b(x552),.c(x492),.d(x572),.e(x542),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x572i (.out(x572),.a(c19),.b(d59),.c(d47),.d(d3),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x571i (.out(x571),.a(d32),.b(d12),.c(d40),.d(d19),.e(d20),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x570i (.out(x570),.a(x569),.b(x561),.c(c1),.d(d51),.e(x501),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x569i (.out(x569),.a(d41),.b(d21),.c(d69),.d(d4),.e(d55),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x568i (.out(x568),.a(x567),.b(d34),.c(x542),.d(x498),.e(x493),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x567i (.out(x567),.a(d54),.b(c1),.c(d6),.d(c14),.e(d68),.f(d41)); // 6 ins 1 outs level 1 + + xor6 x566i (.out(x566),.a(x564),.b(x530),.c(x493),.d(x565),.e(x517),.f(x549)); // 6 ins 1 outs level 2 + + xor6 x565i (.out(x565),.a(d45),.b(c31),.c(d24),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x564i (.out(x564),.a(d18),.b(c28),.c(c5),.d(d8),.e(c0),.f(d29)); // 6 ins 1 outs level 1 + + xor6 x563i (.out(x563),.a(x491),.b(d12),.c(d15),.d(d7),.e(x506),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x562i (.out(x562),.a(d65),.b(d11),.c(d70),.d(c25),.e(1'b0),.f(1'b0)); // 4 ins 3 outs level 1 + + xor6 x561i (.out(x561),.a(d13),.b(d37),.c(d0),.d(c29),.e(d55),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x560i (.out(x560),.a(d19),.b(d68),.c(d36),.d(d40),.e(x496),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x559i (.out(x559),.a(d6),.b(x492),.c(d22),.d(d36),.e(1'b0),.f(1'b0)); // 4 ins 2 outs level 2 + + xor6 x558i (.out(x558),.a(d6),.b(d34),.c(d23),.d(d24),.e(d39),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x557i (.out(x557),.a(d21),.b(d39),.c(x516),.d(d41),.e(c11),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x556i (.out(x556),.a(c9),.b(d38),.c(d1),.d(x513),.e(x494),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x555i (.out(x555),.a(c8),.b(x517),.c(d4),.d(d48),.e(c17),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x554i (.out(x554),.a(x501),.b(d20),.c(x494),.d(c20),.e(d60),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x553i (.out(x553),.a(d51),.b(x516),.c(x500),.d(x506),.e(1'b0),.f(1'b0)); // 4 ins 2 outs level 2 + + xor6 x552i (.out(x552),.a(d63),.b(d33),.c(d14),.d(c23),.e(1'b0),.f(1'b0)); // 4 ins 2 outs level 1 + + xor6 x551i (.out(x551),.a(d31),.b(d67),.c(c27),.d(d63),.e(d25),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x550i (.out(x550),.a(d40),.b(d22),.c(d51),.d(d29),.e(c29),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x549i (.out(x549),.a(d34),.b(d16),.c(c14),.d(d10),.e(d54),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x548i (.out(x548),.a(d18),.b(d45),.c(d27),.d(d30),.e(1'b0),.f(1'b0)); // 4 ins 2 outs level 1 + + xor6 x547i (.out(x547),.a(d48),.b(x508),.c(x497),.d(c22),.e(d62),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x546i (.out(x546),.a(d53),.b(d31),.c(d9),.d(c13),.e(d10),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x545i (.out(x545),.a(x512),.b(d33),.c(x497),.d(d68),.e(x498),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x544i (.out(x544),.a(d2),.b(x508),.c(d28),.d(c9),.e(x497),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x543i (.out(x543),.a(d52),.b(d3),.c(c12),.d(d17),.e(x522),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x542i (.out(x542),.a(d8),.b(d11),.c(d30),.d(c11),.e(d14),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x541i (.out(x541),.a(d51),.b(d68),.c(c28),.d(d8),.e(d17),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x540i (.out(x540),.a(d65),.b(c25),.c(d19),.d(x519),.e(x491),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x539i (.out(x539),.a(x494),.b(x503),.c(d71),.d(d40),.e(d69),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x538i (.out(x538),.a(d1),.b(c0),.c(x499),.d(d21),.e(c5),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x537i (.out(x537),.a(c9),.b(c6),.c(c7),.d(d27),.e(x495),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x536i (.out(x536),.a(d58),.b(d63),.c(c23),.d(x511),.e(c18),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x535i (.out(x535),.a(x498),.b(d10),.c(d57),.d(d35),.e(c29),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x534i (.out(x534),.a(d20),.b(d69),.c(d25),.d(d49),.e(c9),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x533i (.out(x533),.a(c0),.b(x497),.c(x500),.d(c6),.e(d15),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x532i (.out(x532),.a(d47),.b(x494),.c(d66),.d(c26),.e(1'b0),.f(1'b0)); // 4 ins 4 outs level 2 + + xor6 x531i (.out(x531),.a(x503),.b(x499),.c(d29),.d(d34),.e(d5),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x530i (.out(x530),.a(d25),.b(d7),.c(c3),.d(d43),.e(1'b0),.f(1'b0)); // 4 ins 5 outs level 1 + + xor6 x529i (.out(x529),.a(c0),.b(d70),.c(d7),.d(c30),.e(d14),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x528i (.out(x528),.a(d55),.b(x495),.c(c15),.d(c28),.e(d23),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x527i (.out(x527),.a(d46),.b(x502),.c(d10),.d(c6),.e(c30),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x526i (.out(x526),.a(d56),.b(c16),.c(d52),.d(x501),.e(c12),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x525i (.out(x525),.a(d16),.b(c6),.c(d60),.d(d38),.e(c20),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x524i (.out(x524),.a(c24),.b(d64),.c(d2),.d(c7),.e(d21),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x523i (.out(x523),.a(c18),.b(d58),.c(d5),.d(x505),.e(x493),.f(1'b0)); // 5 ins 5 outs level 2 + + xor6 x522i (.out(x522),.a(d37),.b(d51),.c(c21),.d(d40),.e(c0),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x521i (.out(x521),.a(c17),.b(d5),.c(d1),.d(d57),.e(x502),.f(1'b0)); // 5 ins 5 outs level 2 + + xor6 x520i (.out(x520),.a(d28),.b(d18),.c(d19),.d(d0),.e(d61),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x519i (.out(x519),.a(d39),.b(d42),.c(c2),.d(d49),.e(d5),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x518i (.out(x518),.a(c6),.b(c7),.c(d26),.d(d12),.e(d30),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x517i (.out(x517),.a(d37),.b(d56),.c(c16),.d(d21),.e(d0),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x516i (.out(x516),.a(d34),.b(d12),.c(d11),.d(c21),.e(d33),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x515i (.out(x515),.a(d35),.b(d29),.c(d59),.d(c19),.e(d7),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x514i (.out(x514),.a(d53),.b(d32),.c(d19),.d(c13),.e(x492),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x513i (.out(x513),.a(d7),.b(d3),.c(d20),.d(c31),.e(c0),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x512i (.out(x512),.a(d16),.b(d26),.c(d14),.d(d9),.e(d36),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x511i (.out(x511),.a(d13),.b(d6),.c(c7),.d(d9),.e(c29),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x510i (.out(x510),.a(d4),.b(x496),.c(c30),.d(d70),.e(d25),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x509i (.out(x509),.a(x493),.b(d51),.c(c11),.d(d23),.e(d28),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x508i (.out(x508),.a(d26),.b(d31),.c(c28),.d(d24),.e(d4),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x507i (.out(x507),.a(d6),.b(x495),.c(d67),.d(c27),.e(d38),.f(1'b0)); // 5 ins 9 outs level 2 + + xor6 x506i (.out(x506),.a(d47),.b(d0),.c(d27),.d(d17),.e(d1),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x505i (.out(x505),.a(d2),.b(d18),.c(d32),.d(c29),.e(d39),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x504i (.out(x504),.a(x491),.b(d33),.c(d8),.d(d15),.e(d49),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x503i (.out(x503),.a(d42),.b(c2),.c(d22),.d(d71),.e(d3),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x502i (.out(x502),.a(c24),.b(d64),.c(d70),.d(d53),.e(c13),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x501i (.out(x501),.a(d63),.b(c23),.c(d28),.d(c21),.e(d61),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x500i (.out(x500),.a(d46),.b(d50),.c(c10),.d(d69),.e(d68),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x499i (.out(x499),.a(d56),.b(c9),.c(d62),.d(c16),.e(c22),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x498i (.out(x498),.a(d66),.b(d51),.c(c26),.d(c3),.e(d43),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x497i (.out(x497),.a(c1),.b(c17),.c(c7),.d(d41),.e(d57),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x496i (.out(x496),.a(c5),.b(d45),.c(d65),.d(c25),.e(c28),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x495i (.out(x495),.a(d24),.b(c11),.c(c4),.d(d44),.e(d29),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x494i (.out(x494),.a(d55),.b(c15),.c(d50),.d(c10),.e(d40),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x493i (.out(x493),.a(c12),.b(d52),.c(d60),.d(c20),.e(d47),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x492i (.out(x492),.a(c8),.b(d48),.c(c18),.d(d58),.e(d31),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x491i (.out(x491),.a(c14),.b(c19),.c(d54),.d(d71),.e(d59),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x7i (.out(x7),.a(x566),.b(x523),.c(x509),.d(x503),.e(x533),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x6i (.out(x6),.a(x568),.b(x531),.c(x524),.d(x556),.e(x510),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x5i (.out(x5),.a(x570),.b(x540),.c(x556),.d(x527),.e(x507),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x4i (.out(x4),.a(x573),.b(x505),.c(x507),.d(x533),.e(x510),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x3i (.out(x3),.a(x575),.b(x534),.c(x523),.d(x560),.e(x504),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x2i (.out(x2),.a(x577),.b(x523),.c(x521),.d(x541),.e(x507),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x1i (.out(x1),.a(x578),.b(x553),.c(x515),.d(x536),.e(x579),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x0i (.out(x0),.a(x581),.b(x532),.c(x560),.d(x507),.e(x514),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x31i (.out(x31),.a(x584),.b(x504),.c(x509),.d(x537),.e(x521),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x30i (.out(x30),.a(x586),.b(x508),.c(x515),.d(x526),.e(x527),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x29i (.out(x29),.a(x588),.b(x510),.c(x539),.d(x547),.e(x536),.f(x509)); // 6 ins 1 outs level 3 + + xor6 x28i (.out(x28),.a(x590),.b(x518),.c(x504),.d(x530),.e(x537),.f(x533)); // 6 ins 1 outs level 3 + + xor6 x27i (.out(x27),.a(x593),.b(x554),.c(x538),.d(x514),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x26i (.out(x26),.a(x596),.b(x534),.c(x547),.d(x528),.e(x507),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x25i (.out(x25),.a(x598),.b(x504),.c(x507),.d(x559),.e(x543),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x24i (.out(x24),.a(x600),.b(x555),.c(x554),.d(x535),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x23i (.out(x23),.a(x602),.b(x531),.c(x539),.d(x540),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x22i (.out(x22),.a(x605),.b(x507),.c(x509),.d(x520),.e(x545),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x21i (.out(x21),.a(x606),.b(x543),.c(x531),.d(x607),.e(x546),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x20i (.out(x20),.a(x609),.b(x510),.c(x542),.d(x557),.e(x509),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x19i (.out(x19),.a(x612),.b(x537),.c(x550),.d(x504),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x18i (.out(x18),.a(x615),.b(x544),.c(x533),.d(x514),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x17i (.out(x17),.a(x617),.b(x521),.c(x507),.d(x502),.e(x559),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x16i (.out(x16),.a(d57),.b(x620),.c(x532),.d(x518),.e(x555),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x15i (.out(x15),.a(x623),.b(x532),.c(x504),.d(x538),.e(x521),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x14i (.out(x14),.a(x625),.b(x544),.c(x528),.d(x526),.e(x514),.f(x504)); // 6 ins 1 outs level 3 + + xor6 x13i (.out(x13),.a(x628),.b(x530),.c(x539),.d(x521),.e(x509),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x12i (.out(x12),.a(x630),.b(x553),.c(x544),.d(x557),.e(x526),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x11i (.out(x11),.a(x563),.b(x545),.c(x510),.d(x632),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x10i (.out(x10),.a(x633),.b(x520),.c(x532),.d(x529),.e(x523),.f(x634)); // 6 ins 1 outs level 3 + + xor6 x9i (.out(x9),.a(x637),.b(x507),.c(x528),.d(x527),.e(x535),.f(x523)); // 6 ins 1 outs level 3 + + xor6 x8i (.out(x8),.a(x639),.b(x510),.c(x509),.d(x535),.e(x640),.f(1'b0)); // 5 ins 1 outs level 3 + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat8.v b/Advanced Synthesis Cookbook/crc/crc32_dat8.v new file mode 100644 index 0000000..f5d5345 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat8.v @@ -0,0 +1,312 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 8 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 2 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDD +// 00000000001111111111222222222233 00000000 +// 01234567890123456789012345678901 01234567 +// +// C00 = ........................X.....X. X.....X. +// C01 = ........................XX....XX XX....XX +// C02 = ........................XXX...XX XXX...XX +// C03 = .........................XXX...X .XXX...X +// C04 = ........................X.XXX.X. X.XXX.X. +// C05 = ........................XX.XXXXX XX.XXXXX +// C06 = .........................XX.XXXX .XX.XXXX +// C07 = ........................X.XX.X.X X.XX.X.X +// C08 = X.......................XX.XX... XX.XX... +// C09 = .X.......................XX.XX.. .XX.XX.. +// C10 = ..X.....................X.XX.X.. X.XX.X.. +// C11 = ...X....................XX.XX... XX.XX... +// C12 = ....X...................XXX.XXX. XXX.XXX. +// C13 = .....X...................XXX.XXX .XXX.XXX +// C14 = ......X...................XXX.XX ..XXX.XX +// C15 = .......X...................XXX.X ...XXX.X +// C16 = ........X...............X...XX.. X...XX.. +// C17 = .........X...............X...XX. .X...XX. +// C18 = ..........X...............X...XX ..X...XX +// C19 = ...........X...............X...X ...X...X +// C20 = ............X...............X... ....X... +// C21 = .............X...............X.. .....X.. +// C22 = ..............X.........X....... X....... +// C23 = ...............X........XX....X. XX....X. +// C24 = ................X........XX....X .XX....X +// C25 = .................X........XX.... ..XX.... +// C26 = ..................X.....X..XX.X. X..XX.X. +// C27 = ...................X.....X..XX.X .X..XX.X +// C28 = ....................X.....X..XX. ..X..XX. +// C29 = .....................X.....X..XX ...X..XX +// C30 = ......................X.....X..X ....X..X +// C31 = .......................X.....X.. .....X.. +// +module crc32_dat8 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [7:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat8_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat8_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat8_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [7:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7; + +assign { d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [7:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x7 = d0 ^ c26 ^ d2 ^ c27 ^ d3 ^ c29 ^ d5 ^ c24 ^ c31 ^ + d7; // 10 ins 1 outs + + assign x6 = c25 ^ d7 ^ c31 ^ d1 ^ c26 ^ d2 ^ c28 ^ d4 ^ c29 ^ + d5 ^ c30 ^ d6; // 12 ins 1 outs + + assign x5 = c24 ^ d6 ^ c30 ^ d0 ^ c25 ^ d7 ^ c31 ^ d1 ^ c27 ^ + d3 ^ c28 ^ d4 ^ c29 ^ d5; // 14 ins 1 outs + + assign x4 = c24 ^ d6 ^ c30 ^ d0 ^ c26 ^ d2 ^ c27 ^ d3 ^ c28 ^ + d4; // 10 ins 1 outs + + assign x3 = c25 ^ d7 ^ c31 ^ d1 ^ c26 ^ d2 ^ c27 ^ d3; // 8 ins 1 outs + + assign x2 = c24 ^ d6 ^ c30 ^ d0 ^ c25 ^ d7 ^ c31 ^ d1 ^ c26 ^ + d2; // 10 ins 1 outs + + assign x1 = c24 ^ d6 ^ c30 ^ d0 ^ c25 ^ d7 ^ c31 ^ d1; // 8 ins 1 outs + + xor6 x0i (.out(x0),.a(c24),.b(d6),.c(c30),.d(d0),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x31i (.out(x31),.a(c29),.b(d5),.c(c23),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x30i (.out(x30),.a(c28),.b(d4),.c(c31),.d(d7),.e(c22),.f(1'b0)); // 5 ins 1 outs + + assign x29 = c27 ^ d3 ^ c30 ^ d6 ^ c31 ^ d7 ^ c21; // 7 ins 1 outs + + assign x28 = c26 ^ d2 ^ c29 ^ d5 ^ c30 ^ d6 ^ c20; // 7 ins 1 outs + + assign x27 = c25 ^ d7 ^ c31 ^ d1 ^ c28 ^ d4 ^ c29 ^ d5 ^ c19; // 9 ins 1 outs + + assign x26 = c24 ^ d6 ^ c30 ^ d0 ^ c27 ^ d3 ^ c28 ^ d4 ^ c18; // 9 ins 1 outs + + xor6 x25i (.out(x25),.a(c26),.b(d2),.c(c27),.d(d3),.e(c17),.f(1'b0)); // 5 ins 1 outs + + assign x24 = c25 ^ d7 ^ c31 ^ d1 ^ c26 ^ d2 ^ c16; // 7 ins 1 outs + + assign x23 = c24 ^ d6 ^ c30 ^ d1 ^ c25 ^ d0 ^ c15; // 7 ins 1 outs + + xor6 x22i (.out(x22),.a(d0),.b(c24),.c(c14),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x21i (.out(x21),.a(c29),.b(d5),.c(c13),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x20i (.out(x20),.a(c28),.b(d4),.c(c12),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x19i (.out(x19),.a(c27),.b(d3),.c(c31),.d(d7),.e(c11),.f(1'b0)); // 5 ins 1 outs + + assign x18 = c26 ^ d2 ^ c30 ^ d6 ^ c31 ^ d7 ^ c10; // 7 ins 1 outs + + assign x17 = d1 ^ c29 ^ d5 ^ c30 ^ d6 ^ c25 ^ c9; // 7 ins 1 outs + + assign x16 = d0 ^ c28 ^ d4 ^ c29 ^ d5 ^ c24 ^ c8; // 7 ins 1 outs + + assign x15 = c27 ^ d3 ^ c28 ^ d4 ^ c29 ^ d5 ^ c31 ^ d7 ^ c7; // 9 ins 1 outs + + assign x14 = c26 ^ d2 ^ c27 ^ d3 ^ c28 ^ d4 ^ c30 ^ d6 ^ c31 ^ + d7 ^ c6; // 11 ins 1 outs + + assign x13 = c25 ^ d7 ^ c31 ^ d1 ^ c26 ^ d2 ^ c27 ^ d3 ^ c29 ^ + d5 ^ c30 ^ d6 ^ c5; // 13 ins 1 outs + + assign x12 = c24 ^ d6 ^ c30 ^ d1 ^ c26 ^ d2 ^ c28 ^ d4 ^ c29 ^ + d5 ^ c25 ^ d0 ^ c4; // 13 ins 1 outs + + assign x11 = d1 ^ c27 ^ d3 ^ c28 ^ d4 ^ c24 ^ c25 ^ d0 ^ c3; // 9 ins 1 outs + + assign x10 = d0 ^ c26 ^ d2 ^ c27 ^ d3 ^ c29 ^ d5 ^ c24 ^ c2; // 9 ins 1 outs + + assign x9 = d1 ^ c26 ^ d2 ^ c28 ^ d4 ^ c29 ^ d5 ^ c25 ^ c1; // 9 ins 1 outs + + assign x8 = d1 ^ c27 ^ d3 ^ c28 ^ d4 ^ c24 ^ c25 ^ d0 ^ c0; // 9 ins 1 outs + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat8_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [7:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x44, x43, x42, x39, x38, x37, x36, + x35, x34, x33, x32, x7, x6, x5, x4, + x3, x2, x1, x0, x31, x30, x29, x28, + x27, x26, x25, x24, x23, x22, x21, x20, + x19, x18, x17, x16, x15, x14, x13, x12, + x11, x10, x9, x8; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7; + +assign { d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [7:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x44i (.out(x44),.a(c28),.b(c25),.c(d4),.d(d1),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x43i (.out(x43),.a(c27),.b(c29),.c(d5),.d(d3),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x42i (.out(x42),.a(c17),.b(d2),.c(c27),.d(d3),.e(c26),.f(1'b0)); // 5 ins 2 outs + + xor6 x39i (.out(x39),.a(d5),.b(c29),.c(d2),.d(c26),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x38i (.out(x38),.a(d5),.b(d6),.c(d1),.d(c25),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x37i (.out(x37),.a(c24),.b(d0),.c(c25),.d(d1),.e(1'b0),.f(1'b0)); // 4 ins 2 outs + + xor6 x36i (.out(x36),.a(d7),.b(c31),.c(c27),.d(d3),.e(1'b0),.f(1'b0)); // 4 ins 4 outs + + xor6 x35i (.out(x35),.a(d2),.b(c28),.c(d4),.d(c29),.e(d5),.f(1'b0)); // 5 ins 7 outs + + xor6 x34i (.out(x34),.a(c30),.b(d0),.c(c27),.d(d3),.e(c24),.f(1'b0)); // 5 ins 7 outs + + xor6 x33i (.out(x33),.a(d6),.b(c30),.c(c26),.d(d2),.e(1'b0),.f(1'b0)); // 4 ins 8 outs + + xor6 x32i (.out(x32),.a(c25),.b(d7),.c(c31),.d(d1),.e(1'b0),.f(1'b0)); // 4 ins 8 outs + + xor6 x7i (.out(x7),.a(c30),.b(x34),.c(x39),.d(d7),.e(c31),.f(1'b0)); // 5 ins 1 outs + + xor6 x6i (.out(x6),.a(x32),.b(x33),.c(x35),.d(d2),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x5i (.out(x5),.a(x32),.b(x35),.c(x34),.d(d2),.e(d6),.f(1'b0)); // 5 ins 1 outs + + xor6 x4i (.out(x4),.a(x33),.b(x34),.c(c30),.d(c28),.e(d4),.f(1'b0)); // 5 ins 1 outs + + xor6 x3i (.out(x3),.a(c17),.b(x32),.c(x42),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x2i (.out(x2),.a(x32),.b(c24),.c(d0),.d(x33),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x1i (.out(x1),.a(c30),.b(x32),.c(d6),.d(d0),.e(c24),.f(1'b0)); // 5 ins 1 outs + + xor6 x0i (.out(x0),.a(c24),.b(d6),.c(c30),.d(d0),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x31i (.out(x31),.a(c29),.b(d5),.c(c23),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x30i (.out(x30),.a(c22),.b(c28),.c(c31),.d(d7),.e(d4),.f(1'b0)); // 5 ins 1 outs + + xor6 x29i (.out(x29),.a(x36),.b(d6),.c(c30),.d(c21),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x28i (.out(x28),.a(x33),.b(c29),.c(d5),.d(c20),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x27i (.out(x27),.a(x35),.b(x32),.c(d2),.d(c19),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x26i (.out(x26),.a(d6),.b(x34),.c(c28),.d(d4),.e(c18),.f(1'b0)); // 5 ins 1 outs + + assign x25 = x42; // 1 ins 1 outs + + xor6 x24i (.out(x24),.a(x32),.b(c26),.c(d2),.d(c16),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x23i (.out(x23),.a(x37),.b(d6),.c(c30),.d(c15),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x22i (.out(x22),.a(d0),.b(c24),.c(c14),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x21i (.out(x21),.a(c29),.b(d5),.c(c13),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x20i (.out(x20),.a(c28),.b(d4),.c(c12),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs + + xor6 x19i (.out(x19),.a(x36),.b(c11),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs + + xor6 x18i (.out(x18),.a(d7),.b(c31),.c(x33),.d(c10),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x17i (.out(x17),.a(c29),.b(x38),.c(c30),.d(c9),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x16i (.out(x16),.a(d0),.b(c24),.c(x35),.d(d2),.e(c8),.f(1'b0)); // 5 ins 1 outs + + xor6 x15i (.out(x15),.a(d2),.b(x35),.c(x36),.d(c7),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x14i (.out(x14),.a(c28),.b(d4),.c(x36),.d(x33),.e(c6),.f(1'b0)); // 5 ins 1 outs + + xor6 x13i (.out(x13),.a(x43),.b(x32),.c(x33),.d(c5),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x12i (.out(x12),.a(d2),.b(x35),.c(x33),.d(x37),.e(c4),.f(1'b0)); // 5 ins 1 outs + + xor6 x11i (.out(x11),.a(x34),.b(x44),.c(c30),.d(c3),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x10i (.out(x10),.a(c30),.b(x34),.c(x39),.d(c2),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + + xor6 x9i (.out(x9),.a(x35),.b(c26),.c(c1),.d(d1),.e(c25),.f(1'b0)); // 5 ins 1 outs + + xor6 x8i (.out(x8),.a(x34),.b(x44),.c(c30),.d(c0),.e(1'b0),.f(1'b0)); // 4 ins 1 outs + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat80.v b/Advanced Synthesis Cookbook/crc/crc32_dat80.v new file mode 100644 index 0000000..986766e --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat80.v @@ -0,0 +1,794 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 80 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222222222233333333334444444444555555555566666666667777777777 +// 01234567890123456789012345678901 01234567890123456789012345678901234567890123456789012345678901234567890123456789 +// +// C00 = X.X..XXX..X.XX.X.XXXX...XX.....X X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X +// C01 = .XXX.X..X.XXX.XXXX...X..X.X....X XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XXXX...X..X.X....X +// C02 = ...XXX.X.XXX....X..XX.X.X..X...X XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...X +// C03 = ....XXX.X.XXX....X..XX.X.X..X... .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X... +// C04 = X.X......XXX...X.X.XXXX..XX..X.X X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X.X.XXXX..XX..X.X +// C05 = .XXX.XXX...X.X.XXX.X.XXXXXXX..XX XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XX +// C06 = ..XXX.XXX...X.X.XXX.X.XXXXXXX..X .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..X +// C07 = ..XXX.X.XXX.X.......XX.X..XXXX.X X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X.......XX.X..XXXX.X +// C08 = ..XXX.X..X.XX..X.XXXXXX..X.XXXXX XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXX +// C09 = ...XXX.X..X.XX..X.XXXXXX..X.XXXX .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXX +// C10 = ..X.X..XX.XXX.XX..X..XXX.X.X.XX. X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX..X..XXX.X.X.XX. +// C11 = X.XX..XXXXXX....XXX.X.XX.XX.X.X. XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX....XXX.X.XX.XX.X.X. +// C12 = .XXXXXX.XX.X.X.X....XX.X.XXX.X.. XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X.. +// C13 = X.XXXXXX.XX.X.X.X....XX.X.XXX.X. .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X. +// C14 = XX.XXXXXX.XX.X.X.X....XX.X.XXX.X ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X +// C15 = .XX.XXXXXX.XX.X.X.X....XX.X.XXX. ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX. +// C16 = X..X....XX........X.X......X.XX. X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX. +// C17 = XX..X....XX........X.X......X.XX .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX +// C18 = XXX..X....XX........X.X......X.X ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.X +// C19 = .XXX..X....XX........X.X......X. ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X. +// C20 = X.XXX..X....XX........X.X......X ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X +// C21 = .X.XXX..X....XX........X.X...... .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X...... +// C22 = X...X..X.XX.XXX..XXXX....XX....X X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX..XXXX....XX....X +// C23 = .XX...XXX..XX.X..X...X..XXXX...X XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...X +// C24 = X.XX...XXX..XX.X..X...X..XXXX... .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX... +// C25 = XX.XX...XXX..XX.X..X...X..XXXX.. ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX.. +// C26 = XX..X.XX.X.XXXX...XX.....X.XXXXX X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX +// C27 = XXX..X.XX.X.XXXX...XX.....X.XXXX .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXX +// C28 = .XXX..X.XX.X.XXXX...XX.....X.XXX ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXX +// C29 = ..XXX..X.XX.X.XXXX...XX.....X.XX ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XX +// C30 = X..XXX..X.XX.X.XXXX...XX.....X.X ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.X +// C31 = .X..XXX..X.XX.X.XXXX...XX.....X. .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X. +// +module crc32_dat80 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [79:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat80_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat80_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat80_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [79:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79; + +assign { d79,d78,d77,d76,d75,d74,d73,d72,d71,d70,d69,d68,d67,d66,d65, + d64,d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [79:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x15 = c16 ^ c9 ^ d12 ^ c14 ^ d34 ^ d3 ^ d62 ^ c11 ^ c23 ^ + d57 ^ c12 ^ d8 ^ d72 ^ d71 ^ d59 ^ d27 ^ d53 ^ c5 ^ c24 ^ + c29 ^ d76 ^ d54 ^ c4 ^ c28 ^ d4 ^ d55 ^ d9 ^ d30 ^ d56 ^ + c7 ^ d60 ^ c2 ^ d24 ^ d5 ^ d44 ^ d78 ^ d33 ^ d15 ^ d18 ^ + d74 ^ d21 ^ d77 ^ d49 ^ d16 ^ c18 ^ d50 ^ c6 ^ c8 ^ d45 ^ + d66 ^ d64 ^ d7 ^ c26 ^ d52 ^ c30 ^ c1 ^ d20; // 57 ins 1 outs level 3 + + assign x14 = d56 ^ d32 ^ d52 ^ d61 ^ c10 ^ c28 ^ d63 ^ d73 ^ d58 ^ + c5 ^ d43 ^ d79 ^ c15 ^ d55 ^ d65 ^ d77 ^ d3 ^ c11 ^ d14 ^ + d49 ^ d8 ^ d15 ^ d54 ^ d75 ^ c6 ^ c29 ^ c25 ^ c27 ^ c0 ^ + d2 ^ c8 ^ c17 ^ d7 ^ d76 ^ c7 ^ d23 ^ d4 ^ d26 ^ d17 ^ + c4 ^ d20 ^ d11 ^ d29 ^ d70 ^ d33 ^ d59 ^ c1 ^ d48 ^ d6 ^ + c13 ^ d53 ^ d51 ^ c23 ^ c3 ^ c22 ^ d71 ^ d19 ^ d44 ^ c31; // 59 ins 1 outs level 3 + + assign x13 = c14 ^ d78 ^ d47 ^ d62 ^ d54 ^ d64 ^ d43 ^ d72 ^ c3 ^ + d25 ^ d3 ^ c0 ^ c5 ^ d53 ^ d1 ^ c6 ^ d50 ^ c16 ^ d60 ^ + d31 ^ c21 ^ c2 ^ d48 ^ d52 ^ d7 ^ d51 ^ d58 ^ c27 ^ d57 ^ + d28 ^ d19 ^ c26 ^ d2 ^ d42 ^ d5 ^ c7 ^ c12 ^ d76 ^ c28 ^ + c24 ^ d14 ^ d22 ^ d75 ^ c10 ^ d69 ^ d74 ^ c4 ^ d13 ^ d16 ^ + d70 ^ d32 ^ c22 ^ d10 ^ d6 ^ d18 ^ c9 ^ c30 ^ d55; // 58 ins 1 outs level 3 + + assign x12 = d77 ^ d47 ^ c2 ^ c6 ^ d50 ^ c27 ^ c20 ^ d52 ^ c3 ^ + d41 ^ d51 ^ c13 ^ d21 ^ c25 ^ d42 ^ d31 ^ d53 ^ c29 ^ c26 ^ + c5 ^ d75 ^ c15 ^ d68 ^ c4 ^ d30 ^ d12 ^ c1 ^ d18 ^ d6 ^ + d73 ^ d24 ^ d0 ^ d2 ^ d69 ^ d5 ^ c9 ^ c11 ^ d59 ^ d4 ^ + d9 ^ c8 ^ d57 ^ c21 ^ d1 ^ d49 ^ c23 ^ d56 ^ d17 ^ d46 ^ + d54 ^ d71 ^ d74 ^ d13 ^ d61 ^ d27 ^ d15 ^ d63; // 57 ins 1 outs level 3 + + assign x11 = d33 ^ d51 ^ c23 ^ c22 ^ d14 ^ d78 ^ d56 ^ d4 ^ c17 ^ + c6 ^ d28 ^ d44 ^ c7 ^ c26 ^ d70 ^ c3 ^ d15 ^ d12 ^ d66 ^ + d57 ^ d17 ^ d24 ^ c9 ^ c16 ^ d74 ^ c0 ^ c30 ^ d68 ^ d71 ^ + d59 ^ d40 ^ d36 ^ c2 ^ d48 ^ d27 ^ d31 ^ d73 ^ d25 ^ d1 ^ + d76 ^ d65 ^ c11 ^ d20 ^ d54 ^ d64 ^ d41 ^ c8 ^ c25 ^ c28 ^ + d45 ^ c18 ^ d50 ^ d3 ^ d0 ^ d58 ^ d47 ^ d9 ^ d43 ^ d16 ^ + c10 ^ d26 ^ d55 ^ c20; // 63 ins 1 outs level 3 + + assign x10 = c14 ^ c18 ^ c2 ^ c29 ^ c27 ^ c15 ^ d52 ^ d59 ^ d19 ^ + d71 ^ d28 ^ c25 ^ d5 ^ c21 ^ d73 ^ d77 ^ d3 ^ d9 ^ c7 ^ + d39 ^ d60 ^ d32 ^ d69 ^ c22 ^ d58 ^ c12 ^ c11 ^ d2 ^ d63 ^ + d13 ^ d56 ^ d70 ^ c4 ^ d66 ^ d29 ^ d50 ^ d31 ^ d35 ^ d33 ^ + d16 ^ d0 ^ c10 ^ d78 ^ d40 ^ d36 ^ d26 ^ d55 ^ d14 ^ c30 ^ + d62 ^ c23 ^ d75 ^ c8 ^ d42; // 54 ins 1 outs level 3 + + assign x9 = d35 ^ d38 ^ c29 ^ d29 ^ c16 ^ d76 ^ d55 ^ c21 ^ c28 ^ + d61 ^ d46 ^ d79 ^ d41 ^ c10 ^ d23 ^ d58 ^ d60 ^ d9 ^ d64 ^ + d47 ^ c30 ^ d34 ^ d18 ^ d68 ^ c7 ^ d2 ^ d24 ^ c23 ^ d51 ^ + c18 ^ d69 ^ c13 ^ d53 ^ c12 ^ c26 ^ d5 ^ d74 ^ c19 ^ c4 ^ + d44 ^ d32 ^ d13 ^ d70 ^ d1 ^ c31 ^ c20 ^ d12 ^ d33 ^ d71 ^ + d66 ^ c22 ^ d36 ^ d78 ^ d11 ^ c3 ^ d52 ^ d43 ^ d67 ^ d4 ^ + d77 ^ d39 ^ c5; // 62 ins 1 outs level 3 + + assign x8 = d0 ^ d31 ^ c20 ^ d57 ^ d10 ^ d54 ^ c21 ^ d79 ^ d45 ^ + d28 ^ d63 ^ d38 ^ c2 ^ d59 ^ c19 ^ c22 ^ c18 ^ d37 ^ d66 ^ + d40 ^ d34 ^ d78 ^ c27 ^ d17 ^ d60 ^ d46 ^ d52 ^ c17 ^ c15 ^ + d65 ^ d76 ^ c29 ^ c6 ^ d12 ^ c30 ^ c9 ^ c12 ^ d1 ^ d3 ^ + d70 ^ d22 ^ d11 ^ d35 ^ d43 ^ d67 ^ c3 ^ d51 ^ d42 ^ d32 ^ + c25 ^ d75 ^ c11 ^ d50 ^ d8 ^ d33 ^ c31 ^ d69 ^ d23 ^ c4 ^ + c28 ^ d68 ^ d4 ^ d77 ^ d73; // 64 ins 1 outs level 3 + + assign x7 = c10 ^ c6 ^ c9 ^ c4 ^ c12 ^ d50 ^ d47 ^ d52 ^ d16 ^ + d56 ^ c8 ^ d3 ^ d21 ^ d58 ^ d77 ^ d7 ^ d0 ^ d74 ^ d75 ^ + c26 ^ c20 ^ d28 ^ c31 ^ d32 ^ d39 ^ d42 ^ c29 ^ d23 ^ d43 ^ + d57 ^ d24 ^ d29 ^ d68 ^ c21 ^ c2 ^ d25 ^ d54 ^ c27 ^ d76 ^ + d10 ^ c3 ^ d71 ^ d41 ^ d22 ^ d69 ^ d45 ^ d79 ^ c28 ^ d2 ^ + d5 ^ d15 ^ d8 ^ d34 ^ d37 ^ d46 ^ d51 ^ d60 ^ c23; // 58 ins 1 outs level 3 + + assign x6 = c16 ^ d56 ^ c6 ^ d38 ^ c8 ^ c26 ^ d30 ^ c14 ^ d55 ^ + d21 ^ d47 ^ d74 ^ c18 ^ d4 ^ d50 ^ d54 ^ c31 ^ d71 ^ d73 ^ + d43 ^ c7 ^ d72 ^ d8 ^ d76 ^ d14 ^ d1 ^ d65 ^ d6 ^ d20 ^ + c28 ^ c25 ^ d60 ^ d62 ^ d66 ^ d70 ^ d41 ^ c12 ^ c20 ^ c2 ^ + c27 ^ c24 ^ d75 ^ c23 ^ d79 ^ d52 ^ d40 ^ d5 ^ d64 ^ c17 ^ + d2 ^ d22 ^ d25 ^ d68 ^ d42 ^ c4 ^ d51 ^ c22 ^ d11 ^ d29 ^ + c3 ^ d45 ^ d7; // 62 ins 1 outs level 3 + + assign x5 = d24 ^ d44 ^ d61 ^ c13 ^ c31 ^ d67 ^ c2 ^ d72 ^ d41 ^ + d55 ^ d37 ^ d69 ^ c16 ^ d5 ^ d10 ^ d53 ^ d74 ^ d3 ^ d64 ^ + c21 ^ d73 ^ d50 ^ d54 ^ d46 ^ d1 ^ c5 ^ d28 ^ d7 ^ c23 ^ + d70 ^ c1 ^ d71 ^ d42 ^ d40 ^ d75 ^ c3 ^ c19 ^ c27 ^ d79 ^ + c26 ^ d51 ^ c17 ^ d20 ^ d63 ^ d29 ^ d13 ^ c24 ^ c6 ^ d21 ^ + d19 ^ d78 ^ c15 ^ d39 ^ d49 ^ d4 ^ c7 ^ d65 ^ d59 ^ c30 ^ + c25 ^ d6 ^ c22 ^ d0 ^ c11; // 64 ins 1 outs level 3 + + assign x4 = d19 ^ d50 ^ d12 ^ d30 ^ d2 ^ d40 ^ d68 ^ d8 ^ d74 ^ + d44 ^ d38 ^ d73 ^ d79 ^ c20 ^ d58 ^ c17 ^ d18 ^ c29 ^ c19 ^ + d65 ^ c26 ^ d59 ^ d57 ^ d33 ^ d3 ^ d39 ^ c11 ^ d29 ^ d11 ^ + d69 ^ c21 ^ d15 ^ d77 ^ d46 ^ c0 ^ d31 ^ c9 ^ c15 ^ c2 ^ + d41 ^ d20 ^ c22 ^ c10 ^ d24 ^ d4 ^ d70 ^ d63 ^ d45 ^ d67 ^ + c31 ^ d47 ^ d48 ^ d25 ^ c25 ^ d6 ^ d0; // 56 ins 1 outs level 3 + + assign x3 = d45 ^ c4 ^ d39 ^ d69 ^ d27 ^ d52 ^ d54 ^ d68 ^ d25 ^ + c10 ^ c28 ^ d76 ^ c8 ^ d2 ^ d19 ^ c12 ^ d1 ^ d18 ^ d58 ^ + d31 ^ c17 ^ d71 ^ d32 ^ d38 ^ d8 ^ c21 ^ d73 ^ d59 ^ c6 ^ + d53 ^ d3 ^ d37 ^ c11 ^ d36 ^ c25 ^ d10 ^ d65 ^ d60 ^ c23 ^ + d17 ^ c20 ^ d33 ^ d9 ^ d56 ^ c5 ^ d7 ^ d40 ^ d15 ^ d14; // 49 ins 1 outs level 3 + + assign x2 = d44 ^ d58 ^ d31 ^ d7 ^ d6 ^ c9 ^ d9 ^ d17 ^ d72 ^ + d2 ^ c27 ^ c24 ^ d53 ^ d57 ^ d32 ^ d0 ^ d59 ^ d51 ^ d35 ^ + d38 ^ d18 ^ d16 ^ c10 ^ c22 ^ d79 ^ d70 ^ c3 ^ c4 ^ d68 ^ + c19 ^ c7 ^ d75 ^ d14 ^ d24 ^ d26 ^ d55 ^ d13 ^ d39 ^ c20 ^ + d67 ^ d1 ^ d52 ^ c31 ^ d36 ^ d37 ^ c5 ^ d8 ^ d64 ^ d30 ^ + c16 ^ c11; // 51 ins 1 outs level 3 + + assign x1 = d12 ^ c2 ^ c24 ^ d63 ^ d47 ^ d27 ^ c31 ^ d13 ^ d34 ^ + d9 ^ d74 ^ d69 ^ d65 ^ d44 ^ d58 ^ d72 ^ c21 ^ c16 ^ d56 ^ + d28 ^ d37 ^ d16 ^ d38 ^ c8 ^ d60 ^ c3 ^ d11 ^ d64 ^ d62 ^ + c11 ^ c10 ^ d33 ^ c5 ^ d1 ^ d24 ^ d53 ^ d46 ^ d17 ^ d49 ^ + d79 ^ d59 ^ c17 ^ c26 ^ c15 ^ d6 ^ c12 ^ d51 ^ d50 ^ d35 ^ + c1 ^ c14 ^ d0 ^ d7; // 53 ins 1 outs level 3 + + assign x0 = d0 ^ d50 ^ c12 ^ d6 ^ c25 ^ d65 ^ c7 ^ c15 ^ c17 ^ + d30 ^ c5 ^ d37 ^ c20 ^ d55 ^ d26 ^ c10 ^ d16 ^ d31 ^ c6 ^ + d29 ^ d66 ^ d79 ^ d10 ^ d9 ^ d47 ^ c0 ^ c19 ^ d58 ^ d73 ^ + d68 ^ d32 ^ d12 ^ d53 ^ d60 ^ d28 ^ d72 ^ d34 ^ c18 ^ d45 ^ + d54 ^ d25 ^ d48 ^ d24 ^ d44 ^ d61 ^ c13 ^ c31 ^ d67 ^ c2 ^ + c24 ^ d63; // 51 ins 1 outs level 3 + + assign x31 = d72 ^ d28 ^ d43 ^ d44 ^ d71 ^ d60 ^ d47 ^ c12 ^ d59 ^ + d24 ^ d5 ^ c19 ^ d31 ^ c1 ^ c24 ^ d62 ^ d8 ^ d9 ^ d49 ^ + c6 ^ d27 ^ d78 ^ c17 ^ c11 ^ d67 ^ d52 ^ d64 ^ d29 ^ c14 ^ + d11 ^ d46 ^ c18 ^ d30 ^ d25 ^ d15 ^ d36 ^ c23 ^ c30 ^ c9 ^ + c4 ^ d33 ^ d23 ^ d53 ^ d57 ^ c5 ^ d66 ^ d54 ^ d65 ^ c16; // 49 ins 1 outs level 3 + + assign x30 = d64 ^ d10 ^ d58 ^ c5 ^ d43 ^ d35 ^ d8 ^ d26 ^ c13 ^ + c10 ^ c31 ^ d70 ^ d46 ^ d77 ^ d29 ^ d32 ^ c8 ^ d79 ^ c16 ^ + d52 ^ d42 ^ d28 ^ d66 ^ d27 ^ c0 ^ d61 ^ c15 ^ d30 ^ d51 ^ + c17 ^ c18 ^ d71 ^ c4 ^ d4 ^ d56 ^ d22 ^ d53 ^ d48 ^ c11 ^ + d14 ^ d45 ^ d7 ^ d23 ^ c23 ^ d59 ^ d65 ^ c29 ^ d63 ^ c22 ^ + d24 ^ c3; // 51 ins 1 outs level 3 + + assign x29 = d69 ^ d25 ^ d58 ^ d42 ^ d27 ^ d63 ^ d3 ^ c30 ^ d50 ^ + d47 ^ c22 ^ d23 ^ d22 ^ d21 ^ d29 ^ d76 ^ d52 ^ c16 ^ d6 ^ + d45 ^ d9 ^ d57 ^ c31 ^ c14 ^ d79 ^ d7 ^ d44 ^ c21 ^ d70 ^ + d78 ^ c17 ^ d51 ^ c12 ^ c28 ^ d62 ^ d31 ^ d26 ^ c9 ^ c3 ^ + d28 ^ d55 ^ d60 ^ c15 ^ d64 ^ c10 ^ d41 ^ d34 ^ c2 ^ c7 ^ + d13 ^ c4 ^ d65; // 52 ins 1 outs level 3 + + assign x28 = d78 ^ d69 ^ c1 ^ d28 ^ c20 ^ d20 ^ c3 ^ c9 ^ d25 ^ + c15 ^ d24 ^ c8 ^ d59 ^ d57 ^ d41 ^ c11 ^ d30 ^ d5 ^ d64 ^ + c16 ^ d40 ^ d44 ^ d75 ^ c21 ^ d22 ^ d77 ^ d26 ^ d51 ^ d6 ^ + c14 ^ d63 ^ d33 ^ d54 ^ d50 ^ d56 ^ d12 ^ d79 ^ c2 ^ d68 ^ + d21 ^ c27 ^ d2 ^ c6 ^ d62 ^ d46 ^ d8 ^ d27 ^ d49 ^ c13 ^ + d61 ^ d43 ^ c29 ^ c31 ^ c30; // 54 ins 1 outs level 3 + + assign x27 = d67 ^ d68 ^ c29 ^ d24 ^ d21 ^ d29 ^ d50 ^ d26 ^ d53 ^ + d7 ^ d56 ^ d48 ^ d60 ^ d78 ^ d55 ^ d63 ^ d45 ^ c13 ^ d4 ^ + d61 ^ d62 ^ d1 ^ d79 ^ d23 ^ d43 ^ c31 ^ c14 ^ d40 ^ d42 ^ + d5 ^ c26 ^ c30 ^ d76 ^ d49 ^ c1 ^ d74 ^ c7 ^ c10 ^ d20 ^ + d19 ^ d39 ^ d58 ^ d11 ^ c8 ^ c2 ^ c5 ^ c19 ^ d32 ^ c20 ^ + d25 ^ d77 ^ d27 ^ c0 ^ c12 ^ c15 ^ c28; // 56 ins 1 outs level 3 + + assign x26 = d31 ^ d66 ^ c7 ^ d42 ^ d52 ^ d73 ^ c4 ^ c27 ^ c1 ^ + c30 ^ c19 ^ d22 ^ c0 ^ d67 ^ d49 ^ c25 ^ c9 ^ d19 ^ d10 ^ + d18 ^ d26 ^ d75 ^ d4 ^ d20 ^ d41 ^ d6 ^ c31 ^ d28 ^ d0 ^ + c12 ^ d38 ^ d3 ^ d60 ^ d77 ^ d47 ^ c6 ^ d57 ^ c18 ^ d79 ^ + c11 ^ d23 ^ d39 ^ d62 ^ d78 ^ c13 ^ d76 ^ c28 ^ d55 ^ d61 ^ + d44 ^ d59 ^ c14 ^ d24 ^ c29 ^ d48 ^ d25 ^ d54; // 57 ins 1 outs level 3 + + assign x25 = c4 ^ d77 ^ c0 ^ d49 ^ d40 ^ d31 ^ c19 ^ d58 ^ d33 ^ + d11 ^ d41 ^ c13 ^ d29 ^ d37 ^ d28 ^ c26 ^ d18 ^ d3 ^ d21 ^ + d57 ^ d22 ^ d75 ^ d51 ^ d38 ^ c3 ^ d17 ^ c1 ^ d15 ^ c27 ^ + c23 ^ c8 ^ c29 ^ d48 ^ c16 ^ d62 ^ d74 ^ d64 ^ d44 ^ d2 ^ + d71 ^ d67 ^ c14 ^ d19 ^ c9 ^ d56 ^ d76 ^ c28 ^ c10 ^ d61 ^ + d52 ^ d8 ^ d36; // 52 ins 1 outs level 3 + + assign x24 = d1 ^ c13 ^ d47 ^ d66 ^ c26 ^ d35 ^ d60 ^ c3 ^ d21 ^ + d43 ^ d14 ^ d27 ^ c8 ^ c0 ^ d56 ^ d20 ^ d36 ^ d48 ^ d73 ^ + d70 ^ d57 ^ d16 ^ d61 ^ c7 ^ c28 ^ c2 ^ c12 ^ d39 ^ d74 ^ + d37 ^ d10 ^ d28 ^ d76 ^ c15 ^ d40 ^ d75 ^ d51 ^ c22 ^ d32 ^ + d18 ^ d50 ^ d30 ^ d63 ^ c27 ^ d2 ^ c18 ^ d17 ^ c9 ^ c25 ^ + d7 ^ d55; // 51 ins 1 outs level 3 + + assign x23 = d73 ^ d56 ^ d39 ^ d47 ^ c17 ^ c7 ^ d60 ^ d15 ^ d42 ^ + c26 ^ c14 ^ d20 ^ d26 ^ c27 ^ c1 ^ c21 ^ d35 ^ d34 ^ d9 ^ + d65 ^ d29 ^ d79 ^ d54 ^ d59 ^ c6 ^ d31 ^ d49 ^ d69 ^ d17 ^ + c24 ^ c25 ^ d46 ^ d36 ^ c8 ^ d72 ^ d16 ^ d55 ^ d19 ^ d6 ^ + c12 ^ d1 ^ d50 ^ d38 ^ d75 ^ d74 ^ c11 ^ d62 ^ d0 ^ d13 ^ + c31 ^ d27 ^ c2; // 52 ins 1 outs level 3 + + assign x22 = d67 ^ d31 ^ d43 ^ d38 ^ d11 ^ d52 ^ c26 ^ d45 ^ c18 ^ + d12 ^ d79 ^ d68 ^ d74 ^ d36 ^ c31 ^ c12 ^ c17 ^ d0 ^ d19 ^ + c7 ^ d18 ^ d35 ^ d60 ^ c13 ^ d61 ^ d57 ^ d44 ^ d24 ^ d48 ^ + d23 ^ d34 ^ d73 ^ d41 ^ d62 ^ c14 ^ d14 ^ c9 ^ d58 ^ c19 ^ + d65 ^ c0 ^ d47 ^ d9 ^ d66 ^ d29 ^ d16 ^ c4 ^ c10 ^ d26 ^ + d55 ^ c20 ^ d37 ^ c25 ^ d27; // 54 ins 1 outs level 3 + + assign x21 = d22 ^ d52 ^ d53 ^ c14 ^ d62 ^ d61 ^ c1 ^ d27 ^ c4 ^ + c3 ^ d5 ^ d42 ^ d24 ^ d10 ^ d13 ^ d35 ^ c8 ^ d31 ^ c5 ^ + c25 ^ c23 ^ d71 ^ d49 ^ c13 ^ d40 ^ d29 ^ d17 ^ d73 ^ d37 ^ + d34 ^ d56 ^ d18 ^ d9 ^ d26 ^ d51; // 35 ins 1 outs level 3 + + assign x20 = d8 ^ d41 ^ d61 ^ d28 ^ d52 ^ d16 ^ d17 ^ c31 ^ d39 ^ + d79 ^ d48 ^ c24 ^ c4 ^ d60 ^ d34 ^ d4 ^ d33 ^ d55 ^ c12 ^ + d12 ^ d72 ^ c0 ^ d36 ^ d30 ^ c2 ^ d51 ^ d50 ^ d70 ^ c13 ^ + c22 ^ c3 ^ d26 ^ d23 ^ c7 ^ d25 ^ d21 ^ d9; // 37 ins 1 outs level 3 + + assign x19 = d27 ^ d69 ^ d3 ^ d38 ^ d71 ^ d15 ^ c3 ^ c2 ^ c1 ^ + d35 ^ d25 ^ d47 ^ c21 ^ d32 ^ d33 ^ d29 ^ d60 ^ d11 ^ d16 ^ + d24 ^ d50 ^ c12 ^ c11 ^ d59 ^ d51 ^ d49 ^ d7 ^ d22 ^ c23 ^ + d54 ^ c6 ^ d8 ^ d40 ^ c30 ^ d78 ^ d20; // 36 ins 1 outs level 3 + + assign x18 = d77 ^ c2 ^ d28 ^ d48 ^ d2 ^ c29 ^ d39 ^ d46 ^ d49 ^ + d7 ^ c31 ^ c5 ^ d24 ^ d14 ^ d79 ^ d34 ^ d32 ^ c11 ^ d6 ^ + d15 ^ d26 ^ d70 ^ d37 ^ c1 ^ c0 ^ c22 ^ d31 ^ d21 ^ d19 ^ + c20 ^ d50 ^ d53 ^ d68 ^ c10 ^ d10 ^ d59 ^ d58 ^ d23; // 38 ins 1 outs level 3 + + assign x17 = d58 ^ d49 ^ d33 ^ c1 ^ c31 ^ c10 ^ d69 ^ d45 ^ d38 ^ + d1 ^ d79 ^ d5 ^ d14 ^ d30 ^ c21 ^ d48 ^ c19 ^ d52 ^ d25 ^ + d6 ^ d31 ^ d13 ^ d67 ^ d27 ^ d36 ^ c9 ^ c0 ^ c4 ^ c30 ^ + d22 ^ d47 ^ d57 ^ d78 ^ d76 ^ d23 ^ d18 ^ d20 ^ c28 ^ d9; // 39 ins 1 outs level 3 + + assign x16 = d26 ^ d5 ^ d44 ^ d12 ^ d0 ^ c18 ^ d24 ^ c29 ^ c9 ^ + c3 ^ c20 ^ c0 ^ c27 ^ d48 ^ d51 ^ d66 ^ d32 ^ d37 ^ d68 ^ + d35 ^ d13 ^ d4 ^ d47 ^ d22 ^ d57 ^ d29 ^ d30 ^ c30 ^ d46 ^ + d56 ^ d19 ^ d17 ^ c8 ^ d77 ^ d75 ^ d78 ^ d21 ^ d8; // 38 ins 1 outs level 3 + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat80_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [79:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x862, x861, x860, x859, x858, x857, x855, + x854, x853, x852, x851, x850, x849, x848, x847, + x846, x845, x844, x843, x842, x841, x840, x839, + x838, x837, x836, x835, x834, x833, x832, x831, + x830, x829, x828, x827, x826, x825, x824, x823, + x822, x821, x820, x819, x817, x816, x815, x814, + x813, x812, x811, x810, x809, x808, x807, x806, + x805, x804, x803, x802, x801, x800, x799, x798, + x797, x796, x795, x794, x793, x792, x791, x790, + x789, x788, x787, x786, x785, x784, x783, x782, + x781, x780, x779, x778, x777, x776, x775, x774, + x773, x772, x771, x770, x769, x768, x767, x766, + x765, x764, x763, x762, x761, x760, x759, x758, + x757, x756, x755, x754, x753, x752, x751, x750, + x749, x748, x747, x746, x745, x744, x743, x742, + x741, x740, x739, x738, x737, x736, x735, x734, + x733, x732, x731, x730, x729, x728, x727, x726, + x725, x724, x723, x722, x721, x720, x719, x718, + x717, x716, x715, x714, x713, x712, x711, x710, + x709, x708, x707, x706, x705, x704, x703, x702, + x701, x700, x15, x14, x13, x12, x11, x10, + x9, x8, x7, x6, x5, x4, x3, x2, + x1, x0, x31, x30, x29, x28, x27, x26, + x25, x24, x23, x22, x21, x20, x19, x18, + x17, x16; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79; + +assign { d79,d78,d77,d76,d75,d74,d73,d72,d71,d70,d69,d68,d67,d66,d65, + d64,d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [79:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x862i (.out(x862),.a(x860),.b(x755),.c(x710),.d(x759),.e(x861),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x861i (.out(x861),.a(c16),.b(c29),.c(d29),.d(c31),.e(d5),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x860i (.out(x860),.a(d8),.b(d46),.c(d21),.d(d34),.e(d15),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x859i (.out(x859),.a(d45),.b(x858),.c(x740),.d(x731),.e(x767),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x858i (.out(x858),.a(d50),.b(d36),.c(d27),.d(c31),.e(d5),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x857i (.out(x857),.a(d10),.b(x746),.c(x708),.d(x703),.e(x752),.f(d15)); // 6 ins 1 outs level 2 + + xor6 x855i (.out(x855),.a(d31),.b(d7),.c(d21),.d(c11),.e(d14),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x854i (.out(x854),.a(x852),.b(d33),.c(x721),.d(x853),.e(x767),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x853i (.out(x853),.a(c2),.b(c12),.c(d32),.d(d16),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x852i (.out(x852),.a(c23),.b(d71),.c(d29),.d(d8),.e(d47),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x851i (.out(x851),.a(x850),.b(x711),.c(x769),.d(x714),.e(x710),.f(x703)); // 6 ins 1 outs level 2 + + xor6 x850i (.out(x850),.a(d52),.b(d0),.c(c4),.d(c15),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x849i (.out(x849),.a(d31),.b(d5),.c(d73),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x848i (.out(x848),.a(d9),.b(d26),.c(c5),.d(d27),.e(c25),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x847i (.out(x847),.a(x845),.b(x738),.c(x717),.d(x708),.e(x846),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x846i (.out(x846),.a(d41),.b(d52),.c(c4),.d(d44),.e(d57),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x845i (.out(x845),.a(d77),.b(d66),.c(d14),.d(c15),.e(c18),.f(d27)); // 6 ins 1 outs level 1 + + xor6 x844i (.out(x844),.a(x842),.b(d28),.c(x703),.d(x758),.e(x843),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x843i (.out(x843),.a(d39),.b(d69),.c(d13),.d(c9),.e(d29),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x842i (.out(x842),.a(d4),.b(d42),.c(d46),.d(d38),.e(c27),.f(d75)); // 6 ins 1 outs level 1 + + xor6 x841i (.out(x841),.a(x839),.b(x757),.c(x749),.d(x840),.e(x709),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x840i (.out(x840),.a(d40),.b(d36),.c(d60),.d(d73),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x839i (.out(x839),.a(d14),.b(d26),.c(c25),.d(d66),.e(d16),.f(c18)); // 6 ins 1 outs level 1 + + xor6 x838i (.out(x838),.a(d60),.b(x836),.c(x704),.d(x742),.e(x837),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x837i (.out(x837),.a(d23),.b(d27),.c(d29),.d(d58),.e(d15),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x836i (.out(x836),.a(c10),.b(d64),.c(c15),.d(d8),.e(d19),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x835i (.out(x835),.a(x833),.b(x761),.c(x717),.d(x755),.e(x722),.f(x834)); // 6 ins 1 outs level 2 + + xor6 x834i (.out(x834),.a(d79),.b(d60),.c(c15),.d(d39),.e(d11),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x833i (.out(x833),.a(c7),.b(d55),.c(d41),.d(d42),.e(c11),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x832i (.out(x832),.a(x830),.b(x734),.c(x720),.d(x831),.e(x757),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x831i (.out(x831),.a(d47),.b(c30),.c(d78),.d(d43),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x830i (.out(x830),.a(d79),.b(d20),.c(c5),.d(d7),.e(d2),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x829i (.out(x829),.a(x827),.b(x759),.c(x710),.d(x703),.e(x828),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x828i (.out(x828),.a(d33),.b(d12),.c(d22),.d(d57),.e(c14),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x827i (.out(x827),.a(d19),.b(d13),.c(d27),.d(d25),.e(d64),.f(d62)); // 6 ins 1 outs level 1 + + xor6 x826i (.out(x826),.a(x719),.b(x824),.c(x708),.d(x722),.e(x825),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x825i (.out(x825),.a(d19),.b(d26),.c(d9),.d(d23),.e(d33),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x824i (.out(x824),.a(d4),.b(d21),.c(d40),.d(d54),.e(d34),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x823i (.out(x823),.a(x822),.b(x763),.c(x721),.d(x732),.e(x709),.f(x726)); // 6 ins 1 outs level 2 + + xor6 x822i (.out(x822),.a(d45),.b(d26),.c(c11),.d(d63),.e(d32),.f(c5)); // 6 ins 1 outs level 1 + + xor6 x821i (.out(x821),.a(x820),.b(x762),.c(x733),.d(x717),.e(x718),.f(x701)); // 6 ins 1 outs level 2 + + xor6 x820i (.out(x820),.a(d5),.b(d30),.c(d36),.d(d25),.e(d1),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x819i (.out(x819),.a(d35),.b(x816),.c(c9),.d(x749),.e(x709),.f(x817)); // 6 ins 1 outs level 2 + + xor6 x817i (.out(x817),.a(d47),.b(d36),.c(c18),.d(d54),.e(d66),.f(d77)); // 6 ins 1 outs level 1 + + xor6 x816i (.out(x816),.a(d30),.b(d44),.c(d16),.d(d64),.e(d63),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x815i (.out(x815),.a(x813),.b(x703),.c(x814),.d(x704),.e(x719),.f(x721)); // 6 ins 1 outs level 2 + + xor6 x814i (.out(x814),.a(d16),.b(d46),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x813i (.out(x813),.a(d44),.b(c31),.c(d11),.d(d7),.e(d17),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x812i (.out(x812),.a(x703),.b(x810),.c(x762),.d(x811),.e(x711),.f(x726)); // 6 ins 1 outs level 2 + + xor6 x811i (.out(x811),.a(d1),.b(d8),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x810i (.out(x810),.a(d57),.b(d44),.c(d6),.d(d10),.e(d77),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x809i (.out(x809),.a(x807),.b(x733),.c(x701),.d(x720),.e(x808),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x808i (.out(x808),.a(d56),.b(c5),.c(d57),.d(d25),.e(d8),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x807i (.out(x807),.a(c9),.b(d69),.c(d45),.d(d1),.e(c8),.f(d64)); // 6 ins 1 outs level 1 + + xor6 x806i (.out(x806),.a(x804),.b(x703),.c(x805),.d(x746),.e(x717),.f(x739)); // 6 ins 1 outs level 2 + + xor6 x805i (.out(x805),.a(d41),.b(c11),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x804i (.out(x804),.a(d45),.b(d53),.c(d25),.d(c26),.e(d12),.f(d74)); // 6 ins 1 outs level 1 + + xor6 x803i (.out(x803),.a(d15),.b(x801),.c(x710),.d(x706),.e(x707),.f(x802)); // 6 ins 1 outs level 2 + + xor6 x802i (.out(x802),.a(c23),.b(d46),.c(c26),.d(d24),.e(c31),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x801i (.out(x801),.a(d1),.b(d19),.c(d71),.d(d74),.e(d3),.f(d42)); // 6 ins 1 outs level 1 + + xor6 x800i (.out(x800),.a(x799),.b(d8),.c(x739),.d(x702),.e(x706),.f(x713)); // 6 ins 1 outs level 2 + + xor6 x799i (.out(x799),.a(d11),.b(d19),.c(c24),.d(c16),.e(d72),.f(c6)); // 6 ins 1 outs level 1 + + xor6 x798i (.out(x798),.a(x704),.b(x796),.c(x702),.d(x797),.e(x769),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x797i (.out(x797),.a(d71),.b(c23),.c(d6),.d(d47),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x796i (.out(x796),.a(d3),.b(d34),.c(d37),.d(d69),.e(d27),.f(d57)); // 6 ins 1 outs level 1 + + xor6 x795i (.out(x795),.a(x793),.b(x755),.c(x719),.d(x707),.e(x718),.f(x794)); // 6 ins 1 outs level 2 + + xor6 x794i (.out(x794),.a(c22),.b(d70),.c(c30),.d(c21),.e(d24),.f(d78)); // 6 ins 1 outs level 1 + + xor6 x793i (.out(x793),.a(d57),.b(d10),.c(d54),.d(c12),.e(d18),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x792i (.out(x792),.a(x790),.b(d64),.c(x738),.d(x709),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x791i (.out(x791),.a(x705),.b(x713),.c(x714),.d(x765),.e(x702),.f(d35)); // 6 ins 1 outs level 2 + + xor6 x790i (.out(x790),.a(d46),.b(d19),.c(d12),.d(c5),.e(d69),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x789i (.out(x789),.a(x788),.b(d14),.c(x750),.d(x761),.e(x711),.f(x719)); // 6 ins 1 outs level 2 + + xor6 x788i (.out(x788),.a(d59),.b(d33),.c(d28),.d(d35),.e(c2),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x787i (.out(x787),.a(x785),.b(x702),.c(x711),.d(x701),.e(x786),.f(x760)); // 6 ins 1 outs level 2 + + xor6 x786i (.out(x786),.a(d28),.b(d43),.c(d24),.d(d17),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x785i (.out(x785),.a(c28),.b(d76),.c(d25),.d(d40),.e(d45),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x784i (.out(x784),.a(x782),.b(x705),.c(x762),.d(x701),.e(x783),.f(x723)); // 6 ins 1 outs level 2 + + xor6 x783i (.out(x783),.a(d9),.b(d40),.c(d30),.d(d6),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x782i (.out(x782),.a(d4),.b(d42),.c(c25),.d(d73),.e(d12),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x781i (.out(x781),.a(x779),.b(x700),.c(x780),.d(x722),.e(x731),.f(x738)); // 6 ins 1 outs level 2 + + xor6 x780i (.out(x780),.a(d45),.b(c30),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x779i (.out(x779),.a(d9),.b(d69),.c(d5),.d(d78),.e(c16),.f(d14)); // 6 ins 1 outs level 1 + + xor6 x778i (.out(x778),.a(x726),.b(x759),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 2 + + xor6 x777i (.out(x777),.a(x776),.b(c5),.c(x719),.d(x718),.e(x723),.f(x700)); // 6 ins 1 outs level 2 + + xor6 x776i (.out(x776),.a(d77),.b(d46),.c(d3),.d(d23),.e(d11),.f(d63)); // 6 ins 1 outs level 1 + + xor6 x775i (.out(x775),.a(x773),.b(x704),.c(x774),.d(x713),.e(x750),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x774i (.out(x774),.a(d50),.b(d45),.c(c7),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x773i (.out(x773),.a(d55),.b(d34),.c(d9),.d(c2),.e(d16),.f(d18)); // 6 ins 1 outs level 1 + + xor6 x772i (.out(x772),.a(d42),.b(d4),.c(x705),.d(d38),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x771i (.out(x771),.a(c17),.b(d60),.c(x720),.d(d65),.e(1'b0),.f(1'b0)); // 4 ins 2 outs level 2 + + xor6 x770i (.out(x770),.a(c31),.b(d4),.c(x722),.d(d1),.e(x704),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x769i (.out(x769),.a(d25),.b(d4),.c(d39),.d(d23),.e(d7),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x768i (.out(x768),.a(d30),.b(x714),.c(d21),.d(d12),.e(d8),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x767i (.out(x767),.a(d22),.b(d69),.c(c21),.d(d11),.e(d25),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x766i (.out(x766),.a(x702),.b(x719),.c(d44),.d(d32),.e(x707),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x765i (.out(x765),.a(d13),.b(d36),.c(c13),.d(d34),.e(d61),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x764i (.out(x764),.a(d37),.b(d10),.c(d36),.d(x720),.e(x723),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x763i (.out(x763),.a(d65),.b(c17),.c(c31),.d(d64),.e(d27),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x762i (.out(x762),.a(d57),.b(c4),.c(d52),.d(d31),.e(1'b0),.f(1'b0)); // 4 ins 3 outs level 1 + + xor6 x761i (.out(x761),.a(d75),.b(c11),.c(c25),.d(c27),.e(d73),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x760i (.out(x760),.a(c10),.b(d48),.c(c0),.d(d58),.e(d12),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x759i (.out(x759),.a(d26),.b(c8),.c(d56),.d(d19),.e(d30),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x758i (.out(x758),.a(d72),.b(d34),.c(c24),.d(c12),.e(d17),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x757i (.out(x757),.a(d63),.b(d76),.c(d26),.d(d21),.e(c28),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x756i (.out(x756),.a(x749),.b(x703),.c(x714),.d(c19),.e(d67),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x755i (.out(x755),.a(d0),.b(d15),.c(d66),.d(d18),.e(c18),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x754i (.out(x754),.a(c11),.b(x705),.c(c16),.d(d38),.e(d59),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x753i (.out(x753),.a(d32),.b(x708),.c(d16),.d(d10),.e(c6),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x752i (.out(x752),.a(d46),.b(c1),.c(c5),.d(c29),.e(d49),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x751i (.out(x751),.a(x717),.b(d6),.c(d1),.d(c9),.e(x701),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x750i (.out(x750),.a(d77),.b(d62),.c(d5),.d(d31),.e(c14),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x749i (.out(x749),.a(d10),.b(d39),.c(d29),.d(d37),.e(1'b0),.f(1'b0)); // 4 ins 3 outs level 1 + + xor6 x748i (.out(x748),.a(d64),.b(d68),.c(x707),.d(d14),.e(c20),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x747i (.out(x747),.a(d18),.b(d2),.c(x706),.d(d29),.e(1'b0),.f(1'b0)); // 4 ins 4 outs level 2 + + xor6 x746i (.out(x746),.a(d70),.b(c22),.c(d23),.d(d53),.e(d59),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x745i (.out(x745),.a(x734),.b(d35),.c(x707),.d(x711),.e(d31),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x744i (.out(x744),.a(d5),.b(c29),.c(x717),.d(d53),.e(x709),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x743i (.out(x743),.a(x718),.b(d15),.c(x705),.d(d0),.e(1'b0),.f(1'b0)); // 4 ins 3 outs level 2 + + xor6 x742i (.out(x742),.a(d10),.b(d28),.c(d22),.d(c16),.e(d77),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x741i (.out(x741),.a(d47),.b(d33),.c(d9),.d(x714),.e(d1),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x740i (.out(x740),.a(d50),.b(d78),.c(d60),.d(c30),.e(d3),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x739i (.out(x739),.a(d43),.b(d30),.c(d20),.d(c12),.e(d28),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x738i (.out(x738),.a(d79),.b(d18),.c(d74),.d(c26),.e(d43),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x737i (.out(x737),.a(c21),.b(d61),.c(d0),.d(x719),.e(c13),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x736i (.out(x736),.a(c31),.b(d33),.c(d60),.d(x709),.e(d6),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x735i (.out(x735),.a(d17),.b(d32),.c(d35),.d(d57),.e(x700),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x734i (.out(x734),.a(d25),.b(c21),.c(d55),.d(c7),.e(d60),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x733i (.out(x733),.a(d29),.b(d40),.c(d27),.d(d38),.e(d7),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x732i (.out(x732),.a(d56),.b(c4),.c(c8),.d(d52),.e(d42),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x731i (.out(x731),.a(d48),.b(d13),.c(c0),.d(d47),.e(d18),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x730i (.out(x730),.a(d41),.b(c3),.c(d51),.d(x706),.e(x710),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x729i (.out(x729),.a(x705),.b(d34),.c(d37),.d(d12),.e(1'b0),.f(1'b0)); // 4 ins 6 outs level 2 + + xor6 x728i (.out(x728),.a(x710),.b(c12),.c(c29),.d(d24),.e(c9),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x727i (.out(x727),.a(d1),.b(c2),.c(d47),.d(x704),.e(d50),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x726i (.out(x726),.a(c10),.b(d30),.c(d58),.d(d79),.e(d14),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x725i (.out(x725),.a(d45),.b(d42),.c(d22),.d(d54),.e(x703),.f(1'b0)); // 5 ins 5 outs level 2 + + xor6 x724i (.out(x724),.a(d39),.b(d2),.c(x708),.d(d19),.e(d32),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x723i (.out(x723),.a(d71),.b(d17),.c(d53),.d(c23),.e(d18),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x722i (.out(x722),.a(d25),.b(d47),.c(d62),.d(d19),.e(c14),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x721i (.out(x721),.a(c3),.b(d24),.c(d29),.d(d35),.e(d51),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x720i (.out(x720),.a(d62),.b(d49),.c(d40),.d(c14),.e(c1),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x719i (.out(x719),.a(d13),.b(d63),.c(d69),.d(c15),.e(d40),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x718i (.out(x718),.a(d8),.b(d4),.c(d43),.d(d46),.e(c29),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x717i (.out(x717),.a(d23),.b(d11),.c(c19),.d(d38),.e(d67),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x716i (.out(x716),.a(d5),.b(d21),.c(x700),.d(d2),.e(d41),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x715i (.out(x715),.a(x701),.b(d49),.c(c1),.d(d20),.e(1'b0),.f(1'b0)); // 4 ins 8 outs level 2 + + xor6 x714i (.out(x714),.a(d64),.b(d72),.c(c24),.d(c5),.e(d53),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x713i (.out(x713),.a(c23),.b(d7),.c(d71),.d(d66),.e(c18),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x712i (.out(x712),.a(d57),.b(d33),.c(d31),.d(x702),.e(d3),.f(1'b0)); // 5 ins 10 outs level 2 + + xor6 x711i (.out(x711),.a(d26),.b(d16),.c(d0),.d(d9),.e(d36),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x710i (.out(x710),.a(d44),.b(d4),.c(c16),.d(d78),.e(c30),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x709i (.out(x709),.a(d48),.b(c15),.c(d61),.d(c13),.e(c0),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x708i (.out(x708),.a(c21),.b(c10),.c(d29),.d(d58),.e(c12),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x707i (.out(x707),.a(c25),.b(d65),.c(c9),.d(d73),.e(c17),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x706i (.out(x706),.a(d7),.b(d55),.c(c7),.d(d70),.e(c22),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x705i (.out(x705),.a(d24),.b(d77),.c(c31),.d(d68),.e(c20),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x704i (.out(x704),.a(c8),.b(d74),.c(c26),.d(d56),.e(d27),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x703i (.out(x703),.a(d50),.b(d79),.c(d28),.d(c2),.e(d6),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x702i (.out(x702),.a(c28),.b(d52),.c(d76),.d(d60),.e(c4),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x701i (.out(x701),.a(d54),.b(c6),.c(d59),.d(c11),.e(d15),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x700i (.out(x700),.a(c9),.b(c27),.c(c3),.d(d51),.e(d75),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x15i (.out(x15),.a(x775),.b(x715),.c(x712),.d(x768),.e(x728),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x14i (.out(x14),.a(x777),.b(x715),.c(x766),.d(x778),.e(x747),.f(x736)); // 6 ins 1 outs level 3 + + xor6 x13i (.out(x13),.a(x781),.b(x747),.c(x753),.d(x741),.e(x725),.f(x712)); // 6 ins 1 outs level 3 + + xor6 x12i (.out(x12),.a(x784),.b(x716),.c(x752),.d(x737),.e(x727),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x11i (.out(x11),.a(x787),.b(x712),.c(x713),.d(x748),.e(x730),.f(x727)); // 6 ins 1 outs level 3 + + xor6 x10i (.out(x10),.a(x789),.b(x706),.c(x713),.d(x732),.e(x740),.f(x724)); // 6 ins 1 outs level 3 + + xor6 x9i (.out(x9),.a(x791),.b(x741),.c(x730),.d(x724),.e(x744),.f(x792)); // 6 ins 1 outs level 3 + + xor6 x8i (.out(x8),.a(x795),.b(x729),.c(x735),.d(x751),.e(x725),.f(x712)); // 6 ins 1 outs level 3 + + xor6 x7i (.out(x7),.a(x798),.b(x743),.c(x753),.d(x716),.e(x725),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x6i (.out(x6),.a(x800),.b(x716),.c(x733),.d(x748),.e(x770),.f(x725)); // 6 ins 1 outs level 3 + + xor6 x5i (.out(x5),.a(x803),.b(x715),.c(x716),.d(x737),.e(x756),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x4i (.out(x4),.a(x806),.b(x731),.c(x743),.d(x766),.e(x724),.f(x712)); // 6 ins 1 outs level 3 + + xor6 x3i (.out(x3),.a(x809),.b(x764),.c(x724),.d(x748),.e(x712),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x2i (.out(x2),.a(x812),.b(x756),.c(x747),.d(x754),.e(x735),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x1i (.out(x1),.a(x815),.b(x708),.c(x729),.d(x771),.e(x754),.f(x741)); // 6 ins 1 outs level 3 + + xor6 x0i (.out(x0),.a(x819),.b(x745),.c(x756),.d(x729),.e(x753),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x31i (.out(x31),.a(x821),.b(x713),.c(x771),.d(x741),.e(x728),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x30i (.out(x30),.a(x823),.b(x718),.c(x713),.d(x742),.e(x746),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x29i (.out(x29),.a(x826),.b(x725),.c(x712),.d(x763),.e(x730),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x28i (.out(x28),.a(x829),.b(x715),.c(x743),.d(x716),.e(x737),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x27i (.out(x27),.a(x772),.b(x724),.c(x744),.d(x832),.e(x727),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x26i (.out(x26),.a(x835),.b(x715),.c(x728),.d(x742),.e(x736),.f(x712)); // 6 ins 1 outs level 3 + + xor6 x25i (.out(x25),.a(x838),.b(x716),.c(x744),.d(x764),.e(x712),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x24i (.out(x24),.a(x841),.b(x747),.c(x739),.d(x735),.e(x727),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x23i (.out(x23),.a(x844),.b(x715),.c(x745),.d(x770),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x22i (.out(x22),.a(x847),.b(x709),.c(x729),.d(x722),.e(x745),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x21i (.out(x21),.a(x848),.b(x721),.c(x732),.d(x849),.e(x764),.f(x765)); // 6 ins 1 outs level 3 + + xor6 x20i (.out(x20),.a(x730),.b(x851),.c(x768),.d(x758),.e(x736),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x19i (.out(x19),.a(x854),.b(x715),.c(x733),.d(x740),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x18i (.out(x18),.a(x855),.b(x729),.c(x724),.d(x760),.e(x857),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x17i (.out(x17),.a(x859),.b(x715),.c(x726),.d(x751),.e(x712),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x16i (.out(x16),.a(x862),.b(x729),.c(x731),.d(x735),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat88.v b/Advanced Synthesis Cookbook/crc/crc32_dat88.v new file mode 100644 index 0000000..1449db5 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat88.v @@ -0,0 +1,939 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 88 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222222223333333333444444444455555555556666666666777777777788888888 +// 01234567890123456789012345678901 0123456789012345678901234567890123456789012345678901234567890123456789012345678901234567 +// +// C00 = ..X.XX.X.XXXX...XX.....X.XXXXX.X X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X +// C01 = X.XXX.XXXX...X..X.X....XXX....XX XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XXXX...X..X.X....XXX....XX +// C02 = .XXX....X..XX.X.X..X...XX..XXX.. XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX.. +// C03 = X.XXX....X..XX.X.X..X...XX..XXX. .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX. +// C04 = .XXX...X.X.XXXX..XX..X.X...XX.X. X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X.X.XXXX..XX..X.X...XX.X. +// C05 = ...X.X.XXX.X.XXXXXXX..XXXXXX.... XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.... +// C06 = X...X.X.XXX.X.XXXXXXX..XXXXXX... .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX... +// C07 = XXX.X.......XX.X..XXXX.XX......X X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X.......XX.X..XXXX.XX......X +// C08 = .X.XX..X.XXXXXX..X.XXXXXX.XXXX.X XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.X +// C09 = ..X.XX..X.XXXXXX..X.XXXXXX.XXXX. .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX. +// C10 = X.XXX.XX..X..XXX.X.X.XX.X..X..X. X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX..X..XXX.X.X.XX.X..X..X. +// C11 = XXXX....XXX.X.XX.XX.X.X...XX.X.. XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX....XXX.X.XX.XX.X.X...XX.X.. +// C12 = XX.X.X.X....XX.X.XXX.X...XX..XXX XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX +// C13 = .XX.X.X.X....XX.X.XXX.X...XX..XX .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XX +// C14 = X.XX.X.X.X....XX.X.XXX.X...XX..X ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..X +// C15 = XX.XX.X.X.X....XX.X.XXX.X...XX.. ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX.. +// C16 = XX........X.X......X.XX...XXX.XX X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX +// C17 = .XX........X.X......X.XX...XXX.X .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.X +// C18 = ..XX........X.X......X.XX...XXX. ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX. +// C19 = ...XX........X.X......X.XX...XXX ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX +// C20 = ....XX........X.X......X.XX...XX ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XX +// C21 = X....XX........X.X......X.XX...X .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...X +// C22 = .XX.XXX..XXXX....XX....X..X..X.X X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX..XXXX....XX....X..X..X.X +// C23 = X..XX.X..X...X..XXXX...XXXX.XXXX XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXX +// C24 = XX..XX.X..X...X..XXXX...XXXX.XXX .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXX +// C25 = XXX..XX.X..X...X..XXXX...XXXX.XX ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XX +// C26 = .X.XXXX...XX.....X.XXXXX.X...... X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X...... +// C27 = X.X.XXXX...XX.....X.XXXXX.X..... .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X..... +// C28 = XX.X.XXXX...XX.....X.XXXXX.X.... ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X.... +// C29 = .XX.X.XXXX...XX.....X.XXXXX.X... ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X... +// C30 = X.XX.X.XXXX...XX.....X.XXXXX.X.. ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X.. +// C31 = .X.XX.X.XXXX...XX.....X.XXXXX.X. .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X. +// +module crc32_dat88 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [87:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat88_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat88_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat88_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [87:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0, x31, x30, x29, x28, x27, x26, x25, + x24; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87; + +assign { d87,d86,d85,d84,d83,d82,d81,d80,d79,d78,d77,d76,d75,d74,d73, + d72,d71,d70,d69,d68,d67,d66,d65,d64,d63,d62,d61,d60,d59,d58,d57, + d56,d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [87:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x23 = c0 ^ c6 ^ d20 ^ d19 ^ d42 ^ d84 ^ d81 ^ d75 ^ d55 ^ + c23 ^ c19 ^ d73 ^ d36 ^ d62 ^ d39 ^ d49 ^ c24 ^ d31 ^ c16 ^ + d56 ^ d80 ^ c13 ^ c18 ^ d69 ^ c28 ^ d27 ^ d54 ^ d47 ^ d82 ^ + c31 ^ d72 ^ d65 ^ d85 ^ d59 ^ d46 ^ c3 ^ d6 ^ d17 ^ c30 ^ + d38 ^ c9 ^ d74 ^ d1 ^ d35 ^ d0 ^ d26 ^ d60 ^ c25 ^ c29 ^ + d86 ^ d13 ^ d79 ^ d50 ^ d9 ^ c17 ^ d15 ^ c26 ^ d16 ^ d29 ^ + d34 ^ d87 ^ c4; // 62 ins 1 outs level 3 + + assign x22 = d44 ^ c31 ^ d9 ^ d38 ^ d65 ^ c2 ^ d85 ^ d24 ^ d43 ^ + c9 ^ d36 ^ d74 ^ c18 ^ c10 ^ d35 ^ d11 ^ d79 ^ d55 ^ d57 ^ + c17 ^ d23 ^ d62 ^ c23 ^ c6 ^ c26 ^ c29 ^ d73 ^ d66 ^ d16 ^ + d60 ^ d68 ^ d0 ^ d29 ^ d31 ^ c12 ^ d18 ^ d34 ^ d87 ^ c4 ^ + c11 ^ d12 ^ d19 ^ d47 ^ d82 ^ d41 ^ d45 ^ c5 ^ c1 ^ d61 ^ + d48 ^ d14 ^ d26 ^ d58 ^ d67 ^ d37 ^ d27 ^ d52; // 57 ins 1 outs level 3 + + assign x21 = d87 ^ c17 ^ d31 ^ d34 ^ d62 ^ d49 ^ d51 ^ d80 ^ c15 ^ + d35 ^ d29 ^ c0 ^ c5 ^ d61 ^ d9 ^ c6 ^ d37 ^ d53 ^ d42 ^ + d5 ^ d73 ^ d56 ^ d17 ^ d52 ^ d71 ^ c27 ^ c31 ^ d27 ^ c26 ^ + d10 ^ d13 ^ c24 ^ d22 ^ d83 ^ d82 ^ d24 ^ d40 ^ d18 ^ d26; // 39 ins 1 outs level 3 + + assign x20 = d34 ^ d55 ^ d70 ^ d16 ^ d87 ^ d4 ^ d28 ^ d60 ^ d33 ^ + d72 ^ c14 ^ d51 ^ c31 ^ c25 ^ d50 ^ d39 ^ d61 ^ c30 ^ c26 ^ + c5 ^ d30 ^ c16 ^ c4 ^ d48 ^ d36 ^ d26 ^ d41 ^ d81 ^ d52 ^ + d8 ^ d12 ^ d17 ^ d86 ^ d9 ^ c23 ^ d25 ^ d79 ^ d82 ^ d21 ^ + d23; // 40 ins 1 outs level 3 + + assign x19 = d16 ^ d80 ^ d51 ^ d59 ^ c4 ^ c22 ^ d22 ^ c29 ^ d86 ^ + d69 ^ d60 ^ d15 ^ d71 ^ c15 ^ d27 ^ c30 ^ d78 ^ c3 ^ c13 ^ + d20 ^ d32 ^ d40 ^ d25 ^ d47 ^ d29 ^ d87 ^ d33 ^ d3 ^ c31 ^ + d50 ^ d85 ^ d35 ^ c24 ^ d81 ^ d54 ^ d49 ^ c25 ^ d38 ^ d11 ^ + d8 ^ d7 ^ d24; // 42 ins 1 outs level 3 + + assign x18 = d19 ^ d59 ^ c2 ^ c3 ^ c30 ^ d2 ^ d26 ^ d39 ^ d34 ^ + d31 ^ d84 ^ d28 ^ d49 ^ c21 ^ d14 ^ d37 ^ d68 ^ c12 ^ d50 ^ + d70 ^ d46 ^ d86 ^ d77 ^ d85 ^ c28 ^ c24 ^ c14 ^ d10 ^ d32 ^ + d21 ^ c29 ^ d6 ^ c23 ^ d58 ^ d7 ^ d24 ^ d79 ^ d48 ^ d53 ^ + d80 ^ d23 ^ d15; // 42 ins 1 outs level 3 + + assign x17 = d25 ^ c27 ^ d30 ^ d69 ^ d87 ^ d67 ^ d14 ^ d33 ^ d78 ^ + d36 ^ c2 ^ d45 ^ c29 ^ d5 ^ d49 ^ c31 ^ d83 ^ d13 ^ d57 ^ + c13 ^ d1 ^ d84 ^ d31 ^ d85 ^ d38 ^ d76 ^ d48 ^ d27 ^ c1 ^ + c28 ^ d79 ^ d23 ^ c11 ^ d52 ^ d18 ^ d6 ^ d9 ^ d58 ^ c20 ^ + d20 ^ c22 ^ d22 ^ d47 ^ c23; // 44 ins 1 outs level 3 + + assign x16 = d84 ^ d24 ^ d8 ^ d44 ^ c30 ^ c10 ^ d37 ^ d78 ^ c12 ^ + c21 ^ c27 ^ d46 ^ c28 ^ d12 ^ c19 ^ c26 ^ d87 ^ d48 ^ c0 ^ + d26 ^ d21 ^ d68 ^ d47 ^ d13 ^ d51 ^ d0 ^ d66 ^ c22 ^ d30 ^ + d83 ^ d35 ^ d57 ^ d82 ^ c31 ^ d4 ^ d29 ^ d32 ^ d19 ^ d75 ^ + d17 ^ d56 ^ d22 ^ d5 ^ d86 ^ d77 ^ c1; // 46 ins 1 outs level 3 + + assign x15 = d84 ^ c8 ^ d76 ^ c10 ^ d62 ^ d34 ^ d15 ^ d49 ^ d55 ^ + c20 ^ d21 ^ d24 ^ d20 ^ d72 ^ c22 ^ d64 ^ d50 ^ d59 ^ d78 ^ + d8 ^ d27 ^ c21 ^ d57 ^ d66 ^ c15 ^ c1 ^ d33 ^ d85 ^ d60 ^ + c0 ^ d80 ^ d56 ^ c3 ^ d3 ^ c24 ^ d74 ^ d7 ^ c16 ^ d71 ^ + d53 ^ d12 ^ d5 ^ d44 ^ d9 ^ c6 ^ c28 ^ d18 ^ d52 ^ c4 ^ + d77 ^ d30 ^ d54 ^ d16 ^ d4 ^ d45 ^ c18 ^ c29; // 57 ins 1 outs level 3 + + assign x14 = c27 ^ d79 ^ d87 ^ c19 ^ d29 ^ c0 ^ d49 ^ c21 ^ d55 ^ + d3 ^ d11 ^ d75 ^ c9 ^ d23 ^ d59 ^ d71 ^ d65 ^ d73 ^ d26 ^ + d44 ^ c23 ^ d84 ^ d83 ^ d58 ^ d77 ^ d14 ^ d48 ^ d70 ^ c7 ^ + d17 ^ c31 ^ d8 ^ c28 ^ d19 ^ c3 ^ d2 ^ d63 ^ c20 ^ d4 ^ + d52 ^ d76 ^ c14 ^ d32 ^ d56 ^ d33 ^ d51 ^ d54 ^ d7 ^ d20 ^ + c2 ^ d6 ^ c5 ^ c15 ^ d61 ^ d15 ^ d53 ^ c17 ^ d43; // 58 ins 1 outs level 3 + + assign x13 = d52 ^ d72 ^ d50 ^ d32 ^ d25 ^ d64 ^ d16 ^ d82 ^ d78 ^ + d10 ^ d42 ^ d3 ^ d76 ^ d5 ^ d69 ^ d86 ^ d13 ^ d51 ^ c19 ^ + d6 ^ d60 ^ d53 ^ d87 ^ d54 ^ c4 ^ c22 ^ d31 ^ c20 ^ d47 ^ + d43 ^ c1 ^ d58 ^ d1 ^ c2 ^ d19 ^ d83 ^ c30 ^ c27 ^ d28 ^ + c16 ^ c6 ^ d75 ^ d74 ^ d57 ^ d2 ^ d18 ^ c8 ^ c13 ^ d7 ^ + d70 ^ d14 ^ c31 ^ d55 ^ d62 ^ d22 ^ c18 ^ d48 ^ c14 ^ c26; // 59 ins 1 outs level 3 + + assign x12 = d82 ^ d52 ^ d56 ^ d73 ^ d81 ^ c31 ^ d27 ^ d4 ^ c25 ^ + d42 ^ d18 ^ d6 ^ d2 ^ d54 ^ d49 ^ d24 ^ c1 ^ d53 ^ c29 ^ + d87 ^ c17 ^ d30 ^ d15 ^ c15 ^ c19 ^ c21 ^ d12 ^ c0 ^ c7 ^ + d31 ^ d63 ^ c5 ^ d85 ^ d68 ^ d61 ^ d59 ^ c13 ^ c18 ^ d71 ^ + d41 ^ d51 ^ d75 ^ d46 ^ c12 ^ d21 ^ d5 ^ c3 ^ d1 ^ d77 ^ + d17 ^ c30 ^ d74 ^ c26 ^ d69 ^ d86 ^ d57 ^ d47 ^ d13 ^ d50 ^ + d9 ^ d0; // 61 ins 1 outs level 3 + + assign x11 = c27 ^ c2 ^ d73 ^ c1 ^ d74 ^ c22 ^ d41 ^ d33 ^ d27 ^ + d15 ^ d85 ^ d28 ^ d54 ^ d14 ^ d44 ^ d64 ^ c18 ^ d26 ^ d51 ^ + d31 ^ d36 ^ d40 ^ c20 ^ c9 ^ d25 ^ d76 ^ d56 ^ c0 ^ d57 ^ + d65 ^ d48 ^ d83 ^ d3 ^ d24 ^ d12 ^ d43 ^ c12 ^ d50 ^ d47 ^ + c29 ^ c3 ^ d9 ^ d4 ^ d82 ^ d66 ^ c10 ^ d58 ^ d59 ^ d17 ^ + d71 ^ d55 ^ d45 ^ d20 ^ c15 ^ c8 ^ d1 ^ c17 ^ c26 ^ d16 ^ + d68 ^ d78 ^ d70 ^ d0 ^ c14; // 64 ins 1 outs level 3 + + assign x10 = d29 ^ c17 ^ d55 ^ c10 ^ d9 ^ d50 ^ d62 ^ d33 ^ d31 ^ + d42 ^ d14 ^ d66 ^ d39 ^ c15 ^ d69 ^ d78 ^ d26 ^ c22 ^ c6 ^ + d0 ^ d63 ^ c27 ^ c21 ^ d83 ^ d32 ^ c7 ^ c3 ^ d13 ^ d60 ^ + d80 ^ d73 ^ c30 ^ c24 ^ d71 ^ d16 ^ d40 ^ d58 ^ c4 ^ d59 ^ + d28 ^ c19 ^ c2 ^ d77 ^ d35 ^ d3 ^ c0 ^ d5 ^ d36 ^ d19 ^ + d75 ^ d2 ^ d70 ^ d86 ^ c13 ^ d52 ^ c14 ^ d56; // 57 ins 1 outs level 3 + + assign x9 = d39 ^ d83 ^ d36 ^ d52 ^ d55 ^ d76 ^ d2 ^ d1 ^ c15 ^ + d64 ^ d84 ^ c30 ^ c13 ^ c24 ^ d77 ^ d58 ^ d85 ^ d60 ^ d66 ^ + d61 ^ c18 ^ c11 ^ d71 ^ d5 ^ c28 ^ d51 ^ d38 ^ d81 ^ c25 ^ + d79 ^ d47 ^ d11 ^ d78 ^ c22 ^ c2 ^ d18 ^ d29 ^ d24 ^ d70 ^ + c14 ^ d46 ^ c20 ^ c5 ^ c27 ^ d4 ^ d74 ^ c8 ^ c29 ^ c4 ^ + d9 ^ d12 ^ c21 ^ d35 ^ d68 ^ d34 ^ d33 ^ d23 ^ d44 ^ c10 ^ + d41 ^ d53 ^ c23 ^ d80 ^ d69 ^ d43 ^ c12 ^ d67 ^ d32 ^ d86 ^ + d13; // 70 ins 1 outs level 3 + + assign x8 = d17 ^ c9 ^ c3 ^ d50 ^ d3 ^ c20 ^ d40 ^ c12 ^ d84 ^ + d82 ^ d38 ^ c1 ^ c10 ^ d1 ^ d80 ^ d69 ^ d70 ^ d83 ^ d75 ^ + c17 ^ d35 ^ d8 ^ c24 ^ c14 ^ d79 ^ c29 ^ d60 ^ c26 ^ d66 ^ + d22 ^ d23 ^ c19 ^ d51 ^ d68 ^ d0 ^ d78 ^ d67 ^ d12 ^ d34 ^ + d87 ^ d33 ^ d43 ^ c4 ^ d28 ^ d4 ^ c31 ^ c11 ^ c13 ^ d37 ^ + d54 ^ d65 ^ c7 ^ d11 ^ c28 ^ d45 ^ d31 ^ c23 ^ d42 ^ d32 ^ + d85 ^ c27 ^ c22 ^ d59 ^ d10 ^ d77 ^ d52 ^ d46 ^ c21 ^ d73 ^ + d63 ^ d57 ^ d76; // 72 ins 1 outs level 3 + + assign x7 = c4 ^ d37 ^ d52 ^ d69 ^ d60 ^ d57 ^ d75 ^ c0 ^ d28 ^ + d45 ^ c13 ^ d8 ^ c31 ^ c21 ^ d16 ^ c23 ^ d39 ^ d46 ^ d58 ^ + d3 ^ d50 ^ d68 ^ d43 ^ d71 ^ d24 ^ c18 ^ d41 ^ d32 ^ d10 ^ + d25 ^ d51 ^ d54 ^ d23 ^ d42 ^ c2 ^ c15 ^ c20 ^ d0 ^ d74 ^ + d15 ^ d76 ^ d22 ^ d29 ^ d34 ^ d2 ^ c12 ^ d21 ^ d5 ^ d7 ^ + c24 ^ d47 ^ d79 ^ d87 ^ d77 ^ d56 ^ c1 ^ c19 ^ d80; // 58 ins 1 outs level 3 + + assign x6 = d80 ^ c10 ^ c28 ^ d84 ^ d64 ^ d68 ^ d38 ^ d83 ^ d29 ^ + c26 ^ d51 ^ d66 ^ d56 ^ d25 ^ d5 ^ d21 ^ d50 ^ c15 ^ c27 ^ + c12 ^ c17 ^ c19 ^ c0 ^ d8 ^ d74 ^ d72 ^ c23 ^ d52 ^ c18 ^ + c8 ^ d22 ^ c16 ^ d62 ^ d54 ^ d71 ^ d20 ^ d14 ^ c20 ^ d79 ^ + d2 ^ d65 ^ c24 ^ d75 ^ d60 ^ c25 ^ d81 ^ c4 ^ c6 ^ d47 ^ + d6 ^ d41 ^ d1 ^ d7 ^ d40 ^ d70 ^ d76 ^ d82 ^ c9 ^ d73 ^ + d42 ^ d11 ^ d55 ^ d4 ^ d43 ^ d30 ^ d45 ^ c14; // 67 ins 1 outs level 3 + + assign x5 = d24 ^ d65 ^ d54 ^ d81 ^ d6 ^ d51 ^ c5 ^ c18 ^ d61 ^ + d7 ^ d67 ^ d28 ^ d37 ^ d70 ^ d64 ^ d44 ^ d5 ^ d21 ^ d83 ^ + c27 ^ d42 ^ d50 ^ d82 ^ d10 ^ d53 ^ d13 ^ d71 ^ d72 ^ c23 ^ + c16 ^ d41 ^ d39 ^ d49 ^ d73 ^ c15 ^ d55 ^ c17 ^ d0 ^ d78 ^ + d80 ^ d59 ^ d1 ^ d74 ^ c7 ^ d75 ^ d69 ^ c8 ^ c26 ^ d20 ^ + c9 ^ c25 ^ d19 ^ c13 ^ d63 ^ d29 ^ c14 ^ c22 ^ d79 ^ d46 ^ + c11 ^ c3 ^ d4 ^ c19 ^ d40 ^ c24 ^ d3; // 66 ins 1 outs level 3 + + assign x4 = c23 ^ d47 ^ c12 ^ c2 ^ c30 ^ d30 ^ d57 ^ d69 ^ d63 ^ + c27 ^ d68 ^ c17 ^ d44 ^ d4 ^ d45 ^ d0 ^ d65 ^ d70 ^ c18 ^ + d73 ^ c1 ^ d84 ^ d15 ^ d31 ^ d24 ^ d20 ^ d33 ^ d58 ^ d50 ^ + d41 ^ d79 ^ d29 ^ d67 ^ d59 ^ c14 ^ d3 ^ d18 ^ c21 ^ d8 ^ + d25 ^ d6 ^ c9 ^ d48 ^ d86 ^ d38 ^ c13 ^ d46 ^ c11 ^ d12 ^ + d83 ^ c7 ^ d11 ^ c28 ^ c3 ^ d19 ^ d39 ^ d2 ^ d40 ^ d77 ^ + d74; // 60 ins 1 outs level 3 + + assign x3 = d45 ^ d65 ^ c29 ^ c4 ^ d56 ^ d39 ^ d52 ^ c15 ^ c3 ^ + d3 ^ c25 ^ d38 ^ d33 ^ d27 ^ c20 ^ d40 ^ d59 ^ d25 ^ c2 ^ + d1 ^ d19 ^ d54 ^ d32 ^ d18 ^ c13 ^ d80 ^ d60 ^ d68 ^ c9 ^ + d17 ^ d31 ^ c30 ^ d10 ^ c17 ^ d81 ^ c24 ^ d85 ^ d36 ^ d73 ^ + c0 ^ d2 ^ d9 ^ d7 ^ d84 ^ d14 ^ c28 ^ d53 ^ d71 ^ d69 ^ + c12 ^ d86 ^ d15 ^ d8 ^ d58 ^ d37 ^ d76; // 56 ins 1 outs level 3 + + assign x2 = d17 ^ d24 ^ d84 ^ d6 ^ d64 ^ d1 ^ d35 ^ d13 ^ c14 ^ + d16 ^ d52 ^ d9 ^ d55 ^ d80 ^ d31 ^ d68 ^ d70 ^ d72 ^ d83 ^ + d0 ^ d2 ^ d30 ^ d51 ^ c28 ^ c24 ^ d53 ^ d7 ^ c29 ^ d79 ^ + c3 ^ d44 ^ d8 ^ d36 ^ d85 ^ d14 ^ c11 ^ c2 ^ c12 ^ d59 ^ + c23 ^ d32 ^ d18 ^ c16 ^ d57 ^ d75 ^ d26 ^ c19 ^ c8 ^ c1 ^ + d58 ^ d67 ^ d37 ^ c27 ^ d38 ^ d39; // 55 ins 1 outs level 3 + + assign x1 = c7 ^ d12 ^ d24 ^ d44 ^ c4 ^ d9 ^ d50 ^ d81 ^ d16 ^ + d13 ^ d86 ^ c16 ^ d37 ^ d47 ^ d69 ^ d80 ^ d0 ^ c2 ^ c23 ^ + d64 ^ d6 ^ d27 ^ d62 ^ d33 ^ d34 ^ c9 ^ d53 ^ d38 ^ d72 ^ + d35 ^ d1 ^ d56 ^ d63 ^ c8 ^ d74 ^ d60 ^ c30 ^ d17 ^ c25 ^ + c3 ^ d46 ^ d59 ^ c31 ^ d28 ^ d58 ^ d79 ^ d11 ^ d87 ^ d51 ^ + c18 ^ c13 ^ d49 ^ d65 ^ d7 ^ c24 ^ c6 ^ c0; // 57 ins 1 outs level 3 + + assign x0 = d63 ^ c29 ^ c25 ^ d60 ^ c9 ^ d6 ^ d25 ^ d73 ^ d10 ^ + c27 ^ d37 ^ d67 ^ d58 ^ d26 ^ d85 ^ c31 ^ c16 ^ d32 ^ c23 ^ + d83 ^ d48 ^ d31 ^ d84 ^ d61 ^ c5 ^ d45 ^ d66 ^ d72 ^ d82 ^ + d47 ^ c12 ^ c2 ^ c28 ^ c7 ^ d12 ^ d24 ^ d65 ^ d54 ^ d81 ^ + c11 ^ d44 ^ d28 ^ d30 ^ c4 ^ d87 ^ d79 ^ d53 ^ d34 ^ d29 ^ + d0 ^ d68 ^ d16 ^ c26 ^ c17 ^ d55 ^ c10 ^ d9 ^ d50; // 58 ins 1 outs level 3 + + assign x31 = d49 ^ c10 ^ c16 ^ d60 ^ d81 ^ d64 ^ d47 ^ c22 ^ d59 ^ + d5 ^ c15 ^ d23 ^ d71 ^ c26 ^ d15 ^ d28 ^ c9 ^ c27 ^ c1 ^ + d78 ^ d43 ^ c4 ^ d65 ^ d84 ^ d52 ^ d62 ^ d67 ^ c6 ^ c3 ^ + d57 ^ d33 ^ d25 ^ c24 ^ c25 ^ d54 ^ d44 ^ c8 ^ d80 ^ d24 ^ + d72 ^ d27 ^ d53 ^ c28 ^ d9 ^ d31 ^ d46 ^ d83 ^ d82 ^ c11 ^ + d36 ^ d8 ^ d66 ^ d86 ^ d11 ^ c30 ^ d30 ^ d29; // 57 ins 1 outs level 3 + + assign x30 = d10 ^ d48 ^ d28 ^ d79 ^ d46 ^ d35 ^ d52 ^ c26 ^ d53 ^ + c15 ^ c2 ^ d29 ^ c27 ^ d82 ^ c23 ^ d65 ^ d83 ^ d8 ^ d27 ^ + c7 ^ d26 ^ d43 ^ c21 ^ d51 ^ c29 ^ c5 ^ c24 ^ d7 ^ d56 ^ + d61 ^ d42 ^ d81 ^ d30 ^ d4 ^ d23 ^ d22 ^ d58 ^ d66 ^ d85 ^ + c0 ^ d70 ^ d77 ^ d64 ^ d24 ^ c3 ^ c10 ^ d59 ^ d63 ^ c9 ^ + d45 ^ c25 ^ d80 ^ d32 ^ c8 ^ d14 ^ c14 ^ d71; // 57 ins 1 outs level 3 + + assign x29 = d47 ^ d27 ^ c7 ^ d82 ^ c1 ^ d64 ^ c8 ^ d65 ^ c2 ^ + d28 ^ c28 ^ c24 ^ d63 ^ d41 ^ d76 ^ c20 ^ d3 ^ d7 ^ d21 ^ + c14 ^ d31 ^ c6 ^ c25 ^ d84 ^ c13 ^ d79 ^ d78 ^ d50 ^ d25 ^ + d81 ^ d45 ^ d42 ^ d69 ^ d80 ^ c4 ^ d58 ^ d52 ^ d55 ^ d26 ^ + d29 ^ d22 ^ d34 ^ d70 ^ d9 ^ d23 ^ d44 ^ c9 ^ c23 ^ d62 ^ + d51 ^ d6 ^ d60 ^ d13 ^ c22 ^ c26 ^ d57; // 56 ins 1 outs level 3 + + assign x28 = d49 ^ d21 ^ d5 ^ c27 ^ c7 ^ d68 ^ d24 ^ d25 ^ d54 ^ + c13 ^ d8 ^ d50 ^ d63 ^ c24 ^ d64 ^ d81 ^ c1 ^ d69 ^ d41 ^ + c19 ^ d56 ^ d20 ^ d80 ^ d59 ^ d44 ^ d2 ^ d30 ^ d79 ^ d26 ^ + c6 ^ d33 ^ c0 ^ d62 ^ d77 ^ d75 ^ c5 ^ d51 ^ d40 ^ d43 ^ + c23 ^ c8 ^ d6 ^ c22 ^ d61 ^ d22 ^ d57 ^ c25 ^ c12 ^ c21 ^ + d78 ^ d27 ^ d83 ^ d12 ^ c3 ^ d46 ^ d28; // 56 ins 1 outs level 3 + + assign x27 = c5 ^ d29 ^ d58 ^ d61 ^ c22 ^ d25 ^ d79 ^ d23 ^ c20 ^ + c7 ^ d74 ^ d43 ^ d50 ^ c0 ^ d56 ^ d78 ^ d40 ^ d80 ^ c12 ^ + d60 ^ d1 ^ d20 ^ d82 ^ d19 ^ d24 ^ d68 ^ d53 ^ d67 ^ c11 ^ + c21 ^ d49 ^ d4 ^ d55 ^ d62 ^ c6 ^ d27 ^ c2 ^ c23 ^ d76 ^ + d32 ^ d39 ^ c18 ^ d63 ^ c26 ^ d42 ^ d21 ^ d5 ^ d48 ^ c4 ^ + d77 ^ c24 ^ d7 ^ d45 ^ d26 ^ d11; // 55 ins 1 outs level 3 + + assign x26 = d79 ^ d59 ^ d10 ^ d19 ^ d0 ^ c6 ^ d31 ^ d47 ^ c4 ^ + d4 ^ d77 ^ d28 ^ d75 ^ c19 ^ c20 ^ d22 ^ c10 ^ d42 ^ d26 ^ + d38 ^ d55 ^ d23 ^ d66 ^ d78 ^ c5 ^ c3 ^ d60 ^ d3 ^ d61 ^ + c22 ^ d39 ^ d48 ^ c17 ^ d44 ^ c11 ^ d67 ^ d24 ^ d81 ^ d49 ^ + d57 ^ c23 ^ d73 ^ c1 ^ d54 ^ d18 ^ d52 ^ d25 ^ c21 ^ d6 ^ + d41 ^ d62 ^ c25 ^ d20 ^ d76; // 54 ins 1 outs level 3 + + assign x25 = d19 ^ d82 ^ d41 ^ d81 ^ d56 ^ d18 ^ d77 ^ d84 ^ d11 ^ + d51 ^ d48 ^ c26 ^ d22 ^ d38 ^ c21 ^ c6 ^ d40 ^ c27 ^ c18 ^ + c31 ^ d74 ^ d21 ^ d76 ^ d3 ^ d44 ^ d83 ^ d87 ^ d33 ^ d62 ^ + c1 ^ d29 ^ d37 ^ d86 ^ c0 ^ d58 ^ d2 ^ d28 ^ d61 ^ d15 ^ + d64 ^ c11 ^ d52 ^ c8 ^ c30 ^ d49 ^ d71 ^ d36 ^ c2 ^ d67 ^ + c28 ^ c5 ^ c20 ^ c19 ^ c15 ^ d75 ^ d57 ^ c25 ^ d8 ^ d31 ^ + d17; // 60 ins 1 outs level 3 + + assign x24 = d28 ^ c17 ^ d32 ^ d20 ^ d86 ^ d87 ^ d27 ^ d73 ^ d39 ^ + d18 ^ d17 ^ c20 ^ d75 ^ c1 ^ d85 ^ c30 ^ c7 ^ d40 ^ d50 ^ + d51 ^ d76 ^ d60 ^ d43 ^ d21 ^ c18 ^ d48 ^ d81 ^ d57 ^ d2 ^ + d37 ^ d10 ^ c4 ^ c19 ^ c25 ^ c5 ^ d14 ^ c31 ^ d36 ^ c27 ^ + c26 ^ c10 ^ d30 ^ d55 ^ d74 ^ c0 ^ c29 ^ d47 ^ d70 ^ d83 ^ + d80 ^ d63 ^ c14 ^ c24 ^ d7 ^ d56 ^ d66 ^ d61 ^ d1 ^ d35 ^ + d82 ^ d16; // 61 ins 1 outs level 3 + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat88_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [87:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x262, x261, x260, x259, x258, x257, x256, + x255, x254, x252, x251, x250, x249, x248, x247, + x246, x245, x244, x243, x241, x240, x239, x238, + x237, x236, x235, x234, x233, x232, x231, x230, + x229, x228, x227, x226, x225, x224, x223, x222, + x221, x220, x219, x218, x217, x216, x215, x214, + x213, x212, x211, x210, x209, x208, x207, x206, + x205, x204, x203, x202, x201, x200, x199, x198, + x197, x196, x195, x194, x193, x192, x191, x190, + x189, x188, x187, x186, x185, x183, x182, x181, + x180, x179, x178, x177, x176, x175, x174, x173, + x172, x171, x170, x169, x168, x167, x166, x165, + x164, x163, x162, x161, x160, x159, x158, x157, + x156, x155, x154, x153, x152, x151, x150, x149, + x148, x147, x146, x145, x144, x143, x142, x141, + x140, x139, x138, x137, x136, x135, x134, x133, + x132, x131, x130, x129, x128, x127, x126, x125, + x124, x122, x121, x120, x119, x118, x117, x116, + x115, x114, x113, x112, x111, x110, x109, x108, + x107, x106, x105, x104, x103, x102, x100, x99, + x98, x97, x96, x95, x94, x93, x92, x90, + x88, x87, x86, x85, x84, x83, x82, x81, + x80, x79, x77, x76, x75, x74, x73, x72, + x71, x70, x69, x68, x67, x66, x65, x64, + x63, x62, x61, x60, x59, x58, x57, x56, + x55, x54, x53, x52, x51, x50, x49, x48, + x47, x46, x45, x44, x43, x42, x41, x40, + x39, x38, x37, x36, x35, x34, x33, x32, + x23, x22, x21, x20, x19, x18, x17, x16, + x15, x14, x13, x12, x11, x10, x9, x8, + x7, x6, x5, x4, x3, x2, x1, x0, + x31, x30, x29, x28, x27, x26, x25, x24; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87; + +assign { d87,d86,d85,d84,d83,d82,d81,d80,d79,d78,d77,d76,d75,d74,d73, + d72,d71,d70,d69,d68,d67,d66,d65,d64,d63,d62,d61,d60,d59,d58,d57, + d56,d55,d54,d53,d52,d51,d50,d49,d48,d47,d46,d45,d44,d43,d42,d41, + d40,d39,d38,d37,d36,d35,d34,d33,d32,d31,d30,d29,d28,d27,d26,d25, + d24,d23,d22,d21,d20,d19,d18,d17,d16,d15,d14,d13,d12,d11,d10,d9, + d8,d7,d6,d5,d4,d3,d2,d1,d0} = dat_in [87:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x262i (.out(x262),.a(x256),.b(x43),.c(x39),.d(x33),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x261i (.out(x261),.a(x257),.b(x37),.c(x53),.d(x260),.e(x258),.f(x259)); // 6 ins 1 outs level 2 + + xor6 x260i (.out(x260),.a(c29),.b(c7),.c(d50),.d(c25),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x259i (.out(x259),.a(c14),.b(c1),.c(c31),.d(d43),.e(c4),.f(c10)); // 6 ins 1 outs level 1 + + xor6 x258i (.out(x258),.a(d63),.b(d21),.c(d79),.d(d30),.e(d66),.f(d35)); // 6 ins 1 outs level 1 + + xor6 x257i (.out(x257),.a(d10),.b(d81),.c(d85),.d(d18),.e(d0),.f(d56)); // 6 ins 1 outs level 1 + + xor6 x256i (.out(x256),.a(c20),.b(d19),.c(d47),.d(d32),.e(d87),.f(d37)); // 6 ins 1 outs level 1 + + xor6 x255i (.out(x255),.a(x250),.b(x47),.c(x48),.d(x50),.e(x39),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x254i (.out(x254),.a(x251),.b(c31),.c(c25),.d(x41),.e(x33),.f(x252)); // 6 ins 1 outs level 2 + + xor6 x252i (.out(x252),.a(c30),.b(d38),.c(d37),.d(c26),.e(d8),.f(c20)); // 6 ins 1 outs level 1 + + xor6 x251i (.out(x251),.a(d0),.b(d5),.c(d49),.d(d36),.e(d81),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x250i (.out(x250),.a(d44),.b(d67),.c(d29),.d(d17),.e(d57),.f(d54)); // 6 ins 1 outs level 1 + + xor6 x249i (.out(x249),.a(x245),.b(x48),.c(x49),.d(x248),.e(x246),.f(x247)); // 6 ins 1 outs level 2 + + xor6 x248i (.out(x248),.a(d31),.b(c17),.c(d79),.d(d82),.e(d38),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x247i (.out(x247),.a(d75),.b(d55),.c(d41),.d(d20),.e(d25),.f(d39)); // 6 ins 1 outs level 1 + + xor6 x246i (.out(x246),.a(c19),.b(c1),.c(d43),.d(d44),.e(d52),.f(c8)); // 6 ins 1 outs level 1 + + xor6 x245i (.out(x245),.a(d73),.b(d59),.c(d29),.d(d53),.e(c3),.f(d66)); // 6 ins 1 outs level 1 + + xor6 x244i (.out(x244),.a(x238),.b(d7),.c(x49),.d(x69),.e(x36),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x243i (.out(x243),.a(d79),.b(x239),.c(d11),.d(x38),.e(x240),.f(x241)); // 6 ins 1 outs level 2 + + xor6 x241i (.out(x241),.a(c21),.b(d20),.c(d24),.d(d77),.e(d21),.f(d53)); // 6 ins 1 outs level 1 + + xor6 x240i (.out(x240),.a(d49),.b(c4),.c(d45),.d(c26),.e(d70),.f(c11)); // 6 ins 1 outs level 1 + + xor6 x239i (.out(x239),.a(d42),.b(d27),.c(d5),.d(d25),.e(d40),.f(d86)); // 6 ins 1 outs level 1 + + xor6 x238i (.out(x238),.a(d50),.b(c10),.c(d36),.d(d19),.e(d44),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x237i (.out(x237),.a(x71),.b(x64),.c(x33),.d(x47),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x236i (.out(x236),.a(x232),.b(c22),.c(x233),.d(x234),.e(x235),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x235i (.out(x235),.a(c27),.b(d59),.c(d43),.d(c0),.e(d54),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x234i (.out(x234),.a(d24),.b(d8),.c(c25),.d(d32),.e(d25),.f(d33)); // 6 ins 1 outs level 1 + + xor6 x233i (.out(x233),.a(d79),.b(d30),.c(d57),.d(c3),.e(d61),.f(d6)); // 6 ins 1 outs level 1 + + xor6 x232i (.out(x232),.a(d81),.b(c5),.c(d78),.d(d20),.e(d56),.f(d50)); // 6 ins 1 outs level 1 + + xor6 x231i (.out(x231),.a(x47),.b(x36),.c(x32),.d(x42),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x230i (.out(x230),.a(x226),.b(d25),.c(x59),.d(x227),.e(x228),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x229i (.out(x229),.a(d76),.b(c26),.c(c28),.d(c23),.e(c14),.f(d6)); // 6 ins 1 outs level 1 + + xor6 x228i (.out(x228),.a(d13),.b(d55),.c(d26),.d(d23),.e(d9),.f(d24)); // 6 ins 1 outs level 1 + + xor6 x227i (.out(x227),.a(d64),.b(d50),.c(d28),.d(d41),.e(d34),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x226i (.out(x226),.a(d27),.b(d3),.c(d21),.d(d33),.e(d59),.f(d82)); // 6 ins 1 outs level 1 + + xor6 x225i (.out(x225),.a(x220),.b(x54),.c(x48),.d(x59),.e(x49),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x224i (.out(x224),.a(x221),.b(x32),.c(x62),.d(x222),.e(x223),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x223i (.out(x223),.a(c3),.b(d14),.c(c23),.d(d59),.e(d64),.f(d56)); // 6 ins 1 outs level 1 + + xor6 x222i (.out(x222),.a(d32),.b(d83),.c(d3),.d(d67),.e(d22),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x221i (.out(x221),.a(d71),.b(d38),.c(d30),.d(c8),.e(d8),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x220i (.out(x220),.a(c29),.b(d27),.c(d13),.d(d66),.e(d47),.f(c27)); // 6 ins 1 outs level 1 + + xor6 x219i (.out(x219),.a(x45),.b(x47),.c(x49),.d(x71),.e(x60),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x218i (.out(x218),.a(d83),.b(x215),.c(x54),.d(x216),.e(x217),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x217i (.out(x217),.a(d46),.b(d36),.c(d56),.d(d10),.e(d22),.f(c22)); // 6 ins 1 outs level 1 + + xor6 x216i (.out(x216),.a(d9),.b(d6),.c(d78),.d(c30),.e(d5),.f(c3)); // 6 ins 1 outs level 1 + + xor6 x215i (.out(x215),.a(d66),.b(d4),.c(c26),.d(d58),.e(d64),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x214i (.out(x214),.a(c29),.b(x211),.c(x60),.d(x212),.e(x213),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x213i (.out(x213),.a(d82),.b(d57),.c(d31),.d(d16),.e(d55),.f(d67)); // 6 ins 1 outs level 1 + + xor6 x212i (.out(x212),.a(c28),.b(d25),.c(c10),.d(d38),.e(d84),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x211i (.out(x211),.a(c2),.b(c4),.c(d9),.d(d42),.e(d83),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x210i (.out(x210),.a(x42),.b(x39),.c(x69),.d(x52),.e(x34),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x209i (.out(x209),.a(x205),.b(x32),.c(x38),.d(x206),.e(x207),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x208i (.out(x208),.a(d20),.b(d13),.c(c8),.d(d1),.e(c16),.f(d7)); // 6 ins 1 outs level 1 + + xor6 x207i (.out(x207),.a(d44),.b(d51),.c(d35),.d(c2),.e(d53),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x206i (.out(x206),.a(d72),.b(c30),.c(d70),.d(d38),.e(d11),.f(d58)); // 6 ins 1 outs level 1 + + xor6 x205i (.out(x205),.a(d33),.b(d0),.c(c29),.d(d65),.e(d64),.f(d28)); // 6 ins 1 outs level 1 + + xor6 x204i (.out(x204),.a(d35),.b(x199),.c(x38),.d(x33),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x203i (.out(x203),.a(x200),.b(x60),.c(x39),.d(x37),.e(x201),.f(x202)); // 6 ins 1 outs level 2 + + xor6 x202i (.out(x202),.a(d64),.b(c2),.c(c8),.d(d14),.e(d53),.f(d82)); // 6 ins 1 outs level 1 + + xor6 x201i (.out(x201),.a(d52),.b(d67),.c(d2),.d(d13),.e(d11),.f(d60)); // 6 ins 1 outs level 1 + + xor6 x200i (.out(x200),.a(d38),.b(d8),.c(d37),.d(d57),.e(d26),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x199i (.out(x199),.a(d17),.b(d24),.c(d33),.d(d7),.e(d16),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x198i (.out(x198),.a(x194),.b(x45),.c(x46),.d(x197),.e(x195),.f(x196)); // 6 ins 1 outs level 2 + + xor6 x197i (.out(x197),.a(c25),.b(d81),.c(d73),.d(c0),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x196i (.out(x196),.a(c1),.b(d37),.c(d40),.d(c28),.e(d36),.f(d76)); // 6 ins 1 outs level 1 + + xor6 x195i (.out(x195),.a(d28),.b(c17),.c(d17),.d(d39),.e(c13),.f(d18)); // 6 ins 1 outs level 1 + + xor6 x194i (.out(x194),.a(d69),.b(d80),.c(c24),.d(c2),.e(d3),.f(d27)); // 6 ins 1 outs level 1 + + xor6 x193i (.out(x193),.a(x58),.b(x38),.c(x45),.d(x42),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x192i (.out(x192),.a(x187),.b(x191),.c(x188),.d(x189),.e(x190),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x191i (.out(x191),.a(d12),.b(c30),.c(d83),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x190i (.out(x190),.a(d20),.b(d19),.c(d32),.d(d15),.e(d85),.f(d74)); // 6 ins 1 outs level 1 + + xor6 x189i (.out(x189),.a(c14),.b(d79),.c(c3),.d(d70),.e(d40),.f(d67)); // 6 ins 1 outs level 1 + + xor6 x188i (.out(x188),.a(c27),.b(c26),.c(d30),.d(d6),.e(d39),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x187i (.out(x187),.a(d59),.b(d2),.c(d29),.d(c18),.e(c4),.f(d18)); // 6 ins 1 outs level 1 + + xor6 x186i (.out(x186),.a(d67),.b(x33),.c(x35),.d(x60),.e(x50),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x185i (.out(x185),.a(x180),.b(x42),.c(x181),.d(x182),.e(x183),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x183i (.out(x183),.a(d3),.b(d61),.c(c22),.d(c8),.e(c5),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x182i (.out(x182),.a(d19),.b(c15),.c(d4),.d(d13),.e(c3),.f(d78)); // 6 ins 1 outs level 1 + + xor6 x181i (.out(x181),.a(d40),.b(c23),.c(c18),.d(d20),.e(d37),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x180i (.out(x180),.a(d44),.b(d36),.c(c9),.d(d59),.e(d7),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x179i (.out(x179),.a(x175),.b(x67),.c(x60),.d(x32),.e(x47),.f(x39)); // 6 ins 1 outs level 2 + + xor6 x178i (.out(x178),.a(x176),.b(d2),.c(x50),.d(x34),.e(x33),.f(x177)); // 6 ins 1 outs level 2 + + xor6 x177i (.out(x177),.a(d14),.b(d43),.c(d55),.d(d0),.e(d7),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x176i (.out(x176),.a(d50),.b(d85),.c(c23),.d(d15),.e(d86),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x175i (.out(x175),.a(d24),.b(d42),.c(c20),.d(d20),.e(d11),.f(d4)); // 6 ins 1 outs level 1 + + xor6 x174i (.out(x174),.a(x169),.b(x59),.c(x41),.d(x39),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x173i (.out(x173),.a(d39),.b(x170),.c(x45),.d(x171),.e(x172),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x172i (.out(x172),.a(d10),.b(d29),.c(d28),.d(d16),.e(d64),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x171i (.out(x171),.a(d87),.b(d70),.c(d24),.d(d58),.e(c20),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x170i (.out(x170),.a(d43),.b(d77),.c(d45),.d(c13),.e(d57),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x169i (.out(x169),.a(c19),.b(d22),.c(d75),.d(d52),.e(d69),.f(d23)); // 6 ins 1 outs level 1 + + xor6 x168i (.out(x168),.a(x48),.b(x42),.c(x37),.d(x36),.e(x35),.f(x33)); // 6 ins 1 outs level 2 + + xor6 x167i (.out(x167),.a(x163),.b(x166),.c(x32),.d(x49),.e(x164),.f(x165)); // 6 ins 1 outs level 2 + + xor6 x166i (.out(x166),.a(d82),.b(d54),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x165i (.out(x165),.a(d1),.b(d42),.c(c27),.d(d66),.e(d87),.f(d76)); // 6 ins 1 outs level 1 + + xor6 x164i (.out(x164),.a(d35),.b(d8),.c(d17),.d(d52),.e(d22),.f(d58)); // 6 ins 1 outs level 1 + + xor6 x163i (.out(x163),.a(d10),.b(d57),.c(d28),.d(d9),.e(d40),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x162i (.out(x162),.a(x36),.b(x38),.c(x39),.d(x62),.e(x34),.f(x49)); // 6 ins 1 outs level 2 + + xor6 x161i (.out(x161),.a(x156),.b(x160),.c(x50),.d(x157),.e(x158),.f(x159)); // 6 ins 1 outs level 2 + + xor6 x160i (.out(x160),.a(d61),.b(c5),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x159i (.out(x159),.a(c13),.b(d9),.c(c29),.d(d51),.e(d46),.f(d12)); // 6 ins 1 outs level 1 + + xor6 x158i (.out(x158),.a(c30),.b(d77),.c(d84),.d(d58),.e(d2),.f(d83)); // 6 ins 1 outs level 1 + + xor6 x157i (.out(x157),.a(d52),.b(d34),.c(d33),.d(d21),.e(d69),.f(d53)); // 6 ins 1 outs level 1 + + xor6 x156i (.out(x156),.a(d85),.b(c21),.c(d66),.d(c8),.e(d18),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x155i (.out(x155),.a(x151),.b(x64),.c(x62),.d(x53),.e(x42),.f(x36)); // 6 ins 1 outs level 2 + + xor6 x154i (.out(x154),.a(x152),.b(x48),.c(x46),.d(x37),.e(x153),.f(x69)); // 6 ins 1 outs level 2 + + xor6 x153i (.out(x153),.a(d16),.b(c4),.c(d1),.d(c2),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x152i (.out(x152),.a(d71),.b(c20),.c(d58),.d(d86),.e(c10),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x151i (.out(x151),.a(d33),.b(d31),.c(c29),.d(d32),.e(d5),.f(c26)); // 6 ins 1 outs level 1 + + xor6 x150i (.out(x150),.a(x145),.b(x40),.c(x39),.d(x58),.e(x64),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x149i (.out(x149),.a(x146),.b(x148),.c(x35),.d(x36),.e(x147),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x148i (.out(x148),.a(d70),.b(d43),.c(d52),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x147i (.out(x147),.a(d38),.b(d51),.c(d3),.d(d55),.e(c8),.f(d36)); // 6 ins 1 outs level 1 + + xor6 x146i (.out(x146),.a(d82),.b(d83),.c(d48),.d(d12),.e(c10),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x145i (.out(x145),.a(d64),.b(c4),.c(d25),.d(d86),.e(d14),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x144i (.out(x144),.a(x52),.b(x35),.c(x37),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 2 + + xor6 x143i (.out(x143),.a(x139),.b(x34),.c(x42),.d(x142),.e(x140),.f(x141)); // 6 ins 1 outs level 2 + + xor6 x142i (.out(x142),.a(c23),.b(c19),.c(d64),.d(c21),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x141i (.out(x141),.a(d57),.b(d75),.c(d61),.d(c5),.e(d71),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x140i (.out(x140),.a(d82),.b(d31),.c(d53),.d(c30),.e(d42),.f(d51)); // 6 ins 1 outs level 1 + + xor6 x139i (.out(x139),.a(d27),.b(d4),.c(c27),.d(d17),.e(d13),.f(d29)); // 6 ins 1 outs level 1 + + xor6 x138i (.out(x138),.a(x39),.b(x132),.c(x54),.d(x60),.e(x33),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x137i (.out(x137),.a(x133),.b(x136),.c(x53),.d(x134),.e(x135),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x136i (.out(x136),.a(d5),.b(d3),.c(d25),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x135i (.out(x135),.a(d55),.b(d64),.c(c13),.d(d31),.e(d69),.f(d18)); // 6 ins 1 outs level 1 + + xor6 x134i (.out(x134),.a(c1),.b(c27),.c(d70),.d(c26),.e(d42),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x133i (.out(x133),.a(d54),.b(d87),.c(d43),.d(d52),.e(c31),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x132i (.out(x132),.a(c0),.b(d16),.c(d13),.d(d32),.e(d58),.f(d1)); // 6 ins 1 outs level 1 + + xor6 x131i (.out(x131),.a(x126),.b(x34),.c(x48),.d(x41),.e(x52),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x130i (.out(x130),.a(d20),.b(x127),.c(x33),.d(x128),.e(x129),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x129i (.out(x129),.a(d70),.b(d4),.c(c27),.d(d84),.e(c0),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x128i (.out(x128),.a(c28),.b(d33),.c(c20),.d(d55),.e(d23),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x127i (.out(x127),.a(d17),.b(c3),.c(d73),.d(d11),.e(d43),.f(d8)); // 6 ins 1 outs level 1 + + xor6 x126i (.out(x126),.a(d82),.b(d53),.c(c30),.d(d29),.e(d32),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x125i (.out(x125),.a(x36),.b(x58),.c(x47),.d(x67),.e(x50),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x124i (.out(x124),.a(d34),.b(d12),.c(x121),.d(x48),.e(x41),.f(x122)); // 6 ins 1 outs level 2 + + xor6 x122i (.out(x122),.a(d17),.b(c24),.c(d53),.d(d45),.e(d49),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x121i (.out(x121),.a(d30),.b(d60),.c(c16),.d(d7),.e(d72),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x120i (.out(x120),.a(d80),.b(d55),.c(c1),.d(d8),.e(d22),.f(d33)); // 6 ins 1 outs level 1 + + xor6 x119i (.out(x119),.a(x115),.b(x118),.c(x67),.d(x33),.e(x116),.f(x117)); // 6 ins 1 outs level 2 + + xor6 x118i (.out(x118),.a(c30),.b(d34),.c(c23),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 2 outs level 1 + + xor6 x117i (.out(x117),.a(d21),.b(c21),.c(d22),.d(c22),.e(d57),.f(d0)); // 6 ins 1 outs level 1 + + xor6 x116i (.out(x116),.a(d19),.b(d46),.c(d17),.d(d48),.e(d87),.f(d26)); // 6 ins 1 outs level 1 + + xor6 x115i (.out(x115),.a(c26),.b(c1),.c(d77),.d(d82),.e(d78),.f(c15)); // 6 ins 1 outs level 1 + + xor6 x114i (.out(x114),.a(c27),.b(d56),.c(d5),.d(d8),.e(d41),.f(d29)); // 6 ins 1 outs level 1 + + xor6 x113i (.out(x113),.a(x109),.b(x36),.c(x112),.d(x39),.e(x110),.f(x111)); // 6 ins 1 outs level 2 + + xor6 x112i (.out(x112),.a(d83),.b(d13),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x111i (.out(x111),.a(d27),.b(d5),.c(d47),.d(d67),.e(d38),.f(c13)); // 6 ins 1 outs level 1 + + xor6 x110i (.out(x110),.a(d69),.b(d25),.c(d36),.d(d22),.e(d52),.f(d11)); // 6 ins 1 outs level 1 + + xor6 x109i (.out(x109),.a(d20),.b(d9),.c(d57),.d(c29),.e(c4),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x108i (.out(x108),.a(c31),.b(d23),.c(d14),.d(d48),.e(d1),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x107i (.out(x107),.a(x104),.b(x38),.c(x54),.d(x37),.e(x106),.f(x105)); // 6 ins 1 outs level 2 + + xor6 x106i (.out(x106),.a(d39),.b(d21),.c(d23),.d(d15),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x105i (.out(x105),.a(c14),.b(d31),.c(d49),.d(d6),.e(d46),.f(d3)); // 6 ins 1 outs level 1 + + xor6 x104i (.out(x104),.a(d85),.b(d24),.c(d44),.d(d26),.e(d86),.f(c28)); // 6 ins 1 outs level 1 + + xor6 x103i (.out(x103),.a(d48),.b(d37),.c(d9),.d(d58),.e(d34),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x102i (.out(x102),.a(d7),.b(x97),.c(d54),.d(x98),.e(x99),.f(x100)); // 6 ins 1 outs level 2 + + xor6 x100i (.out(x100),.a(d27),.b(d51),.c(d17),.d(d11),.e(c13),.f(c31)); // 6 ins 1 outs level 1 + + xor6 x99i (.out(x99),.a(d69),.b(c22),.c(d3),.d(d15),.e(d60),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x98i (.out(x98),.a(c30),.b(c15),.c(d87),.d(d32),.e(d33),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x97i (.out(x97),.a(d22),.b(d35),.c(d78),.d(d50),.e(d85),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x96i (.out(x96),.a(x92),.b(x45),.c(x93),.d(x94),.e(x95),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x95i (.out(x95),.a(d33),.b(c25),.c(c14),.d(d4),.e(d28),.f(d16)); // 6 ins 1 outs level 1 + + xor6 x94i (.out(x94),.a(d23),.b(d81),.c(d17),.d(d51),.e(d60),.f(c16)); // 6 ins 1 outs level 1 + + xor6 x93i (.out(x93),.a(d55),.b(d41),.c(d30),.d(d36),.e(d39),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x92i (.out(x92),.a(c26),.b(d12),.c(d52),.d(d79),.e(d72),.f(d70)); // 6 ins 1 outs level 1 + + xor6 x90i (.out(x90),.a(c4),.b(c31),.c(x86),.d(x71),.e(x87),.f(x88)); // 6 ins 1 outs level 2 + + xor6 x88i (.out(x88),.a(d53),.b(d42),.c(d34),.d(d5),.e(d17),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x87i (.out(x87),.a(d52),.b(d10),.c(d24),.d(d22),.e(d31),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x86i (.out(x86),.a(d40),.b(d83),.c(d19),.d(d37),.e(d51),.f(c14)); // 6 ins 1 outs level 1 + + xor6 x85i (.out(x85),.a(x81),.b(x69),.c(x58),.d(x82),.e(x83),.f(x84)); // 6 ins 1 outs level 2 + + xor6 x84i (.out(x84),.a(d55),.b(d60),.c(d74),.d(d14),.e(d35),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x83i (.out(x83),.a(d9),.b(d0),.c(c18),.d(d58),.e(c1),.f(d36)); // 6 ins 1 outs level 1 + + xor6 x82i (.out(x82),.a(c27),.b(d16),.c(d66),.d(d31),.e(d32),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x81i (.out(x81),.a(d52),.b(c2),.c(d27),.d(d56),.e(d11),.f(c11)); // 6 ins 1 outs level 1 + + xor6 x80i (.out(x80),.a(x69),.b(x74),.c(x46),.d(x39),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x79i (.out(x79),.a(d31),.b(x75),.c(d13),.d(x52),.e(x76),.f(x77)); // 6 ins 1 outs level 2 + + xor6 x77i (.out(x77),.a(d19),.b(c16),.c(d72),.d(d24),.e(d54),.f(c13)); // 6 ins 1 outs level 1 + + xor6 x76i (.out(x76),.a(c30),.b(c27),.c(d69),.d(c31),.e(d82),.f(d70)); // 6 ins 1 outs level 1 + + xor6 x75i (.out(x75),.a(d15),.b(d35),.c(d75),.d(d26),.e(d45),.f(d42)); // 6 ins 1 outs level 1 + + xor6 x74i (.out(x74),.a(c19),.b(d84),.c(d46),.d(d34),.e(d29),.f(c28)); // 6 ins 1 outs level 1 + + xor6 x73i (.out(x73),.a(d32),.b(x37),.c(x53),.d(c20),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x72i (.out(x72),.a(d18),.b(d85),.c(x39),.d(d30),.e(1'b0),.f(1'b0)); // 4 ins 4 outs level 2 + + xor6 x71i (.out(x71),.a(d27),.b(d49),.c(c24),.d(d71),.e(d80),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x70i (.out(x70),.a(d65),.b(x34),.c(d59),.d(c9),.e(d44),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x69i (.out(x69),.a(c4),.b(c6),.c(d56),.d(d62),.e(d29),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x68i (.out(x68),.a(d68),.b(d71),.c(d1),.d(c12),.e(x41),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x67i (.out(x67),.a(d30),.b(d84),.c(c10),.d(c28),.e(d86),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x66i (.out(x66),.a(x34),.b(d54),.c(x54),.d(d42),.e(c11),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x65i (.out(x65),.a(d57),.b(x35),.c(d66),.d(x46),.e(1'b0),.f(1'b0)); // 4 ins 5 outs level 2 + + xor6 x64i (.out(x64),.a(d28),.b(c14),.c(d57),.d(d26),.e(d40),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x63i (.out(x63),.a(d87),.b(d19),.c(d0),.d(d18),.e(x43),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x62i (.out(x62),.a(d35),.b(d13),.c(c14),.d(c15),.e(c0),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x61i (.out(x61),.a(d79),.b(d63),.c(x43),.d(c7),.e(d58),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x60i (.out(x60),.a(d82),.b(d6),.c(d72),.d(c16),.e(d50),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x59i (.out(x59),.a(d46),.b(d51),.c(d7),.d(d52),.e(d42),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x58i (.out(x58),.a(d4),.b(d47),.c(d41),.d(d24),.e(d66),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x57i (.out(x57),.a(d2),.b(d77),.c(c1),.d(x50),.e(d12),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x56i (.out(x56),.a(x40),.b(c28),.c(c11),.d(d11),.e(c27),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x55i (.out(x55),.a(d34),.b(d12),.c(d37),.d(c31),.e(x38),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x54i (.out(x54),.a(d10),.b(d28),.c(d60),.d(d53),.e(1'b0),.f(1'b0)); // 4 ins 6 outs level 1 + + xor6 x53i (.out(x53),.a(d19),.b(c30),.c(d2),.d(d7),.e(d14),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x52i (.out(x52),.a(c23),.b(d6),.c(d87),.d(d76),.e(d49),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x51i (.out(x51),.a(d17),.b(d20),.c(d16),.d(x37),.e(d27),.f(1'b0)); // 5 ins 6 outs level 2 + + xor6 x50i (.out(x50),.a(d64),.b(d5),.c(d21),.d(d71),.e(d41),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x49i (.out(x49),.a(d4),.b(d23),.c(c10),.d(d43),.e(d67),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x48i (.out(x48),.a(c21),.b(d3),.c(c2),.d(d77),.e(1'b0),.f(1'b0)); // 4 ins 10 outs level 1 + + xor6 x47i (.out(x47),.a(c6),.b(d57),.c(c8),.d(d62),.e(d22),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x46i (.out(x46),.a(d45),.b(d38),.c(d65),.d(d85),.e(c9),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x45i (.out(x45),.a(d25),.b(c4),.c(d50),.d(d8),.e(d86),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x44i (.out(x44),.a(x32),.b(d39),.c(d36),.d(d55),.e(d1),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x43i (.out(x43),.a(d82),.b(c5),.c(d61),.d(d48),.e(d26),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x42i (.out(x42),.a(c7),.b(d69),.c(c13),.d(d63),.e(d46),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x41i (.out(x41),.a(d52),.b(d56),.c(d54),.d(c15),.e(d15),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x40i (.out(x40),.a(c1),.b(d84),.c(d31),.d(d33),.e(d58),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x39i (.out(x39),.a(c18),.b(d74),.c(d76),.d(d86),.e(c0),.f(1'b0)); // 5 ins 13 outs level 1 + + xor6 x38i (.out(x38),.a(d68),.b(d44),.c(c23),.d(c12),.e(d32),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x37i (.out(x37),.a(d50),.b(c29),.c(c3),.d(d9),.e(d59),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x36i (.out(x36),.a(d78),.b(c22),.c(c2),.d(c20),.e(c4),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x35i (.out(x35),.a(c17),.b(c26),.c(d0),.d(c27),.e(d73),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x34i (.out(x34),.a(d81),.b(c25),.c(d47),.d(d29),.e(d24),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x33i (.out(x33),.a(d83),.b(d75),.c(c14),.d(c19),.e(d51),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x32i (.out(x32),.a(d80),.b(d70),.c(c24),.d(d79),.e(d60),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x23i (.out(x23),.a(x79),.b(x34),.c(x51),.d(x44),.e(x80),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x22i (.out(x22),.a(x85),.b(x65),.c(x49),.d(x63),.e(x55),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x21i (.out(x21),.a(x90),.b(x69),.c(x35),.d(x62),.e(x63),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x20i (.out(x20),.a(x96),.b(d21),.c(d87),.d(c31),.e(x43),.f(x118)); // 6 ins 1 outs level 3 + + xor6 x19i (.out(x19),.a(x102),.b(x34),.c(x45),.d(x71),.e(x51),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x18i (.out(x18),.a(x103),.b(x48),.c(x32),.d(x53),.e(x107),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x17i (.out(x17),.a(x108),.b(x72),.c(x52),.d(x56),.e(x113),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x16i (.out(x16),.a(x114),.b(x58),.c(x55),.d(x62),.e(x119),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x15i (.out(x15),.a(x120),.b(x72),.c(x51),.d(x125),.e(x124),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x14i (.out(x14),.a(x130),.b(x53),.c(x70),.d(x61),.e(x131),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x13i (.out(x13),.a(x137),.b(x36),.c(x47),.d(x138),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 3 + + xor6 x12i (.out(x12),.a(x143),.b(x72),.c(x68),.d(x57),.e(x144),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x11i (.out(x11),.a(x149),.b(x46),.c(x68),.d(x51),.e(x150),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x10i (.out(x10),.a(x65),.b(x154),.c(x33),.d(x59),.e(x44),.f(x155)); // 6 ins 1 outs level 3 + + xor6 x9i (.out(x9),.a(x161),.b(x56),.c(x40),.d(x44),.e(x162),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x8i (.out(x8),.a(x167),.b(x46),.c(x56),.d(x55),.e(x168),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x7i (.out(x7),.a(x173),.b(x48),.c(x57),.d(x55),.e(x174),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x6i (.out(x6),.a(x178),.b(x45),.c(x65),.d(x68),.e(x179),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x5i (.out(x5),.a(d74),.b(x185),.c(x66),.d(x44),.e(x186),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x4i (.out(x4),.a(x192),.b(x48),.c(x65),.d(x56),.e(x193),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x3i (.out(x3),.a(x73),.b(x40),.c(x54),.d(x68),.e(x198),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x2i (.out(x2),.a(x203),.b(x72),.c(x56),.d(x44),.e(x204),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x1i (.out(x1),.a(x208),.b(x51),.c(x55),.d(x209),.e(x210),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x0i (.out(x0),.a(x214),.b(x55),.c(x65),.d(x66),.e(x61),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x31i (.out(x31),.a(x218),.b(x41),.c(x70),.d(x56),.e(x219),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x30i (.out(x30),.a(x224),.b(x34),.c(x46),.d(x61),.e(x225),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x29i (.out(x29),.a(x229),.b(x40),.c(x70),.d(x231),.e(x230),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x28i (.out(x28),.a(x236),.b(x38),.c(x42),.d(x57),.e(x237),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x27i (.out(x27),.a(x243),.b(x61),.c(x39),.d(x44),.e(x244),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x26i (.out(x26),.a(x249),.b(x36),.c(x47),.d(x52),.e(x66),.f(x63)); // 6 ins 1 outs level 3 + + xor6 x25i (.out(x25),.a(x254),.b(x63),.c(x56),.d(x64),.e(x255),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x24i (.out(x24),.a(x261),.b(x51),.c(x64),.d(x44),.e(x262),.f(1'b0)); // 5 ins 1 outs level 3 + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_dat96.v b/Advanced Synthesis Cookbook/crc/crc32_dat96.v new file mode 100644 index 0000000..5d7b6f3 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_dat96.v @@ -0,0 +1,991 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//// CRC-32 of 96 data bits. MSB used first. +// Polynomial 04c11db7 (MSB excluded) +// x^26 + x^23 + x^22 + x^16 + x^12 + x^11 + x^10 + x^8 + x^7 + x^5 + x^4 + x^2 + x^1 + x^0 +// +// Optimal LUT depth 3 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111112222222222333333333344444444445555555555666666666677777777778888888888999999 +// 01234567890123456789012345678901 012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345 +// +// C00 = .XXXX...XX.....X.XXXXX.X......XX X.....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XX +// C01 = XX...X..X.X....XXX....XXX.....X. XX....XX.X.XXX..XX......X..XX....XXX.XX.....X.XX.XXX.X..X.XXX.XXXX...X..X.X....XXX....XXX.....X. +// C02 = X..XX.X.X..X...XX..XXX..XX....X. XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X. +// C03 = .X..XX.X.X..X...XX..XXX..XX....X .XXX...XXXX...XX.XXX.....X.X...XXX..XXXXX....X......XXX.X.XXX....X..XX.X.X..X...XX..XXX..XX....X +// C04 = .X.XXXX..XX..X.X...XX.X...XX..XX X.XXX.X.X..XX..X..XXX...XX...XXX.X....XXXX..XXXXX.X......XXX...X.X.XXXX..XX..X.X...XX.X...XX..XX +// C05 = XX.X.XXXXXXX..XXXXXX.......XX.X. XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X. +// C06 = XXX.X.XXXXXXX..XXXXXX.......XX.X .XX.XXXXX..X..X.....XXX..X...XX.......X.XXXX.X.X..XXX.XXX...X.X.XXX.X.XXXXXXX..XXXXXX.......XX.X +// C07 = ....XX.X..XXXX.XX......X.....X.X X.XX.X.XX.X....XX....XXXXX..XX..X.X..X.X.XXX.XXX..XXX.X.XXX.X.......XX.X..XXXX.XX......X.....X.X +// C08 = .XXXXXX..X.XXXXXX.XXXX.XX......X XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX......X +// C09 = X.XXXXXX..X.XXXXXX.XXXX.XX...... .XX.XX...X.XXX....X....XX....X..XXXXX.XX.X.XX.XX...XXX.X..X.XX..X.XXXXXX..X.XXXXXX.XXXX.XX...... +// C10 = ..X..XXX.X.X.XX.X..X..X..XX...XX X.XX.X...X...XX.X..X......X.XX.XXX.XX..XX.X.......X.X..XX.XXX.XX..X..XXX.X.X.XX.X..X..X..XX...XX +// C11 = XXX.X.XX.XX.X.X...XX.X....XX..X. XX.XX....X..X.XXXX..X...XXXXX..X.X..X...XX.XXX.XX.XX..XXXXXX....XXX.X.XX.XX.X.X...XX.X....XX..X. +// C12 = ....XX.X.XXX.X...XX..XXX...XX.X. XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X. +// C13 = X....XX.X.XXX.X...XX..XXX...XX.X .XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX.X +// C14 = .X....XX.X.XXX.X...XX..XXX...XX. ..XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX. +// C15 = X.X....XX.X.XXX.X...XX..XXX...XX ...XXX.XXX..X..XX.X.XX..X..X..X..XX.........XX...XX.XXXXXX.XX.X.X.X....XX.X.XXX.X...XX..XXX...XX +// C16 = ..X.X......X.XX...XXX.XX.XXX..X. X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X. +// C17 = ...X.X......X.XX...XXX.XX.XXX..X .X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX..X +// C18 = ....X.X......X.XX...XXX.XX.XXX.. ..X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX.. +// C19 = .....X.X......X.XX...XXX.XX.XXX. ...X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX. +// C20 = ......X.X......X.XX...XXX.XX.XXX ....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XXX +// C21 = .......X.X......X.XX...XXX.XX.XX .....X...XX..X...XX...X.X.XX.X.X..XX.X..X.X......X.XXX..X....XX........X.X......X.XX...XXX.XX.XX +// C22 = .XXXX....XX....X..X..X.XXXX.XXX. X........X.XX.X.X.XX...XX.XX.X.X..XXXXX..X.XXX.XX...X..X.XX.XXX..XXXX....XX....X..X..X.XXXX.XXX. +// C23 = .X...X..XXXX...XXXX.XXXXXXXX.X.. XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X.. +// C24 = ..X...X..XXXX...XXXX.XXXXXXXX.X. .XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X. +// C25 = X..X...X..XXXX...XXXX.XXXXXXXX.X ..XX....X..X...X.XXX.XX.....XX.X.X..XXX.XX..X...XX.XX...XXX..XX.X..X...X..XXXX...XXXX.XXXXXXXX.X +// C26 = ..XX.....X.XXXXX.X......XXXXXX.X X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX.X +// C27 = ...XX.....X.XXXXX.X......XXXXXX. .X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX. +// C28 = X...XX.....X.XXXXX.X......XXXXXX ..X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXXX +// C29 = XX...XX.....X.XXXXX.X......XXXXX ...X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXXX +// C30 = XXX...XX.....X.XXXXX.X......XXXX ....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXXX +// C31 = XXXX...XX.....X.XXXXX.X......XXX .....X..XX.X...X.......XXX.XXXXX.X..X......XX.XX.X..XXX..X.XX.X.XXXX...XX.....X.XXXXX.X......XXX +// +module crc32_dat96 (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [95:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32_dat96_flat cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); + else + crc32_dat96_factor cc (.crc_in(crc_in),.dat_in(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + +//////////////////////////////////////////////////////////////// +// Flat version +//////////////////////////////////////////////////////////////// + +module crc32_dat96_flat (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [95:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x31, x30, x29, x28, x27, x26, x25, + x24, x23, x22, x21, x20, x19, x18, x17, + x16, x15, x14, x13, x12, x11, x10, x9, + x8, x7, x6, x5, x4, x3, x2, x1, + x0; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95; + +assign { d95,d94,d93,d92,d91,d90,d89,d88,d87,d86,d85,d84,d83,d82,d81, + d80,d79,d78,d77,d76,d75,d74,d73,d72,d71,d70,d69,d68,d67,d66,d65, + d64,d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [95:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + assign x31 = c14 ^ d29 ^ d5 ^ c0 ^ d86 ^ d28 ^ d27 ^ d66 ^ d33 ^ + d53 ^ d83 ^ d30 ^ d59 ^ c19 ^ d81 ^ d44 ^ c22 ^ d47 ^ d57 ^ + d71 ^ d60 ^ c16 ^ d64 ^ d78 ^ d31 ^ c18 ^ d52 ^ d49 ^ c7 ^ + c1 ^ d62 ^ d72 ^ c8 ^ c31 ^ d80 ^ c2 ^ d93 ^ d67 ^ d54 ^ + c3 ^ d65 ^ d25 ^ c30 ^ d46 ^ d82 ^ d9 ^ d43 ^ d8 ^ c29 ^ + d36 ^ d94 ^ c17 ^ d23 ^ d24 ^ d95 ^ d84 ^ c20 ^ d11 ^ d15; // 59 ins 1 outs level 3 + + assign x30 = d14 ^ c31 ^ d46 ^ d7 ^ c2 ^ d93 ^ d80 ^ d92 ^ c7 ^ + d58 ^ d82 ^ c18 ^ d29 ^ c16 ^ d43 ^ c30 ^ c6 ^ d63 ^ c0 ^ + c17 ^ d79 ^ d30 ^ c13 ^ d23 ^ c29 ^ d81 ^ d94 ^ d24 ^ c1 ^ + d32 ^ d8 ^ d71 ^ c21 ^ d26 ^ d42 ^ d95 ^ d77 ^ c19 ^ d70 ^ + d27 ^ d4 ^ d64 ^ d28 ^ d53 ^ d59 ^ d35 ^ d65 ^ d56 ^ d22 ^ + d85 ^ d66 ^ d45 ^ d52 ^ d10 ^ c15 ^ d83 ^ d61 ^ d48 ^ c28 ^ + d51; // 60 ins 1 outs level 3 + + assign x29 = d82 ^ d6 ^ d84 ^ d27 ^ d95 ^ c17 ^ d60 ^ d42 ^ d57 ^ + d50 ^ c29 ^ d80 ^ d69 ^ d29 ^ d34 ^ d78 ^ d63 ^ d70 ^ d45 ^ + c28 ^ d93 ^ d23 ^ d81 ^ c16 ^ d25 ^ d65 ^ d9 ^ d31 ^ c1 ^ + c31 ^ d76 ^ d44 ^ c12 ^ c6 ^ d3 ^ d21 ^ d55 ^ c20 ^ d22 ^ + d28 ^ c14 ^ d79 ^ d47 ^ c18 ^ d91 ^ c5 ^ d7 ^ d92 ^ d41 ^ + d94 ^ d51 ^ d13 ^ d62 ^ d26 ^ d52 ^ d58 ^ c30 ^ c0 ^ c15 ^ + d64 ^ c27; // 61 ins 1 outs level 3 + + assign x28 = d81 ^ c5 ^ d78 ^ d46 ^ d83 ^ d24 ^ d95 ^ d68 ^ d54 ^ + d21 ^ d41 ^ d80 ^ d79 ^ c29 ^ c31 ^ d33 ^ d57 ^ d56 ^ d77 ^ + c30 ^ d61 ^ c11 ^ c16 ^ d2 ^ d62 ^ d43 ^ c15 ^ d44 ^ d51 ^ + d30 ^ d69 ^ c19 ^ d90 ^ d28 ^ c27 ^ d6 ^ c28 ^ d91 ^ d26 ^ + d20 ^ d25 ^ d49 ^ d94 ^ d5 ^ d64 ^ d93 ^ d59 ^ c0 ^ c17 ^ + d75 ^ d50 ^ d27 ^ c14 ^ c26 ^ d40 ^ c4 ^ d22 ^ d12 ^ d63 ^ + d92 ^ c13 ^ d8; // 62 ins 1 outs level 3 + + assign x27 = d55 ^ d49 ^ d74 ^ d20 ^ d91 ^ d61 ^ c18 ^ d24 ^ c4 ^ + d32 ^ d80 ^ d60 ^ c26 ^ d42 ^ c29 ^ d94 ^ d63 ^ d21 ^ d23 ^ + d79 ^ c15 ^ d76 ^ c30 ^ d53 ^ c16 ^ c10 ^ d1 ^ d40 ^ d45 ^ + d50 ^ d90 ^ d78 ^ d62 ^ c3 ^ d5 ^ d82 ^ d68 ^ d93 ^ d43 ^ + d77 ^ d89 ^ d7 ^ d67 ^ d25 ^ d27 ^ c28 ^ d11 ^ c14 ^ c13 ^ + c27 ^ d39 ^ d92 ^ d48 ^ d56 ^ d19 ^ d58 ^ d29 ^ c25 ^ d26 ^ + d4 ^ c12; // 61 ins 1 outs level 3 + + assign x26 = d25 ^ d26 ^ d47 ^ d19 ^ d81 ^ c24 ^ d20 ^ d55 ^ d52 ^ + d61 ^ d6 ^ d48 ^ d38 ^ d54 ^ d31 ^ d42 ^ d39 ^ d92 ^ c17 ^ + d79 ^ d88 ^ d22 ^ d60 ^ d10 ^ d3 ^ c26 ^ d78 ^ c3 ^ d95 ^ + d0 ^ d93 ^ c28 ^ c2 ^ c14 ^ d18 ^ c31 ^ c27 ^ c29 ^ d44 ^ + d28 ^ c12 ^ d62 ^ d67 ^ d73 ^ c13 ^ c9 ^ d89 ^ d66 ^ d77 ^ + d41 ^ c15 ^ d49 ^ d24 ^ d75 ^ d90 ^ d76 ^ c11 ^ d59 ^ d57 ^ + d4 ^ d23 ^ c25 ^ d91; // 63 ins 1 outs level 3 + + assign x25 = d81 ^ d57 ^ d75 ^ c7 ^ c27 ^ d38 ^ d77 ^ d95 ^ d49 ^ + d22 ^ d41 ^ d48 ^ d44 ^ d40 ^ d31 ^ c29 ^ d36 ^ d83 ^ c31 ^ + d91 ^ d21 ^ c23 ^ c13 ^ d90 ^ d92 ^ c19 ^ d93 ^ d74 ^ d3 ^ + c20 ^ d56 ^ d62 ^ c28 ^ d82 ^ d51 ^ d86 ^ d33 ^ c3 ^ d37 ^ + c22 ^ d58 ^ c0 ^ d64 ^ c25 ^ d88 ^ d28 ^ c12 ^ d11 ^ d17 ^ + d8 ^ d89 ^ d76 ^ d61 ^ d84 ^ c10 ^ c18 ^ d71 ^ c26 ^ c17 ^ + d29 ^ c24 ^ d15 ^ d52 ^ d19 ^ d87 ^ d67 ^ c11 ^ d18 ^ d2; // 69 ins 1 outs level 3 + + assign x24 = d17 ^ d35 ^ c2 ^ d7 ^ c10 ^ d80 ^ d92 ^ d89 ^ d16 ^ + d87 ^ c11 ^ c30 ^ d50 ^ d66 ^ c19 ^ c12 ^ d14 ^ c27 ^ c16 ^ + c28 ^ d20 ^ d83 ^ c26 ^ c24 ^ c17 ^ d10 ^ c21 ^ d88 ^ d76 ^ + d73 ^ d18 ^ d21 ^ d2 ^ d56 ^ c6 ^ c9 ^ d47 ^ d30 ^ d91 ^ + d43 ^ c23 ^ d90 ^ d82 ^ d36 ^ d37 ^ d39 ^ d40 ^ d63 ^ d61 ^ + d1 ^ c22 ^ d85 ^ d75 ^ d57 ^ d81 ^ d51 ^ d48 ^ d60 ^ d86 ^ + d55 ^ d70 ^ c25 ^ d28 ^ d94 ^ d32 ^ d27 ^ d74 ^ c18; // 68 ins 1 outs level 3 + + assign x23 = d65 ^ d31 ^ d54 ^ d85 ^ d26 ^ c8 ^ d75 ^ d39 ^ d88 ^ + d89 ^ d50 ^ d42 ^ d36 ^ d16 ^ c15 ^ d79 ^ d1 ^ c16 ^ d34 ^ + d91 ^ c1 ^ c26 ^ d84 ^ d0 ^ d60 ^ d81 ^ d90 ^ d86 ^ c25 ^ + d19 ^ c27 ^ c22 ^ c11 ^ d93 ^ c9 ^ d82 ^ c23 ^ d38 ^ c21 ^ + d47 ^ d9 ^ c24 ^ d15 ^ d27 ^ d74 ^ d49 ^ d20 ^ d59 ^ d56 ^ + d46 ^ d72 ^ d62 ^ d55 ^ d6 ^ d69 ^ d73 ^ d80 ^ c10 ^ d35 ^ + d17 ^ c5 ^ d29 ^ c18 ^ c20 ^ d13 ^ d87 ^ c29 ^ c17; // 68 ins 1 outs level 3 + + assign x22 = d90 ^ d31 ^ d34 ^ d79 ^ d73 ^ d55 ^ d57 ^ c29 ^ c15 ^ + d11 ^ d19 ^ c1 ^ d9 ^ d0 ^ c25 ^ d87 ^ d35 ^ d47 ^ c24 ^ + d52 ^ d68 ^ d92 ^ d89 ^ d74 ^ c3 ^ d94 ^ d36 ^ c10 ^ d38 ^ + d85 ^ d44 ^ d93 ^ c28 ^ d27 ^ d18 ^ d88 ^ d45 ^ d26 ^ c30 ^ + c21 ^ c26 ^ d12 ^ d48 ^ d58 ^ d29 ^ d41 ^ c18 ^ d62 ^ d65 ^ + d60 ^ c4 ^ d43 ^ d14 ^ c23 ^ d61 ^ d67 ^ d66 ^ d82 ^ d23 ^ + d16 ^ c2 ^ c9 ^ d37 ^ d24; // 64 ins 1 outs level 3 + + assign x21 = d34 ^ d80 ^ d89 ^ d40 ^ d53 ^ d73 ^ d24 ^ c28 ^ d88 ^ + d18 ^ d37 ^ d5 ^ d29 ^ d13 ^ d42 ^ d94 ^ c19 ^ d61 ^ d35 ^ + c7 ^ d95 ^ d62 ^ c24 ^ d17 ^ c25 ^ d92 ^ d71 ^ d51 ^ d49 ^ + d31 ^ d9 ^ d87 ^ d27 ^ d91 ^ c30 ^ c27 ^ d52 ^ c16 ^ c9 ^ + d83 ^ d82 ^ d10 ^ c23 ^ d26 ^ d22 ^ c31 ^ c18 ^ d56; // 48 ins 1 outs level 3 + + assign x20 = d90 ^ d60 ^ d28 ^ d81 ^ d88 ^ c31 ^ d91 ^ d12 ^ d86 ^ + d50 ^ d26 ^ c22 ^ d87 ^ d16 ^ c27 ^ d33 ^ d52 ^ d61 ^ c29 ^ + d95 ^ c17 ^ d34 ^ d23 ^ c15 ^ c6 ^ d36 ^ d70 ^ c24 ^ d39 ^ + d72 ^ d48 ^ d93 ^ d41 ^ d51 ^ d30 ^ c23 ^ c8 ^ c18 ^ d4 ^ + d79 ^ d9 ^ d25 ^ c30 ^ d82 ^ c26 ^ d94 ^ d55 ^ d21 ^ d17 ^ + d8; // 50 ins 1 outs level 3 + + assign x19 = d85 ^ c23 ^ d81 ^ d7 ^ d51 ^ d15 ^ d49 ^ c21 ^ d92 ^ + d93 ^ d60 ^ d90 ^ d22 ^ d80 ^ c7 ^ d71 ^ d59 ^ d54 ^ d16 ^ + d27 ^ d86 ^ d35 ^ c25 ^ d40 ^ d32 ^ d3 ^ c28 ^ d47 ^ c26 ^ + d11 ^ d94 ^ d20 ^ d50 ^ d29 ^ c29 ^ c30 ^ d38 ^ d69 ^ c5 ^ + c16 ^ c22 ^ d33 ^ d25 ^ d87 ^ d89 ^ c17 ^ d24 ^ d78 ^ d8 ^ + c14; // 50 ins 1 outs level 3 + + assign x18 = d2 ^ d32 ^ d31 ^ c16 ^ c21 ^ d58 ^ d89 ^ d14 ^ d26 ^ + d85 ^ d79 ^ d19 ^ d49 ^ d50 ^ d77 ^ d59 ^ c29 ^ c15 ^ d70 ^ + c6 ^ d53 ^ c25 ^ d68 ^ d28 ^ d39 ^ d84 ^ c24 ^ d88 ^ d21 ^ + d86 ^ c22 ^ d93 ^ d23 ^ d24 ^ d48 ^ d34 ^ d91 ^ c4 ^ d7 ^ + c20 ^ d46 ^ d37 ^ d92 ^ c27 ^ d80 ^ d15 ^ d6 ^ c28 ^ d10 ^ + c13; // 50 ins 1 outs level 3 + + assign x17 = d57 ^ d47 ^ d6 ^ d90 ^ d30 ^ d78 ^ d88 ^ d69 ^ d9 ^ + d67 ^ d5 ^ d92 ^ d52 ^ d87 ^ d45 ^ c5 ^ d49 ^ c20 ^ d23 ^ + d18 ^ c19 ^ c31 ^ c21 ^ d25 ^ d31 ^ d84 ^ d1 ^ d33 ^ d83 ^ + d13 ^ d91 ^ c15 ^ d48 ^ d85 ^ c27 ^ c23 ^ d79 ^ c14 ^ d58 ^ + d27 ^ d14 ^ d95 ^ c3 ^ c26 ^ d20 ^ d38 ^ d36 ^ d76 ^ c24 ^ + d22 ^ c28 ^ c12; // 52 ins 1 outs level 3 + + assign x16 = c20 ^ d47 ^ d24 ^ c13 ^ d5 ^ d13 ^ d51 ^ d48 ^ d46 ^ + d87 ^ d22 ^ d30 ^ d66 ^ d32 ^ c2 ^ d94 ^ d26 ^ d82 ^ d4 ^ + c14 ^ d37 ^ c22 ^ d21 ^ d89 ^ c30 ^ c4 ^ d84 ^ d83 ^ c19 ^ + d68 ^ d8 ^ d12 ^ d75 ^ d78 ^ d29 ^ d0 ^ c25 ^ d17 ^ d90 ^ + d44 ^ c11 ^ c23 ^ d77 ^ d86 ^ d35 ^ d19 ^ d91 ^ c18 ^ c26 ^ + d57 ^ c27 ^ d56; // 52 ins 1 outs level 3 + + assign x15 = c20 ^ c30 ^ d94 ^ d66 ^ d45 ^ d33 ^ d52 ^ d85 ^ d56 ^ + c2 ^ c7 ^ d59 ^ d9 ^ d60 ^ d62 ^ d74 ^ c31 ^ d88 ^ d24 ^ + d21 ^ d71 ^ d20 ^ d84 ^ d5 ^ c10 ^ c16 ^ d3 ^ d76 ^ d7 ^ + c25 ^ d80 ^ c26 ^ c14 ^ d50 ^ d18 ^ c0 ^ c24 ^ c13 ^ d16 ^ + d72 ^ d78 ^ d89 ^ d64 ^ c12 ^ d8 ^ d90 ^ d77 ^ d49 ^ d27 ^ + c21 ^ d57 ^ d55 ^ d15 ^ c8 ^ d53 ^ d12 ^ d34 ^ d30 ^ d4 ^ + d54 ^ d95 ^ d44; // 62 ins 1 outs level 3 + + assign x14 = d63 ^ d3 ^ d2 ^ d11 ^ d4 ^ d17 ^ d14 ^ d77 ^ d76 ^ + d56 ^ d65 ^ d8 ^ d23 ^ c29 ^ d94 ^ d52 ^ c11 ^ d75 ^ d83 ^ + d32 ^ d43 ^ d71 ^ d20 ^ d89 ^ d48 ^ d59 ^ c30 ^ d51 ^ c7 ^ + d6 ^ c9 ^ c23 ^ c15 ^ c1 ^ d26 ^ d54 ^ d55 ^ d7 ^ d29 ^ + d79 ^ d58 ^ d33 ^ c19 ^ c20 ^ d49 ^ c24 ^ d70 ^ d44 ^ d15 ^ + d53 ^ c25 ^ c13 ^ c6 ^ d73 ^ d88 ^ c12 ^ d84 ^ d61 ^ d19 ^ + d87 ^ d93; // 61 ins 1 outs level 3 + + assign x13 = d47 ^ d48 ^ d86 ^ c18 ^ d53 ^ d92 ^ d28 ^ c5 ^ d69 ^ + d50 ^ d16 ^ c31 ^ d82 ^ d57 ^ c29 ^ d25 ^ d88 ^ d1 ^ d42 ^ + d95 ^ d43 ^ c0 ^ c24 ^ d19 ^ d3 ^ d87 ^ c14 ^ d58 ^ d6 ^ + d10 ^ d62 ^ d78 ^ d13 ^ c19 ^ d18 ^ d51 ^ c10 ^ c12 ^ d2 ^ + d74 ^ d52 ^ d60 ^ d31 ^ d83 ^ c11 ^ c6 ^ c23 ^ d75 ^ d22 ^ + d76 ^ d54 ^ c22 ^ d32 ^ d14 ^ d55 ^ d93 ^ d70 ^ d7 ^ d72 ^ + d64 ^ c8 ^ c28 ^ d5; // 63 ins 1 outs level 3 + + assign x12 = d63 ^ d77 ^ d85 ^ d94 ^ d30 ^ d59 ^ d82 ^ c22 ^ d81 ^ + d21 ^ c30 ^ c27 ^ c7 ^ d13 ^ c28 ^ d69 ^ d27 ^ d46 ^ c21 ^ + d15 ^ c13 ^ d57 ^ d52 ^ d5 ^ c9 ^ d1 ^ d53 ^ c11 ^ d9 ^ + d50 ^ c23 ^ d51 ^ d47 ^ d6 ^ d68 ^ d75 ^ c10 ^ d12 ^ d92 ^ + d4 ^ d42 ^ d71 ^ c4 ^ d73 ^ d0 ^ d74 ^ d61 ^ c18 ^ d86 ^ + d17 ^ d54 ^ d18 ^ d2 ^ d41 ^ d49 ^ d24 ^ d56 ^ d31 ^ c5 ^ + d87 ^ d91 ^ c17; // 62 ins 1 outs level 3 + + assign x11 = d74 ^ d47 ^ d9 ^ d15 ^ d51 ^ c26 ^ d64 ^ d55 ^ d44 ^ + d28 ^ d91 ^ d17 ^ d94 ^ d66 ^ d4 ^ c18 ^ d33 ^ d20 ^ d82 ^ + d1 ^ d59 ^ d68 ^ c14 ^ d43 ^ c7 ^ d14 ^ c27 ^ d36 ^ d83 ^ + d90 ^ d76 ^ c10 ^ d0 ^ d16 ^ d26 ^ d25 ^ d41 ^ d57 ^ d73 ^ + c1 ^ c2 ^ c9 ^ d85 ^ c30 ^ d56 ^ d70 ^ d78 ^ d24 ^ c21 ^ + c0 ^ c12 ^ d27 ^ d45 ^ d48 ^ d58 ^ d50 ^ c6 ^ d12 ^ d54 ^ + c4 ^ d31 ^ d65 ^ c19 ^ d40 ^ d3 ^ d71; // 66 ins 1 outs level 3 + + assign x10 = c25 ^ d14 ^ d33 ^ d86 ^ c7 ^ d58 ^ d29 ^ c14 ^ c13 ^ + d42 ^ d83 ^ d26 ^ d32 ^ d90 ^ d70 ^ c6 ^ d9 ^ d89 ^ d0 ^ + d55 ^ d40 ^ d2 ^ d19 ^ c19 ^ d35 ^ d66 ^ d73 ^ d80 ^ d16 ^ + d39 ^ d60 ^ c5 ^ c31 ^ d28 ^ d3 ^ d78 ^ d31 ^ d77 ^ d63 ^ + d71 ^ d59 ^ d94 ^ c2 ^ c26 ^ c9 ^ d13 ^ c30 ^ d36 ^ d50 ^ + c16 ^ d69 ^ c22 ^ d56 ^ d5 ^ d95 ^ d52 ^ c11 ^ d75 ^ d62; // 59 ins 1 outs level 3 + + assign x9 = d67 ^ d68 ^ d47 ^ d55 ^ d81 ^ d46 ^ c12 ^ d51 ^ c17 ^ + d77 ^ d41 ^ c2 ^ c16 ^ c21 ^ d85 ^ d24 ^ c7 ^ d18 ^ d76 ^ + c0 ^ c15 ^ d2 ^ d70 ^ c6 ^ d74 ^ d36 ^ c4 ^ d52 ^ d89 ^ + d60 ^ d53 ^ d78 ^ c25 ^ d44 ^ d83 ^ d58 ^ d43 ^ d9 ^ d64 ^ + d34 ^ c3 ^ d29 ^ d79 ^ d4 ^ d13 ^ c13 ^ d38 ^ d12 ^ d71 ^ + d84 ^ c22 ^ d33 ^ d86 ^ d39 ^ d11 ^ c24 ^ d88 ^ d23 ^ d1 ^ + d66 ^ d69 ^ c5 ^ d32 ^ d80 ^ d5 ^ c10 ^ d35 ^ c20 ^ c19 ^ + d61 ^ c14; // 71 ins 1 outs level 3 + + assign x8 = d37 ^ c9 ^ d82 ^ c18 ^ c20 ^ d3 ^ d40 ^ d57 ^ c3 ^ + d69 ^ d52 ^ d67 ^ d35 ^ d17 ^ d87 ^ d4 ^ d11 ^ d0 ^ d73 ^ + d22 ^ c16 ^ d77 ^ d80 ^ d83 ^ c1 ^ c5 ^ d38 ^ d85 ^ d28 ^ + d59 ^ d95 ^ c12 ^ d88 ^ d50 ^ c14 ^ c23 ^ d43 ^ d46 ^ d75 ^ + d34 ^ d33 ^ c21 ^ d84 ^ d68 ^ d1 ^ d51 ^ d12 ^ c24 ^ d70 ^ + d54 ^ d31 ^ d65 ^ c13 ^ c2 ^ d10 ^ d76 ^ d78 ^ d45 ^ d60 ^ + c4 ^ c11 ^ c19 ^ d42 ^ d79 ^ d8 ^ d32 ^ d23 ^ c31 ^ c6 ^ + d66 ^ d63 ^ c15; // 72 ins 1 outs level 3 + + assign x7 = d58 ^ d75 ^ c11 ^ d16 ^ d74 ^ d10 ^ c10 ^ d0 ^ d50 ^ + d29 ^ d15 ^ c7 ^ c5 ^ d24 ^ d2 ^ d68 ^ d87 ^ d54 ^ c15 ^ + d95 ^ d93 ^ d8 ^ c23 ^ d39 ^ d32 ^ d43 ^ d28 ^ d37 ^ d46 ^ + d45 ^ d80 ^ d34 ^ d3 ^ d22 ^ d21 ^ d25 ^ c13 ^ d5 ^ d57 ^ + d41 ^ d56 ^ d77 ^ d60 ^ d47 ^ c4 ^ c12 ^ d7 ^ c29 ^ d79 ^ + c31 ^ d69 ^ d42 ^ d23 ^ d51 ^ d71 ^ d76 ^ c16 ^ d52; // 58 ins 1 outs level 3 + + assign x6 = d68 ^ c2 ^ d7 ^ d66 ^ c0 ^ d45 ^ d51 ^ d70 ^ d55 ^ + d14 ^ c18 ^ d38 ^ d56 ^ d79 ^ d50 ^ d22 ^ c28 ^ d40 ^ c31 ^ + d92 ^ d83 ^ d82 ^ d74 ^ c4 ^ c20 ^ c9 ^ d71 ^ d64 ^ d30 ^ + c19 ^ d29 ^ d93 ^ d73 ^ d80 ^ d42 ^ d25 ^ d47 ^ c17 ^ d52 ^ + c11 ^ d4 ^ d1 ^ d5 ^ d75 ^ d81 ^ c29 ^ d21 ^ d54 ^ c8 ^ + d84 ^ d41 ^ c10 ^ d62 ^ d20 ^ d6 ^ c12 ^ d2 ^ c7 ^ d65 ^ + d72 ^ c15 ^ c16 ^ d76 ^ c1 ^ d43 ^ d11 ^ d8 ^ c6 ^ d60 ^ + d95; // 70 ins 1 outs level 3 + + assign x5 = d24 ^ d73 ^ d53 ^ d94 ^ d28 ^ c8 ^ c9 ^ d7 ^ c10 ^ + c16 ^ c27 ^ d29 ^ d6 ^ c18 ^ d55 ^ d21 ^ d74 ^ d4 ^ d1 ^ + d51 ^ d70 ^ d0 ^ c19 ^ c7 ^ c5 ^ d78 ^ d42 ^ d91 ^ d50 ^ + d41 ^ c6 ^ d79 ^ c17 ^ d81 ^ d83 ^ d65 ^ d46 ^ d19 ^ d44 ^ + d61 ^ d75 ^ d20 ^ c11 ^ d37 ^ d92 ^ d59 ^ d54 ^ d39 ^ c14 ^ + d49 ^ d5 ^ d64 ^ c1 ^ c0 ^ d40 ^ d10 ^ d67 ^ c30 ^ c28 ^ + d63 ^ d3 ^ d80 ^ d13 ^ c15 ^ d82 ^ d71 ^ d72 ^ c3 ^ d69; // 69 ins 1 outs level 3 + + assign x4 = d44 ^ c31 ^ d0 ^ d30 ^ d79 ^ c19 ^ d29 ^ d12 ^ c3 ^ + d39 ^ d63 ^ c10 ^ d31 ^ d11 ^ d20 ^ d91 ^ c9 ^ d69 ^ c30 ^ + d6 ^ d94 ^ d67 ^ d4 ^ d47 ^ d74 ^ d46 ^ d70 ^ d95 ^ d25 ^ + d90 ^ d8 ^ d59 ^ d73 ^ d86 ^ d15 ^ d40 ^ c15 ^ d41 ^ d3 ^ + d19 ^ d24 ^ d38 ^ d45 ^ d18 ^ d57 ^ c20 ^ c5 ^ c22 ^ d83 ^ + d77 ^ c1 ^ c4 ^ c26 ^ d48 ^ c13 ^ d33 ^ d65 ^ d58 ^ d50 ^ + d84 ^ c27 ^ d68 ^ d2 ^ c6; // 64 ins 1 outs level 3 + + assign x3 = d7 ^ d85 ^ d2 ^ d18 ^ d19 ^ c21 ^ d53 ^ d15 ^ d45 ^ + d36 ^ d54 ^ c17 ^ d84 ^ d38 ^ c26 ^ d71 ^ c31 ^ d52 ^ d3 ^ + d40 ^ d27 ^ d81 ^ d17 ^ c5 ^ d39 ^ c25 ^ d59 ^ d31 ^ d65 ^ + d14 ^ d89 ^ c1 ^ c16 ^ d76 ^ d10 ^ d8 ^ d90 ^ d56 ^ d9 ^ + d1 ^ c12 ^ d95 ^ d86 ^ c9 ^ d33 ^ c4 ^ d58 ^ d69 ^ d73 ^ + c7 ^ c22 ^ d60 ^ d25 ^ d32 ^ d80 ^ c20 ^ d68 ^ d37; // 58 ins 1 outs level 3 + + assign x2 = d17 ^ c4 ^ c15 ^ d88 ^ d94 ^ c25 ^ d70 ^ d64 ^ d14 ^ + d58 ^ d31 ^ c19 ^ d38 ^ d51 ^ d85 ^ d26 ^ d67 ^ d79 ^ d57 ^ + d89 ^ d80 ^ d75 ^ c11 ^ d52 ^ c8 ^ d37 ^ c20 ^ d7 ^ d24 ^ + d1 ^ d83 ^ d59 ^ d72 ^ d44 ^ d32 ^ d30 ^ d55 ^ d0 ^ c30 ^ + d84 ^ d53 ^ c21 ^ c16 ^ d13 ^ d68 ^ d36 ^ c24 ^ c3 ^ d35 ^ + d16 ^ d39 ^ c6 ^ d8 ^ c0 ^ d2 ^ d18 ^ d9 ^ d6; // 58 ins 1 outs level 3 + + assign x1 = d47 ^ d81 ^ d94 ^ d28 ^ c8 ^ c17 ^ d87 ^ d13 ^ c1 ^ + d63 ^ d12 ^ c16 ^ d65 ^ c15 ^ c30 ^ d60 ^ d79 ^ d58 ^ c5 ^ + d17 ^ d35 ^ d37 ^ d7 ^ c10 ^ d80 ^ d16 ^ c22 ^ d24 ^ d69 ^ + d0 ^ d44 ^ d72 ^ c0 ^ c23 ^ d51 ^ d62 ^ c24 ^ d34 ^ d1 ^ + d88 ^ d11 ^ d53 ^ d9 ^ d6 ^ d86 ^ d50 ^ d33 ^ d46 ^ d56 ^ + d59 ^ d38 ^ d64 ^ d49 ^ d74 ^ d27; // 55 ins 1 outs level 3 + + assign x0 = d24 ^ d73 ^ d6 ^ d9 ^ d53 ^ d37 ^ c9 ^ c2 ^ d16 ^ + c3 ^ d55 ^ d32 ^ d72 ^ d82 ^ c15 ^ d63 ^ d66 ^ d67 ^ d61 ^ + c23 ^ d44 ^ c31 ^ d0 ^ d30 ^ d79 ^ c19 ^ c4 ^ d60 ^ d45 ^ + d10 ^ d65 ^ d31 ^ d54 ^ c18 ^ d29 ^ d12 ^ d68 ^ d84 ^ d50 ^ + d58 ^ d48 ^ c21 ^ c30 ^ d85 ^ c1 ^ d83 ^ c20 ^ d25 ^ d26 ^ + d47 ^ d81 ^ d34 ^ d95 ^ d94 ^ d28 ^ c8 ^ c17 ^ d87; // 58 ins 1 outs level 3 + +endmodule + +//////////////////////////////////////////////////////////////// +// Depth optimal factored version +//////////////////////////////////////////////////////////////// + +module crc32_dat96_factor (crc_in,dat_in,crc_out); +input [31:0] crc_in; +input [95:0] dat_in; +output [31:0] crc_out; + +wire [31:0] crc_out; + +wire x271, x270, x269, x268, x267, x266, x265, + x264, x263, x262, x261, x260, x259, x258, x257, + x256, x255, x254, x253, x252, x251, x250, x249, + x248, x247, x246, x244, x243, x242, x241, x240, + x239, x238, x237, x236, x235, x234, x233, x232, + x231, x230, x229, x228, x227, x226, x225, x224, + x223, x222, x221, x220, x219, x218, x217, x216, + x215, x214, x213, x212, x211, x210, x209, x208, + x207, x206, x205, x204, x203, x202, x201, x200, + x199, x198, x197, x196, x195, x194, x193, x192, + x191, x190, x189, x188, x187, x186, x185, x184, + x183, x182, x181, x180, x179, x178, x177, x176, + x175, x174, x173, x172, x171, x170, x169, x168, + x167, x166, x165, x164, x163, x162, x161, x160, + x159, x158, x157, x156, x155, x154, x153, x152, + x151, x150, x149, x148, x147, x146, x145, x144, + x143, x142, x141, x140, x139, x138, x137, x136, + x135, x134, x133, x132, x131, x130, x129, x128, + x127, x126, x125, x124, x123, x122, x121, x120, + x119, x118, x117, x116, x115, x114, x113, x112, + x111, x110, x109, x108, x107, x106, x105, x104, + x103, x102, x101, x100, x99, x98, x97, x96, + x94, x93, x92, x91, x90, x89, x88, x87, + x86, x85, x84, x83, x82, x81, x80, x79, + x78, x77, x76, x75, x74, x73, x72, x71, + x70, x69, x68, x67, x66, x65, x64, x63, + x62, x61, x60, x59, x58, x57, x56, x55, + x54, x53, x52, x51, x50, x49, x48, x47, + x46, x45, x44, x43, x42, x41, x40, x39, + x38, x37, x36, x35, x34, x33, x32, x31, + x30, x29, x28, x27, x26, x25, x24, x23, + x22, x21, x20, x19, x18, x17, x16, x15, + x14, x13, x12, x11, x10, x9, x8, x7, + x6, x5, x4, x3, x2, x1, x0; + +assign crc_out = {x31,x30,x29,x28,x27,x26,x25,x24,x23,x22,x21,x20,x19,x18,x17, + x16,x15,x14,x13,x12,x11,x10,x9,x8,x7,x6,x5,x4,x3,x2,x1, + x0}; + +wire d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14, + d15,d16,d17,d18,d19,d20,d21,d22,d23,d24,d25,d26,d27,d28,d29,d30, + d31,d32,d33,d34,d35,d36,d37,d38,d39,d40,d41,d42,d43,d44,d45,d46, + d47,d48,d49,d50,d51,d52,d53,d54,d55,d56,d57,d58,d59,d60,d61,d62, + d63,d64,d65,d66,d67,d68,d69,d70,d71,d72,d73,d74,d75,d76,d77,d78, + d79,d80,d81,d82,d83,d84,d85,d86,d87,d88,d89,d90,d91,d92,d93,d94, + d95; + +assign { d95,d94,d93,d92,d91,d90,d89,d88,d87,d86,d85,d84,d83,d82,d81, + d80,d79,d78,d77,d76,d75,d74,d73,d72,d71,d70,d69,d68,d67,d66,d65, + d64,d63,d62,d61,d60,d59,d58,d57,d56,d55,d54,d53,d52,d51,d50,d49, + d48,d47,d46,d45,d44,d43,d42,d41,d40,d39,d38,d37,d36,d35,d34,d33, + d32,d31,d30,d29,d28,d27,d26,d25,d24,d23,d22,d21,d20,d19,d18,d17, + d16,d15,d14,d13,d12,d11,d10,d9,d8,d7,d6,d5,d4,d3,d2,d1, + d0} = dat_in [95:0]; + +wire c0,c1,c2,c3,c4,c5,c6,c7,c8,c9,c10,c11,c12,c13,c14, + c15,c16,c17,c18,c19,c20,c21,c22,c23,c24,c25,c26,c27,c28,c29,c30, + c31; + +assign { c31,c30,c29,c28,c27,c26,c25,c24,c23,c22,c21,c20,c19,c18,c17, + c16,c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1, + c0} = crc_in [31:0]; + + xor6 x271i (.out(x271),.a(d9),.b(x266),.c(x41),.d(x63),.e(x36),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x270i (.out(x270),.a(x267),.b(x42),.c(x39),.d(x269),.e(x268),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x269i (.out(x269),.a(d54),.b(c3),.c(c4),.d(d7),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x268i (.out(x268),.a(d67),.b(d47),.c(d10),.d(d72),.e(d53),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x267i (.out(x267),.a(d37),.b(d68),.c(d30),.d(d63),.e(d83),.f(d29)); // 6 ins 1 outs level 1 + + xor6 x266i (.out(x266),.a(d27),.b(c23),.c(c31),.d(d61),.e(d50),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x265i (.out(x265),.a(x261),.b(x264),.c(x33),.d(x36),.e(x262),.f(x263)); // 6 ins 1 outs level 2 + + xor6 x264i (.out(x264),.a(d65),.b(d53),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x263i (.out(x263),.a(d63),.b(d16),.c(d49),.d(d46),.e(c8),.f(d17)); // 6 ins 1 outs level 1 + + xor6 x262i (.out(x262),.a(d61),.b(c23),.c(d47),.d(d87),.e(d19),.f(d27)); // 6 ins 1 outs level 1 + + xor6 x261i (.out(x261),.a(d64),.b(d13),.c(d37),.d(d79),.e(d60),.f(d9)); // 6 ins 1 outs level 1 + + xor6 x260i (.out(x260),.a(d0),.b(d35),.c(c24),.d(d88),.e(c15),.f(c1)); // 6 ins 1 outs level 1 + + xor6 x259i (.out(x259),.a(x37),.b(x254),.c(x44),.d(x65),.e(x35),.f(x52)); // 6 ins 1 outs level 2 + + xor6 x258i (.out(x258),.a(x255),.b(d16),.c(x46),.d(x36),.e(x256),.f(x257)); // 6 ins 1 outs level 2 + + xor6 x257i (.out(x257),.a(d30),.b(d44),.c(c21),.d(d17),.e(d85),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x256i (.out(x256),.a(d2),.b(d10),.c(d78),.d(d50),.e(d14),.f(d51)); // 6 ins 1 outs level 1 + + xor6 x255i (.out(x255),.a(d8),.b(c20),.c(d53),.d(c8),.e(d87),.f(c4)); // 6 ins 1 outs level 1 + + xor6 x254i (.out(x254),.a(d1),.b(d64),.c(d15),.d(d62),.e(d68),.f(d36)); // 6 ins 1 outs level 1 + + xor6 x253i (.out(x253),.a(x249),.b(x43),.c(x35),.d(x252),.e(x250),.f(x251)); // 6 ins 1 outs level 2 + + xor6 x252i (.out(x252),.a(d68),.b(d43),.c(d32),.d(d9),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x251i (.out(x251),.a(d18),.b(c9),.c(d8),.d(d37),.e(d39),.f(d36)); // 6 ins 1 outs level 1 + + xor6 x250i (.out(x250),.a(d56),.b(d19),.c(d50),.d(d53),.e(c26),.f(d51)); // 6 ins 1 outs level 1 + + xor6 x249i (.out(x249),.a(d25),.b(d38),.c(c7),.d(d17),.e(d15),.f(d89)); // 6 ins 1 outs level 1 + + xor6 x248i (.out(x248),.a(d81),.b(c17),.c(d90),.d(c31),.e(c25),.f(d58)); // 6 ins 1 outs level 1 + + xor6 x247i (.out(x247),.a(x241),.b(x57),.c(x49),.d(x35),.e(x40),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x246i (.out(x246),.a(d57),.b(d50),.c(x242),.d(x47),.e(x243),.f(x244)); // 6 ins 1 outs level 2 + + xor6 x244i (.out(x244),.a(d3),.b(d45),.c(d59),.d(d95),.e(d55),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x243i (.out(x243),.a(d73),.b(d29),.c(d63),.d(d4),.e(c31),.f(d79)); // 6 ins 1 outs level 1 + + xor6 x242i (.out(x242),.a(d49),.b(d1),.c(d12),.d(d18),.e(d90),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x241i (.out(x241),.a(d0),.b(d47),.c(d30),.d(d25),.e(d39),.f(c15)); // 6 ins 1 outs level 1 + + xor6 x240i (.out(x240),.a(x235),.b(x74),.c(x65),.d(x33),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x239i (.out(x239),.a(x236),.b(d63),.c(x34),.d(x46),.e(x237),.f(x238)); // 6 ins 1 outs level 2 + + xor6 x238i (.out(x238),.a(c10),.b(d20),.c(d3),.d(d54),.e(d58),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x237i (.out(x237),.a(c5),.b(c28),.c(d65),.d(d42),.e(c14),.f(d69)); // 6 ins 1 outs level 1 + + xor6 x236i (.out(x236),.a(c19),.b(d74),.c(c3),.d(d46),.e(c1),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x235i (.out(x235),.a(d64),.b(d92),.c(d40),.d(d1),.e(d67),.f(d62)); // 6 ins 1 outs level 1 + + xor6 x234i (.out(x234),.a(x229),.b(x62),.c(x43),.d(x39),.e(x36),.f(x34)); // 6 ins 1 outs level 2 + + xor6 x233i (.out(x233),.a(x230),.b(d42),.c(x49),.d(x38),.e(x231),.f(x232)); // 6 ins 1 outs level 2 + + xor6 x232i (.out(x232),.a(d30),.b(d38),.c(d1),.d(d33),.e(d59),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x231i (.out(x231),.a(d54),.b(d51),.c(d41),.d(c12),.e(d83),.f(d81)); // 6 ins 1 outs level 1 + + xor6 x230i (.out(x230),.a(c6),.b(d45),.c(d8),.d(d32),.e(d71),.f(d70)); // 6 ins 1 outs level 1 + + xor6 x229i (.out(x229),.a(d76),.b(c4),.c(d56),.d(d68),.e(d5),.f(c17)); // 6 ins 1 outs level 1 + + xor6 x228i (.out(x228),.a(x223),.b(x75),.c(x40),.d(x45),.e(x32),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x227i (.out(x227),.a(x224),.b(d22),.c(x65),.d(x42),.e(x225),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x226i (.out(x226),.a(d7),.b(d16),.c(d43),.d(d26),.e(d59),.f(d31)); // 6 ins 1 outs level 1 + + xor6 x225i (.out(x225),.a(d23),.b(d42),.c(d58),.d(c23),.e(d41),.f(d15)); // 6 ins 1 outs level 1 + + xor6 x224i (.out(x224),.a(d45),.b(c5),.c(d69),.d(d5),.e(d12),.f(d30)); // 6 ins 1 outs level 1 + + xor6 x223i (.out(x223),.a(c7),.b(d95),.c(c10),.d(d74),.e(d46),.f(d71)); // 6 ins 1 outs level 1 + + xor6 x222i (.out(x222),.a(x40),.b(x75),.c(x39),.d(x43),.e(x34),.f(x53)); // 6 ins 1 outs level 2 + + xor6 x221i (.out(x221),.a(x217),.b(x220),.c(x44),.d(x45),.e(x218),.f(x219)); // 6 ins 1 outs level 2 + + xor6 x220i (.out(x220),.a(d35),.b(d58),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x219i (.out(x219),.a(d28),.b(c9),.c(d22),.d(d11),.e(c24),.f(d88)); // 6 ins 1 outs level 1 + + xor6 x218i (.out(x218),.a(d38),.b(d27),.c(d17),.d(d46),.e(c5),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x217i (.out(x217),.a(d37),.b(d69),.c(d1),.d(d40),.e(d0),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x216i (.out(x216),.a(x211),.b(x60),.c(x63),.d(x52),.e(x48),.f(x35)); // 6 ins 1 outs level 2 + + xor6 x215i (.out(x215),.a(x212),.b(x214),.c(x33),.d(x37),.e(x40),.f(x213)); // 6 ins 1 outs level 2 + + xor6 x214i (.out(x214),.a(c12),.b(d84),.c(c7),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x213i (.out(x213),.a(d36),.b(d64),.c(d47),.d(c0),.e(d31),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x212i (.out(x212),.a(d58),.b(c21),.c(d46),.d(d41),.e(d4),.f(c16)); // 6 ins 1 outs level 1 + + xor6 x211i (.out(x211),.a(d15),.b(c20),.c(d85),.d(d79),.e(c15),.f(d39)); // 6 ins 1 outs level 1 + + xor6 x210i (.out(x210),.a(x32),.b(x35),.c(x56),.d(x60),.e(x62),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x209i (.out(x209),.a(d36),.b(x206),.c(x52),.d(x58),.e(x207),.f(x208)); // 6 ins 1 outs level 2 + + xor6 x208i (.out(x208),.a(c26),.b(d3),.c(d43),.d(d53),.e(d90),.f(d39)); // 6 ins 1 outs level 1 + + xor6 x207i (.out(x207),.a(d62),.b(d77),.c(c25),.d(c13),.e(d25),.f(d75)); // 6 ins 1 outs level 1 + + xor6 x206i (.out(x206),.a(c11),.b(c14),.c(d18),.d(d1),.e(c31),.f(d89)); // 6 ins 1 outs level 1 + + xor6 x205i (.out(x205),.a(x199),.b(d20),.c(x56),.d(x50),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x204i (.out(x204),.a(x200),.b(x46),.c(x203),.d(x201),.e(x202),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x203i (.out(x203),.a(d50),.b(d68),.c(d65),.d(c23),.e(c19),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x202i (.out(x202),.a(c18),.b(c0),.c(d47),.d(d9),.e(c14),.f(d59)); // 6 ins 1 outs level 1 + + xor6 x201i (.out(x201),.a(c10),.b(d82),.c(d1),.d(d57),.e(d56),.f(d73)); // 6 ins 1 outs level 1 + + xor6 x200i (.out(x200),.a(d66),.b(c26),.c(c2),.d(d15),.e(d24),.f(d64)); // 6 ins 1 outs level 1 + + xor6 x199i (.out(x199),.a(c9),.b(d12),.c(d2),.d(c1),.e(d74),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x198i (.out(x198),.a(x193),.b(x57),.c(x52),.d(x48),.e(x40),.f(x50)); // 6 ins 1 outs level 2 + + xor6 x197i (.out(x197),.a(x194),.b(x60),.c(x196),.d(x41),.e(x58),.f(x195)); // 6 ins 1 outs level 2 + + xor6 x196i (.out(x196),.a(c7),.b(d49),.c(d17),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x195i (.out(x195),.a(d50),.b(c23),.c(d12),.d(d59),.e(d54),.f(c10)); // 6 ins 1 outs level 1 + + xor6 x194i (.out(x194),.a(d47),.b(d92),.c(c18),.d(d41),.e(c28),.f(d82)); // 6 ins 1 outs level 1 + + xor6 x193i (.out(x193),.a(d74),.b(d0),.c(d29),.d(d6),.e(d8),.f(d45)); // 6 ins 1 outs level 1 + + xor6 x192i (.out(x192),.a(x42),.b(x60),.c(x44),.d(x49),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x191i (.out(x191),.a(x187),.b(x190),.c(x38),.d(x48),.e(x188),.f(x189)); // 6 ins 1 outs level 2 + + xor6 x190i (.out(x190),.a(d52),.b(d50),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x189i (.out(x189),.a(d88),.b(d28),.c(d32),.d(d16),.e(c14),.f(d18)); // 6 ins 1 outs level 1 + + xor6 x188i (.out(x188),.a(c24),.b(c18),.c(c19),.d(d42),.e(d13),.f(c23)); // 6 ins 1 outs level 1 + + xor6 x187i (.out(x187),.a(d11),.b(d40),.c(d82),.d(c4),.e(c8),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x186i (.out(x186),.a(x181),.b(x60),.c(x59),.d(x45),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x185i (.out(x185),.a(x182),.b(x44),.c(x37),.d(x183),.e(x184),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x184i (.out(x184),.a(d52),.b(d78),.c(d20),.d(d93),.e(d49),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x183i (.out(x183),.a(d17),.b(d11),.c(d61),.d(d23),.e(d2),.f(d14)); // 6 ins 1 outs level 1 + + xor6 x182i (.out(x182),.a(d57),.b(d59),.c(d43),.d(d76),.e(d56),.f(d44)); // 6 ins 1 outs level 1 + + xor6 x181i (.out(x181),.a(d19),.b(d5),.c(c23),.d(d55),.e(c19),.f(d63)); // 6 ins 1 outs level 1 + + xor6 x180i (.out(x180),.a(x174),.b(x60),.c(x44),.d(x75),.e(x41),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x179i (.out(x179),.a(x175),.b(x178),.c(x32),.d(x37),.e(x176),.f(x177)); // 6 ins 1 outs level 2 + + xor6 x178i (.out(x178),.a(c8),.b(d3),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x177i (.out(x177),.a(d28),.b(d33),.c(d54),.d(d44),.e(c12),.f(d29)); // 6 ins 1 outs level 1 + + xor6 x176i (.out(x176),.a(c0),.b(d90),.c(d64),.d(c10),.e(c13),.f(c14)); // 6 ins 1 outs level 1 + + xor6 x175i (.out(x175),.a(d9),.b(d18),.c(d66),.d(d57),.e(d74),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x174i (.out(x174),.a(c20),.b(c2),.c(d77),.d(d72),.e(d62),.f(d16)); // 6 ins 1 outs level 1 + + xor6 x173i (.out(x173),.a(x40),.b(x170),.c(x57),.d(x61),.e(x171),.f(x172)); // 6 ins 1 outs level 2 + + xor6 x172i (.out(x172),.a(d36),.b(c22),.c(c26),.d(d89),.e(c25),.f(d22)); // 6 ins 1 outs level 1 + + xor6 x171i (.out(x171),.a(d26),.b(d13),.c(d44),.d(d4),.e(d56),.f(d47)); // 6 ins 1 outs level 1 + + xor6 x170i (.out(x170),.a(d12),.b(d51),.c(d60),.d(d5),.e(d15),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x169i (.out(x169),.a(c14),.b(d29),.c(d0),.d(d78),.e(c20),.f(d84)); // 6 ins 1 outs level 1 + + xor6 x168i (.out(x168),.a(x164),.b(x167),.c(x53),.d(x41),.e(x165),.f(x166)); // 6 ins 1 outs level 2 + + xor6 x167i (.out(x167),.a(d88),.b(d73),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x166i (.out(x166),.a(d43),.b(c15),.c(c19),.d(d84),.e(d95),.f(d6)); // 6 ins 1 outs level 1 + + xor6 x165i (.out(x165),.a(d30),.b(d47),.c(c5),.d(d69),.e(d87),.f(d57)); // 6 ins 1 outs level 1 + + xor6 x164i (.out(x164),.a(d52),.b(d64),.c(d83),.d(d14),.e(d1),.f(c24)); // 6 ins 1 outs level 1 + + xor6 x163i (.out(x163),.a(d58),.b(d79),.c(d17),.d(d25),.e(c20),.f(c12)); // 6 ins 1 outs level 1 + + xor6 x162i (.out(x162),.a(x158),.b(x37),.c(x40),.d(x161),.e(x159),.f(x160)); // 6 ins 1 outs level 2 + + xor6 x161i (.out(x161),.a(d34),.b(c22),.c(d14),.d(d23),.e(d19),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x160i (.out(x160),.a(d49),.b(d21),.c(d6),.d(d7),.e(d84),.f(d48)); // 6 ins 1 outs level 1 + + xor6 x159i (.out(x159),.a(c28),.b(d91),.c(d86),.d(c20),.e(d58),.f(c21)); // 6 ins 1 outs level 1 + + xor6 x158i (.out(x158),.a(d53),.b(c29),.c(d31),.d(c6),.e(d46),.f(c27)); // 6 ins 1 outs level 1 + + xor6 x157i (.out(x157),.a(d92),.b(d70),.c(d93),.d(d52),.e(d0),.f(d85)); // 6 ins 1 outs level 1 + + xor6 x156i (.out(x156),.a(x152),.b(x48),.c(x32),.d(x153),.e(x154),.f(x155)); // 6 ins 1 outs level 2 + + xor6 x155i (.out(x155),.a(d90),.b(c23),.c(d71),.d(d22),.e(d54),.f(d27)); // 6 ins 1 outs level 1 + + xor6 x154i (.out(x154),.a(d35),.b(d89),.c(d11),.d(c21),.e(d3),.f(d32)); // 6 ins 1 outs level 1 + + xor6 x153i (.out(x153),.a(d7),.b(c14),.c(d87),.d(d38),.e(d85),.f(d16)); // 6 ins 1 outs level 1 + + xor6 x152i (.out(x152),.a(d1),.b(c25),.c(d40),.d(d46),.e(c7),.f(d33)); // 6 ins 1 outs level 1 + + xor6 x151i (.out(x151),.a(x148),.b(x36),.c(x34),.d(x50),.e(x150),.f(x149)); // 6 ins 1 outs level 2 + + xor6 x150i (.out(x150),.a(d72),.b(d30),.c(d0),.d(c6),.e(d23),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x149i (.out(x149),.a(d88),.b(d21),.c(d9),.d(c22),.e(d7),.f(d70)); // 6 ins 1 outs level 1 + + xor6 x148i (.out(x148),.a(d50),.b(d39),.c(d86),.d(d87),.e(c29),.f(d4)); // 6 ins 1 outs level 1 + + xor6 x147i (.out(x147),.a(c26),.b(c24),.c(c8),.d(d44),.e(d93),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x146i (.out(x146),.a(x141),.b(x145),.c(x61),.d(x142),.e(x143),.f(x144)); // 6 ins 1 outs level 2 + + xor6 x145i (.out(x145),.a(c7),.b(d49),.c(d10),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x144i (.out(x144),.a(d51),.b(d24),.c(d17),.d(c19),.e(c30),.f(d91)); // 6 ins 1 outs level 1 + + xor6 x143i (.out(x143),.a(d73),.b(d94),.c(d52),.d(d26),.e(c9),.f(d80)); // 6 ins 1 outs level 1 + + xor6 x142i (.out(x142),.a(d95),.b(d86),.c(c16),.d(d56),.e(d34),.f(d64)); // 6 ins 1 outs level 1 + + xor6 x141i (.out(x141),.a(c23),.b(d27),.c(d40),.d(d42),.e(d61),.f(c27)); // 6 ins 1 outs level 1 + + xor6 x140i (.out(x140),.a(x135),.b(x52),.c(x56),.d(x41),.e(x37),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x139i (.out(x139),.a(x53),.b(x136),.c(x138),.d(x34),.e(x50),.f(x137)); // 6 ins 1 outs level 2 + + xor6 x138i (.out(x138),.a(d52),.b(d68),.c(c26),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x137i (.out(x137),.a(d66),.b(d14),.c(c30),.d(d94),.e(c2),.f(d87)); // 6 ins 1 outs level 1 + + xor6 x136i (.out(x136),.a(d15),.b(d57),.c(c4),.d(d56),.e(c14),.f(d65)); // 6 ins 1 outs level 1 + + xor6 x135i (.out(x135),.a(d13),.b(c1),.c(d62),.d(d37),.e(d41),.f(d17)); // 6 ins 1 outs level 1 + + xor6 x134i (.out(x134),.a(x41),.b(x129),.c(x48),.d(x50),.e(x52),.f(x37)); // 6 ins 1 outs level 2 + + xor6 x133i (.out(x133),.a(x130),.b(x132),.c(x49),.d(x44),.e(x43),.f(x131)); // 6 ins 1 outs level 2 + + xor6 x132i (.out(x132),.a(c0),.b(c26),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x131i (.out(x131),.a(d20),.b(d18),.c(d48),.d(d57),.e(d49),.f(c27)); // 6 ins 1 outs level 1 + + xor6 x130i (.out(x130),.a(d34),.b(d56),.c(d60),.d(d11),.e(d42),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x129i (.out(x129),.a(d39),.b(d46),.c(d45),.d(d54),.e(d91),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x128i (.out(x128),.a(x122),.b(x62),.c(x36),.d(x41),.e(x33),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x127i (.out(x127),.a(x123),.b(x126),.c(x37),.d(x39),.e(x124),.f(x125)); // 6 ins 1 outs level 2 + + xor6 x126i (.out(x126),.a(c6),.b(d70),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x125i (.out(x125),.a(d52),.b(d18),.c(d1),.d(c10),.e(d16),.f(c9)); // 6 ins 1 outs level 1 + + xor6 x124i (.out(x124),.a(d47),.b(c22),.c(d80),.d(c26),.e(d10),.f(d20)); // 6 ins 1 outs level 1 + + xor6 x123i (.out(x123),.a(c28),.b(d24),.c(d45),.d(c16),.e(d92),.f(c12)); // 6 ins 1 outs level 1 + + xor6 x122i (.out(x122),.a(d63),.b(d50),.c(d74),.d(d15),.e(d7),.f(d39)); // 6 ins 1 outs level 1 + + xor6 x121i (.out(x121),.a(x116),.b(x76),.c(x61),.d(x49),.e(x37),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x120i (.out(x120),.a(x117),.b(x119),.c(x33),.d(x50),.e(x118),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x119i (.out(x119),.a(d29),.b(d84),.c(d28),.d(1'b0),.e(1'b0),.f(1'b0)); // 3 ins 1 outs level 1 + + xor6 x118i (.out(x118),.a(c0),.b(c20),.c(d93),.d(d30),.e(d3),.f(d33)); // 6 ins 1 outs level 1 + + xor6 x117i (.out(x117),.a(d31),.b(c22),.c(c26),.d(d62),.e(d71),.f(d49)); // 6 ins 1 outs level 1 + + xor6 x116i (.out(x116),.a(c7),.b(d18),.c(c12),.d(d58),.e(d40),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x115i (.out(x115),.a(x38),.b(x44),.c(x53),.d(x59),.e(x47),.f(x46)); // 6 ins 1 outs level 2 + + xor6 x114i (.out(x114),.a(x110),.b(x58),.c(x45),.d(x113),.e(x111),.f(x112)); // 6 ins 1 outs level 2 + + xor6 x113i (.out(x113),.a(d32),.b(d55),.c(d6),.d(d43),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x112i (.out(x112),.a(d0),.b(d77),.c(d18),.d(d66),.e(c2),.f(d73)); // 6 ins 1 outs level 1 + + xor6 x111i (.out(x111),.a(d48),.b(d64),.c(d19),.d(d63),.e(d38),.f(d29)); // 6 ins 1 outs level 1 + + xor6 x110i (.out(x110),.a(d59),.b(c13),.c(d90),.d(d39),.e(d60),.f(d10)); // 6 ins 1 outs level 1 + + xor6 x109i (.out(x109),.a(d62),.b(x104),.c(x58),.d(x47),.e(x53),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x108i (.out(x108),.a(x105),.b(x34),.c(x49),.d(x107),.e(x106),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x107i (.out(x107),.a(d45),.b(d48),.c(d55),.d(d27),.e(d89),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x106i (.out(x106),.a(d21),.b(c27),.c(d58),.d(d40),.e(d53),.f(c25)); // 6 ins 1 outs level 1 + + xor6 x105i (.out(x105),.a(c12),.b(d28),.c(d32),.d(d26),.e(d50),.f(d2)); // 6 ins 1 outs level 1 + + xor6 x104i (.out(x104),.a(d5),.b(d91),.c(d56),.d(d90),.e(d1),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x103i (.out(x103),.a(x40),.b(x57),.c(x59),.d(x33),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 2 + + xor6 x102i (.out(x102),.a(x98),.b(x101),.c(x46),.d(x47),.e(x99),.f(x100)); // 6 ins 1 outs level 2 + + xor6 x101i (.out(x101),.a(d32),.b(d87),.c(1'b0),.d(1'b0),.e(1'b0),.f(1'b0)); // 2 ins 1 outs level 1 + + xor6 x100i (.out(x100),.a(d15),.b(d12),.c(c14),.d(d25),.e(d63),.f(c29)); // 6 ins 1 outs level 1 + + xor6 x99i (.out(x99),.a(d72),.b(d54),.c(c19),.d(d93),.e(d43),.f(d40)); // 6 ins 1 outs level 1 + + xor6 x98i (.out(x98),.a(d27),.b(d90),.c(c5),.d(d83),.e(d69),.f(d38)); // 6 ins 1 outs level 1 + + xor6 x97i (.out(x97),.a(x92),.b(x58),.c(x46),.d(x43),.e(x35),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x96i (.out(x96),.a(c14),.b(d4),.c(x93),.d(x38),.e(x36),.f(x94)); // 6 ins 1 outs level 2 + + xor6 x94i (.out(x94),.a(d9),.b(d23),.c(d34),.d(d60),.e(d21),.f(d13)); // 6 ins 1 outs level 1 + + xor6 x93i (.out(x93),.a(c8),.b(d33),.c(d83),.d(c5),.e(d59),.f(d54)); // 6 ins 1 outs level 1 + + xor6 x92i (.out(x92),.a(d26),.b(d45),.c(d69),.d(d27),.e(d57),.f(d72)); // 6 ins 1 outs level 1 + + xor6 x91i (.out(x91),.a(x38),.b(x76),.c(x60),.d(x41),.e(x34),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x90i (.out(x90),.a(x86),.b(x39),.c(x89),.d(x87),.e(x88),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x89i (.out(x89),.a(d26),.b(d63),.c(d46),.d(d65),.e(1'b0),.f(1'b0)); // 4 ins 1 outs level 1 + + xor6 x88i (.out(x88),.a(d78),.b(d93),.c(d43),.d(d23),.e(d30),.f(d52)); // 6 ins 1 outs level 1 + + xor6 x87i (.out(x87),.a(d42),.b(d5),.c(c9),.d(c1),.e(d14),.f(d35)); // 6 ins 1 outs level 1 + + xor6 x86i (.out(x86),.a(d95),.b(d50),.c(d48),.d(c0),.e(d56),.f(d24)); // 6 ins 1 outs level 1 + + xor6 x85i (.out(x85),.a(x80),.b(d27),.c(x39),.d(x42),.e(x53),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x84i (.out(x84),.a(x81),.b(x61),.c(x57),.d(x82),.e(x83),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x83i (.out(x83),.a(d64),.b(d44),.c(c8),.d(d78),.e(d81),.f(d37)); // 6 ins 1 outs level 1 + + xor6 x82i (.out(x82),.a(c22),.b(c17),.c(c7),.d(d24),.e(d9),.f(d54)); // 6 ins 1 outs level 1 + + xor6 x81i (.out(x81),.a(d36),.b(d49),.c(c31),.d(d28),.e(d50),.f(d57)); // 6 ins 1 outs level 1 + + xor6 x80i (.out(x80),.a(d32),.b(d11),.c(d29),.d(d48),.e(d30),.f(1'b0)); // 5 ins 1 outs level 1 + + xor6 x79i (.out(x79),.a(d39),.b(c16),.c(x36),.d(d80),.e(d47),.f(1'b0)); // 5 ins 1 outs level 2 + + xor6 x78i (.out(x78),.a(d95),.b(d10),.c(x35),.d(d60),.e(x32),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x77i (.out(x77),.a(d62),.b(d15),.c(d87),.d(x37),.e(1'b0),.f(1'b0)); // 4 ins 2 outs level 2 + + xor6 x76i (.out(x76),.a(c29),.b(d77),.c(d55),.d(d8),.e(c13),.f(1'b0)); // 5 ins 3 outs level 1 + + xor6 x75i (.out(x75),.a(d8),.b(d12),.c(d60),.d(d34),.e(c31),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x74i (.out(x74),.a(d19),.b(c8),.c(c11),.d(d75),.e(d21),.f(1'b0)); // 5 ins 2 outs level 1 + + xor6 x73i (.out(x73),.a(d56),.b(d76),.c(d19),.d(x39),.e(1'b0),.f(1'b0)); // 4 ins 3 outs level 2 + + xor6 x72i (.out(x72),.a(x49),.b(d56),.c(d33),.d(d38),.e(1'b0),.f(1'b0)); // 4 ins 3 outs level 2 + + xor6 x71i (.out(x71),.a(d5),.b(x38),.c(d33),.d(d38),.e(d76),.f(1'b0)); // 5 ins 2 outs level 2 + + xor6 x70i (.out(x70),.a(c17),.b(x34),.c(c8),.d(x42),.e(d81),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x69i (.out(x69),.a(x43),.b(d95),.c(d31),.d(d48),.e(d6),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x68i (.out(x68),.a(c28),.b(x42),.c(d92),.d(d60),.e(d61),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x67i (.out(x67),.a(x62),.b(c4),.c(d76),.d(d71),.e(x45),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x66i (.out(x66),.a(d67),.b(c3),.c(d38),.d(x46),.e(c19),.f(1'b0)); // 5 ins 3 outs level 2 + + xor6 x65i (.out(x65),.a(d24),.b(d39),.c(d10),.d(d0),.e(d37),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x64i (.out(x64),.a(c27),.b(x50),.c(d35),.d(d24),.e(d91),.f(1'b0)); // 5 ins 4 outs level 2 + + xor6 x63i (.out(x63),.a(d24),.b(d44),.c(d12),.d(d34),.e(d58),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x62i (.out(x62),.a(d43),.b(d7),.c(d14),.d(d2),.e(d40),.f(1'b0)); // 5 ins 4 outs level 1 + + xor6 x61i (.out(x61),.a(d86),.b(d83),.c(d82),.d(c18),.e(d37),.f(1'b0)); // 5 ins 5 outs level 1 + + xor6 x60i (.out(x60),.a(d5),.b(d71),.c(d29),.d(d53),.e(1'b0),.f(1'b0)); // 4 ins 10 outs level 1 + + xor6 x59i (.out(x59),.a(d32),.b(d79),.c(d26),.d(d28),.e(c15),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x58i (.out(x58),.a(d4),.b(d42),.c(d63),.d(d76),.e(c9),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x57i (.out(x57),.a(d94),.b(c30),.c(d46),.d(d15),.e(d8),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x56i (.out(x56),.a(d0),.b(d16),.c(d26),.d(d25),.e(d33),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x55i (.out(x55),.a(d62),.b(x32),.c(d6),.d(d72),.e(c0),.f(1'b0)); // 5 ins 9 outs level 2 + + xor6 x54i (.out(x54),.a(x44),.b(d76),.c(d21),.d(d56),.e(d30),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x53i (.out(x53),.a(d67),.b(c3),.c(d43),.d(d23),.e(c14),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x52i (.out(x52),.a(d13),.b(d35),.c(d18),.d(d9),.e(d31),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x51i (.out(x51),.a(d95),.b(d4),.c(x36),.d(d73),.e(c7),.f(1'b0)); // 5 ins 7 outs level 2 + + xor6 x50i (.out(x50),.a(c23),.b(d90),.c(d36),.d(d48),.e(d17),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x49i (.out(x49),.a(d55),.b(d11),.c(d19),.d(d74),.e(c10),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x48i (.out(x48),.a(c22),.b(d86),.c(d1),.d(d69),.e(c5),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x47i (.out(x47),.a(d49),.b(d20),.c(d78),.d(d24),.e(c26),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x46i (.out(x46),.a(c27),.b(d95),.c(d41),.d(d44),.e(d91),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x45i (.out(x45),.a(c12),.b(d51),.c(d54),.d(d31),.e(d3),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x44i (.out(x44),.a(d87),.b(c11),.c(d57),.d(d75),.e(1'b0),.f(1'b0)); // 4 ins 8 outs level 1 + + xor6 x43i (.out(x43),.a(c20),.b(c1),.c(d33),.d(d65),.e(d84),.f(1'b0)); // 5 ins 6 outs level 1 + + xor6 x42i (.out(x42),.a(d29),.b(d93),.c(d25),.d(d47),.e(c29),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x41i (.out(x41),.a(d73),.b(d85),.c(c21),.d(d27),.e(d45),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x40i (.out(x40),.a(d77),.b(c4),.c(c13),.d(d68),.e(d2),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x39i (.out(x39),.a(c2),.b(d60),.c(c19),.d(d32),.e(d66),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x38i (.out(x38),.a(d22),.b(c28),.c(c31),.d(d92),.e(d64),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x37i (.out(x37),.a(c25),.b(d88),.c(d89),.d(c24),.e(d15),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x36i (.out(x36),.a(d55),.b(d28),.c(d94),.d(d7),.e(c30),.f(1'b0)); // 5 ins 9 outs level 1 + + xor6 x35i (.out(x35),.a(d70),.b(c6),.c(d78),.d(d83),.e(d58),.f(1'b0)); // 5 ins 10 outs level 1 + + xor6 x34i (.out(x34),.a(d79),.b(c15),.c(c9),.d(d82),.e(c18),.f(1'b0)); // 5 ins 8 outs level 1 + + xor6 x33i (.out(x33),.a(d61),.b(d52),.c(d81),.d(d51),.e(c17),.f(1'b0)); // 5 ins 11 outs level 1 + + xor6 x32i (.out(x32),.a(d52),.b(c16),.c(d80),.d(d59),.e(d50),.f(1'b0)); // 5 ins 7 outs level 1 + + xor6 x31i (.out(x31),.a(x84),.b(x60),.c(x69),.d(x55),.e(x85),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x30i (.out(x30),.a(x90),.b(x33),.c(x78),.d(x51),.e(x91),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x29i (.out(x29),.a(x96),.b(x45),.c(x55),.d(x70),.e(x97),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x28i (.out(x28),.a(x102),.b(x71),.c(x55),.d(x54),.e(x103),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x27i (.out(x27),.a(x79),.b(x40),.c(x68),.d(x108),.e(x109),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x26i (.out(x26),.a(x114),.b(x33),.c(x42),.d(x77),.e(x115),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x25i (.out(x25),.a(x120),.b(x38),.c(x66),.d(x54),.e(x121),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x24i (.out(x24),.a(x127),.b(x61),.c(x64),.d(x54),.e(x128),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x23i (.out(x23),.a(x133),.b(x56),.c(x70),.d(x55),.e(x134),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x22i (.out(x22),.a(x139),.b(x72),.c(x63),.d(x68),.e(x140),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x21i (.out(x21),.a(x146),.b(x38),.c(x60),.d(x52),.e(x77),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x20i (.out(x20),.a(x147),.b(x46),.c(x33),.d(x56),.e(x75),.f(x151)); // 6 ins 1 outs level 3 + + xor6 x19i (.out(x19),.a(x156),.b(x33),.c(x47),.d(x57),.e(x68),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x18i (.out(x18),.a(x157),.b(x32),.c(x65),.d(x59),.e(x162),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x17i (.out(x17),.a(x163),.b(x47),.c(x52),.d(x64),.e(x71),.f(x168)); // 6 ins 1 outs level 3 + + xor6 x16i (.out(x16),.a(x169),.b(x73),.c(x54),.d(x64),.e(x173),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x15i (.out(x15),.a(x179),.b(x47),.c(x54),.d(x51),.e(x180),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x14i (.out(x14),.a(x185),.b(x76),.c(x69),.d(x51),.e(x186),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x13i (.out(x13),.a(x191),.b(x78),.c(x55),.d(x67),.e(x192),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x12i (.out(x12),.a(x197),.b(x33),.c(x64),.d(x54),.e(x198),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x11i (.out(x11),.a(x204),.b(x41),.c(x67),.d(x51),.e(x205),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x10i (.out(x10),.a(x209),.b(x48),.c(x73),.d(x51),.e(x210),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x9i (.out(x9),.a(x215),.b(x73),.c(x72),.d(x53),.e(x216),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x8i (.out(x8),.a(x221),.b(x41),.c(x58),.d(x78),.e(x222),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x7i (.out(x7),.a(x226),.b(x59),.c(x54),.d(x227),.e(x228),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x6i (.out(x6),.a(x233),.b(x42),.c(x51),.d(x74),.e(x55),.f(x234)); // 6 ins 1 outs level 3 + + xor6 x5i (.out(x5),.a(x239),.b(x60),.c(x55),.d(x51),.e(x240),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x4i (.out(x4),.a(x246),.b(x48),.c(x69),.d(x66),.e(x247),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x3i (.out(x3),.a(x248),.b(x41),.c(x48),.d(x67),.e(x78),.f(x253)); // 6 ins 1 outs level 3 + + xor6 x2i (.out(x2),.a(x258),.b(x66),.c(x59),.d(x55),.e(x259),.f(1'b0)); // 5 ins 1 outs level 3 + + xor6 x1i (.out(x1),.a(x260),.b(x48),.c(x55),.d(x72),.e(x63),.f(x265)); // 6 ins 1 outs level 3 + + xor6 x0i (.out(x0),.a(x270),.b(x70),.c(x56),.d(x69),.e(x271),.f(1'b0)); // 5 ins 1 outs level 3 + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32_tb.v b/Advanced Synthesis Cookbook/crc/crc32_tb.v new file mode 100644 index 0000000..990260e --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32_tb.v @@ -0,0 +1,131 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-04-2006 +// +// This verifies the transitive behavior of the 32 and 64 bit +// variable width data CRC-32's. More to test the output MUX +// wiring than the CRC's themselves. + +module crc32_tb (); + +reg [63:0] dat64; + +wire [7:0] dat8 = dat64[7:0]; +wire [15:0] dat16 = dat64[16:0]; +wire [23:0] dat24 = dat64[24:0]; +wire [31:0] dat32 = dat64[31:0]; + +wire [39:0] dat40 = dat64[39:0]; +wire [47:0] dat48 = dat64[48:0]; +wire [55:0] dat56 = dat64[55:0]; + +wire [31:0] upper_dat = dat64[63:32]; +wire [7:0] upper_dat8 = upper_dat[7:0]; +wire [15:0] upper_dat16 = upper_dat[16:0]; +wire [23:0] upper_dat24 = upper_dat[24:0]; +wire [31:0] upper_dat32 = upper_dat[31:0]; + +reg [31:0] crc_in; +reg [2:0] dat_size; + +/////////////////////////////////////////////// +// paste two 32 data units together to make a 64 +/////////////////////////////////////////////// +wire [31:0] crc_outa,crc_outb; +reg [1:0] dat_size32a, dat_size32b; +reg [31:0] crc_out32s; + +crc32_dat32_any_byte d32a ( + .dat_size(dat_size32a), + .crc_in(crc_in), + .crc_out(crc_outa), + .dat8(dat8),.dat16(dat16),.dat24(dat24),.dat32(dat32) +); +defparam d32a .REVERSE_DATA = 1'b1; + +crc32_dat32_any_byte d32b ( + .dat_size(dat_size32b), + .crc_in(crc_outa), + .crc_out(crc_outb), + .dat8(upper_dat8),.dat16(upper_dat16),.dat24(upper_dat24), + .dat32(upper_dat32) +); +defparam d32b .REVERSE_DATA = 1'b1; + +always @(*) begin + dat_size32b = dat_size[1:0]; + if (dat_size[2]) begin + dat_size32a = 2'b11; + crc_out32s = crc_outb; + end + else begin + dat_size32a = dat_size[1:0]; + crc_out32s = crc_outa; + end +end + +/////////////////////////////////////////////// +// 64 data unit +/////////////////////////////////////////////// +wire [31:0] crc_out64; + +crc32_dat64_any_byte d64 ( + .dat_size(dat_size), + .crc_in(crc_in), + .crc_out(crc_out64), + .dat8(dat8),.dat16(dat16),.dat24(dat24),.dat32(dat32), + .dat40(dat40),.dat48(dat48),.dat56(dat56),.dat64(dat64) +); +defparam d64 .REVERSE_DATA = 1'b1; + + +/////////////////////////////////////////////// +// Compare +/////////////////////////////////////////////// + +reg fail; + +initial begin + dat64 = 0; + dat_size = 0; + crc_in = 32'hffffffff; + fail = 0; + #500000 + if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #100 + crc_in = $random; + dat64 = {$random,$random}; + dat_size = $random; + + #100 + if (crc_out32s !== crc_out64) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crc/crc32c_dat32.v b/Advanced Synthesis Cookbook/crc/crc32c_dat32.v new file mode 100644 index 0000000..e745c45 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32c_dat32.v @@ -0,0 +1,464 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 32 data bits (reversed - MSB first) +// polynomial : 1edc6f41 +// x^28 + x^27 + x^26 + x^25 + x^23 + x^22 + x^20 + x^19 + x^18 + x^14 + x^13 + x^11 + x^10 + x^9 + x^8 + x^6 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222222222233 +// 01234567890123456789012345678901 01234567890123456789012345678901 +// C00 = #...######..#...###..#.#.####.## #...######..#...###..#.#.####.## +// C01 = .#...######..#...###..#.#.####.# .#...######..#...###..#.#.####.# +// C02 = ..#...######..#...###..#.#.####. ..#...######..#...###..#.#.####. +// C03 = ...#...######..#...###..#.#.#### ...#...######..#...###..#.#.#### +// C04 = ....#...######..#...###..#.#.### ....#...######..#...###..#.#.### +// C05 = .....#...######..#...###..#.#.## .....#...######..#...###..#.#.## +// C06 = #...##.#####.#####...##.###.###. #...##.#####.#####...##.###.###. +// C07 = .#...##.#####.#####...##.###.### .#...##.#####.#####...##.###.### +// C08 = #.#.##..#.##.#.#...#.#..##...... #.#.##..#.##.#.#...#.#..##...... +// C09 = ##.##..##..#..#..##.####...##.## ##.##..##..#..#..##.####...##.## +// C10 = ###...##.......###.#..#.####.##. ###...##.......###.#..#.####.##. +// C11 = #######..#..#.......##.......... #######..#..#.......##.......... +// C12 = .#######..#..#.......##......... .#######..#..#.......##......... +// C13 = #.##.....#.##.#.###..##..####.## #.##.....#.##.#.###..##..####.## +// C14 = ##.#.######..#.##..#.##..#...##. ##.#.######..#.##..#.##..#...##. +// C15 = .##.#.######..#.##..#.##..#...## .##.#.######..#.##..#.##..#...## +// C16 = ..##.#.######..#.##..#.##..#...# ..##.#.######..#.##..#.##..#...# +// C17 = ...##.#.######..#.##..#.##..#... ...##.#.######..#.##..#.##..#... +// C18 = #.....#.#.##.##.#.####.....##### #.....#.#.##.##.#.####.....##### +// C19 = ##..###.#..#..###.###.##.###.#.. ##..###.#..#..###.###.##.###.#.. +// C20 = ###.#...#......#..###...##.....# ###.#...#......#..###...##.....# +// C21 = .###.#...#......#..###...##..... .###.#...#......#..###...##..... +// C22 = #.##.#.####.#...#.#.#.##.#..#.## #.##.#.####.#...#.#.#.##.#..#.## +// C23 = ##.#.#.#..####..#.##....##.####. ##.#.#.#..####..#.##....##.####. +// C24 = .##.#.#.#..####..#.##....##.#### .##.#.#.#..####..#.##....##.#### +// C25 = #.###.#.#....#####..#..#.#..##.. #.###.#.#....#####..#..#.#..##.. +// C26 = ##.#..#.#...#.##.......###.###.# ##.#..#.#...#.##.......###.###.# +// C27 = ###..##.#...##.#.##..#.##..#.#.# ###..##.#...##.#.##..#.##..#.#.# +// C28 = ######..#...###..#.#.####.##...# ######..#...###..#.#.####.##...# +// C29 = .######..#...###..#.#.####.##... .######..#...###..#.#.####.##... +// C30 = ..######..#...###..#.#.####.##.. ..######..#...###..#.#.####.##.. +// C31 = ...######..#...###..#.#.####.##. ...######..#...###..#.#.####.##. +// +// Number of XORs used is 32 +// Total XOR inputs 1068 +// +module crc32c_dat32 ( + input[31:0] crc_in, + input[31:0] dat_in, + output[31:0] crc_out +); + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32c_dat32_flat cc (.c(crc_in),.d(dat_in),.crc_out(crc_out)); + else + crc32c_dat32_factor cc (.c(crc_in),.d(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + + +module crc32c_dat32_flat (c,d,crc_out); +input[31:0] c; +input[31:0] d; +output[31:0] crc_out; +wire[31:0] crc_out; + +assign crc_out[0] = + c[0] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ + c[9] ^ c[12] ^ c[16] ^ c[17] ^ c[18] ^ c[21] ^ c[23] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[30] ^ c[31] ^ d[31] ^ + d[30] ^ d[28] ^ d[27] ^ d[26] ^ d[25] ^ d[23] ^ d[21] ^ + d[18] ^ d[17] ^ d[16] ^ d[12] ^ d[9] ^ d[8] ^ d[7] ^ + d[6] ^ d[5] ^ d[4] ^ d[0]; + +assign crc_out[1] = + c[1] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ c[9] ^ + c[10] ^ c[13] ^ c[17] ^ c[18] ^ c[19] ^ c[22] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[31] ^ d[31] ^ d[29] ^ + d[28] ^ d[27] ^ d[26] ^ d[24] ^ d[22] ^ d[19] ^ d[18] ^ + d[17] ^ d[13] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ + d[5] ^ d[1]; + +assign crc_out[2] = + c[2] ^ c[6] ^ c[7] ^ c[8] ^ c[9] ^ c[10] ^ + c[11] ^ c[14] ^ c[18] ^ c[19] ^ c[20] ^ c[23] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ d[30] ^ d[29] ^ d[28] ^ + d[27] ^ d[25] ^ d[23] ^ d[20] ^ d[19] ^ d[18] ^ d[14] ^ + d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ d[2]; + +assign crc_out[3] = + c[3] ^ c[7] ^ c[8] ^ c[9] ^ c[10] ^ c[11] ^ + c[12] ^ c[15] ^ c[19] ^ c[20] ^ c[21] ^ c[24] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31] ^ d[31] ^ d[30] ^ d[29] ^ + d[28] ^ d[26] ^ d[24] ^ d[21] ^ d[20] ^ d[19] ^ d[15] ^ + d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[3]; + +assign crc_out[4] = + c[4] ^ c[8] ^ c[9] ^ c[10] ^ c[11] ^ c[12] ^ + c[13] ^ c[16] ^ c[20] ^ c[21] ^ c[22] ^ c[25] ^ c[27] ^ + c[29] ^ c[30] ^ c[31] ^ d[31] ^ d[30] ^ d[29] ^ d[27] ^ + d[25] ^ d[22] ^ d[21] ^ d[20] ^ d[16] ^ d[13] ^ d[12] ^ + d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[4]; + +assign crc_out[5] = + c[5] ^ c[9] ^ c[10] ^ c[11] ^ c[12] ^ c[13] ^ + c[14] ^ c[17] ^ c[21] ^ c[22] ^ c[23] ^ c[26] ^ c[28] ^ + c[30] ^ c[31] ^ d[31] ^ d[30] ^ d[28] ^ d[26] ^ d[23] ^ + d[22] ^ d[21] ^ d[17] ^ d[14] ^ d[13] ^ d[12] ^ d[11] ^ + d[10] ^ d[9] ^ d[5]; + +assign crc_out[6] = + c[0] ^ c[4] ^ c[5] ^ c[7] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[13] ^ c[14] ^ c[15] ^ c[16] ^ c[17] ^ + c[21] ^ c[22] ^ c[24] ^ c[25] ^ c[26] ^ c[28] ^ c[29] ^ + c[30] ^ d[30] ^ d[29] ^ d[28] ^ d[26] ^ d[25] ^ d[24] ^ + d[22] ^ d[21] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[13] ^ + d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[5] ^ d[4] ^ + d[0]; + +assign crc_out[7] = + c[1] ^ c[5] ^ c[6] ^ c[8] ^ c[9] ^ c[10] ^ + c[11] ^ c[12] ^ c[14] ^ c[15] ^ c[16] ^ c[17] ^ c[18] ^ + c[22] ^ c[23] ^ c[25] ^ c[26] ^ c[27] ^ c[29] ^ c[30] ^ + c[31] ^ d[31] ^ d[30] ^ d[29] ^ d[27] ^ d[26] ^ d[25] ^ + d[23] ^ d[22] ^ d[18] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ + d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[6] ^ d[5] ^ + d[1]; + +assign crc_out[8] = + c[0] ^ c[2] ^ c[4] ^ c[5] ^ c[8] ^ c[10] ^ + c[11] ^ c[13] ^ c[15] ^ c[19] ^ c[21] ^ c[24] ^ c[25] ^ + d[25] ^ d[24] ^ d[21] ^ d[19] ^ d[15] ^ d[13] ^ d[11] ^ + d[10] ^ d[8] ^ d[5] ^ d[4] ^ d[2] ^ d[0]; + +assign crc_out[9] = + c[0] ^ c[1] ^ c[3] ^ c[4] ^ c[7] ^ c[8] ^ + c[11] ^ c[14] ^ c[17] ^ c[18] ^ c[20] ^ c[21] ^ c[22] ^ + c[23] ^ c[27] ^ c[28] ^ c[30] ^ c[31] ^ d[31] ^ d[30] ^ + d[28] ^ d[27] ^ d[23] ^ d[22] ^ d[21] ^ d[20] ^ d[18] ^ + d[17] ^ d[14] ^ d[11] ^ d[8] ^ d[7] ^ d[4] ^ d[3] ^ + d[1] ^ d[0]; + +assign crc_out[10] = + c[0] ^ c[1] ^ c[2] ^ c[6] ^ c[7] ^ c[15] ^ + c[16] ^ c[17] ^ c[19] ^ c[22] ^ c[24] ^ c[25] ^ c[26] ^ + c[27] ^ c[29] ^ c[30] ^ d[30] ^ d[29] ^ d[27] ^ d[26] ^ + d[25] ^ d[24] ^ d[22] ^ d[19] ^ d[17] ^ d[16] ^ d[15] ^ + d[7] ^ d[6] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[11] = + c[0] ^ c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ + c[6] ^ c[9] ^ c[12] ^ c[20] ^ c[21] ^ d[21] ^ d[20] ^ + d[12] ^ d[9] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[2] ^ + d[1] ^ d[0]; + +assign crc_out[12] = + c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ + c[7] ^ c[10] ^ c[13] ^ c[21] ^ c[22] ^ d[22] ^ d[21] ^ + d[13] ^ d[10] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ + d[2] ^ d[1]; + +assign crc_out[13] = + c[0] ^ c[2] ^ c[3] ^ c[9] ^ c[11] ^ c[12] ^ + c[14] ^ c[16] ^ c[17] ^ c[18] ^ c[21] ^ c[22] ^ c[25] ^ + c[26] ^ c[27] ^ c[28] ^ c[30] ^ c[31] ^ d[31] ^ d[30] ^ + d[28] ^ d[27] ^ d[26] ^ d[25] ^ d[22] ^ d[21] ^ d[18] ^ + d[17] ^ d[16] ^ d[14] ^ d[12] ^ d[11] ^ d[9] ^ d[3] ^ + d[2] ^ d[0]; + +assign crc_out[14] = + c[0] ^ c[1] ^ c[3] ^ c[5] ^ c[6] ^ c[7] ^ + c[8] ^ c[9] ^ c[10] ^ c[13] ^ c[15] ^ c[16] ^ c[19] ^ + c[21] ^ c[22] ^ c[25] ^ c[29] ^ c[30] ^ d[30] ^ d[29] ^ + d[25] ^ d[22] ^ d[21] ^ d[19] ^ d[16] ^ d[15] ^ d[13] ^ + d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[3] ^ + d[1] ^ d[0]; + +assign crc_out[15] = + c[1] ^ c[2] ^ c[4] ^ c[6] ^ c[7] ^ c[8] ^ + c[9] ^ c[10] ^ c[11] ^ c[14] ^ c[16] ^ c[17] ^ c[20] ^ + c[22] ^ c[23] ^ c[26] ^ c[30] ^ c[31] ^ d[31] ^ d[30] ^ + d[26] ^ d[23] ^ d[22] ^ d[20] ^ d[17] ^ d[16] ^ d[14] ^ + d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ d[4] ^ + d[2] ^ d[1]; + +assign crc_out[16] = + c[2] ^ c[3] ^ c[5] ^ c[7] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[12] ^ c[15] ^ c[17] ^ c[18] ^ c[21] ^ + c[23] ^ c[24] ^ c[27] ^ c[31] ^ d[31] ^ d[27] ^ d[24] ^ + d[23] ^ d[21] ^ d[18] ^ d[17] ^ d[15] ^ d[12] ^ d[11] ^ + d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[5] ^ d[3] ^ d[2]; + +assign crc_out[17] = + c[3] ^ c[4] ^ c[6] ^ c[8] ^ c[9] ^ c[10] ^ + c[11] ^ c[12] ^ c[13] ^ c[16] ^ c[18] ^ c[19] ^ c[22] ^ + c[24] ^ c[25] ^ c[28] ^ d[28] ^ d[25] ^ d[24] ^ d[22] ^ + d[19] ^ d[18] ^ d[16] ^ d[13] ^ d[12] ^ d[11] ^ d[10] ^ + d[9] ^ d[8] ^ d[6] ^ d[4] ^ d[3]; + +assign crc_out[18] = + c[0] ^ c[6] ^ c[8] ^ c[10] ^ c[11] ^ c[13] ^ + c[14] ^ c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[21] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31] ^ d[31] ^ d[30] ^ d[29] ^ + d[28] ^ d[27] ^ d[21] ^ d[20] ^ d[19] ^ d[18] ^ d[16] ^ + d[14] ^ d[13] ^ d[11] ^ d[10] ^ d[8] ^ d[6] ^ d[0]; + +assign crc_out[19] = + c[0] ^ c[1] ^ c[4] ^ c[5] ^ c[6] ^ c[8] ^ + c[11] ^ c[14] ^ c[15] ^ c[16] ^ c[18] ^ c[19] ^ c[20] ^ + c[22] ^ c[23] ^ c[25] ^ c[26] ^ c[27] ^ c[29] ^ d[29] ^ + d[27] ^ d[26] ^ d[25] ^ d[23] ^ d[22] ^ d[20] ^ d[19] ^ + d[18] ^ d[16] ^ d[15] ^ d[14] ^ d[11] ^ d[8] ^ d[6] ^ + d[5] ^ d[4] ^ d[1] ^ d[0]; + +assign crc_out[20] = + c[0] ^ c[1] ^ c[2] ^ c[4] ^ c[8] ^ c[15] ^ + c[18] ^ c[19] ^ c[20] ^ c[24] ^ c[25] ^ c[31] ^ d[31] ^ + d[25] ^ d[24] ^ d[20] ^ d[19] ^ d[18] ^ d[15] ^ d[8] ^ + d[4] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[21] = + c[1] ^ c[2] ^ c[3] ^ c[5] ^ c[9] ^ c[16] ^ + c[19] ^ c[20] ^ c[21] ^ c[25] ^ c[26] ^ d[26] ^ d[25] ^ + d[21] ^ d[20] ^ d[19] ^ d[16] ^ d[9] ^ d[5] ^ d[3] ^ + d[2] ^ d[1]; + +assign crc_out[22] = + c[0] ^ c[2] ^ c[3] ^ c[5] ^ c[7] ^ c[8] ^ + c[9] ^ c[10] ^ c[12] ^ c[16] ^ c[18] ^ c[20] ^ c[22] ^ + c[23] ^ c[25] ^ c[28] ^ c[30] ^ c[31] ^ d[31] ^ d[30] ^ + d[28] ^ d[25] ^ d[23] ^ d[22] ^ d[20] ^ d[18] ^ d[16] ^ + d[12] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[5] ^ d[3] ^ + d[2] ^ d[0]; + +assign crc_out[23] = + c[0] ^ c[1] ^ c[3] ^ c[5] ^ c[7] ^ c[10] ^ + c[11] ^ c[12] ^ c[13] ^ c[16] ^ c[18] ^ c[19] ^ c[24] ^ + c[25] ^ c[27] ^ c[28] ^ c[29] ^ c[30] ^ d[30] ^ d[29] ^ + d[28] ^ d[27] ^ d[25] ^ d[24] ^ d[19] ^ d[18] ^ d[16] ^ + d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[7] ^ d[5] ^ d[3] ^ + d[1] ^ d[0]; + +assign crc_out[24] = + c[1] ^ c[2] ^ c[4] ^ c[6] ^ c[8] ^ c[11] ^ + c[12] ^ c[13] ^ c[14] ^ c[17] ^ c[19] ^ c[20] ^ c[25] ^ + c[26] ^ c[28] ^ c[29] ^ c[30] ^ c[31] ^ d[31] ^ d[30] ^ + d[29] ^ d[28] ^ d[26] ^ d[25] ^ d[20] ^ d[19] ^ d[17] ^ + d[14] ^ d[13] ^ d[12] ^ d[11] ^ d[8] ^ d[6] ^ d[4] ^ + d[2] ^ d[1]; + +assign crc_out[25] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[6] ^ c[8] ^ + c[13] ^ c[14] ^ c[15] ^ c[16] ^ c[17] ^ c[20] ^ c[23] ^ + c[25] ^ c[28] ^ c[29] ^ d[29] ^ d[28] ^ d[25] ^ d[23] ^ + d[20] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[13] ^ d[8] ^ + d[6] ^ d[4] ^ d[3] ^ d[2] ^ d[0]; + +assign crc_out[26] = + c[0] ^ c[1] ^ c[3] ^ c[6] ^ c[8] ^ c[12] ^ + c[14] ^ c[15] ^ c[23] ^ c[24] ^ c[25] ^ c[27] ^ c[28] ^ + c[29] ^ c[31] ^ d[31] ^ d[29] ^ d[28] ^ d[27] ^ d[25] ^ + d[24] ^ d[23] ^ d[15] ^ d[14] ^ d[12] ^ d[8] ^ d[6] ^ + d[3] ^ d[1] ^ d[0]; + +assign crc_out[27] = + c[0] ^ c[1] ^ c[2] ^ c[5] ^ c[6] ^ c[8] ^ + c[12] ^ c[13] ^ c[15] ^ c[17] ^ c[18] ^ c[21] ^ c[23] ^ + c[24] ^ c[27] ^ c[29] ^ c[31] ^ d[31] ^ d[29] ^ d[27] ^ + d[24] ^ d[23] ^ d[21] ^ d[18] ^ d[17] ^ d[15] ^ d[13] ^ + d[12] ^ d[8] ^ d[6] ^ d[5] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[28] = + c[0] ^ c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ + c[8] ^ c[12] ^ c[13] ^ c[14] ^ c[17] ^ c[19] ^ c[21] ^ + c[22] ^ c[23] ^ c[24] ^ c[26] ^ c[27] ^ c[31] ^ d[31] ^ + d[27] ^ d[26] ^ d[24] ^ d[23] ^ d[22] ^ d[21] ^ d[19] ^ + d[17] ^ d[14] ^ d[13] ^ d[12] ^ d[8] ^ d[5] ^ d[4] ^ + d[3] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[29] = + c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ + c[9] ^ c[13] ^ c[14] ^ c[15] ^ c[18] ^ c[20] ^ c[22] ^ + c[23] ^ c[24] ^ c[25] ^ c[27] ^ c[28] ^ d[28] ^ d[27] ^ + d[25] ^ d[24] ^ d[23] ^ d[22] ^ d[20] ^ d[18] ^ d[15] ^ + d[14] ^ d[13] ^ d[9] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ + d[2] ^ d[1]; + +assign crc_out[30] = + c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ + c[10] ^ c[14] ^ c[15] ^ c[16] ^ c[19] ^ c[21] ^ c[23] ^ + c[24] ^ c[25] ^ c[26] ^ c[28] ^ c[29] ^ d[29] ^ d[28] ^ + d[26] ^ d[25] ^ d[24] ^ d[23] ^ d[21] ^ d[19] ^ d[16] ^ + d[15] ^ d[14] ^ d[10] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ + d[3] ^ d[2]; + +assign crc_out[31] = + c[3] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ + c[11] ^ c[15] ^ c[16] ^ c[17] ^ c[20] ^ c[22] ^ c[24] ^ + c[25] ^ c[26] ^ c[27] ^ c[29] ^ c[30] ^ d[30] ^ d[29] ^ + d[27] ^ d[26] ^ d[25] ^ d[24] ^ d[22] ^ d[20] ^ d[17] ^ + d[16] ^ d[15] ^ d[11] ^ d[8] ^ d[7] ^ d[6] ^ d[5] ^ + d[4] ^ d[3]; + +endmodule + + +module crc32c_dat32_factor (c,d,crc_out); +input[31:0] c; +input[31:0] d; +output[31:0] crc_out; +wire[31:0] crc_out; + +wire[90:0] h ; + +xor6 cx_0 (crc_out[0], h[12] , h[36] , h[38] , h[42] , h[50] , h[51]); +xor6 cx_1 (crc_out[1], h[5] , h[18] , h[20] , h[24] , h[37] , h[53]); +xor6 cx_2 (crc_out[2], h[8] , h[21] , h[31] , h[55] , h[56] , h[57]); +xor6 cx_3 (crc_out[3], h[13] , h[20] , h[21] , h[37] , h[43] , h[62]); +xor6 cx_4 (crc_out[4], h[21] , h[23] , h[34] , h[43] , h[44] , h[55]); +xor6 cx_5 (crc_out[5], h[1] , h[7] , h[9] , h[29] , h[38] , h[44]); +xor6 cx_6 (crc_out[6], h[26] , h[29] , h[34] , h[44] , h[55] , h[63]); +xor6 cx_7 (crc_out[7], h[11] , h[18] , h[28] , h[45] , h[55] , h[70]); +xor6 cx_8 (crc_out[8], h[3] , h[10] , h[33] , h[75] , h[76] , 1'b0); +xor6 cx_9 (crc_out[9], h[18] , h[28] , h[35] , h[41] , h[78] , h[82]); +xor6 cx_10 (crc_out[10], h[17] , h[24] , h[33] , h[36] , h[89] , h[90]); +xor6 cx_11 (crc_out[11], h[16] , h[21] , h[30] , h[38] , h[88] , 1'b0); +xor6 cx_12 (crc_out[12], h[13] , h[15] , h[30] , h[81] , h[87] , 1'b0); +xor6 cx_13 (crc_out[13], h[16] , h[25] , h[36] , h[40] , h[85] , h[86]); +xor6 cx_14 (crc_out[14], h[17] , h[44] , h[48] , h[83] , h[84] , 1'b0); +xor6 cx_15 (crc_out[15], h[21] , h[31] , h[39] , h[71] , h[80] , h[81]); +xor6 cx_16 (crc_out[16], h[33] , h[40] , h[42] , h[79] , h[82] , 1'b0); +xor6 cx_17 (crc_out[17], h[20] , h[32] , h[40] , h[66] , h[77] , 1'b0); +xor6 cx_18 (crc_out[18], h[19] , h[23] , h[27] , h[71] , h[73] , h[74]); +xor6 cx_19 (crc_out[19], h[11] , h[17] , h[41] , h[45] , h[72] , h[81]); +xor6 cx_20 (crc_out[20], c[18] , h[3] , h[17] , h[33] , h[69] , h[71]); +xor6 cx_21 (crc_out[21], h[21] , h[22] , h[38] , h[45] , h[68] , 1'b0); +xor6 cx_22 (crc_out[22], h[9] , h[25] , h[27] , h[31] , h[66] , h[67]); +xor6 cx_23 (crc_out[23], h[6] , h[17] , h[19] , h[25] , h[64] , h[65]); +xor6 cx_24 (crc_out[24], h[15] , h[30] , h[35] , h[41] , h[43] , h[61]); +xor6 cx_25 (crc_out[25], h[14] , h[25] , h[27] , h[28] , h[33] , h[60]); +xor6 cx_26 (crc_out[26], h[8] , h[25] , h[26] , h[30] , h[43] , h[59]); +xor6 cx_27 (crc_out[27], h[5] , h[11] , h[16] , h[19] , h[39] , h[58]); +xor6 cx_28 (crc_out[28], h[15] , h[17] , h[28] , h[32] , h[54] , h[81]); +xor6 cx_29 (crc_out[29], h[11] , h[15] , h[18] , h[21] , h[25] , h[52]); +xor6 cx_30 (crc_out[30], h[20] , h[22] , h[28] , h[45] , h[48] , h[49]); +xor6 cx_31 (crc_out[31], h[14] , h[36] , h[41] , h[46] , h[47] , h[81]); +xor6 hx_0 (h[0], c[8] , c[25] , c[29] , d[29] , d[25] , d[8]); // used by 7 +xor6 hx_1 (h[1], c[10] , c[11] , c[30] , d[30] , d[11] , d[10]); // used by 7 +xor6 hx_2 (h[2], c[16] , c[22] , c[25] , d[25] , d[22] , d[16]); // used by 3 +xor6 hx_3 (h[3], c[4] , c[8] , c[25] , d[25] , d[8] , d[4]); // used by 4 +xor6 hx_4 (h[4], c[8] , c[9] , c[10] , d[10] , d[9] , d[8]); // used by 4 +xor6 hx_5 (h[5], c[17] , c[27] , c[31] , d[31] , d[27] , d[17]); // used by 8 +xor6 hx_6 (h[6], c[5] , c[24] , c[27] , d[27] , d[24] , d[5]); // used by 3 +xor6 hx_7 (h[7], c[14] , c[17] , c[26] , d[26] , d[17] , d[14]); // used by 4 +xor6 hx_8 (h[8], c[14] , c[23] , c[27] , d[27] , d[23] , d[14]); // used by 5 +xor6 hx_9 (h[9], c[12] , c[23] , c[31] , d[31] , d[23] , d[12]); // used by 4 +xor6 hx_10 (h[10], c[5] , c[21] , c[24] , d[24] , d[21] , d[5]); // used by 5 +xor6 hx_11 (h[11], c[5] , c[6] , c[15] , d[15] , d[6] , d[5]); // used by 5 +xor6 hx_12 (h[12], c[6] , c[16] , c[28] , d[28] , d[16] , d[6]); // used by 2 +xor6 hx_13 (h[13], c[3] , c[7] , c[21] , d[21] , d[7] , d[3]); // used by 5 +xor6 hx_14 (h[14], c[4] , c[6] , c[16] , d[16] , d[6] , d[4]); // used by 5 +xor6 hx_15 (h[15], c[2] , c[4] , c[13] , d[13] , d[4] , d[2]); // used by 4 +xor6 hx_16 (h[16], c[0] , c[2] , c[12] , d[12] , d[2] , d[0]); // used by 3 +xor6 hx_17 (h[17], c[0] , c[1] , c[19] , d[19] , d[1] , d[0]); // used by 7 +xor6 hx_18 (h[18], c[1] , c[18] , c[22] , d[22] , d[18] , d[1]); // used by 4 +xor6 hx_19 (h[19], c[13] , c[18] , c[29] , d[29] , d[18] , d[13]); // used by 3 +xor6 hx_20 (h[20], c[19] , c[24] , c[28] , d[28] , d[24] , d[19]); // used by 4 +xor6 hx_21 (h[21], c[9] , c[20] , d[20] , d[9] , 1'b0 , 1'b0); // used by 7 +xor6 hx_22 (h[22], c[2] , c[25] , d[25] , d[2] , 1'b0 , 1'b0); // used by 2 +xor6 hx_23 (h[23], c[21] , c[27] , d[27] , d[21] , 1'b0 , 1'b0); // used by 2 +xor6 hx_24 (h[24], c[6] , c[7] , d[7] , d[6] , 1'b0 , 1'b0); // used by 2 +xor6 hx_25 (h[25], c[3] , c[28] , d[28] , d[3] , 1'b0 , 1'b0); // used by 6 +xor6 hx_26 (h[26], c[0] , c[15] , d[15] , d[0] , 1'b0 , 1'b0); // used by 2 +xor6 hx_27 (h[27], c[0] , c[20] , d[20] , d[0] , 1'b0 , 1'b0); // used by 3 +xor6 hx_28 (h[28], c[27] , d[27] , h[8] , 1'b0 , 1'b0 , 1'b0); // used by 5 +xor6 hx_29 (h[29], c[9] , c[28] , d[28] , d[9] , 1'b0 , 1'b0); // used by 2 +xor6 hx_30 (h[30], c[1] , c[6] , d[6] , d[1] , 1'b0 , 1'b0); // used by 4 +xor6 hx_31 (h[31], c[2] , c[7] , d[7] , d[2] , 1'b0 , 1'b0); // used by 3 +xor6 hx_32 (h[32], c[3] , c[12] , d[12] , d[3] , 1'b0 , 1'b0); // used by 2 +xor6 hx_33 (h[33], c[2] , c[15] , d[15] , d[2] , 1'b0 , 1'b0); // used by 5 +xor6 hx_34 (h[34], c[6] , d[6] , h[14] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_35 (h[35], c[28] , c[30] , d[30] , d[28] , 1'b0 , 1'b0); // used by 2 +xor6 hx_36 (h[36], c[26] , c[30] , d[30] , d[26] , 1'b0 , 1'b0); // used by 4 +xor6 hx_37 (h[37], c[26] , c[29] , d[29] , d[26] , 1'b0 , 1'b0); // used by 2 +xor6 hx_38 (h[38], c[24] , d[24] , h[10] , 1'b0 , 1'b0 , 1'b0); // used by 4 +xor6 hx_39 (h[39], c[8] , c[23] , d[23] , d[8] , 1'b0 , 1'b0); // used by 2 +xor6 hx_40 (h[40], c[11] , c[18] , d[18] , d[11] , 1'b0 , 1'b0); // used by 3 +xor6 hx_41 (h[41], c[11] , c[20] , d[20] , d[11] , 1'b0 , 1'b0); // used by 4 +xor6 hx_42 (h[42], c[31] , d[31] , h[9] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_43 (h[43], c[23] , d[23] , h[9] , 1'b0 , 1'b0 , 1'b0); // used by 4 +xor6 hx_44 (h[44], c[13] , c[22] , d[22] , d[13] , 1'b0 , 1'b0); // used by 4 +xor6 hx_45 (h[45], c[16] , c[26] , d[26] , d[16] , 1'b0 , 1'b0); // used by 4 +xor6 hx_46 (h[46], c[17] , d[17] , h[0] , h[6] , 1'b0 , 1'b0); // used by 1 +xor6 hx_47 (h[47], c[15] , c[21] , d[21] , d[15] , h[13] , 1'b0); // used by 1 +xor6 hx_48 (h[48], h[11] , h[13] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_49 (h[49], c[4] , c[10] , c[29] , d[29] , d[10] , d[4]); // used by 1 +xor6 hx_50 (h[50], c[0] , c[7] , c[9] , d[9] , d[7] , d[0]); // used by 1 +xor6 hx_51 (h[51], c[18] , d[18] , h[3] , h[5] , 1'b0 , 1'b0); // used by 1 +xor6 hx_52 (h[52], c[24] , c[25] , d[25] , d[24] , h[8] , 1'b0); // used by 1 +xor6 hx_53 (h[53], c[5] , c[13] , d[13] , d[5] , h[4] , 1'b0); // used by 1 +xor6 hx_54 (h[54], c[8] , c[26] , d[26] , d[8] , h[5] , h[10]); // used by 1 +xor6 hx_55 (h[55], h[0] , h[1] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 4 +xor6 hx_56 (h[56], c[6] , c[18] , c[19] , d[19] , d[18] , d[6]); // used by 1 +xor6 hx_57 (h[57], c[28] , d[28] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_58 (h[58], c[1] , c[5] , d[5] , d[1] , h[10] , 1'b0); // used by 1 +xor6 hx_59 (h[59], c[24] , d[24] , h[0] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_60 (h[60], c[13] , c[17] , d[17] , d[13] , h[0] , 1'b0); // used by 1 +xor6 hx_61 (h[61], c[19] , d[19] , h[0] , h[7] , 1'b0 , 1'b0); // used by 1 +xor6 hx_62 (h[62], c[8] , c[15] , d[15] , d[8] , h[1] , 1'b0); // used by 1 +xor6 hx_63 (h[63], c[7] , d[7] , h[7] , h[10] , 1'b0 , 1'b0); // used by 1 +xor6 hx_64 (h[64], c[25] , d[25] , h[1] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_65 (h[65], c[7] , c[12] , c[16] , d[16] , d[12] , d[7]); // used by 1 +xor6 hx_66 (h[66], h[2] , h[4] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_67 (h[67], c[5] , c[18] , c[30] , d[30] , d[18] , d[5]); // used by 1 +xor6 hx_68 (h[68], c[0] , c[3] , d[3] , d[0] , h[17] , 1'b0); // used by 1 +xor6 hx_69 (h[69], c[20] , c[24] , d[24] , d[20] , d[18] , 1'b0); // used by 1 +xor6 hx_70 (h[70], c[9] , c[12] , d[12] , d[9] , h[5] , 1'b0); // used by 1 +xor6 hx_71 (h[71], c[31] , d[31] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_72 (h[72], c[4] , c[18] , d[18] , d[4] , h[0] , h[8]); // used by 1 +xor6 hx_73 (h[73], h[1] , h[12] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_74 (h[74], c[8] , c[14] , c[19] , d[19] , d[14] , d[8]); // used by 1 +xor6 hx_75 (h[75], c[0] , c[30] , d[30] , d[0] , h[1] , 1'b0); // used by 1 +xor6 hx_76 (h[76], c[13] , c[19] , d[19] , d[13] , 1'b0 , 1'b0); // used by 1 +xor6 hx_77 (h[77], c[13] , c[16] , d[16] , d[13] , h[14] , 1'b0); // used by 1 +xor6 hx_78 (h[78], c[0] , c[25] , d[25] , d[0] , h[3] , 1'b0); // used by 1 +xor6 hx_79 (h[79], c[27] , d[27] , h[4] , h[6] , 1'b0 , 1'b0); // used by 1 +xor6 hx_80 (h[80], c[1] , d[1] , h[1] , h[7] , h[14] , 1'b0); // used by 1 +xor6 hx_81 (h[81], c[22] , d[22] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 5 +xor6 hx_82 (h[82], h[5] , h[13] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_83 (h[83], c[30] , d[30] , h[0] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_84 (h[84], c[8] , c[16] , d[16] , d[8] , h[4] , 1'b0); // used by 1 +xor6 hx_85 (h[85], h[2] , h[5] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_86 (h[86], c[9] , c[14] , c[21] , d[21] , d[14] , d[9]); // used by 1 +xor6 hx_87 (h[87], c[5] , c[10] , d[10] , d[5] , 1'b0 , 1'b0); // used by 1 +xor6 hx_88 (h[88], c[3] , c[4] , d[4] , d[3] , 1'b0 , 1'b0); // used by 1 +xor6 hx_89 (h[89], c[29] , d[29] , h[2] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_90 (h[90], c[24] , c[31] , d[31] , d[24] , h[5] , 1'b0); // used by 1 +endmodule + + diff --git a/Advanced Synthesis Cookbook/crc/crc32c_dat64.v b/Advanced Synthesis Cookbook/crc/crc32c_dat64.v new file mode 100644 index 0000000..3edf07e --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32c_dat64.v @@ -0,0 +1,580 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 64 data bits (reversed - MSB first) +// polynomial : 1edc6f41 +// x^28 + x^27 + x^26 + x^25 + x^23 + x^22 + x^20 + x^19 + x^18 + x^14 + x^13 + x^11 + x^10 + x^9 + x^8 + x^6 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222222223333333333444444444455555555556666 +// 01234567890123456789012345678901 0123456789012345678901234567890123456789012345678901234567890123 +// C00 = ...###....##.####..#.##....#..#. #...######..#...###..#.#.####.##...###....##.####..#.##....#..#. +// C01 = #...###....##.####..#.##....#..# .#...######..#...###..#.#.####.##...###....##.####..#.##....#..# +// C02 = ##...###....##.####..#.##....#.. ..#...######..#...###..#.#.####.##...###....##.####..#.##....#.. +// C03 = .##...###....##.####..#.##....#. ...#...######..#...###..#.#.####.##...###....##.####..#.##....#. +// C04 = #.##...###....##.####..#.##....# ....#...######..#...###..#.#.####.##...###....##.####..#.##....# +// C05 = ##.##...###....##.####..#.##.... .....#...######..#...###..#.#.####.##...###....##.####..#.##.... +// C06 = ####.....#...###.#..#....#..#.#. #...##.#####.#####...##.###.###.####.....#...###.#..#....#..#.#. +// C07 = .####.....#...###.#..#....#..#.# .#...##.#####.#####...##.###.###.####.....#...###.#..#....#..#.# +// C08 = #.#.......#..##..#...#.......... #.#.##..#.##.#.#...#.#..##......#.#.......#..##..#...#.......... +// C09 = .#..##....#..#..#.##.#.....#..#. ##.##..##..#..#..##.####...##.##.#..##....#..#..#.##.#.....#..#. +// C10 = #.###.#...#..#.###..##.....##.## ###...##.......###.#..#.####.##.#.###.#...#..#.###..##.....##.## +// C11 = .#.....#..#..#.#.###.......##### #######..#..#.......##...........#.....#..#..#.#.###.......##### +// C12 = ..#.....#..#..#.#.###.......#### .#######..#..#.......##...........#.....#..#..#.#.###.......#### +// C13 = ....##...######.##..#.#....#.#.# #.##.....#.##.#.###..##..####.##....##...######.##..#.#....#.#.# +// C14 = #..##.#.....#...####..##...##... ##.#.######..#.##..#.##..#...##.#..##.#.....#...####..##...##... +// C15 = .#..##.#.....#...####..##...##.. .##.#.######..#.##..#.##..#...##.#..##.#.....#...####..##...##.. +// C16 = #.#..##.#.....#...####..##...##. ..##.#.######..#.##..#.##..#...##.#..##.#.....#...####..##...##. +// C17 = ##.#..##.#.....#...####..##...## ...##.#.######..#.##..#.##..#...##.#..##.#.....#...####..##...## +// C18 = .###.#.##..#.###...##..#..#...## #.....#.#.##.##.#.####.....#####.###.#.##..#.###...##..#..#...## +// C19 = #.#..##.######.....##.#.#.....## ##..###.#..#..###.###.##.###.#..#.#..##.######.....##.#.#.....## +// C20 = .#..####.#..#..##..##.##.#.#..## ###.#...#......#..###...##.....#.#..####.#..#..##..##.##.#.#..## +// C21 = #.#..####.#..#..##..##.##.#.#..# .###.#...#......#..###...##.....#.#..####.#..#..##..##.##.#.#..# +// C22 = .#..#######..#.#####....##...##. #.##.#.####.#...#.#.#.##.#..#.##.#..#######..#.#####....##...##. +// C23 = #.###.####...#.#.##.###..###...# ##.#.#.#..####..#.##....##.####.#.###.####...#.#.##.###..###...# +// C24 = .#.###.####...#.#.##.###..###... .##.#.#.#..####..#.##....##.####.#.###.####...#.#.##.###..###... +// C25 = #.##..#.##...##.##..##.##...###. #.###.#.#....#####..#..#.#..##..#.##..#.##...##.##..##.##...###. +// C26 = .#...#.#.#.#.#..####....##.#.#.# ##.#..#.#...#.##.......###.###.#.#...#.#.#.#.#..####....##.#.#.# +// C27 = #.#####.#..###.####.###..####... ###..##.#...##.#.##..#.##..#.#.##.#####.#..###.####.###..####... +// C28 = ##....##.####..#.##....#..#.###. ######..#...###..#.#.####.##...###....##.####..#.##....#..#.###. +// C29 = ###....##.####..#.##....#..#.### .######..#...###..#.#.####.##...###....##.####..#.##....#..#.### +// C30 = .###....##.####..#.##....#..#.## ..######..#...###..#.#.####.##...###....##.####..#.##....#..#.## +// C31 = ..###....##.####..#.##....#..#.# ...######..#...###..#.#.####.##...###....##.####..#.##....#..#.# +// +// Number of XORs used is 32 +// Maximum XOR input count is 59 +// Total XOR inputs 1502 + +module crc32c_dat64 ( + input[31:0] crc_in, + input[63:0] dat_in, + output[31:0] crc_out +); + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32c_dat64_flat cc (.c(crc_in),.d(dat_in),.crc_out(crc_out)); + else + crc32c_dat64_factor cc (.c(crc_in),.d(dat_in),.crc_out(crc_out)); +endgenerate + +endmodule + + +module crc32c_dat64_flat (c,d,crc_out); +input[31:0] c; +input[63:0] d; +output[31:0] crc_out; +wire[31:0] crc_out; + +assign crc_out[0] = + c[3] ^ c[4] ^ c[5] ^ c[10] ^ c[11] ^ c[13] ^ + c[14] ^ c[15] ^ c[16] ^ c[19] ^ c[21] ^ c[22] ^ c[27] ^ + c[30] ^ d[62] ^ d[59] ^ d[54] ^ d[53] ^ d[51] ^ d[48] ^ + d[47] ^ d[46] ^ d[45] ^ d[43] ^ d[42] ^ d[37] ^ d[36] ^ + d[35] ^ d[31] ^ d[30] ^ d[28] ^ d[27] ^ d[26] ^ d[25] ^ + d[23] ^ d[21] ^ d[18] ^ d[17] ^ d[16] ^ d[12] ^ d[9] ^ + d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[0]; + +assign crc_out[1] = + c[0] ^ c[4] ^ c[5] ^ c[6] ^ c[11] ^ c[12] ^ + c[14] ^ c[15] ^ c[16] ^ c[17] ^ c[20] ^ c[22] ^ c[23] ^ + c[28] ^ c[31] ^ d[63] ^ d[60] ^ d[55] ^ d[54] ^ d[52] ^ + d[49] ^ d[48] ^ d[47] ^ d[46] ^ d[44] ^ d[43] ^ d[38] ^ + d[37] ^ d[36] ^ d[32] ^ d[31] ^ d[29] ^ d[28] ^ d[27] ^ + d[26] ^ d[24] ^ d[22] ^ d[19] ^ d[18] ^ d[17] ^ d[13] ^ + d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[1]; + +assign crc_out[2] = + c[0] ^ c[1] ^ c[5] ^ c[6] ^ c[7] ^ c[12] ^ + c[13] ^ c[15] ^ c[16] ^ c[17] ^ c[18] ^ c[21] ^ c[23] ^ + c[24] ^ c[29] ^ d[61] ^ d[56] ^ d[55] ^ d[53] ^ d[50] ^ + d[49] ^ d[48] ^ d[47] ^ d[45] ^ d[44] ^ d[39] ^ d[38] ^ + d[37] ^ d[33] ^ d[32] ^ d[30] ^ d[29] ^ d[28] ^ d[27] ^ + d[25] ^ d[23] ^ d[20] ^ d[19] ^ d[18] ^ d[14] ^ d[11] ^ + d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ d[2]; + +assign crc_out[3] = + c[1] ^ c[2] ^ c[6] ^ c[7] ^ c[8] ^ c[13] ^ + c[14] ^ c[16] ^ c[17] ^ c[18] ^ c[19] ^ c[22] ^ c[24] ^ + c[25] ^ c[30] ^ d[62] ^ d[57] ^ d[56] ^ d[54] ^ d[51] ^ + d[50] ^ d[49] ^ d[48] ^ d[46] ^ d[45] ^ d[40] ^ d[39] ^ + d[38] ^ d[34] ^ d[33] ^ d[31] ^ d[30] ^ d[29] ^ d[28] ^ + d[26] ^ d[24] ^ d[21] ^ d[20] ^ d[19] ^ d[15] ^ d[12] ^ + d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[3]; + +assign crc_out[4] = + c[0] ^ c[2] ^ c[3] ^ c[7] ^ c[8] ^ c[9] ^ + c[14] ^ c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[20] ^ c[23] ^ + c[25] ^ c[26] ^ c[31] ^ d[63] ^ d[58] ^ d[57] ^ d[55] ^ + d[52] ^ d[51] ^ d[50] ^ d[49] ^ d[47] ^ d[46] ^ d[41] ^ + d[40] ^ d[39] ^ d[35] ^ d[34] ^ d[32] ^ d[31] ^ d[30] ^ + d[29] ^ d[27] ^ d[25] ^ d[22] ^ d[21] ^ d[20] ^ d[16] ^ + d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[4]; + +assign crc_out[5] = + c[0] ^ c[1] ^ c[3] ^ c[4] ^ c[8] ^ c[9] ^ + c[10] ^ c[15] ^ c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[21] ^ + c[24] ^ c[26] ^ c[27] ^ d[59] ^ d[58] ^ d[56] ^ d[53] ^ + d[52] ^ d[51] ^ d[50] ^ d[48] ^ d[47] ^ d[42] ^ d[41] ^ + d[40] ^ d[36] ^ d[35] ^ d[33] ^ d[32] ^ d[31] ^ d[30] ^ + d[28] ^ d[26] ^ d[23] ^ d[22] ^ d[21] ^ d[17] ^ d[14] ^ + d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[5]; + +assign crc_out[6] = + c[0] ^ c[1] ^ c[2] ^ c[3] ^ c[9] ^ c[13] ^ + c[14] ^ c[15] ^ c[17] ^ c[20] ^ c[25] ^ c[28] ^ c[30] ^ + d[62] ^ d[60] ^ d[57] ^ d[52] ^ d[49] ^ d[47] ^ d[46] ^ + d[45] ^ d[41] ^ d[35] ^ d[34] ^ d[33] ^ d[32] ^ d[30] ^ + d[29] ^ d[28] ^ d[26] ^ d[25] ^ d[24] ^ d[22] ^ d[21] ^ + d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[13] ^ d[11] ^ d[10] ^ + d[9] ^ d[8] ^ d[7] ^ d[5] ^ d[4] ^ d[0]; + +assign crc_out[7] = + c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[10] ^ c[14] ^ + c[15] ^ c[16] ^ c[18] ^ c[21] ^ c[26] ^ c[29] ^ c[31] ^ + d[63] ^ d[61] ^ d[58] ^ d[53] ^ d[50] ^ d[48] ^ d[47] ^ + d[46] ^ d[42] ^ d[36] ^ d[35] ^ d[34] ^ d[33] ^ d[31] ^ + d[30] ^ d[29] ^ d[27] ^ d[26] ^ d[25] ^ d[23] ^ d[22] ^ + d[18] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[12] ^ d[11] ^ + d[10] ^ d[9] ^ d[8] ^ d[6] ^ d[5] ^ d[1]; + +assign crc_out[8] = + c[0] ^ c[2] ^ c[10] ^ c[13] ^ c[14] ^ c[17] ^ + c[21] ^ d[53] ^ d[49] ^ d[46] ^ d[45] ^ d[42] ^ d[34] ^ + d[32] ^ d[25] ^ d[24] ^ d[21] ^ d[19] ^ d[15] ^ d[13] ^ + d[11] ^ d[10] ^ d[8] ^ d[5] ^ d[4] ^ d[2] ^ d[0]; + +assign crc_out[9] = + c[1] ^ c[4] ^ c[5] ^ c[10] ^ c[13] ^ c[16] ^ + c[18] ^ c[19] ^ c[21] ^ c[27] ^ c[30] ^ d[62] ^ d[59] ^ + d[53] ^ d[51] ^ d[50] ^ d[48] ^ d[45] ^ d[42] ^ d[37] ^ + d[36] ^ d[33] ^ d[31] ^ d[30] ^ d[28] ^ d[27] ^ d[23] ^ + d[22] ^ d[21] ^ d[20] ^ d[18] ^ d[17] ^ d[14] ^ d[11] ^ + d[8] ^ d[7] ^ d[4] ^ d[3] ^ d[1] ^ d[0]; + +assign crc_out[10] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[6] ^ c[10] ^ + c[13] ^ c[15] ^ c[16] ^ c[17] ^ c[20] ^ c[21] ^ c[27] ^ + c[28] ^ c[30] ^ c[31] ^ d[63] ^ d[62] ^ d[60] ^ d[59] ^ + d[53] ^ d[52] ^ d[49] ^ d[48] ^ d[47] ^ d[45] ^ d[42] ^ + d[38] ^ d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[30] ^ d[29] ^ + d[27] ^ d[26] ^ d[25] ^ d[24] ^ d[22] ^ d[19] ^ d[17] ^ + d[16] ^ d[15] ^ d[7] ^ d[6] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[11] = + c[1] ^ c[7] ^ c[10] ^ c[13] ^ c[15] ^ c[17] ^ + c[18] ^ c[19] ^ c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31] ^ + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[59] ^ d[51] ^ d[50] ^ + d[49] ^ d[47] ^ d[45] ^ d[42] ^ d[39] ^ d[33] ^ d[21] ^ + d[20] ^ d[12] ^ d[9] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ + d[2] ^ d[1] ^ d[0]; + +assign crc_out[12] = + c[2] ^ c[8] ^ c[11] ^ c[14] ^ c[16] ^ c[18] ^ + c[19] ^ c[20] ^ c[28] ^ c[29] ^ c[30] ^ c[31] ^ d[63] ^ + d[62] ^ d[61] ^ d[60] ^ d[52] ^ d[51] ^ d[50] ^ d[48] ^ + d[46] ^ d[43] ^ d[40] ^ d[34] ^ d[22] ^ d[21] ^ d[13] ^ + d[10] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[2] ^ + d[1]; + +assign crc_out[13] = + c[4] ^ c[5] ^ c[9] ^ c[10] ^ c[11] ^ c[12] ^ + c[13] ^ c[14] ^ c[16] ^ c[17] ^ c[20] ^ c[22] ^ c[27] ^ + c[29] ^ c[31] ^ d[63] ^ d[61] ^ d[59] ^ d[54] ^ d[52] ^ + d[49] ^ d[48] ^ d[46] ^ d[45] ^ d[44] ^ d[43] ^ d[42] ^ + d[41] ^ d[37] ^ d[36] ^ d[31] ^ d[30] ^ d[28] ^ d[27] ^ + d[26] ^ d[25] ^ d[22] ^ d[21] ^ d[18] ^ d[17] ^ d[16] ^ + d[14] ^ d[12] ^ d[11] ^ d[9] ^ d[3] ^ d[2] ^ d[0]; + +assign crc_out[14] = + c[0] ^ c[3] ^ c[4] ^ c[6] ^ c[12] ^ c[16] ^ + c[17] ^ c[18] ^ c[19] ^ c[22] ^ c[23] ^ c[27] ^ c[28] ^ + d[60] ^ d[59] ^ d[55] ^ d[54] ^ d[51] ^ d[50] ^ d[49] ^ + d[48] ^ d[44] ^ d[38] ^ d[36] ^ d[35] ^ d[32] ^ d[30] ^ + d[29] ^ d[25] ^ d[22] ^ d[21] ^ d[19] ^ d[16] ^ d[15] ^ + d[13] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ d[5] ^ + d[3] ^ d[1] ^ d[0]; + +assign crc_out[15] = + c[1] ^ c[4] ^ c[5] ^ c[7] ^ c[13] ^ c[17] ^ + c[18] ^ c[19] ^ c[20] ^ c[23] ^ c[24] ^ c[28] ^ c[29] ^ + d[61] ^ d[60] ^ d[56] ^ d[55] ^ d[52] ^ d[51] ^ d[50] ^ + d[49] ^ d[45] ^ d[39] ^ d[37] ^ d[36] ^ d[33] ^ d[31] ^ + d[30] ^ d[26] ^ d[23] ^ d[22] ^ d[20] ^ d[17] ^ d[16] ^ + d[14] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ + d[4] ^ d[2] ^ d[1]; + +assign crc_out[16] = + c[0] ^ c[2] ^ c[5] ^ c[6] ^ c[8] ^ c[14] ^ + c[18] ^ c[19] ^ c[20] ^ c[21] ^ c[24] ^ c[25] ^ c[29] ^ + c[30] ^ d[62] ^ d[61] ^ d[57] ^ d[56] ^ d[53] ^ d[52] ^ + d[51] ^ d[50] ^ d[46] ^ d[40] ^ d[38] ^ d[37] ^ d[34] ^ + d[32] ^ d[31] ^ d[27] ^ d[24] ^ d[23] ^ d[21] ^ d[18] ^ + d[17] ^ d[15] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ + d[7] ^ d[5] ^ d[3] ^ d[2]; + +assign crc_out[17] = + c[0] ^ c[1] ^ c[3] ^ c[6] ^ c[7] ^ c[9] ^ + c[15] ^ c[19] ^ c[20] ^ c[21] ^ c[22] ^ c[25] ^ c[26] ^ + c[30] ^ c[31] ^ d[63] ^ d[62] ^ d[58] ^ d[57] ^ d[54] ^ + d[53] ^ d[52] ^ d[51] ^ d[47] ^ d[41] ^ d[39] ^ d[38] ^ + d[35] ^ d[33] ^ d[32] ^ d[28] ^ d[25] ^ d[24] ^ d[22] ^ + d[19] ^ d[18] ^ d[16] ^ d[13] ^ d[12] ^ d[11] ^ d[10] ^ + d[9] ^ d[8] ^ d[6] ^ d[4] ^ d[3]; + +assign crc_out[18] = + c[1] ^ c[2] ^ c[3] ^ c[5] ^ c[7] ^ c[8] ^ + c[11] ^ c[13] ^ c[14] ^ c[15] ^ c[19] ^ c[20] ^ c[23] ^ + c[26] ^ c[30] ^ c[31] ^ d[63] ^ d[62] ^ d[58] ^ d[55] ^ + d[52] ^ d[51] ^ d[47] ^ d[46] ^ d[45] ^ d[43] ^ d[40] ^ + d[39] ^ d[37] ^ d[35] ^ d[34] ^ d[33] ^ d[31] ^ d[30] ^ + d[29] ^ d[28] ^ d[27] ^ d[21] ^ d[20] ^ d[19] ^ d[18] ^ + d[16] ^ d[14] ^ d[13] ^ d[11] ^ d[10] ^ d[8] ^ d[6] ^ + d[0]; + +assign crc_out[19] = + c[0] ^ c[2] ^ c[5] ^ c[6] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[12] ^ c[13] ^ c[19] ^ c[20] ^ c[22] ^ + c[24] ^ c[30] ^ c[31] ^ d[63] ^ d[62] ^ d[56] ^ d[54] ^ + d[52] ^ d[51] ^ d[45] ^ d[44] ^ d[43] ^ d[42] ^ d[41] ^ + d[40] ^ d[38] ^ d[37] ^ d[34] ^ d[32] ^ d[29] ^ d[27] ^ + d[26] ^ d[25] ^ d[23] ^ d[22] ^ d[20] ^ d[19] ^ d[18] ^ + d[16] ^ d[15] ^ d[14] ^ d[11] ^ d[8] ^ d[6] ^ d[5] ^ + d[4] ^ d[1] ^ d[0]; + +assign crc_out[20] = + c[1] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ c[9] ^ + c[12] ^ c[15] ^ c[16] ^ c[19] ^ c[20] ^ c[22] ^ c[23] ^ + c[25] ^ c[27] ^ c[30] ^ c[31] ^ d[63] ^ d[62] ^ d[59] ^ + d[57] ^ d[55] ^ d[54] ^ d[52] ^ d[51] ^ d[48] ^ d[47] ^ + d[44] ^ d[41] ^ d[39] ^ d[38] ^ d[37] ^ d[36] ^ d[33] ^ + d[31] ^ d[25] ^ d[24] ^ d[20] ^ d[19] ^ d[18] ^ d[15] ^ + d[8] ^ d[4] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[21] = + c[0] ^ c[2] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ + c[10] ^ c[13] ^ c[16] ^ c[17] ^ c[20] ^ c[21] ^ c[23] ^ + c[24] ^ c[26] ^ c[28] ^ c[31] ^ d[63] ^ d[60] ^ d[58] ^ + d[56] ^ d[55] ^ d[53] ^ d[52] ^ d[49] ^ d[48] ^ d[45] ^ + d[42] ^ d[40] ^ d[39] ^ d[38] ^ d[37] ^ d[34] ^ d[32] ^ + d[26] ^ d[25] ^ d[21] ^ d[20] ^ d[19] ^ d[16] ^ d[9] ^ + d[5] ^ d[3] ^ d[2] ^ d[1]; + +assign crc_out[22] = + c[1] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ + c[9] ^ c[10] ^ c[13] ^ c[15] ^ c[16] ^ c[17] ^ c[18] ^ + c[19] ^ c[24] ^ c[25] ^ c[29] ^ c[30] ^ d[62] ^ d[61] ^ + d[57] ^ d[56] ^ d[51] ^ d[50] ^ d[49] ^ d[48] ^ d[47] ^ + d[45] ^ d[42] ^ d[41] ^ d[40] ^ d[39] ^ d[38] ^ d[37] ^ + d[36] ^ d[33] ^ d[31] ^ d[30] ^ d[28] ^ d[25] ^ d[23] ^ + d[22] ^ d[20] ^ d[18] ^ d[16] ^ d[12] ^ d[10] ^ d[9] ^ + d[8] ^ d[7] ^ d[5] ^ d[3] ^ d[2] ^ d[0]; + +assign crc_out[23] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[6] ^ c[7] ^ + c[8] ^ c[9] ^ c[13] ^ c[15] ^ c[17] ^ c[18] ^ c[20] ^ + c[21] ^ c[22] ^ c[25] ^ c[26] ^ c[27] ^ c[31] ^ d[63] ^ + d[59] ^ d[58] ^ d[57] ^ d[54] ^ d[53] ^ d[52] ^ d[50] ^ + d[49] ^ d[47] ^ d[45] ^ d[41] ^ d[40] ^ d[39] ^ d[38] ^ + d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[30] ^ d[29] ^ d[28] ^ + d[27] ^ d[25] ^ d[24] ^ d[19] ^ d[18] ^ d[16] ^ d[13] ^ + d[12] ^ d[11] ^ d[10] ^ d[7] ^ d[5] ^ d[3] ^ d[1] ^ + d[0]; + +assign crc_out[24] = + c[1] ^ c[3] ^ c[4] ^ c[5] ^ c[7] ^ c[8] ^ + c[9] ^ c[10] ^ c[14] ^ c[16] ^ c[18] ^ c[19] ^ c[21] ^ + c[22] ^ c[23] ^ c[26] ^ c[27] ^ c[28] ^ d[60] ^ d[59] ^ + d[58] ^ d[55] ^ d[54] ^ d[53] ^ d[51] ^ d[50] ^ d[48] ^ + d[46] ^ d[42] ^ d[41] ^ d[40] ^ d[39] ^ d[37] ^ d[36] ^ + d[35] ^ d[33] ^ d[31] ^ d[30] ^ d[29] ^ d[28] ^ d[26] ^ + d[25] ^ d[20] ^ d[19] ^ d[17] ^ d[14] ^ d[13] ^ d[12] ^ + d[11] ^ d[8] ^ d[6] ^ d[4] ^ d[2] ^ d[1]; + +assign crc_out[25] = + c[0] ^ c[2] ^ c[3] ^ c[6] ^ c[8] ^ c[9] ^ + c[13] ^ c[14] ^ c[16] ^ c[17] ^ c[20] ^ c[21] ^ c[23] ^ + c[24] ^ c[28] ^ c[29] ^ c[30] ^ d[62] ^ d[61] ^ d[60] ^ + d[56] ^ d[55] ^ d[53] ^ d[52] ^ d[49] ^ d[48] ^ d[46] ^ + d[45] ^ d[41] ^ d[40] ^ d[38] ^ d[35] ^ d[34] ^ d[32] ^ + d[29] ^ d[28] ^ d[25] ^ d[23] ^ d[20] ^ d[17] ^ d[16] ^ + d[15] ^ d[14] ^ d[13] ^ d[8] ^ d[6] ^ d[4] ^ d[3] ^ + d[2] ^ d[0]; + +assign crc_out[26] = + c[1] ^ c[5] ^ c[7] ^ c[9] ^ c[11] ^ c[13] ^ + c[16] ^ c[17] ^ c[18] ^ c[19] ^ c[24] ^ c[25] ^ c[27] ^ + c[29] ^ c[31] ^ d[63] ^ d[61] ^ d[59] ^ d[57] ^ d[56] ^ + d[51] ^ d[50] ^ d[49] ^ d[48] ^ d[45] ^ d[43] ^ d[41] ^ + d[39] ^ d[37] ^ d[33] ^ d[31] ^ d[29] ^ d[28] ^ d[27] ^ + d[25] ^ d[24] ^ d[23] ^ d[15] ^ d[14] ^ d[12] ^ d[8] ^ + d[6] ^ d[3] ^ d[1] ^ d[0]; + +assign crc_out[27] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ + c[8] ^ c[11] ^ c[12] ^ c[13] ^ c[15] ^ c[16] ^ c[17] ^ + c[18] ^ c[20] ^ c[21] ^ c[22] ^ c[25] ^ c[26] ^ c[27] ^ + c[28] ^ d[60] ^ d[59] ^ d[58] ^ d[57] ^ d[54] ^ d[53] ^ + d[52] ^ d[50] ^ d[49] ^ d[48] ^ d[47] ^ d[45] ^ d[44] ^ + d[43] ^ d[40] ^ d[38] ^ d[37] ^ d[36] ^ d[35] ^ d[34] ^ + d[32] ^ d[31] ^ d[29] ^ d[27] ^ d[24] ^ d[23] ^ d[21] ^ + d[18] ^ d[17] ^ d[15] ^ d[13] ^ d[12] ^ d[8] ^ d[6] ^ + d[5] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[28] = + c[0] ^ c[1] ^ c[6] ^ c[7] ^ c[9] ^ c[10] ^ + c[11] ^ c[12] ^ c[15] ^ c[17] ^ c[18] ^ c[23] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ d[62] ^ d[61] ^ d[60] ^ d[58] ^ + d[55] ^ d[50] ^ d[49] ^ d[47] ^ d[44] ^ d[43] ^ d[42] ^ + d[41] ^ d[39] ^ d[38] ^ d[33] ^ d[32] ^ d[31] ^ d[27] ^ + d[26] ^ d[24] ^ d[23] ^ d[22] ^ d[21] ^ d[19] ^ d[17] ^ + d[14] ^ d[13] ^ d[12] ^ d[8] ^ d[5] ^ d[4] ^ d[3] ^ + d[2] ^ d[1] ^ d[0]; + +assign crc_out[29] = + c[0] ^ c[1] ^ c[2] ^ c[7] ^ c[8] ^ c[10] ^ + c[11] ^ c[12] ^ c[13] ^ c[16] ^ c[18] ^ c[19] ^ c[24] ^ + c[27] ^ c[29] ^ c[30] ^ c[31] ^ d[63] ^ d[62] ^ d[61] ^ + d[59] ^ d[56] ^ d[51] ^ d[50] ^ d[48] ^ d[45] ^ d[44] ^ + d[43] ^ d[42] ^ d[40] ^ d[39] ^ d[34] ^ d[33] ^ d[32] ^ + d[28] ^ d[27] ^ d[25] ^ d[24] ^ d[23] ^ d[22] ^ d[20] ^ + d[18] ^ d[15] ^ d[14] ^ d[13] ^ d[9] ^ d[6] ^ d[5] ^ + d[4] ^ d[3] ^ d[2] ^ d[1]; + +assign crc_out[30] = + c[1] ^ c[2] ^ c[3] ^ c[8] ^ c[9] ^ c[11] ^ + c[12] ^ c[13] ^ c[14] ^ c[17] ^ c[19] ^ c[20] ^ c[25] ^ + c[28] ^ c[30] ^ c[31] ^ d[63] ^ d[62] ^ d[60] ^ d[57] ^ + d[52] ^ d[51] ^ d[49] ^ d[46] ^ d[45] ^ d[44] ^ d[43] ^ + d[41] ^ d[40] ^ d[35] ^ d[34] ^ d[33] ^ d[29] ^ d[28] ^ + d[26] ^ d[25] ^ d[24] ^ d[23] ^ d[21] ^ d[19] ^ d[16] ^ + d[15] ^ d[14] ^ d[10] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ + d[3] ^ d[2]; + +assign crc_out[31] = + c[2] ^ c[3] ^ c[4] ^ c[9] ^ c[10] ^ c[12] ^ + c[13] ^ c[14] ^ c[15] ^ c[18] ^ c[20] ^ c[21] ^ c[26] ^ + c[29] ^ c[31] ^ d[63] ^ d[61] ^ d[58] ^ d[53] ^ d[52] ^ + d[50] ^ d[47] ^ d[46] ^ d[45] ^ d[44] ^ d[42] ^ d[41] ^ + d[36] ^ d[35] ^ d[34] ^ d[30] ^ d[29] ^ d[27] ^ d[26] ^ + d[25] ^ d[24] ^ d[22] ^ d[20] ^ d[17] ^ d[16] ^ d[15] ^ + d[11] ^ d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3]; + +endmodule + +module crc32c_dat64_factor (c,d,crc_out); +input[31:0] c; +input[63:0] d; +output[31:0] crc_out; +wire[31:0] crc_out; + +wire[149:0] h ; + +xor6 cx_0 (crc_out[0], h[28] , h[49] , h[63] , h[64] , h[67] , h[96]); +xor6 cx_1 (crc_out[1], h[60] , h[67] , h[79] , h[90] , h[99] , h[108]); +xor6 cx_2 (crc_out[2], h[58] , h[70] , h[93] , h[111] , h[118] , h[123]); +xor6 cx_3 (crc_out[3], h[43] , h[53] , h[57] , h[63] , h[129] , h[134]); +xor6 cx_4 (crc_out[4], h[10] , h[14] , h[139] , h[147] , h[148] , h[149]); +xor6 cx_5 (crc_out[5], h[54] , h[62] , h[71] , h[74] , h[145] , h[146]); +xor6 cx_6 (crc_out[6], h[29] , h[48] , h[74] , h[142] , h[143] , h[144]); +xor6 cx_7 (crc_out[7], h[12] , h[37] , h[50] , h[128] , h[140] , h[141]); +xor6 cx_8 (crc_out[8], h[61] , h[67] , h[69] , h[78] , h[137] , h[138]); +xor6 cx_9 (crc_out[9], h[24] , h[28] , h[48] , h[51] , h[135] , h[136]); +xor6 cx_10 (crc_out[10], h[15] , h[41] , h[130] , h[131] , h[132] , h[133]); +xor6 cx_11 (crc_out[11], h[48] , h[49] , h[62] , h[75] , h[126] , h[127]); +xor6 cx_12 (crc_out[12], h[8] , h[21] , h[48] , h[72] , h[124] , h[125]); +xor6 cx_13 (crc_out[13], h[65] , h[85] , h[88] , h[90] , h[122] , h[128]); +xor6 cx_14 (crc_out[14], h[66] , h[71] , h[72] , h[119] , h[120] , h[121]); +xor6 cx_15 (crc_out[15], h[41] , h[51] , h[79] , h[80] , h[117] , h[118]); +xor6 cx_16 (crc_out[16], h[46] , h[48] , h[55] , h[114] , h[115] , h[116]); +xor6 cx_17 (crc_out[17], h[23] , h[44] , h[70] , h[75] , h[112] , h[113]); +xor6 cx_18 (crc_out[18], h[37] , h[47] , h[60] , h[77] , h[109] , h[110]); +xor6 cx_19 (crc_out[19], h[39] , h[54] , h[58] , h[63] , h[106] , h[107]); +xor6 cx_20 (crc_out[20], h[48] , h[68] , h[70] , h[79] , h[104] , h[105]); +xor6 cx_21 (crc_out[21], h[43] , h[53] , h[66] , h[80] , h[102] , h[103]); +xor6 cx_22 (crc_out[22], h[38] , h[45] , h[57] , h[68] , h[100] , h[101]); +xor6 cx_23 (crc_out[23], h[31] , h[42] , h[56] , h[93] , h[97] , h[98]); +xor6 cx_24 (crc_out[24], h[39] , h[44] , h[80] , h[85] , h[94] , h[95]); +xor6 cx_25 (crc_out[25], h[35] , h[40] , h[64] , h[69] , h[91] , h[92]); +xor6 cx_26 (crc_out[26], h[17] , h[18] , h[75] , h[79] , h[88] , h[89]); +xor6 cx_27 (crc_out[27], h[33] , h[46] , h[62] , h[71] , h[86] , h[87]); +xor6 cx_28 (crc_out[28], h[45] , h[50] , h[66] , h[77] , h[83] , h[84]); +xor6 cx_29 (crc_out[29], h[32] , h[55] , h[74] , h[78] , h[81] , h[82]); +xor6 cx_30 (crc_out[30], h[26] , h[47] , h[58] , h[70] , h[73] , h[76]); +xor6 cx_31 (crc_out[31], h[36] , h[52] , h[56] , h[59] , h[61] , h[65]); +xor6 hx_0 (h[0], c[13] , c[17] , d[49] , d[45] , d[8] , d[0]); // used by 6 +xor6 hx_1 (h[1], c[2] , c[8] , c[20] , d[52] , d[40] , d[34]); // used by 10 +xor6 hx_2 (h[2], c[1] , c[18] , c[19] , d[51] , d[50] , d[33]); // used by 9 +xor6 hx_3 (h[3], c[4] , c[16] , d[48] , d[36] , d[31] , d[30]); // used by 6 +xor6 hx_4 (h[4], c[3] , c[15] , d[47] , d[35] , d[25] , d[16]); // used by 8 +xor6 hx_5 (h[5], c[0] , c[6] , d[38] , d[32] , d[29] , d[19]); // used by 6 +xor6 hx_6 (h[6], c[30] , d[62] , d[23] , d[5] , d[4] , d[3]); // used by 3 +xor6 hx_7 (h[7], c[10] , d[42] , d[27] , d[26] , d[22] , d[17]); // used by 4 +xor6 hx_8 (h[8], c[28] , d[60] , d[13] , d[6] , d[2] , d[1]); // used by 3 +xor6 hx_9 (h[9], c[7] , c[23] , d[55] , d[39] , d[20] , d[8]); // used by 5 +xor6 hx_10 (h[10], c[9] , c[25] , c[31] , d[63] , d[57] , d[41]); // used by 7 +xor6 hx_11 (h[11], c[5] , c[11] , d[43] , d[37] , d[27] , d[18]); // used by 6 +xor6 hx_12 (h[12], c[21] , c[26] , d[58] , d[53] , d[12] , d[5]); // used by 4 +xor6 hx_13 (h[13], c[17] , d[49] , d[45] , d[9] , d[7] , 1'b0); // used by 1 +xor6 hx_14 (h[14], c[14] , d[46] , d[21] , d[11] , d[10] , d[9]); // used by 4 +xor6 hx_15 (h[15], c[13] , c[31] , d[63] , d[45] , d[6] , d[1]); // used by 4 +xor6 hx_16 (h[16], c[17] , c[28] , d[60] , d[49] , d[21] , d[2]); // used by 5 +xor6 hx_17 (h[17], c[24] , c[29] , d[61] , d[56] , d[23] , d[14]); // used by 3 +xor6 hx_18 (h[18], c[16] , c[27] , d[59] , d[48] , d[25] , d[15]); // used by 4 +xor6 hx_19 (h[19], d[62] , d[28] , d[12] , d[7] , d[3] , 1'b0); // used by 2 +xor6 hx_20 (h[20], c[4] , c[12] , c[22] , d[54] , d[44] , d[36]); // used by 4 +xor6 hx_21 (h[21], c[14] , c[29] , c[31] , d[63] , d[61] , d[46]); // used by 3 +xor6 hx_22 (h[22], c[19] , c[30] , d[62] , d[51] , d[28] , d[4]); // used by 2 +xor6 hx_23 (h[23], c[0] , c[6] , d[38] , d[32] , d[24] , d[19]); // used by 2 +xor6 hx_24 (h[24], c[5] , c[21] , d[53] , d[37] , d[18] , d[3]); // used by 2 +xor6 hx_25 (h[25], c[10] , c[24] , d[56] , d[42] , d[20] , d[9]); // used by 3 +xor6 hx_26 (h[26], c[3] , c[14] , d[46] , d[35] , d[29] , d[28]); // used by 4 +xor6 hx_27 (h[27], c[20] , d[52] , d[22] , d[17] , d[13] , 1'b0); // used by 4 +xor6 hx_28 (h[28], c[13] , c[27] , d[59] , d[45] , d[21] , d[0]); // used by 3 +xor6 hx_29 (h[29], c[2] , d[34] , d[30] , d[29] , d[24] , d[15]); // used by 4 +xor6 hx_30 (h[30], c[30] , d[62] , d[51] , d[5] , d[0] , 1'b0); // used by 1 +xor6 hx_31 (h[31], c[17] , d[49] , d[30] , d[24] , d[10] , d[7]); // used by 1 +xor6 hx_32 (h[32], c[11] , c[12] , d[44] , d[43] , d[14] , d[13]); // used by 2 +xor6 hx_33 (h[33], c[6] , c[25] , d[57] , d[38] , d[31] , d[23]); // used by 2 +xor6 hx_34 (h[34], d[58] , d[53] , d[25] , d[3] , 1'b0 , 1'b0); // used by 1 +xor6 hx_35 (h[35], c[9] , d[41] , d[25] , d[16] , d[4] , d[2]); // used by 1 +xor6 hx_36 (h[36], c[18] , d[50] , d[8] , d[7] , d[6] , d[5]); // used by 2 +xor6 hx_37 (h[37], c[1] , d[33] , d[14] , d[11] , d[10] , d[6]); // used by 3 +xor6 hx_38 (h[38], c[5] , c[15] , d[47] , d[37] , d[18] , d[2]); // used by 3 +xor6 hx_39 (h[39], c[9] , c[10] , d[42] , d[41] , d[14] , d[11]); // used by 3 +xor6 hx_40 (h[40], c[16] , c[23] , c[28] , d[60] , d[55] , d[48]); // used by 2 +xor6 hx_41 (h[41], c[4] , c[20] , c[28] , d[60] , d[52] , d[36]); // used by 2 +xor6 hx_42 (h[42], c[22] , d[54] , d[28] , d[18] , d[11] , d[3]); // used by 2 +xor6 hx_43 (h[43], c[6] , c[7] , c[13] , d[45] , d[39] , d[38]); // used by 2 +xor6 hx_44 (h[44], c[21] , c[22] , c[26] , d[58] , d[54] , d[53]); // used by 2 +xor6 hx_45 (h[45], c[7] , c[9] , c[29] , d[61] , d[41] , d[39]); // used by 2 +xor6 hx_46 (h[46], c[0] , c[18] , d[50] , d[32] , d[24] , d[15]); // used by 3 +xor6 hx_47 (h[47], c[13] , c[19] , d[51] , d[45] , d[19] , d[16]); // used by 2 +xor6 hx_48 (h[48], c[30] , d[62] , d[7] , d[4] , 1'b0 , 1'b0); // used by 6 +xor6 hx_49 (h[49], c[10] , d[42] , d[9] , d[7] , 1'b0 , 1'b0); // used by 2 +xor6 hx_50 (h[50], c[18] , d[50] , d[8] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_51 (h[51], d[22] , d[17] , d[11] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_52 (h[52], h[21] , h[29] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_53 (h[53], c[16] , d[48] , d[19] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_54 (h[54], c[24] , d[56] , d[23] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_55 (h[55], c[29] , d[61] , d[27] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_56 (h[56], c[4] , c[13] , d[45] , d[36] , 1'b0 , 1'b0); // used by 2 +xor6 hx_57 (h[57], c[8] , c[25] , d[57] , d[40] , 1'b0 , 1'b0); // used by 2 +xor6 hx_58 (h[58], c[12] , d[44] , d[25] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_59 (h[59], c[26] , d[58] , d[20] , d[3] , h[4] , h[7]); // used by 1 +xor6 hx_60 (h[60], c[15] , c[31] , d[63] , d[47] , 1'b0 , 1'b0); // used by 2 +xor6 hx_61 (h[61], c[21] , d[53] , d[11] , d[4] , 1'b0 , 1'b0); // used by 2 +xor6 hx_62 (h[62], c[15] , c[27] , d[59] , d[47] , 1'b0 , 1'b0); // used by 3 +xor6 hx_63 (h[63], c[22] , d[54] , d[26] , d[8] , 1'b0 , 1'b0); // used by 3 +xor6 hx_64 (h[64], c[21] , d[53] , d[17] , d[6] , 1'b0 , 1'b0); // used by 2 +xor6 hx_65 (h[65], c[9] , c[12] , c[20] , d[52] , d[44] , d[41]); // used by 2 +xor6 hx_66 (h[66], c[23] , d[55] , d[1] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_67 (h[67], c[14] , d[46] , d[5] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_68 (h[68], c[6] , d[38] , d[10] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_69 (h[69], c[0] , d[32] , d[13] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_70 (h[70], d[14] , d[11] , h[37] , 1'b0 , 1'b0 , 1'b0); // used by 4 +xor6 hx_71 (h[71], c[3] , d[35] , d[21] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_72 (h[72], c[19] , d[51] , d[22] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_73 (h[73], d[7] , h[1] , h[6] , h[10] , h[16] , 1'b0); // used by 1 +xor6 hx_74 (h[74], c[0] , d[32] , d[28] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_75 (h[75], c[7] , d[39] , d[12] , d[3] , 1'b0 , 1'b0); // used by 3 +xor6 hx_76 (h[76], c[11] , d[43] , d[26] , d[24] , d[15] , d[14]); // used by 1 +xor6 hx_77 (h[77], c[26] , d[58] , d[31] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_78 (h[78], c[2] , d[34] , d[24] , d[2] , 1'b0 , 1'b0); // used by 2 +xor6 hx_79 (h[79], d[31] , d[24] , d[6] , d[1] , 1'b0 , 1'b0); // used by 4 +xor6 hx_80 (h[80], c[5] , d[37] , d[26] , d[16] , 1'b0 , 1'b0); // used by 3 +xor6 hx_81 (h[81], h[2] , h[6] , h[15] , h[18] , h[25] , 1'b0); // used by 1 +xor6 hx_82 (h[82], c[7] , c[8] , d[40] , d[39] , d[22] , d[18]); // used by 1 +xor6 hx_83 (h[83], h[6] , h[7] , h[16] , h[23] , h[32] , 1'b0); // used by 1 +xor6 hx_84 (h[84], c[1] , c[15] , d[47] , d[33] , d[12] , d[0]); // used by 1 +xor6 hx_85 (h[85], d[25] , d[16] , d[12] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_86 (h[86], h[8] , h[11] , h[12] , h[20] , 1'b0 , 1'b0); // used by 1 +xor6 hx_87 (h[87], c[16] , d[48] , d[29] , d[17] , h[0] , h[1]); // used by 1 +xor6 hx_88 (h[88], d[27] , d[18] , h[11] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_89 (h[89], d[29] , d[28] , d[27] , h[0] , h[2] , h[10]); // used by 1 +xor6 hx_90 (h[90], c[17] , d[49] , d[9] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_91 (h[91], d[3] , h[0] , h[1] , h[17] , h[26] , 1'b0); // used by 1 +xor6 hx_92 (h[92], c[6] , c[30] , d[62] , d[38] , d[20] , d[15]); // used by 1 +xor6 hx_93 (h[93], c[18] , d[50] , d[27] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_94 (h[94], d[4] , h[2] , h[3] , h[8] , h[9] , h[26]); // used by 1 +xor6 hx_95 (h[95], c[8] , c[27] , d[59] , d[40] , d[19] , d[17]); // used by 1 +xor6 hx_96 (h[96], d[23] , d[12] , h[3] , h[4] , h[11] , h[22]); // used by 1 +xor6 hx_97 (h[97], d[0] , h[1] , h[4] , h[5] , h[10] , h[12]); // used by 1 +xor6 hx_98 (h[98], c[7] , c[27] , d[59] , d[39] , d[13] , d[1]); // used by 1 +xor6 hx_99 (h[99], d[28] , d[10] , d[8] , d[7] , h[5] , h[11]); // used by 1 +xor6 hx_100 (h[100], c[30] , h[2] , h[3] , h[19] , h[25] , 1'b0); // used by 1 +xor6 hx_101 (h[101], d[25] , d[23] , d[22] , d[16] , d[5] , h[0]); // used by 1 +xor6 hx_102 (h[102], c[21] , c[26] , h[16] , h[25] , h[34] , 1'b0); // used by 1 +xor6 hx_103 (h[103], c[0] , c[31] , d[63] , d[32] , d[5] , h[1]); // used by 1 +xor6 hx_104 (h[104], d[0] , h[9] , h[10] , h[18] , h[20] , h[38]); // used by 1 +xor6 hx_105 (h[105], c[19] , c[20] , d[52] , d[51] , d[19] , d[7]); // used by 1 +xor6 hx_106 (h[106], c[19] , h[5] , h[11] , h[15] , h[30] , 1'b0); // used by 1 +xor6 hx_107 (h[107], d[22] , d[20] , d[16] , d[15] , d[4] , h[1]); // used by 1 +xor6 hx_108 (h[108], d[26] , h[20] , h[27] , h[40] , 1'b0 , 1'b0); // used by 1 +xor6 hx_109 (h[109], h[1] , h[9] , h[11] , h[26] , 1'b0 , 1'b0); // used by 1 +xor6 hx_110 (h[110], c[30] , d[62] , d[30] , d[21] , d[13] , d[0]); // used by 1 +xor6 hx_111 (h[111], c[16] , c[21] , d[53] , d[48] , d[30] , d[28]); // used by 1 +xor6 hx_112 (h[112], d[9] , d[8] , h[4] , h[10] , h[22] , 1'b0); // used by 1 +xor6 hx_113 (h[113], d[18] , d[17] , d[11] , h[27] , 1'b0 , 1'b0); // used by 1 +xor6 hx_114 (h[114], h[24] , h[33] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_115 (h[115], d[8] , d[5] , d[4] , d[2] , h[1] , h[14]); // used by 1 +xor6 hx_116 (h[116], c[19] , c[24] , d[56] , d[51] , d[17] , d[12]); // used by 1 +xor6 hx_117 (h[117], d[30] , d[24] , d[10] , d[4] , d[2] , h[2]); // used by 1 +xor6 hx_118 (h[118], c[13] , h[9] , h[13] , h[17] , 1'b0 , 1'b0); // used by 2 +xor6 hx_119 (h[119], h[18] , h[20] , h[36] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_120 (h[120], d[13] , d[10] , d[9] , d[3] , d[0] , h[5]); // used by 1 +xor6 hx_121 (h[121], d[30] , d[21] , d[16] , d[2] , h[16] , 1'b0); // used by 1 +xor6 hx_122 (h[122], d[14] , d[2] , h[28] , h[42] , 1'b0 , 1'b0); // used by 1 +xor6 hx_123 (h[123], d[11] , h[5] , h[38] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_124 (h[124], d[21] , d[10] , d[5] , d[3] , h[1] , 1'b0); // used by 1 +xor6 hx_125 (h[125], c[11] , c[16] , c[18] , d[50] , d[48] , d[43]); // used by 1 +xor6 hx_126 (h[126], h[15] , h[16] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_127 (h[127], c[29] , d[61] , d[20] , d[5] , d[0] , h[2]); // used by 1 +xor6 hx_128 (h[128], h[3] , h[7] , h[21] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_129 (h[129], c[17] , c[24] , d[56] , d[49] , d[31] , d[20]); // used by 1 +xor6 hx_130 (h[130], h[4] , h[5] , h[7] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_131 (h[131], d[30] , d[24] , d[15] , d[7] , d[2] , d[0]); // used by 1 +xor6 hx_132 (h[132], c[21] , c[27] , c[30] , d[62] , d[59] , d[53]); // used by 1 +xor6 hx_133 (h[133], c[2] , c[16] , c[17] , d[49] , d[48] , d[34]); // used by 1 +xor6 hx_134 (h[134], c[30] , h[2] , h[14] , h[19] , h[29] , 1'b0); // used by 1 +xor6 hx_135 (h[135], d[14] , d[8] , d[1] , h[2] , h[3] , 1'b0); // used by 1 +xor6 hx_136 (h[136], c[10] , d[42] , d[28] , d[27] , d[23] , d[20]); // used by 1 +xor6 hx_137 (h[137], d[10] , h[0] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_138 (h[138], c[10] , d[42] , d[25] , d[21] , d[19] , d[15]); // used by 1 +xor6 hx_139 (h[139], c[17] , d[49] , d[24] , d[15] , h[46] , 1'b0); // used by 1 +xor6 hx_140 (h[140], d[9] , d[1] , h[4] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_141 (h[141], d[30] , d[24] , d[23] , d[18] , h[29] , 1'b0); // used by 1 +xor6 hx_142 (h[142], d[26] , h[14] , h[27] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_143 (h[143], c[28] , d[60] , d[14] , d[5] , h[0] , h[4]); // used by 1 +xor6 hx_144 (h[144], c[1] , c[31] , d[63] , d[33] , h[10] , 1'b0); // used by 1 +xor6 hx_145 (h[145], d[26] , h[12] , h[27] , h[39] , 1'b0 , 1'b0); // used by 1 +xor6 hx_146 (h[146], c[8] , d[40] , d[10] , d[9] , h[2] , h[3]); // used by 1 +xor6 hx_147 (h[147], c[19] , c[26] , d[58] , d[51] , d[31] , d[30]); // used by 1 +xor6 hx_148 (h[148], h[1] , h[4] , h[9] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_149 (h[149], d[29] , d[27] , d[22] , d[13] , d[12] , d[4]); // used by 1 +endmodule + + diff --git a/Advanced Synthesis Cookbook/crc/crc32c_dat64_only.v b/Advanced Synthesis Cookbook/crc/crc32c_dat64_only.v new file mode 100644 index 0000000..1b24e49 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32c_dat64_only.v @@ -0,0 +1,482 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 64 data bits (reversed - MSB first) +// polynomial : 1edc6f41 +// x^28 + x^27 + x^26 + x^25 + x^23 + x^22 + x^20 + x^19 + x^18 + x^14 + x^13 + x^11 + x^10 + x^9 + x^8 + x^6 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222222223333333333444444444455555555556666 +// 01234567890123456789012345678901 0123456789012345678901234567890123456789012345678901234567890123 +// C00 = ................................ #...######..#...###..#.#.####.##...###....##.####..#.##....#..#. +// C01 = ................................ .#...######..#...###..#.#.####.##...###....##.####..#.##....#..# +// C02 = ................................ ..#...######..#...###..#.#.####.##...###....##.####..#.##....#.. +// C03 = ................................ ...#...######..#...###..#.#.####.##...###....##.####..#.##....#. +// C04 = ................................ ....#...######..#...###..#.#.####.##...###....##.####..#.##....# +// C05 = ................................ .....#...######..#...###..#.#.####.##...###....##.####..#.##.... +// C06 = ................................ #...##.#####.#####...##.###.###.####.....#...###.#..#....#..#.#. +// C07 = ................................ .#...##.#####.#####...##.###.###.####.....#...###.#..#....#..#.# +// C08 = ................................ #.#.##..#.##.#.#...#.#..##......#.#.......#..##..#...#.......... +// C09 = ................................ ##.##..##..#..#..##.####...##.##.#..##....#..#..#.##.#.....#..#. +// C10 = ................................ ###...##.......###.#..#.####.##.#.###.#...#..#.###..##.....##.## +// C11 = ................................ #######..#..#.......##...........#.....#..#..#.#.###.......##### +// C12 = ................................ .#######..#..#.......##...........#.....#..#..#.#.###.......#### +// C13 = ................................ #.##.....#.##.#.###..##..####.##....##...######.##..#.#....#.#.# +// C14 = ................................ ##.#.######..#.##..#.##..#...##.#..##.#.....#...####..##...##... +// C15 = ................................ .##.#.######..#.##..#.##..#...##.#..##.#.....#...####..##...##.. +// C16 = ................................ ..##.#.######..#.##..#.##..#...##.#..##.#.....#...####..##...##. +// C17 = ................................ ...##.#.######..#.##..#.##..#...##.#..##.#.....#...####..##...## +// C18 = ................................ #.....#.#.##.##.#.####.....#####.###.#.##..#.###...##..#..#...## +// C19 = ................................ ##..###.#..#..###.###.##.###.#..#.#..##.######.....##.#.#.....## +// C20 = ................................ ###.#...#......#..###...##.....#.#..####.#..#..##..##.##.#.#..## +// C21 = ................................ .###.#...#......#..###...##.....#.#..####.#..#..##..##.##.#.#..# +// C22 = ................................ #.##.#.####.#...#.#.#.##.#..#.##.#..#######..#.#####....##...##. +// C23 = ................................ ##.#.#.#..####..#.##....##.####.#.###.####...#.#.##.###..###...# +// C24 = ................................ .##.#.#.#..####..#.##....##.####.#.###.####...#.#.##.###..###... +// C25 = ................................ #.###.#.#....#####..#..#.#..##..#.##..#.##...##.##..##.##...###. +// C26 = ................................ ##.#..#.#...#.##.......###.###.#.#...#.#.#.#.#..####....##.#.#.# +// C27 = ................................ ###..##.#...##.#.##..#.##..#.#.##.#####.#..###.####.###..####... +// C28 = ................................ ######..#...###..#.#.####.##...###....##.####..#.##....#..#.###. +// C29 = ................................ .######..#...###..#.#.####.##...###....##.####..#.##....#..#.### +// C30 = ................................ ..######..#...###..#.#.####.##...###....##.####..#.##....#..#.## +// C31 = ................................ ...######..#...###..#.#.####.##...###....##.####..#.##....#..#.# +// +// Number of XORs used is 32 +// Maximum XOR input count is 38 +// Best possible depth in 4 LUTs = 3 +// Best possible depth in 5 LUTs = 3 +// Best possible depth in 6 LUTs = 3 +// Total XOR inputs 1018 +// +// Special signal relations - +// none +// + +module crc32c_dat64_only (d,crc_out); +input[63:0] d; +output[31:0] crc_out; +wire[31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32c_dat64_only_flat cc (.d(d),.crc_out(crc_out)); + else + crc32c_dat64_only_factor cc (.d(d),.crc_out(crc_out)); +endgenerate +endmodule + +module crc32c_dat64_only_flat (d,crc_out); +input[63:0] d; +output[31:0] crc_out; +wire[31:0] crc_out; + +assign crc_out[0] = + d[62] ^ d[59] ^ d[54] ^ d[53] ^ d[51] ^ d[48] ^ + d[47] ^ d[46] ^ d[45] ^ d[43] ^ d[42] ^ d[37] ^ d[36] ^ + d[35] ^ d[31] ^ d[30] ^ d[28] ^ d[27] ^ d[26] ^ d[25] ^ + d[23] ^ d[21] ^ d[18] ^ d[17] ^ d[16] ^ d[12] ^ d[9] ^ + d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[0]; + +assign crc_out[1] = + d[63] ^ d[60] ^ d[55] ^ d[54] ^ d[52] ^ d[49] ^ + d[48] ^ d[47] ^ d[46] ^ d[44] ^ d[43] ^ d[38] ^ d[37] ^ + d[36] ^ d[32] ^ d[31] ^ d[29] ^ d[28] ^ d[27] ^ d[26] ^ + d[24] ^ d[22] ^ d[19] ^ d[18] ^ d[17] ^ d[13] ^ d[10] ^ + d[9] ^ d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[1]; + +assign crc_out[2] = + d[61] ^ d[56] ^ d[55] ^ d[53] ^ d[50] ^ d[49] ^ + d[48] ^ d[47] ^ d[45] ^ d[44] ^ d[39] ^ d[38] ^ d[37] ^ + d[33] ^ d[32] ^ d[30] ^ d[29] ^ d[28] ^ d[27] ^ d[25] ^ + d[23] ^ d[20] ^ d[19] ^ d[18] ^ d[14] ^ d[11] ^ d[10] ^ + d[9] ^ d[8] ^ d[7] ^ d[6] ^ d[2]; + +assign crc_out[3] = + d[62] ^ d[57] ^ d[56] ^ d[54] ^ d[51] ^ d[50] ^ + d[49] ^ d[48] ^ d[46] ^ d[45] ^ d[40] ^ d[39] ^ d[38] ^ + d[34] ^ d[33] ^ d[31] ^ d[30] ^ d[29] ^ d[28] ^ d[26] ^ + d[24] ^ d[21] ^ d[20] ^ d[19] ^ d[15] ^ d[12] ^ d[11] ^ + d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[3]; + +assign crc_out[4] = + d[63] ^ d[58] ^ d[57] ^ d[55] ^ d[52] ^ d[51] ^ + d[50] ^ d[49] ^ d[47] ^ d[46] ^ d[41] ^ d[40] ^ d[39] ^ + d[35] ^ d[34] ^ d[32] ^ d[31] ^ d[30] ^ d[29] ^ d[27] ^ + d[25] ^ d[22] ^ d[21] ^ d[20] ^ d[16] ^ d[13] ^ d[12] ^ + d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[4]; + +assign crc_out[5] = + d[59] ^ d[58] ^ d[56] ^ d[53] ^ d[52] ^ d[51] ^ + d[50] ^ d[48] ^ d[47] ^ d[42] ^ d[41] ^ d[40] ^ d[36] ^ + d[35] ^ d[33] ^ d[32] ^ d[31] ^ d[30] ^ d[28] ^ d[26] ^ + d[23] ^ d[22] ^ d[21] ^ d[17] ^ d[14] ^ d[13] ^ d[12] ^ + d[11] ^ d[10] ^ d[9] ^ d[5]; + +assign crc_out[6] = + d[62] ^ d[60] ^ d[57] ^ d[52] ^ d[49] ^ d[47] ^ + d[46] ^ d[45] ^ d[41] ^ d[35] ^ d[34] ^ d[33] ^ d[32] ^ + d[30] ^ d[29] ^ d[28] ^ d[26] ^ d[25] ^ d[24] ^ d[22] ^ + d[21] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[13] ^ d[11] ^ + d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[5] ^ d[4] ^ d[0]; + +assign crc_out[7] = + d[63] ^ d[61] ^ d[58] ^ d[53] ^ d[50] ^ d[48] ^ + d[47] ^ d[46] ^ d[42] ^ d[36] ^ d[35] ^ d[34] ^ d[33] ^ + d[31] ^ d[30] ^ d[29] ^ d[27] ^ d[26] ^ d[25] ^ d[23] ^ + d[22] ^ d[18] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[12] ^ + d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[6] ^ d[5] ^ d[1]; + +assign crc_out[8] = + d[53] ^ d[49] ^ d[46] ^ d[45] ^ d[42] ^ d[34] ^ + d[32] ^ d[25] ^ d[24] ^ d[21] ^ d[19] ^ d[15] ^ d[13] ^ + d[11] ^ d[10] ^ d[8] ^ d[5] ^ d[4] ^ d[2] ^ d[0]; + +assign crc_out[9] = + d[62] ^ d[59] ^ d[53] ^ d[51] ^ d[50] ^ d[48] ^ + d[45] ^ d[42] ^ d[37] ^ d[36] ^ d[33] ^ d[31] ^ d[30] ^ + d[28] ^ d[27] ^ d[23] ^ d[22] ^ d[21] ^ d[20] ^ d[18] ^ + d[17] ^ d[14] ^ d[11] ^ d[8] ^ d[7] ^ d[4] ^ d[3] ^ + d[1] ^ d[0]; + +assign crc_out[10] = + d[63] ^ d[62] ^ d[60] ^ d[59] ^ d[53] ^ d[52] ^ + d[49] ^ d[48] ^ d[47] ^ d[45] ^ d[42] ^ d[38] ^ d[36] ^ + d[35] ^ d[34] ^ d[32] ^ d[30] ^ d[29] ^ d[27] ^ d[26] ^ + d[25] ^ d[24] ^ d[22] ^ d[19] ^ d[17] ^ d[16] ^ d[15] ^ + d[7] ^ d[6] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[11] = + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[59] ^ d[51] ^ + d[50] ^ d[49] ^ d[47] ^ d[45] ^ d[42] ^ d[39] ^ d[33] ^ + d[21] ^ d[20] ^ d[12] ^ d[9] ^ d[6] ^ d[5] ^ d[4] ^ + d[3] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[12] = + d[63] ^ d[62] ^ d[61] ^ d[60] ^ d[52] ^ d[51] ^ + d[50] ^ d[48] ^ d[46] ^ d[43] ^ d[40] ^ d[34] ^ d[22] ^ + d[21] ^ d[13] ^ d[10] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ + d[3] ^ d[2] ^ d[1]; + +assign crc_out[13] = + d[63] ^ d[61] ^ d[59] ^ d[54] ^ d[52] ^ d[49] ^ + d[48] ^ d[46] ^ d[45] ^ d[44] ^ d[43] ^ d[42] ^ d[41] ^ + d[37] ^ d[36] ^ d[31] ^ d[30] ^ d[28] ^ d[27] ^ d[26] ^ + d[25] ^ d[22] ^ d[21] ^ d[18] ^ d[17] ^ d[16] ^ d[14] ^ + d[12] ^ d[11] ^ d[9] ^ d[3] ^ d[2] ^ d[0]; + +assign crc_out[14] = + d[60] ^ d[59] ^ d[55] ^ d[54] ^ d[51] ^ d[50] ^ + d[49] ^ d[48] ^ d[44] ^ d[38] ^ d[36] ^ d[35] ^ d[32] ^ + d[30] ^ d[29] ^ d[25] ^ d[22] ^ d[21] ^ d[19] ^ d[16] ^ + d[15] ^ d[13] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[6] ^ + d[5] ^ d[3] ^ d[1] ^ d[0]; + +assign crc_out[15] = + d[61] ^ d[60] ^ d[56] ^ d[55] ^ d[52] ^ d[51] ^ + d[50] ^ d[49] ^ d[45] ^ d[39] ^ d[37] ^ d[36] ^ d[33] ^ + d[31] ^ d[30] ^ d[26] ^ d[23] ^ d[22] ^ d[20] ^ d[17] ^ + d[16] ^ d[14] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ + d[6] ^ d[4] ^ d[2] ^ d[1]; + +assign crc_out[16] = + d[62] ^ d[61] ^ d[57] ^ d[56] ^ d[53] ^ d[52] ^ + d[51] ^ d[50] ^ d[46] ^ d[40] ^ d[38] ^ d[37] ^ d[34] ^ + d[32] ^ d[31] ^ d[27] ^ d[24] ^ d[23] ^ d[21] ^ d[18] ^ + d[17] ^ d[15] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ d[8] ^ + d[7] ^ d[5] ^ d[3] ^ d[2]; + +assign crc_out[17] = + d[63] ^ d[62] ^ d[58] ^ d[57] ^ d[54] ^ d[53] ^ + d[52] ^ d[51] ^ d[47] ^ d[41] ^ d[39] ^ d[38] ^ d[35] ^ + d[33] ^ d[32] ^ d[28] ^ d[25] ^ d[24] ^ d[22] ^ d[19] ^ + d[18] ^ d[16] ^ d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[9] ^ + d[8] ^ d[6] ^ d[4] ^ d[3]; + +assign crc_out[18] = + d[63] ^ d[62] ^ d[58] ^ d[55] ^ d[52] ^ d[51] ^ + d[47] ^ d[46] ^ d[45] ^ d[43] ^ d[40] ^ d[39] ^ d[37] ^ + d[35] ^ d[34] ^ d[33] ^ d[31] ^ d[30] ^ d[29] ^ d[28] ^ + d[27] ^ d[21] ^ d[20] ^ d[19] ^ d[18] ^ d[16] ^ d[14] ^ + d[13] ^ d[11] ^ d[10] ^ d[8] ^ d[6] ^ d[0]; + +assign crc_out[19] = + d[63] ^ d[62] ^ d[56] ^ d[54] ^ d[52] ^ d[51] ^ + d[45] ^ d[44] ^ d[43] ^ d[42] ^ d[41] ^ d[40] ^ d[38] ^ + d[37] ^ d[34] ^ d[32] ^ d[29] ^ d[27] ^ d[26] ^ d[25] ^ + d[23] ^ d[22] ^ d[20] ^ d[19] ^ d[18] ^ d[16] ^ d[15] ^ + d[14] ^ d[11] ^ d[8] ^ d[6] ^ d[5] ^ d[4] ^ d[1] ^ + d[0]; + +assign crc_out[20] = + d[63] ^ d[62] ^ d[59] ^ d[57] ^ d[55] ^ d[54] ^ + d[52] ^ d[51] ^ d[48] ^ d[47] ^ d[44] ^ d[41] ^ d[39] ^ + d[38] ^ d[37] ^ d[36] ^ d[33] ^ d[31] ^ d[25] ^ d[24] ^ + d[20] ^ d[19] ^ d[18] ^ d[15] ^ d[8] ^ d[4] ^ d[2] ^ + d[1] ^ d[0]; + +assign crc_out[21] = + d[63] ^ d[60] ^ d[58] ^ d[56] ^ d[55] ^ d[53] ^ + d[52] ^ d[49] ^ d[48] ^ d[45] ^ d[42] ^ d[40] ^ d[39] ^ + d[38] ^ d[37] ^ d[34] ^ d[32] ^ d[26] ^ d[25] ^ d[21] ^ + d[20] ^ d[19] ^ d[16] ^ d[9] ^ d[5] ^ d[3] ^ d[2] ^ + d[1]; + +assign crc_out[22] = + d[62] ^ d[61] ^ d[57] ^ d[56] ^ d[51] ^ d[50] ^ + d[49] ^ d[48] ^ d[47] ^ d[45] ^ d[42] ^ d[41] ^ d[40] ^ + d[39] ^ d[38] ^ d[37] ^ d[36] ^ d[33] ^ d[31] ^ d[30] ^ + d[28] ^ d[25] ^ d[23] ^ d[22] ^ d[20] ^ d[18] ^ d[16] ^ + d[12] ^ d[10] ^ d[9] ^ d[8] ^ d[7] ^ d[5] ^ d[3] ^ + d[2] ^ d[0]; + +assign crc_out[23] = + d[63] ^ d[59] ^ d[58] ^ d[57] ^ d[54] ^ d[53] ^ + d[52] ^ d[50] ^ d[49] ^ d[47] ^ d[45] ^ d[41] ^ d[40] ^ + d[39] ^ d[38] ^ d[36] ^ d[35] ^ d[34] ^ d[32] ^ d[30] ^ + d[29] ^ d[28] ^ d[27] ^ d[25] ^ d[24] ^ d[19] ^ d[18] ^ + d[16] ^ d[13] ^ d[12] ^ d[11] ^ d[10] ^ d[7] ^ d[5] ^ + d[3] ^ d[1] ^ d[0]; + +assign crc_out[24] = + d[60] ^ d[59] ^ d[58] ^ d[55] ^ d[54] ^ d[53] ^ + d[51] ^ d[50] ^ d[48] ^ d[46] ^ d[42] ^ d[41] ^ d[40] ^ + d[39] ^ d[37] ^ d[36] ^ d[35] ^ d[33] ^ d[31] ^ d[30] ^ + d[29] ^ d[28] ^ d[26] ^ d[25] ^ d[20] ^ d[19] ^ d[17] ^ + d[14] ^ d[13] ^ d[12] ^ d[11] ^ d[8] ^ d[6] ^ d[4] ^ + d[2] ^ d[1]; + +assign crc_out[25] = + d[62] ^ d[61] ^ d[60] ^ d[56] ^ d[55] ^ d[53] ^ + d[52] ^ d[49] ^ d[48] ^ d[46] ^ d[45] ^ d[41] ^ d[40] ^ + d[38] ^ d[35] ^ d[34] ^ d[32] ^ d[29] ^ d[28] ^ d[25] ^ + d[23] ^ d[20] ^ d[17] ^ d[16] ^ d[15] ^ d[14] ^ d[13] ^ + d[8] ^ d[6] ^ d[4] ^ d[3] ^ d[2] ^ d[0]; + +assign crc_out[26] = + d[63] ^ d[61] ^ d[59] ^ d[57] ^ d[56] ^ d[51] ^ + d[50] ^ d[49] ^ d[48] ^ d[45] ^ d[43] ^ d[41] ^ d[39] ^ + d[37] ^ d[33] ^ d[31] ^ d[29] ^ d[28] ^ d[27] ^ d[25] ^ + d[24] ^ d[23] ^ d[15] ^ d[14] ^ d[12] ^ d[8] ^ d[6] ^ + d[3] ^ d[1] ^ d[0]; + +assign crc_out[27] = + d[60] ^ d[59] ^ d[58] ^ d[57] ^ d[54] ^ d[53] ^ + d[52] ^ d[50] ^ d[49] ^ d[48] ^ d[47] ^ d[45] ^ d[44] ^ + d[43] ^ d[40] ^ d[38] ^ d[37] ^ d[36] ^ d[35] ^ d[34] ^ + d[32] ^ d[31] ^ d[29] ^ d[27] ^ d[24] ^ d[23] ^ d[21] ^ + d[18] ^ d[17] ^ d[15] ^ d[13] ^ d[12] ^ d[8] ^ d[6] ^ + d[5] ^ d[2] ^ d[1] ^ d[0]; + +assign crc_out[28] = + d[62] ^ d[61] ^ d[60] ^ d[58] ^ d[55] ^ d[50] ^ + d[49] ^ d[47] ^ d[44] ^ d[43] ^ d[42] ^ d[41] ^ d[39] ^ + d[38] ^ d[33] ^ d[32] ^ d[31] ^ d[27] ^ d[26] ^ d[24] ^ + d[23] ^ d[22] ^ d[21] ^ d[19] ^ d[17] ^ d[14] ^ d[13] ^ + d[12] ^ d[8] ^ d[5] ^ d[4] ^ d[3] ^ d[2] ^ d[1] ^ + d[0]; + +assign crc_out[29] = + d[63] ^ d[62] ^ d[61] ^ d[59] ^ d[56] ^ d[51] ^ + d[50] ^ d[48] ^ d[45] ^ d[44] ^ d[43] ^ d[42] ^ d[40] ^ + d[39] ^ d[34] ^ d[33] ^ d[32] ^ d[28] ^ d[27] ^ d[25] ^ + d[24] ^ d[23] ^ d[22] ^ d[20] ^ d[18] ^ d[15] ^ d[14] ^ + d[13] ^ d[9] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[2] ^ + d[1]; + +assign crc_out[30] = + d[63] ^ d[62] ^ d[60] ^ d[57] ^ d[52] ^ d[51] ^ + d[49] ^ d[46] ^ d[45] ^ d[44] ^ d[43] ^ d[41] ^ d[40] ^ + d[35] ^ d[34] ^ d[33] ^ d[29] ^ d[28] ^ d[26] ^ d[25] ^ + d[24] ^ d[23] ^ d[21] ^ d[19] ^ d[16] ^ d[15] ^ d[14] ^ + d[10] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3] ^ d[2]; + +assign crc_out[31] = + d[63] ^ d[61] ^ d[58] ^ d[53] ^ d[52] ^ d[50] ^ + d[47] ^ d[46] ^ d[45] ^ d[44] ^ d[42] ^ d[41] ^ d[36] ^ + d[35] ^ d[34] ^ d[30] ^ d[29] ^ d[27] ^ d[26] ^ d[25] ^ + d[24] ^ d[22] ^ d[20] ^ d[17] ^ d[16] ^ d[15] ^ d[11] ^ + d[8] ^ d[7] ^ d[6] ^ d[5] ^ d[4] ^ d[3]; + +endmodule + + +module crc32c_dat64_only_factor (d,crc_out); +input[63:0] d; +output[31:0] crc_out; +wire[31:0] crc_out; + +wire[115:0] h ; + +xor6 cx_0 (crc_out[0], h[25] , h[31] , h[32] , h[101] , h[102] , h[111]); +xor6 cx_1 (crc_out[1], h[29] , h[34] , h[99] , h[100] , h[107] , h[111]); +xor6 cx_2 (crc_out[2], h[24] , h[26] , h[33] , h[97] , h[98] , h[114]); +xor6 cx_3 (crc_out[3], h[24] , h[39] , h[40] , h[46] , h[96] , h[110]); +xor6 cx_4 (crc_out[4], h[25] , h[27] , h[37] , h[94] , h[95] , h[110]); +xor6 cx_5 (crc_out[5], h[10] , h[38] , h[40] , h[86] , h[93] , h[109]); +xor6 cx_6 (crc_out[6], h[25] , h[27] , h[30] , h[90] , h[91] , h[92]); +xor6 cx_7 (crc_out[7], h[22] , h[27] , h[37] , h[89] , h[104] , h[109]); +xor6 cx_8 (crc_out[8], h[21] , h[32] , h[88] , h[107] , h[113] , 1'b0); +xor6 cx_9 (crc_out[9], h[0] , h[16] , h[25] , h[85] , h[106] , h[115]); +xor6 cx_10 (crc_out[10], h[13] , h[32] , h[82] , h[83] , h[104] , h[105]); +xor6 cx_11 (crc_out[11], h[14] , h[32] , h[39] , h[41] , h[81] , h[106]); +xor6 cx_12 (crc_out[12], h[25] , h[26] , h[29] , h[41] , h[80] , h[87]); +xor6 cx_13 (crc_out[13], h[9] , h[33] , h[39] , h[40] , h[78] , h[79]); +xor6 cx_14 (crc_out[14], h[20] , h[26] , h[34] , h[76] , h[77] , h[113]); +xor6 cx_15 (crc_out[15], h[24] , h[26] , h[41] , h[74] , h[75] , h[108]); +xor6 cx_16 (crc_out[16], h[28] , h[33] , h[38] , h[72] , h[73] , h[86]); +xor6 cx_17 (crc_out[17], h[27] , h[28] , h[40] , h[70] , h[71] , h[112]); +xor6 cx_18 (crc_out[18], h[25] , h[29] , h[33] , h[68] , h[69] , h[112]); +xor6 cx_19 (crc_out[19], h[31] , h[33] , h[35] , h[66] , h[67] , h[114]); +xor6 cx_20 (crc_out[20], h[25] , h[28] , h[33] , h[64] , h[65] , h[103]); +xor6 cx_21 (crc_out[21], h[24] , h[39] , h[41] , h[62] , h[63] , h[84]); +xor6 cx_22 (crc_out[22], h[20] , h[24] , h[28] , h[32] , h[60] , h[61]); +xor6 cx_23 (crc_out[23], h[11] , h[40] , h[58] , h[59] , h[114] , h[115]); +xor6 cx_24 (crc_out[24], h[31] , h[34] , h[40] , h[56] , h[57] , h[84]); +xor6 cx_25 (crc_out[25], h[24] , h[30] , h[31] , h[38] , h[55] , h[108]); +xor6 cx_26 (crc_out[26], h[28] , h[33] , h[35] , h[36] , h[53] , h[54]); +xor6 cx_27 (crc_out[27], h[51] , h[52] , h[103] , h[104] , h[105] , h[106]); +xor6 cx_28 (crc_out[28], h[35] , h[37] , h[39] , h[49] , h[50] , h[105]); +xor6 cx_29 (crc_out[29], h[12] , h[18] , h[35] , h[36] , h[47] , h[48]); +xor6 cx_30 (crc_out[30], h[25] , h[30] , h[35] , h[45] , h[46] , h[87]); +xor6 cx_31 (crc_out[31], h[9] , h[29] , h[31] , h[42] , h[43] , h[44]); +xor6 hx_0 (h[0], d[48] , d[37] , d[31] , d[27] , d[18] , d[8]); // used by 4 +xor6 hx_1 (h[1], d[45] , d[34] , d[29] , d[15] , d[8] , 1'b0); // used by 3 +xor6 hx_2 (h[2], d[63] , d[52] , d[49] , d[41] , d[25] , d[16]); // used by 4 +xor6 hx_3 (h[3], d[47] , d[35] , d[30] , d[25] , d[16] , d[10]); // used by 2 +xor6 hx_4 (h[4], d[50] , d[39] , d[33] , d[31] , d[8] , 1'b0); // used by 5 +xor6 hx_5 (h[5], d[42] , d[26] , d[22] , d[17] , d[14] , d[4]); // used by 1 +xor6 hx_6 (h[6], d[50] , d[32] , d[24] , d[13] , d[9] , d[5]); // used by 1 +xor6 hx_7 (h[7], d[45] , d[30] , d[29] , d[28] , d[14] , d[11]); // used by 2 +xor6 hx_8 (h[8], d[60] , d[49] , d[38] , d[32] , d[5] , d[0]); // used by 3 +xor6 hx_9 (h[9], d[42] , d[36] , d[30] , d[26] , d[22] , d[17]); // used by 4 +xor6 hx_10 (h[10], d[58] , d[47] , d[40] , d[35] , d[13] , d[12]); // used by 4 +xor6 hx_11 (h[11], d[57] , d[45] , d[34] , d[29] , d[24] , d[7]); // used by 4 +xor6 hx_12 (h[12], d[62] , d[61] , d[40] , d[23] , d[3] , d[2]); // used by 4 +xor6 hx_13 (h[13], d[53] , d[52] , d[38] , d[32] , d[25] , d[19]); // used by 3 +xor6 hx_14 (h[14], d[63] , d[62] , d[51] , d[33] , d[6] , d[4]); // used by 2 +xor6 hx_15 (h[15], d[46] , d[26] , d[17] , d[6] , d[4] , 1'b0); // used by 1 +xor6 hx_16 (h[16], d[42] , d[22] , d[20] , d[14] , d[11] , d[1]); // used by 2 +xor6 hx_17 (h[17], d[46] , d[26] , d[17] , d[9] , d[6] , d[5]); // used by 3 +xor6 hx_18 (h[18], d[63] , d[59] , d[48] , d[25] , d[15] , d[1]); // used by 3 +xor6 hx_19 (h[19], d[55] , d[47] , d[39] , d[33] , d[8] , 1'b0); // used by 4 +xor6 hx_20 (h[20], d[36] , d[30] , d[22] , d[16] , d[10] , d[9]); // used by 3 +xor6 hx_21 (h[21], d[46] , d[21] , d[15] , d[11] , d[10] , d[5]); // used by 2 +xor6 hx_22 (h[22], d[58] , d[53] , d[48] , d[42] , d[36] , d[12]); // used by 2 +xor6 hx_23 (h[23], d[52] , d[51] , d[26] , d[5] , d[4] , 1'b0); // used by 1 +xor6 hx_24 (h[24], d[56] , d[49] , d[48] , d[20] , 1'b0 , 1'b0); // used by 6 +xor6 hx_25 (h[25], d[62] , d[51] , d[21] , d[4] , 1'b0 , 1'b0); // used by 8 +xor6 hx_26 (h[26], d[50] , d[48] , d[7] , d[6] , 1'b0 , 1'b0); // used by 4 +xor6 hx_27 (h[27], d[22] , d[11] , d[9] , d[8] , 1'b0 , 1'b0); // used by 4 +xor6 hx_28 (h[28], d[57] , d[41] , d[24] , d[12] , 1'b0 , 1'b0); // used by 5 +xor6 hx_29 (h[29], d[63] , d[52] , d[46] , d[13] , 1'b0 , 1'b0); // used by 4 +xor6 hx_30 (h[30], d[60] , d[46] , d[28] , d[14] , 1'b0 , 1'b0); // used by 3 +xor6 hx_31 (h[31], d[41] , d[35] , d[25] , d[16] , 1'b0 , 1'b0); // used by 5 +xor6 hx_32 (h[32], d[47] , d[45] , d[42] , d[0] , 1'b0 , 1'b0); // used by 5 +xor6 hx_33 (h[33], d[37] , d[27] , d[18] , d[0] , 1'b0 , 1'b0); // used by 7 +xor6 hx_34 (h[34], d[54] , d[29] , d[19] , d[1] , 1'b0 , 1'b0); // used by 3 +xor6 hx_35 (h[35], d[44] , d[43] , d[23] , d[6] , 1'b0 , 1'b0); // used by 5 +xor6 hx_36 (h[36], d[56] , d[45] , d[28] , d[14] , 1'b0 , 1'b0); // used by 2 +xor6 hx_37 (h[37], d[50] , d[34] , d[31] , d[27] , 1'b0 , 1'b0); // used by 3 +xor6 hx_38 (h[38], d[53] , d[52] , d[50] , d[32] , 1'b0 , 1'b0); // used by 3 +xor6 hx_39 (h[39], d[21] , d[12] , d[9] , d[3] , 1'b0 , 1'b0); // used by 5 +xor6 hx_40 (h[40], d[59] , d[54] , d[28] , d[11] , 1'b0 , 1'b0); // used by 6 +xor6 hx_41 (h[41], d[60] , d[45] , d[2] , d[1] , 1'b0 , 1'b0); // used by 4 +xor6 hx_42 (h[42], d[6] , d[5] , d[4] , d[3] , h[1] , 1'b0); // used by 1 +xor6 hx_43 (h[43], d[27] , d[24] , d[20] , d[13] , d[11] , d[7]); // used by 1 +xor6 hx_44 (h[44], d[61] , d[58] , d[53] , d[50] , d[47] , d[44]); // used by 1 +xor6 hx_45 (h[45], d[35] , d[33] , d[2] , h[2] , h[11] , 1'b0); // used by 1 +xor6 hx_46 (h[46], d[40] , d[26] , d[19] , d[15] , 1'b0 , 1'b0); // used by 2 +xor6 hx_47 (h[47], d[23] , d[22] , d[20] , d[18] , d[4] , h[6]); // used by 1 +xor6 hx_48 (h[48], d[51] , d[42] , d[39] , d[34] , d[33] , d[27]); // used by 1 +xor6 hx_49 (h[49], d[19] , d[1] , h[5] , h[8] , h[19] , 1'b0); // used by 1 +xor6 hx_50 (h[50], d[62] , d[61] , d[58] , d[41] , d[13] , d[9]); // used by 1 +xor6 hx_51 (h[51], h[0] , h[8] , h[10] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_52 (h[52], d[57] , d[53] , d[43] , d[23] , d[21] , d[17]); // used by 1 +xor6 hx_53 (h[53], d[51] , h[4] , h[18] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_54 (h[54], d[61] , d[49] , d[44] , d[29] , d[18] , d[3]); // used by 1 +xor6 hx_55 (h[55], d[38] , d[13] , d[6] , d[0] , h[1] , h[12]); // used by 1 +xor6 hx_56 (h[56], d[60] , d[51] , h[4] , h[15] , h[22] , 1'b0); // used by 1 +xor6 hx_57 (h[57], d[54] , d[30] , d[20] , d[14] , d[13] , d[2]); // used by 1 +xor6 hx_58 (h[58], d[1] , h[2] , h[10] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_59 (h[59], d[50] , d[39] , d[27] , d[18] , d[5] , d[3]); // used by 1 +xor6 hx_60 (h[60], d[51] , d[7] , d[5] , h[4] , h[12] , 1'b0); // used by 1 +xor6 hx_61 (h[61], d[38] , d[37] , d[28] , d[25] , d[24] , d[18]); // used by 1 +xor6 hx_62 (h[62], d[12] , d[5] , h[13] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_63 (h[63], d[63] , d[58] , d[42] , d[39] , d[34] , d[26]); // used by 1 +xor6 hx_64 (h[64], d[19] , d[2] , h[18] , h[19] , 1'b0 , 1'b0); // used by 1 +xor6 hx_65 (h[65], d[38] , d[31] , d[27] , d[21] , d[20] , d[12]); // used by 1 +xor6 hx_66 (h[66], d[62] , h[1] , h[16] , h[23] , 1'b0 , 1'b0); // used by 1 +xor6 hx_67 (h[67], d[63] , d[56] , d[54] , d[40] , d[35] , d[10]); // used by 1 +xor6 hx_68 (h[68], d[19] , d[4] , h[7] , h[19] , 1'b0 , 1'b0); // used by 1 +xor6 hx_69 (h[69], d[43] , d[40] , d[34] , d[31] , d[20] , d[6]); // used by 1 +xor6 hx_70 (h[70], d[3] , h[13] , h[14] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_71 (h[71], d[59] , d[47] , d[39] , d[18] , d[13] , d[11]); // used by 1 +xor6 hx_72 (h[72], d[0] , h[12] , h[21] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_73 (h[73], d[38] , d[34] , d[17] , d[9] , d[8] , d[7]); // used by 1 +xor6 hx_74 (h[74], d[51] , d[11] , h[4] , h[20] , 1'b0 , 1'b0); // used by 1 +xor6 hx_75 (h[75], d[61] , d[52] , d[37] , d[26] , d[23] , d[14]); // used by 1 +xor6 hx_76 (h[76], d[15] , d[3] , h[8] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_77 (h[77], d[59] , d[55] , d[51] , d[44] , d[35] , d[21]); // used by 1 +xor6 hx_78 (h[78], d[31] , d[14] , d[2] , h[2] , 1'b0 , 1'b0); // used by 1 +xor6 hx_79 (h[79], d[61] , d[48] , d[46] , d[45] , d[44] , d[43]); // used by 1 +xor6 hx_80 (h[80], d[61] , d[45] , d[43] , d[40] , d[34] , d[22]); // used by 1 +xor6 hx_81 (h[81], d[61] , d[49] , d[39] , d[20] , d[5] , 1'b0); // used by 1 +xor6 hx_82 (h[82], d[42] , d[35] , d[27] , d[16] , d[7] , h[9]); // used by 1 +xor6 hx_83 (h[83], d[63] , d[62] , d[60] , d[59] , d[49] , d[48]); // used by 1 +xor6 hx_84 (h[84], d[55] , d[40] , d[37] , d[16] , 1'b0 , 1'b0); // used by 2 +xor6 hx_85 (h[85], d[33] , d[28] , d[23] , d[17] , d[7] , d[3]); // used by 1 +xor6 hx_86 (h[86], d[56] , d[51] , d[41] , d[31] , 1'b0 , 1'b0); // used by 2 +xor6 hx_87 (h[87], d[10] , d[5] , d[3] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_88 (h[88], d[53] , d[34] , d[19] , d[4] , d[2] , 1'b0); // used by 1 +xor6 hx_89 (h[89], d[63] , d[61] , d[18] , h[3] , h[17] , 1'b0); // used by 1 +xor6 hx_90 (h[90], h[3] , h[11] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_91 (h[91], d[26] , d[17] , d[15] , d[13] , d[5] , d[0]); // used by 1 +xor6 hx_92 (h[92], d[52] , d[51] , d[49] , d[41] , d[33] , d[32]); // used by 1 +xor6 hx_93 (h[93], d[54] , d[48] , d[21] , d[10] , d[5] , h[9]); // used by 1 +xor6 hx_94 (h[94], h[2] , h[10] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_95 (h[95], d[57] , d[55] , d[39] , d[32] , d[29] , d[20]); // used by 1 +xor6 hx_96 (h[96], d[59] , d[51] , d[38] , h[4] , h[11] , 1'b0); // used by 1 +xor6 hx_97 (h[97], d[9] , d[2] , d[0] , h[7] , h[19] , 1'b0); // used by 1 +xor6 hx_98 (h[98], d[61] , d[53] , d[48] , d[44] , d[25] , d[23]); // used by 1 +xor6 hx_99 (h[99], d[10] , h[0] , h[17] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_100 (h[100], d[60] , d[55] , d[46] , d[44] , d[38] , d[22]); // used by 1 +xor6 hx_101 (h[101], d[12] , h[0] , h[17] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_102 (h[102], d[59] , d[54] , d[53] , d[41] , d[30] , d[23]); // used by 1 +xor6 hx_103 (h[103], d[54] , d[52] , d[44] , d[36] , 1'b0 , 1'b0); // used by 2 +xor6 hx_104 (h[104], d[29] , d[15] , d[1] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_105 (h[105], d[34] , d[24] , d[6] , d[2] , 1'b0 , 1'b0); // used by 3 +xor6 hx_106 (h[106], d[59] , d[50] , d[45] , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_107 (h[107], d[49] , d[47] , d[32] , d[24] , 1'b0 , 1'b0); // used by 2 +xor6 hx_108 (h[108], d[55] , d[50] , d[17] , d[4] , 1'b0 , 1'b0); // used by 2 +xor6 hx_109 (h[109], d[33] , d[23] , d[14] , d[9] , 1'b0 , 1'b0); // used by 2 +xor6 hx_110 (h[110], d[62] , d[46] , d[30] , d[10] , 1'b0 , 1'b0); // used by 2 +xor6 hx_111 (h[111], d[43] , d[36] , d[28] , d[7] , 1'b0 , 1'b0); // used by 2 +xor6 hx_112 (h[112], d[58] , d[35] , d[16] , d[10] , 1'b0 , 1'b0); // used by 2 +xor6 hx_113 (h[113], d[25] , d[13] , d[8] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_114 (h[114], d[38] , d[32] , d[19] , d[10] , 1'b0 , 1'b0); // used by 3 +xor6 hx_115 (h[115], d[53] , d[36] , d[30] , d[0] , 1'b0 , 1'b0); // used by 2 +endmodule + + diff --git a/Advanced Synthesis Cookbook/crc/crc32c_tb.sv b/Advanced Synthesis Cookbook/crc/crc32c_tb.sv new file mode 100644 index 0000000..597d0b5 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32c_tb.sv @@ -0,0 +1,129 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 09-25-2008 +// sanity checking and diagnostics for the CRC32c + +module crc32c_tb (); + +wire [1:0] status_bits = 2'b00; +wire [63:0] sync_word = 64'h78f678f678f678f6; +wire [63:0] scram_state = {6'b001010,58'h0}; +wire [63:0] skip_word = {6'b000111,58'h0}; +wire [63:0] diag = {6'b011001,24'h000000,status_bits,32'h00000000}; + +wire [31:0] cc0_out,cc1_out,cc2_out,cc3_out,cc4_out,cc5_out; + +// first stage +crc32c_dat64 cc0 ( + .crc_in(32'hffffffff), + .dat_in(sync_word), + .crc_out(cc0_out) +); +defparam cc0 .METHOD = 0; + +// alternate first stage +wire [31:0] sync_word_evolved, f_evolved, cc0_alt_out; +crc32c_dat64_only cc_a0 (.d(sync_word),.crc_out(sync_word_evolved)); + defparam cc_a0 .METHOD = 0; + +crc32c_zer64 cc_a1 (.c(32'hffffffff),.crc_out(f_evolved)); + defparam cc_a1 .METHOD = 0; + +assign cc0_alt_out = sync_word_evolved ^ f_evolved; + +// second stage +crc32c_dat64 cc1 ( + .crc_in(cc0_out), + .dat_in(scram_state), + .crc_out(cc1_out) +); +defparam cc1 .METHOD = 1; + +crc32c_dat64 cc2 ( + .crc_in(cc1_out), + .dat_in(skip_word), + .crc_out(cc2_out) +); +defparam cc2 .METHOD = 0; + +crc32c_dat64 cc3 ( + .crc_in(cc2_out), + .dat_in(64'hb5), + .crc_out(cc3_out) +); +defparam cc3 .METHOD = 1; + +crc32c_dat64 cc4 ( + .crc_in(32'h21e1cebf), + .dat_in(64'hba), + .crc_out(cc4_out) +); +defparam cc4 .METHOD = 0; + +crc32c_dat64 cc5 ( + .crc_in(cc4_out), + .dat_in(diag), + .crc_out(cc5_out) +); +defparam cc5 .METHOD = 0; + +// alternate cc5 +wire [31:0] diag_evolved,a6_out, alt_cc5_out; +crc32c_dat64_only cc_a5 (.d(diag),.crc_out(diag_evolved)); + defparam cc_a5 .METHOD = 1; + +crc32c_zer64 cc_a6 (.c(cc4_out),.crc_out(a6_out)); + defparam cc_a6 .METHOD = 1; + +assign alt_cc5_out = a6_out ^ diag_evolved; + + +wire [31:0] cc6_out; +crc32c_dat64 cc6 ( + .crc_in(cc4_out), + .dat_in(diag | (32'h2bdb65fc)), // ^ 32'hffffffff)), + .crc_out(cc6_out) +); +defparam cc6 .METHOD = 0; + + +initial begin + #5 + if (cc0_out !== cc0_alt_out || + cc5_out !== alt_cc5_out) begin + $display ("The decomposition into data and crc halves is incorrect"); + $stop(); + end + + $display ("CRC 32c of first 3 words is %x",cc2_out); + if (cc2_out !== 32'hd49b6ab8) begin + $display ("There is something wrong with the polynomial / XOR network"); + $stop(); + end + + #5 $display ("PASS"); + $stop(); +end + +endmodule + diff --git a/Advanced Synthesis Cookbook/crc/crc32c_zer64.v b/Advanced Synthesis Cookbook/crc/crc32c_zer64.v new file mode 100644 index 0000000..db6c04e --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc32c_zer64.v @@ -0,0 +1,341 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 64 data bits (reversed - MSB first) +// polynomial : 1edc6f41 +// x^28 + x^27 + x^26 + x^25 + x^23 + x^22 + x^20 + x^19 + x^18 + x^14 + x^13 + x^11 + x^10 + x^9 + x^8 + x^6 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222222223333333333444444444455555555556666 +// 01234567890123456789012345678901 0123456789012345678901234567890123456789012345678901234567890123 +// C00 = ...###....##.####..#.##....#..#. ................................................................ +// C01 = #...###....##.####..#.##....#..# ................................................................ +// C02 = ##...###....##.####..#.##....#.. ................................................................ +// C03 = .##...###....##.####..#.##....#. ................................................................ +// C04 = #.##...###....##.####..#.##....# ................................................................ +// C05 = ##.##...###....##.####..#.##.... ................................................................ +// C06 = ####.....#...###.#..#....#..#.#. ................................................................ +// C07 = .####.....#...###.#..#....#..#.# ................................................................ +// C08 = #.#.......#..##..#...#.......... ................................................................ +// C09 = .#..##....#..#..#.##.#.....#..#. ................................................................ +// C10 = #.###.#...#..#.###..##.....##.## ................................................................ +// C11 = .#.....#..#..#.#.###.......##### ................................................................ +// C12 = ..#.....#..#..#.#.###.......#### ................................................................ +// C13 = ....##...######.##..#.#....#.#.# ................................................................ +// C14 = #..##.#.....#...####..##...##... ................................................................ +// C15 = .#..##.#.....#...####..##...##.. ................................................................ +// C16 = #.#..##.#.....#...####..##...##. ................................................................ +// C17 = ##.#..##.#.....#...####..##...## ................................................................ +// C18 = .###.#.##..#.###...##..#..#...## ................................................................ +// C19 = #.#..##.######.....##.#.#.....## ................................................................ +// C20 = .#..####.#..#..##..##.##.#.#..## ................................................................ +// C21 = #.#..####.#..#..##..##.##.#.#..# ................................................................ +// C22 = .#..#######..#.#####....##...##. ................................................................ +// C23 = #.###.####...#.#.##.###..###...# ................................................................ +// C24 = .#.###.####...#.#.##.###..###... ................................................................ +// C25 = #.##..#.##...##.##..##.##...###. ................................................................ +// C26 = .#...#.#.#.#.#..####....##.#.#.# ................................................................ +// C27 = #.#####.#..###.####.###..####... ................................................................ +// C28 = ##....##.####..#.##....#..#.###. ................................................................ +// C29 = ###....##.####..#.##....#..#.### ................................................................ +// C30 = .###....##.####..#.##....#..#.## ................................................................ +// C31 = ..###....##.####..#.##....#..#.# ................................................................ +// +// Number of XORs used is 32 +// Maximum XOR input count is 21 +// Best possible depth in 4 LUTs = 3 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 484 +// +// Special signal relations - +// none +// + +module crc32c_zer64 (c,crc_out); +input[31:0] c; +output[31:0] crc_out; +wire[31:0] crc_out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) + crc32c_zer64_flat cc (.c(c),.crc_out(crc_out)); + else + crc32c_zer64_factor cc (.c(c),.crc_out(crc_out)); +endgenerate + +endmodule + +module crc32c_zer64_flat (c,crc_out); +input[31:0] c; +output[31:0] crc_out; +wire[31:0] crc_out; + +assign crc_out[0] = + c[3] ^ c[4] ^ c[5] ^ c[10] ^ c[11] ^ c[13] ^ + c[14] ^ c[15] ^ c[16] ^ c[19] ^ c[21] ^ c[22] ^ c[27] ^ + c[30]; + +assign crc_out[1] = + c[0] ^ c[4] ^ c[5] ^ c[6] ^ c[11] ^ c[12] ^ + c[14] ^ c[15] ^ c[16] ^ c[17] ^ c[20] ^ c[22] ^ c[23] ^ + c[28] ^ c[31]; + +assign crc_out[2] = + c[0] ^ c[1] ^ c[5] ^ c[6] ^ c[7] ^ c[12] ^ + c[13] ^ c[15] ^ c[16] ^ c[17] ^ c[18] ^ c[21] ^ c[23] ^ + c[24] ^ c[29]; + +assign crc_out[3] = + c[1] ^ c[2] ^ c[6] ^ c[7] ^ c[8] ^ c[13] ^ + c[14] ^ c[16] ^ c[17] ^ c[18] ^ c[19] ^ c[22] ^ c[24] ^ + c[25] ^ c[30]; + +assign crc_out[4] = + c[0] ^ c[2] ^ c[3] ^ c[7] ^ c[8] ^ c[9] ^ + c[14] ^ c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[20] ^ c[23] ^ + c[25] ^ c[26] ^ c[31]; + +assign crc_out[5] = + c[0] ^ c[1] ^ c[3] ^ c[4] ^ c[8] ^ c[9] ^ + c[10] ^ c[15] ^ c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[21] ^ + c[24] ^ c[26] ^ c[27]; + +assign crc_out[6] = + c[0] ^ c[1] ^ c[2] ^ c[3] ^ c[9] ^ c[13] ^ + c[14] ^ c[15] ^ c[17] ^ c[20] ^ c[25] ^ c[28] ^ c[30]; + +assign crc_out[7] = + c[1] ^ c[2] ^ c[3] ^ c[4] ^ c[10] ^ c[14] ^ + c[15] ^ c[16] ^ c[18] ^ c[21] ^ c[26] ^ c[29] ^ c[31]; + +assign crc_out[8] = + c[0] ^ c[2] ^ c[10] ^ c[13] ^ c[14] ^ c[17] ^ + c[21]; + +assign crc_out[9] = + c[1] ^ c[4] ^ c[5] ^ c[10] ^ c[13] ^ c[16] ^ + c[18] ^ c[19] ^ c[21] ^ c[27] ^ c[30]; + +assign crc_out[10] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[6] ^ c[10] ^ + c[13] ^ c[15] ^ c[16] ^ c[17] ^ c[20] ^ c[21] ^ c[27] ^ + c[28] ^ c[30] ^ c[31]; + +assign crc_out[11] = + c[1] ^ c[7] ^ c[10] ^ c[13] ^ c[15] ^ c[17] ^ + c[18] ^ c[19] ^ c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign crc_out[12] = + c[2] ^ c[8] ^ c[11] ^ c[14] ^ c[16] ^ c[18] ^ + c[19] ^ c[20] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign crc_out[13] = + c[4] ^ c[5] ^ c[9] ^ c[10] ^ c[11] ^ c[12] ^ + c[13] ^ c[14] ^ c[16] ^ c[17] ^ c[20] ^ c[22] ^ c[27] ^ + c[29] ^ c[31]; + +assign crc_out[14] = + c[0] ^ c[3] ^ c[4] ^ c[6] ^ c[12] ^ c[16] ^ + c[17] ^ c[18] ^ c[19] ^ c[22] ^ c[23] ^ c[27] ^ c[28]; + +assign crc_out[15] = + c[1] ^ c[4] ^ c[5] ^ c[7] ^ c[13] ^ c[17] ^ + c[18] ^ c[19] ^ c[20] ^ c[23] ^ c[24] ^ c[28] ^ c[29]; + +assign crc_out[16] = + c[0] ^ c[2] ^ c[5] ^ c[6] ^ c[8] ^ c[14] ^ + c[18] ^ c[19] ^ c[20] ^ c[21] ^ c[24] ^ c[25] ^ c[29] ^ + c[30]; + +assign crc_out[17] = + c[0] ^ c[1] ^ c[3] ^ c[6] ^ c[7] ^ c[9] ^ + c[15] ^ c[19] ^ c[20] ^ c[21] ^ c[22] ^ c[25] ^ c[26] ^ + c[30] ^ c[31]; + +assign crc_out[18] = + c[1] ^ c[2] ^ c[3] ^ c[5] ^ c[7] ^ c[8] ^ + c[11] ^ c[13] ^ c[14] ^ c[15] ^ c[19] ^ c[20] ^ c[23] ^ + c[26] ^ c[30] ^ c[31]; + +assign crc_out[19] = + c[0] ^ c[2] ^ c[5] ^ c[6] ^ c[8] ^ c[9] ^ + c[10] ^ c[11] ^ c[12] ^ c[13] ^ c[19] ^ c[20] ^ c[22] ^ + c[24] ^ c[30] ^ c[31]; + +assign crc_out[20] = + c[1] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ c[9] ^ + c[12] ^ c[15] ^ c[16] ^ c[19] ^ c[20] ^ c[22] ^ c[23] ^ + c[25] ^ c[27] ^ c[30] ^ c[31]; + +assign crc_out[21] = + c[0] ^ c[2] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ + c[10] ^ c[13] ^ c[16] ^ c[17] ^ c[20] ^ c[21] ^ c[23] ^ + c[24] ^ c[26] ^ c[28] ^ c[31]; + +assign crc_out[22] = + c[1] ^ c[4] ^ c[5] ^ c[6] ^ c[7] ^ c[8] ^ + c[9] ^ c[10] ^ c[13] ^ c[15] ^ c[16] ^ c[17] ^ c[18] ^ + c[19] ^ c[24] ^ c[25] ^ c[29] ^ c[30]; + +assign crc_out[23] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[6] ^ c[7] ^ + c[8] ^ c[9] ^ c[13] ^ c[15] ^ c[17] ^ c[18] ^ c[20] ^ + c[21] ^ c[22] ^ c[25] ^ c[26] ^ c[27] ^ c[31]; + +assign crc_out[24] = + c[1] ^ c[3] ^ c[4] ^ c[5] ^ c[7] ^ c[8] ^ + c[9] ^ c[10] ^ c[14] ^ c[16] ^ c[18] ^ c[19] ^ c[21] ^ + c[22] ^ c[23] ^ c[26] ^ c[27] ^ c[28]; + +assign crc_out[25] = + c[0] ^ c[2] ^ c[3] ^ c[6] ^ c[8] ^ c[9] ^ + c[13] ^ c[14] ^ c[16] ^ c[17] ^ c[20] ^ c[21] ^ c[23] ^ + c[24] ^ c[28] ^ c[29] ^ c[30]; + +assign crc_out[26] = + c[1] ^ c[5] ^ c[7] ^ c[9] ^ c[11] ^ c[13] ^ + c[16] ^ c[17] ^ c[18] ^ c[19] ^ c[24] ^ c[25] ^ c[27] ^ + c[29] ^ c[31]; + +assign crc_out[27] = + c[0] ^ c[2] ^ c[3] ^ c[4] ^ c[5] ^ c[6] ^ + c[8] ^ c[11] ^ c[12] ^ c[13] ^ c[15] ^ c[16] ^ c[17] ^ + c[18] ^ c[20] ^ c[21] ^ c[22] ^ c[25] ^ c[26] ^ c[27] ^ + c[28]; + +assign crc_out[28] = + c[0] ^ c[1] ^ c[6] ^ c[7] ^ c[9] ^ c[10] ^ + c[11] ^ c[12] ^ c[15] ^ c[17] ^ c[18] ^ c[23] ^ c[26] ^ + c[28] ^ c[29] ^ c[30]; + +assign crc_out[29] = + c[0] ^ c[1] ^ c[2] ^ c[7] ^ c[8] ^ c[10] ^ + c[11] ^ c[12] ^ c[13] ^ c[16] ^ c[18] ^ c[19] ^ c[24] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign crc_out[30] = + c[1] ^ c[2] ^ c[3] ^ c[8] ^ c[9] ^ c[11] ^ + c[12] ^ c[13] ^ c[14] ^ c[17] ^ c[19] ^ c[20] ^ c[25] ^ + c[28] ^ c[30] ^ c[31]; + +assign crc_out[31] = + c[2] ^ c[3] ^ c[4] ^ c[9] ^ c[10] ^ c[12] ^ + c[13] ^ c[14] ^ c[15] ^ c[18] ^ c[20] ^ c[21] ^ c[26] ^ + c[29] ^ c[31]; + +endmodule + + +module crc32c_zer64_factor (c,crc_out); +input[31:0] c; +output[31:0] crc_out; +wire[31:0] crc_out; + +wire[47:0] h ; + +xor6 cx_0 (crc_out[0], h[2] , h[8] , h[10] , h[13] , h[46] , 1'b0); +xor6 cx_1 (crc_out[1], h[6] , h[13] , h[14] , h[28] , h[45] , 1'b0); +xor6 cx_2 (crc_out[2], c[21] , c[23] , h[0] , h[5] , h[14] , h[34]); +xor6 cx_3 (crc_out[3], c[24] , h[0] , h[1] , h[4] , h[44] , 1'b0); +xor6 cx_4 (crc_out[4], h[8] , h[42] , h[43] , h[47] , 1'b0 , 1'b0); +xor6 cx_5 (crc_out[5], h[0] , h[7] , h[9] , h[13] , h[40] , 1'b0); +xor6 cx_6 (crc_out[6], h[1] , h[4] , h[12] , h[14] , h[39] , 1'b0); +xor6 cx_7 (crc_out[7], h[2] , h[7] , h[11] , h[38] , 1'b0 , 1'b0); +xor6 cx_8 (crc_out[8], c[0] , c[14] , h[3] , h[47] , 1'b0 , 1'b0); +xor6 cx_9 (crc_out[9], c[7] , c[30] , c[31] , h[0] , h[3] , h[13]); +xor6 cx_10 (crc_out[10], h[1] , h[3] , h[13] , h[14] , h[36] , h[41]); +xor6 cx_11 (crc_out[11], c[30] , h[0] , h[2] , h[35] , 1'b0 , 1'b0); +xor6 cx_12 (crc_out[12], c[13] , c[14] , c[18] , h[1] , h[10] , h[37]); +xor6 cx_13 (crc_out[13], c[3] , h[2] , h[12] , h[13] , h[28] , 1'b0); +xor6 cx_14 (crc_out[14], h[13] , h[14] , h[15] , h[27] , h[32] , h[41]); +xor6 cx_15 (crc_out[15], c[4] , h[0] , h[5] , h[6] , 1'b0 , 1'b0); +xor6 cx_16 (crc_out[16], c[14] , c[21] , h[1] , h[4] , h[5] , h[43]); +xor6 cx_17 (crc_out[17], h[0] , h[4] , h[7] , h[14] , h[22] , h[31]); +xor6 cx_18 (crc_out[18], h[0] , h[1] , h[8] , h[10] , h[30] , 1'b0); +xor6 cx_19 (crc_out[19], c[24] , h[1] , h[10] , h[15] , h[29] , 1'b0); +xor6 cx_20 (crc_out[20], c[31] , h[0] , h[4] , h[13] , h[15] , h[27]); +xor6 cx_21 (crc_out[21], h[1] , h[2] , h[5] , h[14] , h[26] , h[27]); +xor6 cx_22 (crc_out[22], h[0] , h[4] , h[5] , h[25] , h[33] , 1'b0); +xor6 cx_23 (crc_out[23], h[1] , h[7] , h[14] , h[22] , h[24] , 1'b0); +xor6 cx_24 (crc_out[24], c[28] , h[0] , h[7] , h[13] , h[23] , 1'b0); +xor6 cx_25 (crc_out[25], h[1] , h[7] , h[8] , h[14] , h[21] , h[37]); +xor6 cx_26 (crc_out[26], h[0] , h[2] , h[13] , h[20] , h[33] , 1'b0); +xor6 cx_27 (crc_out[27], h[1] , h[7] , h[13] , h[14] , h[15] , h[19]); +xor6 cx_28 (crc_out[28], c[28] , h[0] , h[2] , h[10] , h[14] , h[18]); +xor6 cx_29 (crc_out[29], h[0] , h[1] , h[9] , h[10] , h[17] , h[34]); +xor6 cx_30 (crc_out[30], h[1] , h[6] , h[10] , h[12] , h[16] , 1'b0); +xor6 cx_31 (crc_out[31], c[8] , c[12] , h[1] , h[2] , h[7] , h[11]); +xor6 hx_0 (h[0], c[1] , c[7] , c[18] , c[19] , 1'b0 , 1'b0); // used by 14 +xor6 hx_1 (h[1], c[2] , c[8] , c[13] , c[20] , 1'b0 , 1'b0); // used by 14 +xor6 hx_2 (h[2], c[10] , c[13] , c[29] , c[31] , 1'b0 , 1'b0); // used by 8 +xor6 hx_3 (h[3], c[10] , c[13] , c[21] , c[31] , 1'b0 , 1'b0); // used by 3 +xor6 hx_4 (h[4], c[6] , c[20] , c[25] , c[30] , 1'b0 , 1'b0); // used by 6 +xor6 hx_5 (h[5], c[5] , c[13] , c[24] , c[29] , 1'b0 , 1'b0); // used by 5 +xor6 hx_6 (h[6], c[17] , c[20] , c[23] , c[28] , 1'b0 , 1'b0); // used by 3 +xor6 hx_7 (h[7], c[3] , c[9] , c[21] , c[26] , 1'b0 , 1'b0); // used by 8 +xor6 hx_8 (h[8], c[3] , c[14] , c[15] , c[26] , 1'b0 , 1'b0); // used by 4 +xor6 hx_9 (h[9], c[0] , c[8] , c[20] , c[24] , 1'b0 , 1'b0); // used by 2 +xor6 hx_10 (h[10], c[11] , c[19] , c[30] , c[31] , 1'b0 , 1'b0); // used by 7 +xor6 hx_11 (h[11], c[4] , c[13] , c[14] , c[15] , c[18] , 1'b0); // used by 2 +xor6 hx_12 (h[12], c[3] , c[9] , c[14] , c[20] , 1'b0 , 1'b0); // used by 3 +xor6 hx_13 (h[13], c[4] , c[5] , c[16] , c[27] , 1'b0 , 1'b0); // used by 11 +xor6 hx_14 (h[14], c[0] , c[6] , c[15] , c[17] , 1'b0 , 1'b0); // used by 11 +xor6 hx_15 (h[15], c[9] , c[12] , c[18] , c[22] , 1'b0 , 1'b0); // used by 4 +xor6 hx_16 (h[16], c[1] , c[12] , c[23] , c[25] , 1'b0 , 1'b0); // used by 1 +xor6 hx_17 (h[17], c[8] , c[10] , c[27] , c[29] , 1'b0 , 1'b0); // used by 1 +xor6 hx_18 (h[18], c[9] , c[12] , c[13] , c[23] , c[26] , 1'b0); // used by 1 +xor6 hx_19 (h[19], c[11] , c[25] , c[28] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_20 (h[20], c[11] , c[24] , c[25] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_21 (h[21], c[3] , c[23] , c[24] , c[30] , 1'b0 , 1'b0); // used by 1 +xor6 hx_22 (h[22], c[18] , c[22] , c[31] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_23 (h[23], c[8] , c[10] , c[14] , c[22] , c[23] , 1'b0); // used by 1 +xor6 hx_24 (h[24], c[4] , c[7] , c[25] , c[27] , 1'b0 , 1'b0); // used by 1 +xor6 hx_25 (h[25], c[8] , c[15] , c[16] , c[20] , 1'b0 , 1'b0); // used by 1 +xor6 hx_26 (h[26], c[7] , c[16] , c[21] , c[26] , c[28] , 1'b0); // used by 1 +xor6 hx_27 (h[27], c[15] , c[23] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 3 +xor6 hx_28 (h[28], c[11] , c[12] , c[17] , c[22] , 1'b0 , 1'b0); // used by 2 +xor6 hx_29 (h[29], c[0] , c[5] , c[6] , c[10] , c[18] , 1'b0); // used by 1 +xor6 hx_30 (h[30], c[5] , c[18] , c[19] , c[23] , 1'b0 , 1'b0); // used by 1 +xor6 hx_31 (h[31], c[6] , c[17] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_32 (h[32], c[9] , c[19] , 1'b0 , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_33 (h[33], c[4] , c[9] , c[10] , c[17] , 1'b0 , 1'b0); // used by 2 +xor6 hx_34 (h[34], c[12] , c[16] , c[19] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_35 (h[35], c[15] , c[17] , c[27] , c[28] , 1'b0 , 1'b0); // used by 1 +xor6 hx_36 (h[36], c[8] , c[13] , c[30] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_37 (h[37], c[16] , c[28] , c[29] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_38 (h[38], c[1] , c[2] , c[9] , c[16] , 1'b0 , 1'b0); // used by 1 +xor6 hx_39 (h[39], c[1] , c[8] , c[28] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_40 (h[40], c[5] , c[7] , c[10] , c[15] , 1'b0 , 1'b0); // used by 1 +xor6 hx_41 (h[41], c[3] , c[5] , c[28] , 1'b0 , 1'b0 , 1'b0); // used by 2 +xor6 hx_42 (h[42], c[7] , c[8] , c[9] , c[23] , c[25] , 1'b0); // used by 1 +xor6 hx_43 (h[43], c[0] , c[18] , c[19] , c[20] , 1'b0 , 1'b0); // used by 2 +xor6 hx_44 (h[44], c[14] , c[16] , c[17] , c[22] , 1'b0 , 1'b0); // used by 1 +xor6 hx_45 (h[45], c[14] , c[27] , c[31] , 1'b0 , 1'b0 , 1'b0); // used by 1 +xor6 hx_46 (h[46], c[21] , c[22] , c[26] , c[29] , 1'b0 , 1'b0); // used by 1 +xor6 hx_47 (h[47], c[2] , c[17] , c[31] , 1'b0 , 1'b0 , 1'b0); // used by 2 +endmodule + + diff --git a/Advanced Synthesis Cookbook/crc/crc_ethernet.v b/Advanced Synthesis Cookbook/crc/crc_ethernet.v new file mode 100644 index 0000000..0784524 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc_ethernet.v @@ -0,0 +1,150 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-03-2006 +// +// typical Ethernet FCS style CRC-32 for Stratix II +// variable data width, 1..4 bytes +// +// Testbench at bottom does the ASCII 1..9 test. +// +module crc_ethernet ( + aclr, + clk, + ena, + init, + dat_size, + crc_out, + dat +); + +input [1:0] dat_size; // 0=1 byte .. 3=4 bytes. +input [31:0] dat; +input clk; +input ena; // deactivate me for power savings +input aclr; // async rst to 0 +input init; // sync load 111... +output [31:0] crc_out; // reversed and inverted + +reg [31:0] crc_out; + +wire [31:0] crc_rin_wire; +wire [31:0] crc_rout_wire; + +// 32 bit register bank, initializes to 111.. on the init signal. +crc_register rg ( + .d(crc_rin_wire), + .q(crc_rout_wire), + .clk(clk), + .init(init), + .sclr(1'b0), + .ena(ena), + .aclr(aclr)); + +// parallel array of CRC XORs +crc32_dat32_any_byte cr ( + .dat_size(dat_size), + .crc_in(crc_rout_wire), + .crc_out(crc_rin_wire), + .dat8 (dat[7:0]), + .dat16 ({dat[7:0],dat[15:8]}), + .dat24 ({dat[7:0],dat[15:8],dat[23:16]}), + .dat32 ({dat[7:0],dat[15:8],dat[23:16],dat[31:24]}) +); +defparam cr .REVERSE_DATA = 1; + +// reverse and invert the CRC output lines +integer i; +always @(crc_rout_wire) begin + for (i=0;i<32;i=i+1) + begin + crc_out[i] = !crc_rout_wire[31-i]; + end +end + +endmodule + +//////////////////////////////////////// +// testbench +//////////////////////////////////////// +module crc_ethernet_tb (); + +reg clk,init; +reg [1:0] dat_size; +wire [31:0] crc_out; +reg [31:0] dat; +reg aclr; + +crc_ethernet ce (.aclr(aclr),.clk(clk),.ena(1'b1), + .init(init),.dat_size(dat_size),.crc_out(crc_out), + .dat(dat) +); + +initial begin + aclr = 0; + clk = 0; + dat = 0; + init = 0; + dat_size = 0; + #10 aclr = 1; + #10 aclr = 0; + + // sync reset to all 1's (internally) + init = 1; + #10 clk = 1; + #10 clk = 0; + init = 0; + $display ("After Init : %x",crc_out); + + // apply "1234" + dat_size = 2'b11; // 4 byte + dat = "1234"; + #10 clk = 1; + #10 clk = 0; + $display ("After 1234 : %x",crc_out); + + // apply "5678" + dat = "5678"; + #10 clk = 1; + #10 clk = 0; + $display ("After 5678 : %x",crc_out); + + + // apply "9" + dat_size = 2'b00; // 1 byte residue + dat = {24'b0,"9"}; // upper 24 bits are don't care + #10 clk = 1; + #10 clk = 0; + $display ("After 9 : %x",crc_out); + + + //check it + if (crc_out != 32'hcbf43926) begin + $display ("Failed 1233456789 test"); + end + else begin + $display ("Passed 1233456789 test"); + end + $stop(); +end + +endmodule diff --git a/Advanced Synthesis Cookbook/crc/crc_register.v b/Advanced Synthesis Cookbook/crc/crc_register.v new file mode 100644 index 0000000..dd49211 --- /dev/null +++ b/Advanced Synthesis Cookbook/crc/crc_register.v @@ -0,0 +1,98 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-03-2006 +// +// typical CRC register bank +// the init constant is defaulted to all 1's for CRC-32 +// aclr beats ena beats sclr beats init in terms of signal priority. +// + +module crc_register (d, q, clk, init, sclr, ena, aclr); + +parameter WIDTH = 32; +parameter METHOD = 1; +parameter INIT_CONST = 32'hffffffff; + +input [WIDTH-1:0] d; +input clk,init,sclr,ena,aclr; +output [WIDTH-1:0] q; +reg [WIDTH-1:0] q; + +genvar i; +generate + if (METHOD == 0) begin + ///////////////////////////////////// + // Generic style. + // Depending on the WIDTH setting and surrounding logic the + // synthesis tool may not use the dedicated hardware. For + // example at WIDTH=1 the LUT implementation is clearly + // better. To force secondary signals use the WYS version below. + ///////////////////////////////////// + always @(posedge clk or posedge aclr) begin + if (aclr) q <= 0; + else begin + if (ena) begin + if (sclr) q <= 0; + else if (init) q <= INIT_CONST; + else q <= d; + end + end + end + end + else begin + /////////////////////// + // WYSIWYG style + /////////////////////// + wire [WIDTH-1:0] q_internal; + + for (i=0; i + +int rconst[] = {0x1,0x2,0x4,0x8,0x10,0x20,0x40,0x80,0x1b,0x36}; + +int main(void) +{ + int round; + int n = 0; + + fprintf (stdout,"// baeckler - 03-07-2006\n\n"); + fprintf (stdout,"// pipelined AES / aes encrypt and decrypt units\n\n"); + + fprintf (stdout,"////////////////////////////////////\n"); + fprintf (stdout,"// Encrypt using 128 bit key\n"); + fprintf (stdout,"////////////////////////////////////\n"); + + fprintf (stdout,"module aes_128 (clk,clr,dat_in,dat_out,key,inv_key);\n"); + fprintf (stdout,"input clk,clr;\n"); + fprintf (stdout,"input [127:0] dat_in;\n"); + fprintf (stdout,"input [127:0] key;\n"); + fprintf (stdout,"output [127:0] dat_out;\n"); + fprintf (stdout,"output [127:0] inv_key;\n\n"); + + fprintf (stdout,"parameter LATENCY = 10; // currently allowed 0,10\n"); + fprintf (stdout,"localparam ROUND_LATENCY = (LATENCY == 10 ? 1 : 0);\n"); + + fprintf (stdout,"wire [127:0] start1,start2,start3,start4,start5;\n"); + fprintf (stdout,"wire [127:0] start6,start7,start8,start9,start10;\n"); + fprintf (stdout,"wire [127:0] key1,key2,key3,key4,key5;\n"); + fprintf (stdout,"wire [127:0] key6,key7,key8,key9,key10;\n\n"); + + fprintf (stdout,"assign start1 = dat_in ^ key;\n"); + fprintf (stdout,"assign key1 = key;\n\n"); + + for (round=1; round<=10; round++) + { + fprintf (stdout," aes_round_128 r%d (\n",round); + fprintf (stdout," .clk(clk),.clr(clr),\n"); + fprintf (stdout," .dat_in(start%d),.key_in(key%d),\n",round,round); + if (round == 10) + { + fprintf (stdout," .dat_out(dat_out),.key_out(inv_key),\n"); + fprintf (stdout," .skip_mix_col(1'b1),\n"); + } + else + { + fprintf (stdout," .dat_out(start%d),.key_out(key%d),\n", + round+1,round+1); + fprintf (stdout," .skip_mix_col(1'b0),\n"); + } + fprintf (stdout," .rconst(8'h%02x)",rconst[round-1]); + fprintf (stdout,");\n"); + fprintf (stdout," defparam r%d .LATENCY = ROUND_LATENCY;\n",round); + } + + fprintf (stdout,"endmodule\n\n"); + + ////////////////// + + fprintf (stdout,"////////////////////////////////////\n"); + fprintf (stdout,"// Inverse (Decrypt) using 128 bit key\n"); + fprintf (stdout,"////////////////////////////////////\n"); + + fprintf (stdout,"module inv_aes_128 (clk,clr,dat_in,dat_out,inv_key);\n"); + fprintf (stdout,"input clk,clr;\n"); + fprintf (stdout,"input [127:0] dat_in;\n"); + fprintf (stdout,"input [127:0] inv_key;\n"); + fprintf (stdout,"output [127:0] dat_out;\n\n"); + + fprintf (stdout,"parameter LATENCY = 10; // currently allowed 0,10\n"); + fprintf (stdout,"localparam ROUND_LATENCY = (LATENCY == 10 ? 1 : 0);\n"); + + fprintf (stdout,"wire [127:0] start1,start2,start3,start4,start5;\n"); + fprintf (stdout,"wire [127:0] start6,start7,start8,start9,start10;\n"); + fprintf (stdout,"wire [127:0] unkeyd_out,last_key;\n"); + fprintf (stdout,"wire [127:0] key1,key2,key3,key4,key5;\n"); + fprintf (stdout,"wire [127:0] key6,key7,key8,key9,key10;\n\n"); + + fprintf (stdout,"assign start1 = dat_in;\n"); + fprintf (stdout,"assign key1 = inv_key;\n\n"); + + for (round=1; round<=10; round++) + { + fprintf (stdout," inv_aes_round_128 r%d (\n",round); + fprintf (stdout," .clk(clk),.clr(clr),\n"); + fprintf (stdout," .dat_in(start%d),.key_in(key%d),\n",round,round); + if (round == 1) + { + fprintf (stdout," .dat_out(start%d),.key_out(key%d),\n", + round+1,round+1); + fprintf (stdout," .skip_mix_col(1'b1),\n"); + } + else if (round == 10) + { + fprintf (stdout," .dat_out(unkeyd_out),.key_out(last_key),\n", + round+1,round+1); + fprintf (stdout," .skip_mix_col(1'b0),\n"); + } + else + { + fprintf (stdout," .dat_out(start%d),.key_out(key%d),\n", + round+1,round+1); + fprintf (stdout," .skip_mix_col(1'b0),\n"); + } + fprintf (stdout," .rconst(8'h%02x)",rconst[9-(round-1)]); + fprintf (stdout,");\n"); + fprintf (stdout," defparam r%d .LATENCY = ROUND_LATENCY;\n",round); + } + + fprintf (stdout,"assign dat_out = last_key ^ unkeyd_out;\n\n"); + + fprintf (stdout,"endmodule\n"); + return (0); +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/aes/aes_128.v b/Advanced Synthesis Cookbook/crypto/aes/aes_128.v new file mode 100644 index 0000000..ee47989 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/aes_128.v @@ -0,0 +1,211 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-07-2006 + +// pipelined AES / aes encrypt and decrypt units + +//////////////////////////////////// +// Encrypt using 128 bit key +//////////////////////////////////// +module aes_128 (clk,clr,dat_in,dat_out,key,inv_key); +input clk,clr; +input [127:0] dat_in; +input [127:0] key; +output [127:0] dat_out; +output [127:0] inv_key; + +parameter LATENCY = 10; // currently allowed 0,10 +localparam ROUND_LATENCY = (LATENCY == 10 ? 1 : 0); +wire [127:0] start1,start2,start3,start4,start5; +wire [127:0] start6,start7,start8,start9,start10; +wire [127:0] key1,key2,key3,key4,key5; +wire [127:0] key6,key7,key8,key9,key10; + +assign start1 = dat_in ^ key; +assign key1 = key; + + aes_round_128 r1 ( + .clk(clk),.clr(clr), + .dat_in(start1),.key_in(key1), + .dat_out(start2),.key_out(key2), + .skip_mix_col(1'b0), + .rconst(8'h01)); + defparam r1 .LATENCY = ROUND_LATENCY; + aes_round_128 r2 ( + .clk(clk),.clr(clr), + .dat_in(start2),.key_in(key2), + .dat_out(start3),.key_out(key3), + .skip_mix_col(1'b0), + .rconst(8'h02)); + defparam r2 .LATENCY = ROUND_LATENCY; + aes_round_128 r3 ( + .clk(clk),.clr(clr), + .dat_in(start3),.key_in(key3), + .dat_out(start4),.key_out(key4), + .skip_mix_col(1'b0), + .rconst(8'h04)); + defparam r3 .LATENCY = ROUND_LATENCY; + aes_round_128 r4 ( + .clk(clk),.clr(clr), + .dat_in(start4),.key_in(key4), + .dat_out(start5),.key_out(key5), + .skip_mix_col(1'b0), + .rconst(8'h08)); + defparam r4 .LATENCY = ROUND_LATENCY; + aes_round_128 r5 ( + .clk(clk),.clr(clr), + .dat_in(start5),.key_in(key5), + .dat_out(start6),.key_out(key6), + .skip_mix_col(1'b0), + .rconst(8'h10)); + defparam r5 .LATENCY = ROUND_LATENCY; + aes_round_128 r6 ( + .clk(clk),.clr(clr), + .dat_in(start6),.key_in(key6), + .dat_out(start7),.key_out(key7), + .skip_mix_col(1'b0), + .rconst(8'h20)); + defparam r6 .LATENCY = ROUND_LATENCY; + aes_round_128 r7 ( + .clk(clk),.clr(clr), + .dat_in(start7),.key_in(key7), + .dat_out(start8),.key_out(key8), + .skip_mix_col(1'b0), + .rconst(8'h40)); + defparam r7 .LATENCY = ROUND_LATENCY; + aes_round_128 r8 ( + .clk(clk),.clr(clr), + .dat_in(start8),.key_in(key8), + .dat_out(start9),.key_out(key9), + .skip_mix_col(1'b0), + .rconst(8'h80)); + defparam r8 .LATENCY = ROUND_LATENCY; + aes_round_128 r9 ( + .clk(clk),.clr(clr), + .dat_in(start9),.key_in(key9), + .dat_out(start10),.key_out(key10), + .skip_mix_col(1'b0), + .rconst(8'h1b)); + defparam r9 .LATENCY = ROUND_LATENCY; + aes_round_128 r10 ( + .clk(clk),.clr(clr), + .dat_in(start10),.key_in(key10), + .dat_out(dat_out),.key_out(inv_key), + .skip_mix_col(1'b1), + .rconst(8'h36)); + defparam r10 .LATENCY = ROUND_LATENCY; +endmodule + +//////////////////////////////////// +// Inverse (Decrypt) using 128 bit key +//////////////////////////////////// +module inv_aes_128 (clk,clr,dat_in,dat_out,inv_key); +input clk,clr; +input [127:0] dat_in; +input [127:0] inv_key; +output [127:0] dat_out; + +parameter LATENCY = 10; // currently allowed 0,10 +localparam ROUND_LATENCY = (LATENCY == 10 ? 1 : 0); +wire [127:0] start1,start2,start3,start4,start5; +wire [127:0] start6,start7,start8,start9,start10; +wire [127:0] unkeyd_out,last_key; +wire [127:0] key1,key2,key3,key4,key5; +wire [127:0] key6,key7,key8,key9,key10; + +assign start1 = dat_in; +assign key1 = inv_key; + + inv_aes_round_128 r1 ( + .clk(clk),.clr(clr), + .dat_in(start1),.key_in(key1), + .dat_out(start2),.key_out(key2), + .skip_mix_col(1'b1), + .rconst(8'h36)); + defparam r1 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r2 ( + .clk(clk),.clr(clr), + .dat_in(start2),.key_in(key2), + .dat_out(start3),.key_out(key3), + .skip_mix_col(1'b0), + .rconst(8'h1b)); + defparam r2 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r3 ( + .clk(clk),.clr(clr), + .dat_in(start3),.key_in(key3), + .dat_out(start4),.key_out(key4), + .skip_mix_col(1'b0), + .rconst(8'h80)); + defparam r3 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r4 ( + .clk(clk),.clr(clr), + .dat_in(start4),.key_in(key4), + .dat_out(start5),.key_out(key5), + .skip_mix_col(1'b0), + .rconst(8'h40)); + defparam r4 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r5 ( + .clk(clk),.clr(clr), + .dat_in(start5),.key_in(key5), + .dat_out(start6),.key_out(key6), + .skip_mix_col(1'b0), + .rconst(8'h20)); + defparam r5 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r6 ( + .clk(clk),.clr(clr), + .dat_in(start6),.key_in(key6), + .dat_out(start7),.key_out(key7), + .skip_mix_col(1'b0), + .rconst(8'h10)); + defparam r6 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r7 ( + .clk(clk),.clr(clr), + .dat_in(start7),.key_in(key7), + .dat_out(start8),.key_out(key8), + .skip_mix_col(1'b0), + .rconst(8'h08)); + defparam r7 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r8 ( + .clk(clk),.clr(clr), + .dat_in(start8),.key_in(key8), + .dat_out(start9),.key_out(key9), + .skip_mix_col(1'b0), + .rconst(8'h04)); + defparam r8 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r9 ( + .clk(clk),.clr(clr), + .dat_in(start9),.key_in(key9), + .dat_out(start10),.key_out(key10), + .skip_mix_col(1'b0), + .rconst(8'h02)); + defparam r9 .LATENCY = ROUND_LATENCY; + inv_aes_round_128 r10 ( + .clk(clk),.clr(clr), + .dat_in(start10),.key_in(key10), + .dat_out(unkeyd_out),.key_out(last_key), + .skip_mix_col(1'b0), + .rconst(8'h01)); + defparam r10 .LATENCY = ROUND_LATENCY; +assign dat_out = last_key ^ unkeyd_out; + +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/aes/aes_128_tb.v b/Advanced Synthesis Cookbook/crypto/aes/aes_128_tb.v new file mode 100644 index 0000000..4275e00 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/aes_128_tb.v @@ -0,0 +1,162 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-08-2006 +// stim and responses taken from the FIPS 197 doc (2001) +// Appendix B + +module aes_128_tb (); + +reg [127:0] plain; +reg [127:0] key; +wire [127:0] sub1; +wire [127:0] shftr1; +wire [127:0] mix1; +wire [127:0] key1,key2; +wire [127:0] start2,start3; +wire [127:0] full_out,pipe_out; +reg clk,clr; + + +initial begin + clk = 0; + clr = 0; + #10 clr = 1; + #10 clr = 0; + plain = 128'h3243f6a8885a308d313198a2e0370734; + key = 128'h2b7e151628aed2a6abf7158809cf4f3c; +end + +// initial round building blocks +sub_bytes sb1 (.in(plain ^ key),.out(sub1)); +shift_rows sr1 (.in(sub1),.out(shftr1)); +mix_columns mx1 (.in(shftr1),.out(mix1)); +evolve_key_128 ek1 (.key_in(key),.rconst(8'h1), + .key_out(key1)); +assign start2 = key1 ^ mix1; + +// 2nd round in composite layer +aes_round_128 r2 ( + .clk(1'b0),.clr(1'b0), + .dat_in(start2), + .dat_out(start3), + .rconst(8'h2), + .skip_mix_col(1'b0), + .key_in(key1),.key_out(key2) +); + +wire [127:0] inv_key,pipe_inv_key,recovered,pipe_recovered; + +// full 128 bit cipher, no pipeline +aes_128 rf (.clk(1'b0),.clr(1'b0), + .dat_in(plain),.key(key),.dat_out(full_out),.inv_key(inv_key)); +defparam rf .LATENCY = 0; + +// full 128 bit decipher, no pipeline +inv_aes_128 irf (.clk(1'b0),.clr(1'b0), + .dat_in(full_out),.inv_key(inv_key),.dat_out(recovered)); +defparam irf .LATENCY = 0; + +// full 128 bit cipher, ten pipeline +aes_128 rp (.clk(clk),.clr(clr), + .dat_in(plain),.key(key),.dat_out(pipe_out), + .inv_key(pipe_inv_key)); +defparam rp .LATENCY = 10; + +// full 128 bit decipher, ten pipeline +inv_aes_128 irp (.clk(clk),.clr(clr), + .dat_in(pipe_out),.inv_key(pipe_inv_key),.dat_out(pipe_recovered)); +defparam irp .LATENCY = 10; + +reg [127:0] expected [0:9]; +integer n; + +initial begin + $display ("Testing Building blocks..."); + + #100 if (start2 != 128'ha49c7ff2689f352b6b5bea43026a5049) begin + $display ("Initial round building blocks aren't working"); + $stop(); + end + #100 if (start3 != 128'haa8f5f0361dde3ef82d24ad26832469a) begin + $display ("Second round composite isn't working"); + $stop(); + end + #100 if (full_out != 128'h3925841d02dc09fbdc118597196a0b32) begin + $display ("Full encipher 128 no pipeline not working"); + $stop(); + end + #100 if (recovered != plain) begin + $display ("Full decipher 128 no pipeline not working"); + $stop(); + end + + #100 $display ("Blocks OK. Testing pipelined operation"); + + // test the pipelined version + // fill the pipe, save expected encrypt result + for (n=0; n<10; n=n+1) + begin + #100 expected[n] = full_out; + $display ("save expected %x",full_out); + #100 clk = ~clk; + #100 clk = ~clk; + key = key + 1; + plain = plain + 1; + end + + // drain the pipe and check encrypts against expected + for (n=0; n<10; n=n+1) + begin + #100 + $display ("read back %x",pipe_out); + if (expected[n] != pipe_out) begin + $display ("Pipeline output is incorrect time %d",$time); + $stop(); + end + #100 clk = ~clk; + #100 clk = ~clk; + end + + plain = plain - 10; + + // drain the pipe and check decrypts against expected + for (n=0; n<10; n=n+1) + begin + #100 + $display ("read back %x",pipe_recovered); + if (plain != pipe_recovered) begin + $display ("Pipeline output is incorrect time %d",$time); + $stop(); + end + #100 clk = ~clk; + plain = plain + 1; + #100 clk = ~clk; + end + + + $display ("PASS"); + $stop(); + +end + +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/aes/aes_256.cpp b/Advanced Synthesis Cookbook/crypto/aes/aes_256.cpp new file mode 100644 index 0000000..73b70d3 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/aes_256.cpp @@ -0,0 +1,149 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-07-2006 +// fill in rounds and constants for a pipelined +// Rijndael w/ 256 bit key (AES256) +// +#include + +int rconst[] = {0x1,0x2,0x4,0x8,0x10,0x20,0x40}; + +int main(void) +{ + int round; + int n = 0; + + fprintf (stdout,"// baeckler - 12-15-2006\n\n"); + fprintf (stdout,"// pipelined Rijndael / AES256 encrypt and decrypt units\n\n"); + + fprintf (stdout,"////////////////////////////////////\n"); + fprintf (stdout,"// Encrypt using 256 bit key\n"); + fprintf (stdout,"////////////////////////////////////\n"); + + fprintf (stdout,"module aes_256 (clk,clr,dat_in,dat_out,key,inv_key);\n"); + fprintf (stdout,"input clk,clr;\n"); + fprintf (stdout,"input [127:0] dat_in;\n"); + fprintf (stdout,"input [255:0] key;\n"); + fprintf (stdout,"output [127:0] dat_out;\n"); + fprintf (stdout,"output [255:0] inv_key;\n\n"); + + fprintf (stdout,"parameter LATENCY = 14; // currently allowed 0,14\n"); + fprintf (stdout,"localparam ROUND_LATENCY = (LATENCY == 14 ? 1 : 0);\n"); + + fprintf (stdout,"wire [127:0] start1,start2,start3,start4,start5;\n"); + fprintf (stdout,"wire [127:0] start6,start7,start8,start9,start10;\n"); + fprintf (stdout,"wire [127:0] start11,start12,start13,start14;\n"); + fprintf (stdout,"wire [255:0] key1,key2,key3,key4,key5;\n"); + fprintf (stdout,"wire [255:0] key6,key7,key8,key9,key10;\n"); + fprintf (stdout,"wire [255:0] key11,key12,key13,key14;\n\n"); + + fprintf (stdout,"assign start1 = dat_in ^ key[255:128];\n"); + fprintf (stdout,"assign key1 = key;\n\n"); + + for (round=1; round<=14; round++) + { + fprintf (stdout," aes_round_256 r%d (\n",round); + fprintf (stdout," .clk(clk),.clr(clr),\n"); + fprintf (stdout," .dat_in(start%d),.key_in(key%d),\n",round,round); + if (round == 14) + { + fprintf (stdout," .dat_out(dat_out),.key_out(inv_key),\n"); + fprintf (stdout," .skip_mix_col(1'b1),\n"); + } + else + { + fprintf (stdout," .dat_out(start%d),.key_out(key%d),\n", + round+1,round+1); + fprintf (stdout," .skip_mix_col(1'b0),\n"); + } + fprintf (stdout," .rconst(8'h%02x)",rconst[(round-1) / 2]); + fprintf (stdout,");\n"); + fprintf (stdout," defparam r%d .LATENCY = ROUND_LATENCY;\n",round); + fprintf (stdout," defparam r%d .KEY_EVOLVE_TYPE = %d;\n",round, + (round + 1) % 2); + } + + fprintf (stdout,"endmodule\n\n"); + + ////////////////// + + fprintf (stdout,"////////////////////////////////////\n"); + fprintf (stdout,"// Inverse (Decrypt) using 256 bit key\n"); + fprintf (stdout,"////////////////////////////////////\n"); + + fprintf (stdout,"module inv_aes_256 (clk,clr,dat_in,dat_out,inv_key);\n"); + fprintf (stdout,"input clk,clr;\n"); + fprintf (stdout,"input [127:0] dat_in;\n"); + fprintf (stdout,"input [255:0] inv_key;\n"); + fprintf (stdout,"output [127:0] dat_out;\n\n"); + + fprintf (stdout,"parameter LATENCY = 14; // currently allowed 0,14\n"); + fprintf (stdout,"localparam ROUND_LATENCY = (LATENCY == 14 ? 1 : 0);\n"); + + fprintf (stdout,"wire [127:0] start1,start2,start3,start4,start5;\n"); + fprintf (stdout,"wire [127:0] start6,start7,start8,start9,start10;\n"); + fprintf (stdout,"wire [127:0] start11,start12,start13,start14;\n"); + fprintf (stdout,"wire [127:0] unkeyd_out;\n"); + fprintf (stdout,"wire [255:0] last_key;\n"); + fprintf (stdout,"wire [255:0] key1,key2,key3,key4,key5;\n"); + fprintf (stdout,"wire [255:0] key6,key7,key8,key9,key10;\n"); + fprintf (stdout,"wire [255:0] key11,key12,key13,key14;\n\n"); + + fprintf (stdout,"assign start1 = dat_in;\n"); + fprintf (stdout,"assign key1 = inv_key;\n\n"); + + for (round=1; round<=14; round++) + { + fprintf (stdout," inv_aes_round_256 r%d (\n",round); + fprintf (stdout," .clk(clk),.clr(clr),\n"); + fprintf (stdout," .dat_in(start%d),.key_in(key%d),\n",round,round); + if (round == 1) + { + fprintf (stdout," .dat_out(start%d),.key_out(key%d),\n", + round+1,round+1); + fprintf (stdout," .skip_mix_col(1'b1),\n"); + } + else if (round == 14) + { + fprintf (stdout," .dat_out(unkeyd_out),.key_out(last_key),\n", + round+1,round+1); + fprintf (stdout," .skip_mix_col(1'b0),\n"); + } + else + { + fprintf (stdout," .dat_out(start%d),.key_out(key%d),\n", + round+1,round+1); + fprintf (stdout," .skip_mix_col(1'b0),\n"); + } + fprintf (stdout," .rconst(8'h%02x)",rconst[(14-round) / 2]); + fprintf (stdout,");\n"); + fprintf (stdout," defparam r%d .LATENCY = ROUND_LATENCY;\n",round); + fprintf (stdout," defparam r%d .KEY_EVOLVE_TYPE = %d;\n",round, + (round) % 2); + } + + fprintf (stdout,"assign dat_out = last_key[255:128] ^ unkeyd_out;\n\n"); + + fprintf (stdout,"endmodule\n"); + return (0); +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/aes/aes_256.v b/Advanced Synthesis Cookbook/crypto/aes/aes_256.v new file mode 100644 index 0000000..71b635d --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/aes_256.v @@ -0,0 +1,300 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-15-2006 + +// pipelined Rijndael / AES256 encrypt and decrypt units + +//////////////////////////////////// +// Encrypt using 256 bit key +//////////////////////////////////// +module aes_256 (clk,clr,dat_in,dat_out,key,inv_key); +input clk,clr; +input [127:0] dat_in; +input [255:0] key; +output [127:0] dat_out; +output [255:0] inv_key; + +parameter LATENCY = 14; // currently allowed 0,14 +localparam ROUND_LATENCY = (LATENCY == 14 ? 1 : 0); +wire [127:0] start1,start2,start3,start4,start5; +wire [127:0] start6,start7,start8,start9,start10; +wire [127:0] start11,start12,start13,start14; +wire [255:0] key1,key2,key3,key4,key5; +wire [255:0] key6,key7,key8,key9,key10; +wire [255:0] key11,key12,key13,key14; + +assign start1 = dat_in ^ key[255:128]; +assign key1 = key; + + aes_round_256 r1 ( + .clk(clk),.clr(clr), + .dat_in(start1),.key_in(key1), + .dat_out(start2),.key_out(key2), + .skip_mix_col(1'b0), + .rconst(8'h01)); + defparam r1 .LATENCY = ROUND_LATENCY; + defparam r1 .KEY_EVOLVE_TYPE = 0; + aes_round_256 r2 ( + .clk(clk),.clr(clr), + .dat_in(start2),.key_in(key2), + .dat_out(start3),.key_out(key3), + .skip_mix_col(1'b0), + .rconst(8'h01)); + defparam r2 .LATENCY = ROUND_LATENCY; + defparam r2 .KEY_EVOLVE_TYPE = 1; + aes_round_256 r3 ( + .clk(clk),.clr(clr), + .dat_in(start3),.key_in(key3), + .dat_out(start4),.key_out(key4), + .skip_mix_col(1'b0), + .rconst(8'h02)); + defparam r3 .LATENCY = ROUND_LATENCY; + defparam r3 .KEY_EVOLVE_TYPE = 0; + aes_round_256 r4 ( + .clk(clk),.clr(clr), + .dat_in(start4),.key_in(key4), + .dat_out(start5),.key_out(key5), + .skip_mix_col(1'b0), + .rconst(8'h02)); + defparam r4 .LATENCY = ROUND_LATENCY; + defparam r4 .KEY_EVOLVE_TYPE = 1; + aes_round_256 r5 ( + .clk(clk),.clr(clr), + .dat_in(start5),.key_in(key5), + .dat_out(start6),.key_out(key6), + .skip_mix_col(1'b0), + .rconst(8'h04)); + defparam r5 .LATENCY = ROUND_LATENCY; + defparam r5 .KEY_EVOLVE_TYPE = 0; + aes_round_256 r6 ( + .clk(clk),.clr(clr), + .dat_in(start6),.key_in(key6), + .dat_out(start7),.key_out(key7), + .skip_mix_col(1'b0), + .rconst(8'h04)); + defparam r6 .LATENCY = ROUND_LATENCY; + defparam r6 .KEY_EVOLVE_TYPE = 1; + aes_round_256 r7 ( + .clk(clk),.clr(clr), + .dat_in(start7),.key_in(key7), + .dat_out(start8),.key_out(key8), + .skip_mix_col(1'b0), + .rconst(8'h08)); + defparam r7 .LATENCY = ROUND_LATENCY; + defparam r7 .KEY_EVOLVE_TYPE = 0; + aes_round_256 r8 ( + .clk(clk),.clr(clr), + .dat_in(start8),.key_in(key8), + .dat_out(start9),.key_out(key9), + .skip_mix_col(1'b0), + .rconst(8'h08)); + defparam r8 .LATENCY = ROUND_LATENCY; + defparam r8 .KEY_EVOLVE_TYPE = 1; + aes_round_256 r9 ( + .clk(clk),.clr(clr), + .dat_in(start9),.key_in(key9), + .dat_out(start10),.key_out(key10), + .skip_mix_col(1'b0), + .rconst(8'h10)); + defparam r9 .LATENCY = ROUND_LATENCY; + defparam r9 .KEY_EVOLVE_TYPE = 0; + aes_round_256 r10 ( + .clk(clk),.clr(clr), + .dat_in(start10),.key_in(key10), + .dat_out(start11),.key_out(key11), + .skip_mix_col(1'b0), + .rconst(8'h10)); + defparam r10 .LATENCY = ROUND_LATENCY; + defparam r10 .KEY_EVOLVE_TYPE = 1; + aes_round_256 r11 ( + .clk(clk),.clr(clr), + .dat_in(start11),.key_in(key11), + .dat_out(start12),.key_out(key12), + .skip_mix_col(1'b0), + .rconst(8'h20)); + defparam r11 .LATENCY = ROUND_LATENCY; + defparam r11 .KEY_EVOLVE_TYPE = 0; + aes_round_256 r12 ( + .clk(clk),.clr(clr), + .dat_in(start12),.key_in(key12), + .dat_out(start13),.key_out(key13), + .skip_mix_col(1'b0), + .rconst(8'h20)); + defparam r12 .LATENCY = ROUND_LATENCY; + defparam r12 .KEY_EVOLVE_TYPE = 1; + aes_round_256 r13 ( + .clk(clk),.clr(clr), + .dat_in(start13),.key_in(key13), + .dat_out(start14),.key_out(key14), + .skip_mix_col(1'b0), + .rconst(8'h40)); + defparam r13 .LATENCY = ROUND_LATENCY; + defparam r13 .KEY_EVOLVE_TYPE = 0; + aes_round_256 r14 ( + .clk(clk),.clr(clr), + .dat_in(start14),.key_in(key14), + .dat_out(dat_out),.key_out(inv_key), + .skip_mix_col(1'b1), + .rconst(8'h40)); + defparam r14 .LATENCY = ROUND_LATENCY; + defparam r14 .KEY_EVOLVE_TYPE = 1; +endmodule + +//////////////////////////////////// +// Inverse (Decrypt) using 256 bit key +//////////////////////////////////// +module inv_aes_256 (clk,clr,dat_in,dat_out,inv_key); +input clk,clr; +input [127:0] dat_in; +input [255:0] inv_key; +output [127:0] dat_out; + +parameter LATENCY = 14; // currently allowed 0,14 +localparam ROUND_LATENCY = (LATENCY == 14 ? 1 : 0); +wire [127:0] start1,start2,start3,start4,start5; +wire [127:0] start6,start7,start8,start9,start10; +wire [127:0] start11,start12,start13,start14; +wire [127:0] unkeyd_out; +wire [255:0] last_key; +wire [255:0] key1,key2,key3,key4,key5; +wire [255:0] key6,key7,key8,key9,key10; +wire [255:0] key11,key12,key13,key14; + +assign start1 = dat_in; +assign key1 = inv_key; + + inv_aes_round_256 r1 ( + .clk(clk),.clr(clr), + .dat_in(start1),.key_in(key1), + .dat_out(start2),.key_out(key2), + .skip_mix_col(1'b1), + .rconst(8'h40)); + defparam r1 .LATENCY = ROUND_LATENCY; + defparam r1 .KEY_EVOLVE_TYPE = 1; + inv_aes_round_256 r2 ( + .clk(clk),.clr(clr), + .dat_in(start2),.key_in(key2), + .dat_out(start3),.key_out(key3), + .skip_mix_col(1'b0), + .rconst(8'h40)); + defparam r2 .LATENCY = ROUND_LATENCY; + defparam r2 .KEY_EVOLVE_TYPE = 0; + inv_aes_round_256 r3 ( + .clk(clk),.clr(clr), + .dat_in(start3),.key_in(key3), + .dat_out(start4),.key_out(key4), + .skip_mix_col(1'b0), + .rconst(8'h20)); + defparam r3 .LATENCY = ROUND_LATENCY; + defparam r3 .KEY_EVOLVE_TYPE = 1; + inv_aes_round_256 r4 ( + .clk(clk),.clr(clr), + .dat_in(start4),.key_in(key4), + .dat_out(start5),.key_out(key5), + .skip_mix_col(1'b0), + .rconst(8'h20)); + defparam r4 .LATENCY = ROUND_LATENCY; + defparam r4 .KEY_EVOLVE_TYPE = 0; + inv_aes_round_256 r5 ( + .clk(clk),.clr(clr), + .dat_in(start5),.key_in(key5), + .dat_out(start6),.key_out(key6), + .skip_mix_col(1'b0), + .rconst(8'h10)); + defparam r5 .LATENCY = ROUND_LATENCY; + defparam r5 .KEY_EVOLVE_TYPE = 1; + inv_aes_round_256 r6 ( + .clk(clk),.clr(clr), + .dat_in(start6),.key_in(key6), + .dat_out(start7),.key_out(key7), + .skip_mix_col(1'b0), + .rconst(8'h10)); + defparam r6 .LATENCY = ROUND_LATENCY; + defparam r6 .KEY_EVOLVE_TYPE = 0; + inv_aes_round_256 r7 ( + .clk(clk),.clr(clr), + .dat_in(start7),.key_in(key7), + .dat_out(start8),.key_out(key8), + .skip_mix_col(1'b0), + .rconst(8'h08)); + defparam r7 .LATENCY = ROUND_LATENCY; + defparam r7 .KEY_EVOLVE_TYPE = 1; + inv_aes_round_256 r8 ( + .clk(clk),.clr(clr), + .dat_in(start8),.key_in(key8), + .dat_out(start9),.key_out(key9), + .skip_mix_col(1'b0), + .rconst(8'h08)); + defparam r8 .LATENCY = ROUND_LATENCY; + defparam r8 .KEY_EVOLVE_TYPE = 0; + inv_aes_round_256 r9 ( + .clk(clk),.clr(clr), + .dat_in(start9),.key_in(key9), + .dat_out(start10),.key_out(key10), + .skip_mix_col(1'b0), + .rconst(8'h04)); + defparam r9 .LATENCY = ROUND_LATENCY; + defparam r9 .KEY_EVOLVE_TYPE = 1; + inv_aes_round_256 r10 ( + .clk(clk),.clr(clr), + .dat_in(start10),.key_in(key10), + .dat_out(start11),.key_out(key11), + .skip_mix_col(1'b0), + .rconst(8'h04)); + defparam r10 .LATENCY = ROUND_LATENCY; + defparam r10 .KEY_EVOLVE_TYPE = 0; + inv_aes_round_256 r11 ( + .clk(clk),.clr(clr), + .dat_in(start11),.key_in(key11), + .dat_out(start12),.key_out(key12), + .skip_mix_col(1'b0), + .rconst(8'h02)); + defparam r11 .LATENCY = ROUND_LATENCY; + defparam r11 .KEY_EVOLVE_TYPE = 1; + inv_aes_round_256 r12 ( + .clk(clk),.clr(clr), + .dat_in(start12),.key_in(key12), + .dat_out(start13),.key_out(key13), + .skip_mix_col(1'b0), + .rconst(8'h02)); + defparam r12 .LATENCY = ROUND_LATENCY; + defparam r12 .KEY_EVOLVE_TYPE = 0; + inv_aes_round_256 r13 ( + .clk(clk),.clr(clr), + .dat_in(start13),.key_in(key13), + .dat_out(start14),.key_out(key14), + .skip_mix_col(1'b0), + .rconst(8'h01)); + defparam r13 .LATENCY = ROUND_LATENCY; + defparam r13 .KEY_EVOLVE_TYPE = 1; + inv_aes_round_256 r14 ( + .clk(clk),.clr(clr), + .dat_in(start14),.key_in(key14), + .dat_out(unkeyd_out),.key_out(last_key), + .skip_mix_col(1'b0), + .rconst(8'h01)); + defparam r14 .LATENCY = ROUND_LATENCY; + defparam r14 .KEY_EVOLVE_TYPE = 0; +assign dat_out = last_key[255:128] ^ unkeyd_out; + +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/aes/aes_256_tb.v b/Advanced Synthesis Cookbook/crypto/aes/aes_256_tb.v new file mode 100644 index 0000000..dcd88d6 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/aes_256_tb.v @@ -0,0 +1,185 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-08-2006 +// stim and responses taken from the FIPS 197 doc (2001) appendix C.3 + + +module aes_256_tb (); + +reg [127:0] plain; +reg [255:0] key; +wire [127:0] sub1; +wire [127:0] shftr1; +wire [127:0] mix1; +wire [255:0] key1,key2; +wire [127:0] start2,start3; +wire [127:0] full_out,pipe_out; +reg clk,clr; + + +initial begin + clk = 0; + clr = 0; + #10 clr = 1; + #10 clr = 0; + plain = 128'h00112233445566778899aabbccddeeff; + key = { 128'h000102030405060708090a0b0c0d0e0f, + 128'h101112131415161718191a1b1c1d1e1f}; +end + +/////////////////////////////////////////////////// +// Execute the initial round using building blocks +/////////////////////////////////////////////////// +sub_bytes sb1 (.in(plain ^ key[255:128]),.out(sub1)); +shift_rows sr1 (.in(sub1),.out(shftr1)); +mix_columns mx1 (.in(shftr1),.out(mix1)); +evolve_key_256 ek1 (.key_in(key),.rconst(8'h1),.key_out(key1)); + defparam ek1 .KEY_EVOLVE_TYPE = 0; +assign start2 = key1[255:128] ^ mix1; + +/////////////////////////////////////////////////// +// Execute the 2nd round using a composite "round" +/////////////////////////////////////////////////// +aes_round_256 r2 ( + .clk(1'b0),.clr(1'b0), + .dat_in(start2), + .dat_out(start3), + .rconst(8'h2), + .skip_mix_col(1'b0), + .key_in(key1),.key_out(key2) +); + defparam r2 .KEY_EVOLVE_TYPE = 1; + defparam r2 .LATENCY = 0; + +wire [255:0] inv_key,pipe_inv_key; +wire [127:0] recovered,pipe_recovered; + +/////////////////////////////////////////////////// +// full 256 bit cipher, no pipeline +/////////////////////////////////////////////////// +aes_256 rf (.clk(1'b0),.clr(1'b0), + .dat_in(plain),.key(key),.dat_out(full_out),.inv_key(inv_key)); +defparam rf .LATENCY = 0; + +// full 256 bit decipher, no pipeline +inv_aes_256 irf (.clk(1'b0),.clr(1'b0), + .dat_in(full_out),.inv_key(inv_key),.dat_out(recovered)); +defparam irf .LATENCY = 0; + +/////////////////////////////////////////////////// +// full 256 bit cipher, 14 pipeline +/////////////////////////////////////////////////// +aes_256 rp (.clk(clk),.clr(clr), + .dat_in(plain),.key(key),.dat_out(pipe_out), + .inv_key(pipe_inv_key)); +defparam rp .LATENCY = 14; + +// full 256 bit decipher, 14 pipeline +inv_aes_256 irp (.clk(clk),.clr(clr), + .dat_in(pipe_out),.inv_key(pipe_inv_key),.dat_out(pipe_recovered)); +defparam irp .LATENCY = 14; + +reg [127:0] expected [0:13]; +integer n; + +/////////////////////////////////////////////////// +// test +/////////////////////////////////////////////////// + +initial begin + $display ("Testing Building blocks..."); + + #100 if (start2 != 128'h4f63760643e0aa85efa7213201a4e705) begin + $display ("Initial round building blocks aren't working"); + $display ("Actual value %x",start2); + $stop(); + end + #100 if (start3 != 128'h1859fbc28a1c00a078ed8aadc42f6109) begin + $display ("Second round composite isn't working"); + $display ("Actual value %x",start3); + $stop(); + end + + #100 $display ("Building Blocks OK. Testing full encipher"); + + #100 if (full_out != 128'h8ea2b7ca516745bfeafc49904b496089) begin + $display ("Full encipher 256 no pipeline not working"); + $stop(); + end + + #100 $display ("Full encipher OK. Testing decipher"); + + #100 if (recovered != plain) begin + $display ("Full decipher 256 no pipeline not working"); + $stop(); + end + + #100 $display ("Full decipher OK. Testing pipeline"); + + // test the pipelined version + // fill the pipe, save expected encrypt result + for (n=0; n<14; n=n+1) + begin + #100 expected[n] = full_out; + $display ("save expected %x",full_out); + #100 clk = ~clk; + #100 clk = ~clk; + key = key + 1; + plain = plain + 1; + end + + // drain the pipe and check encrypts against expected + for (n=0; n<14; n=n+1) + begin + #100 + $display ("read back %x",pipe_out); + if (expected[n] != pipe_out) begin + $display ("Pipeline output is incorrect time %d",$time); + $stop(); + end + #100 clk = ~clk; + #100 clk = ~clk; + end + + plain = plain - 14; + + // drain the pipe and check decrypts against expected + for (n=0; n<14; n=n+1) + begin + #100 + $display ("read back %x",pipe_recovered); + if (plain != pipe_recovered) begin + $display ("Pipeline output is incorrect time %d",$time); + $stop(); + end + #100 clk = ~clk; + plain = plain + 1; + #100 clk = ~clk; + end + + $display ("PASS"); + $stop(); + +end + +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/aes/aes_round_128.v b/Advanced Synthesis Cookbook/crypto/aes/aes_round_128.v new file mode 100644 index 0000000..89e2f97 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/aes_round_128.v @@ -0,0 +1,135 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-08-2006 + +///////////////////////////////////////////////////////// +// one round of ENcipher and key evolve - 128 bit key +///////////////////////////////////////////////////////// + +module aes_round_128 ( + clk,clr,dat_in,dat_out,rconst,skip_mix_col,key_in,key_out +); + +input clk,clr; +input [127:0] dat_in,key_in; +input [7:0] rconst; // lower 24 bits are 0 +input skip_mix_col; // for the final round +output [127:0] dat_out,key_out; + +parameter LATENCY = 0; // currently allowable values are 0,1 + +reg [127:0] dat_out,key_out; + +// internal temp vars +wire [127:0] dat_out_i,key_out_i,sub,shft,mix; +reg [127:0] shft_r; + +// evolve key +evolve_key_128 ek (.key_in(key_in), + .rconst(rconst),.key_out(key_out_i)); + +// first two LUT levels of work +sub_bytes sb (.in(dat_in),.out(sub)); +shift_rows sr (.in(sub),.out(shft)); + +// mid layer registers would go here, the keying +// is awkward +always @(shft) shft_r = shft; + +// second 2 LUT levels of work +mix_columns mx (.in(shft_r),.out(mix)); +assign dat_out_i = (skip_mix_col ? shft : mix) ^ key_out_i; + +// conditional output register +generate +if (LATENCY!=0) begin + always @(posedge clk or posedge clr) begin + if (clr) dat_out <= 128'b0; + else dat_out <= dat_out_i; + end + always @(posedge clk or posedge clr) begin + if (clr) key_out <= 128'b0; + else key_out <= key_out_i; + end +end +else begin + always @(dat_out_i) dat_out = dat_out_i; + always @(key_out_i) key_out = key_out_i; +end +endgenerate +endmodule + +///////////////////////////////////////////////////////// +// one round of DEcipher and key evolve - 128 bit key +///////////////////////////////////////////////////////// + +module inv_aes_round_128 ( + clk,clr,dat_in,dat_out,rconst,skip_mix_col,key_in,key_out +); + +input clk,clr; +input [127:0] dat_in,key_in; +input [7:0] rconst; // lower 24 bits are 0 +input skip_mix_col; // for the final round +output [127:0] dat_out,key_out; + +parameter LATENCY = 0; // currently allowable values are 0,1 + +reg [127:0] dat_out,key_out; + +// internal temp vars +wire [127:0] keyd_dat,dat_out_i,key_out_i,mixed,middle,shft; + +// inverse evolve key (for the next round) +inv_evolve_key_128 ek (.key_in(key_in), + .rconst(rconst),.key_out(key_out_i)); + +// key the input data +assign keyd_dat = dat_in ^ key_in; + +// optional skip of the mix columns step +inv_mix_columns mx (.in(keyd_dat),.out(mixed)); +assign middle = (skip_mix_col ? keyd_dat : mixed); + +// second 2 levels of work +inv_shift_rows sr (.in(middle),.out(shft)); +inv_sub_bytes sb (.in(shft),.out(dat_out_i)); + +// conditional output register +generate +if (LATENCY!=0) begin + always @(posedge clk or posedge clr) begin + if (clr) dat_out <= 128'b0; + else dat_out <= dat_out_i; + end + always @(posedge clk or posedge clr) begin + if (clr) key_out <= 128'b0; + else key_out <= key_out_i; + end +end +else begin + always @(dat_out_i) dat_out = dat_out_i; + always @(key_out_i) key_out = key_out_i; +end +endgenerate +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/aes/aes_round_256.v b/Advanced Synthesis Cookbook/crypto/aes/aes_round_256.v new file mode 100644 index 0000000..c55ceee --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/aes_round_256.v @@ -0,0 +1,148 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-15-2006 + +///////////////////////////////////////////////////////// +// one round of ENcipher and key evolve - 256 bit key +///////////////////////////////////////////////////////// + +module aes_round_256 ( + clk,clr,dat_in,dat_out,rconst,skip_mix_col,key_in,key_out +); + +input clk,clr; +input [127:0] dat_in; +input [255:0] key_in; +input [7:0] rconst; // lower 24 bits are 0 +input skip_mix_col; // for the final round +output [127:0] dat_out; +output [255:0] key_out; + +parameter LATENCY = 0; // currently allowable values are 0,1 +parameter KEY_EVOLVE_TYPE = 0; // full deal or subword only + +reg [127:0] dat_out; +reg [255:0] key_out; + +// internal temp vars +wire [127:0] dat_out_i,sub,shft,mix; +wire [255:0] key_out_i; +reg [127:0] shft_r; + +// evolve key +evolve_key_256 ek (.key_in(key_in), + .rconst(rconst), + .key_out(key_out_i)); +defparam ek .KEY_EVOLVE_TYPE = KEY_EVOLVE_TYPE; + +// first two LUT levels of work +sub_bytes sb (.in(dat_in),.out(sub)); +shift_rows sr (.in(sub),.out(shft)); + +// mid layer registers would go here, the keying +// is awkward +always @(shft) shft_r = shft; + +// second 2 LUT levels of work +mix_columns mx (.in(shft_r),.out(mix)); +assign dat_out_i = (skip_mix_col ? shft : mix) ^ key_out_i[255:128]; + +// conditional output register +generate +if (LATENCY!=0) begin + always @(posedge clk or posedge clr) begin + if (clr) dat_out <= 128'b0; + else dat_out <= dat_out_i; + end + always @(posedge clk or posedge clr) begin + if (clr) key_out <= 256'b0; + else key_out <= key_out_i; + end +end +else begin + always @(dat_out_i) dat_out = dat_out_i; + always @(key_out_i) key_out = key_out_i; +end +endgenerate +endmodule + +///////////////////////////////////////////////////////// +// one round of DEcipher and key evolve - 256 bit key +///////////////////////////////////////////////////////// + +module inv_aes_round_256 ( + clk,clr,dat_in,dat_out,rconst,skip_mix_col,key_in,key_out +); + +input clk,clr; +input [127:0] dat_in; +input [255:0] key_in; +input [7:0] rconst; // lower 24 bits are 0 +input skip_mix_col; // for the final round +output [127:0] dat_out; +output [255:0] key_out; + +parameter LATENCY = 0; // currently allowable values are 0,1 +parameter KEY_EVOLVE_TYPE = 0; // full deal or subword only + +reg [127:0] dat_out; +reg [255:0] key_out; + +// internal temp vars +wire [127:0] keyd_dat,dat_out_i,mixed,middle,shft; +wire [255:0] key_out_i; + +// inverse evolve key (for the next round) +inv_evolve_key_256 ek (.key_in(key_in), + .rconst(rconst),.key_out(key_out_i)); +defparam ek .KEY_EVOLVE_TYPE = KEY_EVOLVE_TYPE; + +// key the input data +assign keyd_dat = dat_in ^ key_in[255:128]; + +// optional skip of the mix columns step +inv_mix_columns mx (.in(keyd_dat),.out(mixed)); +assign middle = (skip_mix_col ? keyd_dat : mixed); + +// second 2 levels of work +inv_shift_rows sr (.in(middle),.out(shft)); +inv_sub_bytes sb (.in(shft),.out(dat_out_i)); + +// conditional output register +generate +if (LATENCY!=0) begin + always @(posedge clk or posedge clr) begin + if (clr) dat_out <= 128'b0; + else dat_out <= dat_out_i; + end + always @(posedge clk or posedge clr) begin + if (clr) key_out <= 256'b0; + else key_out <= key_out_i; + end +end +else begin + always @(dat_out_i) dat_out = dat_out_i; + always @(key_out_i) key_out = key_out_i; +end +endgenerate +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/aes/evolve_key.v b/Advanced Synthesis Cookbook/crypto/aes/evolve_key.v new file mode 100644 index 0000000..6b54e83 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/evolve_key.v @@ -0,0 +1,297 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-08-2006 + +////////////////////////////////////////////// +// Key word rotation +////////////////////////////////////////////// +module rot_word (in,out); +input [31:0] in; +output [31:0] out; +wire [31:0] out; +assign out = {in[23:0],in[31:24]}; +endmodule + +////////////////////////////////////////////// +// Key sub word - borrowing sbox from sub_bytes +////////////////////////////////////////////// +module sub_word (in,out); +input [31:0] in; +output [31:0] out; +wire [31:0] out; +sbox s0 (.in(in[7:0]),.out(out[7:0])); +sbox s1 (.in(in[15:8]),.out(out[15:8])); +sbox s2 (.in(in[23:16]),.out(out[23:16])); +sbox s3 (.in(in[31:24]),.out(out[31:24])); +endmodule + +////////////////////////////////////////////// +// Hard XOR - 6 input 32 wide +// to prevent any creative dupe extraction +// that would hurt the depth. +////////////////////////////////////////////// +module xor6_32 (a,b,c,d,e,f,o); +input [31:0] a,b,c,d,e,f; +output [31:0] o; +wire [31:0] o; + +genvar i; +generate + for (i=0; i<32; i=i+1) + begin: x + stratixii_lcell_comb s (.dataa (a[i]),.datab (b[i]),.datac (c[i]), + .datad (d[i]),.datae (e[i]),.dataf (f[i]),.datag(1'b1), + .cin(1'b1),.sharein(1'b0),.sumout(),.cout(),.shareout(), + .combout(o[i])); + defparam s .lut_mask = 64'h6996966996696996; + defparam s .shared_arith = "off"; + defparam s .extended_lut = "off"; + end +endgenerate +endmodule + +////////////////////////////////////////////// +// Key evolution step for 128 bit key +////////////////////////////////////////////// +module evolve_key_128 (key_in,rconst,key_out); + +input [127:0] key_in; +input [7:0] rconst; // the low order 24 bits are all 0 + +output [127:0] key_out; +wire [127:0] key_out; + +wire [31:0] rot_key; +wire [31:0] subrot_key; + +rot_word rw (.in (key_in[31:0]), .out(rot_key)); +sub_word sw (.in (rot_key), .out(subrot_key)); + +// make it clear that the desired implementation is +// a flat XOR LUT bank, not a string of 2-XORs with +// taps. Better speed. Very little area cost. +xor6_32 q (.o(key_out[127:96]),.a({rconst,24'b0}),.b(subrot_key),.c(key_in[127:96]), + .d(32'b0),.e(32'b0),.f(32'b0)); +xor6_32 r (.o(key_out[95:64]),.a({rconst,24'b0}),.b(subrot_key),.c(key_in[127:96]), + .d(key_in[95:64]),.e(32'b0),.f(32'b0)); +xor6_32 s (.o(key_out[63:32]),.a({rconst,24'b0}),.b(subrot_key),.c(key_in[127:96]), + .d(key_in[95:64]),.e(key_in[63:32]),.f(32'b0)); +xor6_32 t (.o(key_out[31:0]),.a({rconst,24'b0}),.b(subrot_key),.c(key_in[127:96]), + .d(key_in[95:64]),.e(key_in[63:32]),.f(key_in[31:0])); + +endmodule + +////////////////////////////////////////////// +// Key evolution step for 256 bit key +////////////////////////////////////////////// +module evolve_key_256 (key_in,rconst,key_out); + +parameter KEY_EVOLVE_TYPE = 0; + +input [255:0] key_in; +input [7:0] rconst; // the low order 24 bits are all 0 + +output [255:0] key_out; +wire [255:0] key_out; + +wire [31:0] rot_key; +wire [31:0] subrot_key; + +wire [127:0] kin_u,kin_l; +assign {kin_u,kin_l} = key_in; + + generate + if (KEY_EVOLVE_TYPE == 0) begin + + // full evolution + + rot_word rw (.in (key_in[31:0]), .out(rot_key)); + sub_word sw (.in (rot_key), .out(subrot_key)); + + // make it clear that the desired implementation is + // a flat XOR LUT bank, not a string of 2-XORs with + // taps. Better speed. Very little area cost. + xor6_32 q (.o(key_out[127:96]),.a({rconst,24'b0}),.b(subrot_key),.c(kin_u[127:96]), + .d(32'b0),.e(32'b0),.f(32'b0)); + xor6_32 r (.o(key_out[95:64]),.a({rconst,24'b0}),.b(subrot_key),.c(kin_u[127:96]), + .d(kin_u[95:64]),.e(32'b0),.f(32'b0)); + xor6_32 s (.o(key_out[63:32]),.a({rconst,24'b0}),.b(subrot_key),.c(kin_u[127:96]), + .d(kin_u[95:64]),.e(kin_u[63:32]),.f(32'b0)); + xor6_32 t (.o(key_out[31:0]),.a({rconst,24'b0}),.b(subrot_key),.c(kin_u[127:96]), + .d(kin_u[95:64]),.e(kin_u[63:32]),.f(kin_u[31:0])); + end + else begin + + // Quickie evolution + + sub_word sw (.in (key_in[31:0]), .out(subrot_key)); + + // make it clear that the desired implementation is + // a flat XOR LUT bank, not a string of 2-XORs with + // taps. Better speed. Very little area cost. + xor6_32 q (.o(key_out[127:96]),.a(32'b0),.b(subrot_key),.c(kin_u[127:96]), + .d(32'b0),.e(32'b0),.f(32'b0)); + xor6_32 r (.o(key_out[95:64]),.a(32'b0),.b(subrot_key),.c(kin_u[127:96]), + .d(kin_u[95:64]),.e(32'b0),.f(32'b0)); + xor6_32 s (.o(key_out[63:32]),.a(32'b0),.b(subrot_key),.c(kin_u[127:96]), + .d(kin_u[95:64]),.e(kin_u[63:32]),.f(32'b0)); + xor6_32 t (.o(key_out[31:0]),.a(32'b0),.b(subrot_key),.c(kin_u[127:96]), + .d(kin_u[95:64]),.e(kin_u[63:32]),.f(kin_u[31:0])); + end + endgenerate + + assign key_out[255:128] = kin_l; + +endmodule + +////////////////////////////////////////////// +// Inverse key evolution step for 128 bit key +// Inverse key evolution isn't really +// discussed in the original submission +// of the FIPS specs, other than +// the mention that it is possible and +// necessary for rekey during decrypt. +////////////////////////////////////////////// +module inv_evolve_key_128 (key_in,rconst,key_out); + +input [127:0] key_in; +input [7:0] rconst; // the low order 24 bits are all 0 + +output [127:0] key_out; +wire [127:0] key_out; + +// change it to a more convenient format. +wire [31:0] a,b,c,d; +assign {a,b,c,d} = key_in; +wire [31:0] w,x,y,z; +assign key_out = {w,x,y,z}; + +// most of the bits are easy to get by XOR cancellation +assign z = c ^ d; +assign y = b ^ c; +assign x = a ^ b; + +// One word is harder than the others +wire [31:0] rot_key; +wire [31:0] subrot_key; +rot_word rw (.in (z), .out(rot_key)); +sub_word sw (.in (rot_key), .out(subrot_key)); +assign w = a ^ subrot_key ^ {rconst,24'b0}; + +endmodule + +////////////////////////////////////////////// +// Inverse key evolution step for 256 bit key +////////////////////////////////////////////// +module inv_evolve_key_256 (key_in,rconst,key_out); + +parameter KEY_EVOLVE_TYPE = 0; + +input [255:0] key_in; +input [7:0] rconst; // the low order 24 bits are all 0 + +output [255:0] key_out; +wire [255:0] key_out; + +// change it to a more convenient format. +wire [31:0] a,b,c,d; +assign {a,b,c,d} = key_in[127:0]; +wire [31:0] w,x,y,z; +assign key_out = {{w,x,y,z},key_in[255:128]}; + +// most of the bits are easy to get by XOR cancellation +assign z = c ^ d; +assign y = b ^ c; +assign x = a ^ b; + +// One word is harder than the others +wire [31:0] rot_key; +wire [31:0] subrot_key; + +generate + if (KEY_EVOLVE_TYPE == 0) begin + rot_word rw (.in (key_in[159:128]), .out(rot_key)); + sub_word sw (.in (rot_key), .out(subrot_key)); + assign w = a ^ subrot_key ^ {rconst,24'b0}; + end + else begin + sub_word sw (.in (key_in[159:128]), .out(subrot_key)); + assign w = a ^ subrot_key; + end +endgenerate + +endmodule + +//////////////////////////////////////////////////// +// Quick sanity checker testbench +// verify the inverse property of the key evolves +//////////////////////////////////////////////////// +module evolve_test (); +reg [255:0] key; +wire [127:0] fd; +wire [127:0] bk; +wire [255:0] fd1,fd2; +wire [255:0] bk1,bk2; + +reg fail = 0; +reg [7:0] rconst; + +evolve_key_128 e (.key_in(key[127:0]),.rconst(rconst),.key_out(fd)); +inv_evolve_key_128 i (.key_in(fd),.rconst(rconst),.key_out(bk)); + +evolve_key_256 e1 (.key_in(key),.rconst(rconst),.key_out(fd1)); +inv_evolve_key_256 i1 (.key_in(fd1),.rconst(rconst),.key_out(bk1)); + defparam e1 .KEY_EVOLVE_TYPE = 0; + defparam i1 .KEY_EVOLVE_TYPE = 0; + +evolve_key_256 e2 (.key_in(key),.rconst(rconst),.key_out(fd2)); +inv_evolve_key_256 i2 (.key_in(fd2),.rconst(rconst),.key_out(bk2)); + defparam e2 .KEY_EVOLVE_TYPE = 1; + defparam i2 .KEY_EVOLVE_TYPE = 1; + +initial begin + key = 0; + fail = 0; + rconst = 0; + #100000 + if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #50 key = {$random,$random,$random,$random,$random,$random,$random,$random}; + rconst = $random; + #50 if (bk != key[127:0]) begin + $display ("Mismatch in 128 mode at time %d",$time); + fail = 1; + end + if (bk1 != key) begin + $display ("Mismatch in 256 type 0 mode at time %d",$time); + fail = 1; + end + if (bk2 != key) begin + $display ("Mismatch in 256 type 1 mode at time %d",$time); + fail = 1; + end +end +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/aes/evolve_key_256_tb.v b/Advanced Synthesis Cookbook/crypto/aes/evolve_key_256_tb.v new file mode 100644 index 0000000..4b40232 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/evolve_key_256_tb.v @@ -0,0 +1,79 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module evolve_key_256_tb (); + +reg [255:0] key_in = { + 128'h603deb1015ca71be2b73aef0857d7781, + 128'h1f352c073b6108d72d9810a30914dff4 +}; + +wire [255:0] e0_out,e1_out,e2_out,e3_out,e4_out; + +evolve_key_256 e0 ( + .key_in(key_in), + .rconst(8'h01), + .key_out(e0_out) +); +defparam e0 .KEY_EVOLVE_TYPE = 0; + +evolve_key_256 e1 ( + .key_in(e0_out), + .rconst(8'h01), + .key_out(e1_out) +); +defparam e1 .KEY_EVOLVE_TYPE = 1; + +evolve_key_256 e2 ( + .key_in(e1_out), + .rconst(8'h02), + .key_out(e2_out) +); +defparam e2 .KEY_EVOLVE_TYPE = 0; + +evolve_key_256 e3 ( + .key_in(e2_out), + .rconst(8'h02), + .key_out(e3_out) +); +defparam e3 .KEY_EVOLVE_TYPE = 1; + +evolve_key_256 e4 ( + .key_in(e3_out), + .rconst(8'h04), + .key_out(e4_out) +); +defparam e4 .KEY_EVOLVE_TYPE = 0; + + +initial begin + #100 + $display ("%x / 603deb10",key_in[255:128]); + $display ("%x / 1f352c07",e0_out[255:128]); + $display ("%x / 9ba35411",e1_out[255:128]); + $display ("%x / a8b09c1a",e2_out[255:128]); + $display ("%x / d59aecb8",e3_out[255:128]); + $display ("%x / b5a9328a",e4_out[255:128]); + $stop(); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/aes/mix_columns.v b/Advanced Synthesis Cookbook/crypto/aes/mix_columns.v new file mode 100644 index 0000000..d36c37a --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/mix_columns.v @@ -0,0 +1,223 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-08-2006 +// Handle the rijndael mix_columns and inverse +// +// input and output ordering is +// (msb) s0,c s1,c s2,c s3,c (lsb) + +//////////////////////////////////////////////////// +// One column mixing operation +//////////////////////////////////////////////////// +module mix_one_column (in,out); + +input [4*8-1:0] in; +output [4*8-1:0] out; +wire [4*8-1:0] out; + +function [7:0] mult2; + input [7:0] n; + begin + mult2 = {n[6],n[5],n[4],n[3]^n[7],n[2]^n[7],n[1],n[0]^n[7],n[7]}; + end +endfunction + +function [7:0] mult3; + input [7:0] n; + begin + mult3 = mult2(n) ^ n; + end +endfunction + +wire [7:0] s0_i,s1_i,s2_i,s3_i; +wire [7:0] s0_o,s1_o,s2_o,s3_o; + +assign {s0_i,s1_i,s2_i,s3_i} = in; + +assign s0_o = mult2(s0_i) ^ mult3(s1_i) ^ s2_i ^ s3_i; +assign s1_o = s0_i ^ mult2(s1_i) ^ mult3(s2_i) ^ s3_i; +assign s2_o = s0_i ^ s1_i ^ mult2(s2_i) ^ mult3(s3_i); +assign s3_o = mult3(s0_i) ^ s1_i ^ s2_i ^ mult2(s3_i); + +assign out = {s0_o,s1_o,s2_o,s3_o}; + +endmodule + +//////////////////////////////////////////////////// +// mix_columns implemented as 4 single col mixers +//////////////////////////////////////////////////// +module mix_columns (in,out); +input [16*8-1 : 0] in; +output [16*8-1 : 0] out; +wire [16*8-1 : 0] out; + +genvar i; +generate + for (i=0; i<4; i=i+1) + begin : mx + mix_one_column m (.in(in[32*i+31:32*i]), + .out(out[32*i+31:32*i])); + end +endgenerate +endmodule + +//////////////////////////////////////////////////// +// Inverse One column mixing operation +//////////////////////////////////////////////////// +module inv_mix_one_column (in,out); + +input [4*8-1:0] in; +output [4*8-1:0] out; +wire [4*8-1:0] out; + +function [7:0] mult2; + input [7:0] n; + begin + mult2 = {n[6],n[5],n[4],n[3]^n[7],n[2]^n[7],n[1],n[0]^n[7],n[7]}; + end +endfunction + +function [7:0] mult4; + input [7:0] n; + begin + mult4 = {n[5], n[4], n[3]^n[7], n[2]^n[7]^n[6], + n[6]^n[1], n[0]^n[7], n[6]^n[7], n[6]}; + end +endfunction + +function [7:0] mult8; + input [7:0] n; + begin + mult8 = {n[4], n[3]^n[7], n[2]^n[7]^n[6], n[5]^n[6]^n[1], + n[5]^n[0]^n[7], n[6]^n[7], n[6]^n[5], n[5]}; + end +endfunction + +// equivalent to mult8 ^ mult2 +function [7:0] multa; + input [7:0] n; + begin + multa = {n[4]^n[6], n[3]^n[7]^n[5], n[4]^n[2]^n[7]^n[6], n[5]^n[6]^n[1]^n[3]^n[7], + n[5]^n[0]^n[2], n[6]^n[7]^n[1], n[0]^n[7]^n[6]^n[5], n[7]^n[5]}; + end +endfunction + +// equivalent to mult8 ^ mult4 +function [7:0] multc; + input [7:0] n; + begin + multc = {n[4]^n[5], n[4]^n[3]^n[7], n[2]^n[3]^n[6], n[2]^n[5]^n[7]^n[1], + n[6]^n[1]^n[5]^n[0]^n[7], n[6]^n[0], n[7]^n[5], n[6]^n[5]}; + end +endfunction + +function [7:0] mult9; + input [7:0] n; + begin + mult9 = mult8(n) ^ n; + end +endfunction + +function [7:0] multb; + input [7:0] n; + begin + multb = multa(n) ^ n; + end +endfunction + +function [7:0] multd; + input [7:0] n; + begin + multd = multc(n) ^ n; + end +endfunction + +function [7:0] multe; + input [7:0] n; + begin + multe = {n[5]^n[4]^n[6], n[4]^n[3]^n[7]^n[5], n[4]^n[2]^n[3]^n[6], n[5]^n[2]^n[1]^n[3], + n[6]^n[1]^n[5]^n[0]^n[2], n[6]^n[0]^n[1], n[0]^n[5], n[7]^n[5]^n[6]}; + end +endfunction + +wire [7:0] s0_i,s1_i,s2_i,s3_i; +wire [7:0] s0_o,s1_o,s2_o,s3_o; + +assign {s0_i,s1_i,s2_i,s3_i} = in; + +assign s0_o = multe(s0_i) ^ multb(s1_i) ^ multd(s2_i) ^ mult9(s3_i); +assign s1_o = mult9(s0_i) ^ multe(s1_i) ^ multb(s2_i) ^ multd(s3_i); +assign s2_o = multd(s0_i) ^ mult9(s1_i) ^ multe(s2_i) ^ multb(s3_i); +assign s3_o = multb(s0_i) ^ multd(s1_i) ^ mult9(s2_i) ^ multe(s3_i); + +assign out = {s0_o,s1_o,s2_o,s3_o}; + +endmodule + +//////////////////////////////////////////////////// +// inv_mix_columns implemented as 4 single col mixers +//////////////////////////////////////////////////// +module inv_mix_columns (in,out); +input [16*8-1 : 0] in; +output [16*8-1 : 0] out; +wire [16*8-1 : 0] out; + +genvar i; +generate + for (i=0; i<4; i=i+1) + begin : mx + inv_mix_one_column m (.in(in[32*i+31:32*i]), + .out(out[32*i+31:32*i])); + end +endgenerate +endmodule + + +//////////////////////////////////////////////////// +// Quick sanity checker testbench +//////////////////////////////////////////////////// +module mix_col_test (); +reg [31:0] dat; +wire [31:0] mix; +wire [31:0] inv; +reg fail = 0; + +mix_one_column mc (.in(dat),.out(mix)); +inv_mix_one_column imc (.in(mix),.out(inv)); + +initial begin + dat = 0; + fail = 0; + #100000 + if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #50 dat = $random; + #50 if (inv != dat) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end +end +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/aes/shift_rows.cpp b/Advanced Synthesis Cookbook/crypto/aes/shift_rows.cpp new file mode 100644 index 0000000..efbfc73 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/shift_rows.cpp @@ -0,0 +1,63 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-07-2006 +// a little utility to generate +// the shift rows byte pattern + +#include + +void index (int row, int col) +{ + int bit = 127 - (col * 4 * 8 + row * 8); + fprintf (stdout,"%d:%d",bit,bit-7); +} + +int main (void) +{ + int r,c; + + fprintf (stdout,"SHift rows : \n"); + for (c=0; c<4; c++) + { + for (r=0;r<4;r++) + { + fprintf (stdout,"in["); + index (r,(c+r)%4); + fprintf (stdout,"],"); + } + fprintf (stdout,"\n"); + } + + fprintf (stdout,"\nInverse shift rows : \n"); + for (c=0; c<4; c++) + { + for (r=0;r<4;r++) + { + fprintf (stdout,"in["); + index (r,(4+c-r)%4); + fprintf (stdout,"],"); + } + fprintf (stdout,"\n"); + } + return (0); +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/aes/shift_rows.v b/Advanced Synthesis Cookbook/crypto/aes/shift_rows.v new file mode 100644 index 0000000..54d1831 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/shift_rows.v @@ -0,0 +1,68 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-07-2006 + +// the state +// (msb) A B C D E F G H I J K L M N O P (lsb) +// +// shown as a grid : +// +// AEIM +// BFJN +// CGKO +// DHLP +// +// Needs to be shifted to produce : +// +// AEIM +// FJNB +// KOCG +// PDHL +// + +module shift_rows (in,out); +input [16*8-1 : 0] in; +output [16*8-1 : 0] out; +wire [16*8-1 : 0] out; + +assign out = { + in[127:120],in[87:80],in[47:40],in[7:0], + in[95:88],in[55:48],in[15:8],in[103:96], + in[63:56],in[23:16],in[111:104],in[71:64], + in[31:24],in[119:112],in[79:72],in[39:32] }; + +endmodule + +module inv_shift_rows (in,out); +input [16*8-1 : 0] in; +output [16*8-1 : 0] out; +wire [16*8-1 : 0] out; + +assign out = { + in[127:120],in[23:16],in[47:40],in[71:64], + in[95:88],in[119:112],in[15:8],in[39:32], + in[63:56],in[87:80],in[111:104],in[7:0], + in[31:24],in[55:48],in[79:72],in[103:96] }; + +endmodule + diff --git a/Advanced Synthesis Cookbook/crypto/aes/sub_bytes.cpp b/Advanced Synthesis Cookbook/crypto/aes/sub_bytes.cpp new file mode 100644 index 0000000..8570ec4 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/sub_bytes.cpp @@ -0,0 +1,297 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-06-2006 +// Loaded with a Rijndael sbox - double check the derivation +// and spit out verilog + +#include + +unsigned char sbox [256] = { + 0x63, 0x7c, 0x77, 0x7b, 0xf2, 0x6b, 0x6f, 0xc5, + 0x30, 0x01, 0x67, 0x2b, 0xfe, 0xd7, 0xab, 0x76, + 0xca, 0x82, 0xc9, 0x7d, 0xfa, 0x59, 0x47, 0xf0, + 0xad, 0xd4, 0xa2, 0xaf, 0x9c, 0xa4, 0x72, 0xc0, + 0xb7, 0xfd, 0x93, 0x26, 0x36, 0x3f, 0xf7, 0xcc, + 0x34, 0xa5, 0xe5, 0xf1, 0x71, 0xd8, 0x31, 0x15, + 0x04, 0xc7, 0x23, 0xc3, 0x18, 0x96, 0x05, 0x9a, + 0x07, 0x12, 0x80, 0xe2, 0xeb, 0x27, 0xb2, 0x75, + 0x09, 0x83, 0x2c, 0x1a, 0x1b, 0x6e, 0x5a, 0xa0, + 0x52, 0x3b, 0xd6, 0xb3, 0x29, 0xe3, 0x2f, 0x84, + 0x53, 0xd1, 0x00, 0xed, 0x20, 0xfc, 0xb1, 0x5b, + 0x6a, 0xcb, 0xbe, 0x39, 0x4a, 0x4c, 0x58, 0xcf, + 0xd0, 0xef, 0xaa, 0xfb, 0x43, 0x4d, 0x33, 0x85, + 0x45, 0xf9, 0x02, 0x7f, 0x50, 0x3c, 0x9f, 0xa8, + 0x51, 0xa3, 0x40, 0x8f, 0x92, 0x9d, 0x38, 0xf5, + 0xbc, 0xb6, 0xda, 0x21, 0x10, 0xff, 0xf3, 0xd2, + 0xcd, 0x0c, 0x13, 0xec, 0x5f, 0x97, 0x44, 0x17, + 0xc4, 0xa7, 0x7e, 0x3d, 0x64, 0x5d, 0x19, 0x73, + 0x60, 0x81, 0x4f, 0xdc, 0x22, 0x2a, 0x90, 0x88, + 0x46, 0xee, 0xb8, 0x14, 0xde, 0x5e, 0x0b, 0xdb, + 0xe0, 0x32, 0x3a, 0x0a, 0x49, 0x06, 0x24, 0x5c, + 0xc2, 0xd3, 0xac, 0x62, 0x91, 0x95, 0xe4, 0x79, + 0xe7, 0xc8, 0x37, 0x6d, 0x8d, 0xd5, 0x4e, 0xa9, + 0x6c, 0x56, 0xf4, 0xea, 0x65, 0x7a, 0xae, 0x08, + 0xba, 0x78, 0x25, 0x2e, 0x1c, 0xa6, 0xb4, 0xc6, + 0xe8, 0xdd, 0x74, 0x1f, 0x4b, 0xbd, 0x8b, 0x8a, + 0x70, 0x3e, 0xb5, 0x66, 0x48, 0x03, 0xf6, 0x0e, + 0x61, 0x35, 0x57, 0xb9, 0x86, 0xc1, 0x1d, 0x9e, + 0xe1, 0xf8, 0x98, 0x11, 0x69, 0xd9, 0x8e, 0x94, + 0x9b, 0x1e, 0x87, 0xe9, 0xce, 0x55, 0x28, 0xdf, + 0x8c, 0xa1, 0x89, 0x0d, 0xbf, 0xe6, 0x42, 0x68, + 0x41, 0x99, 0x2d, 0x0f, 0xb0, 0x54, 0xbb, 0x16 +}; + +int ror (int dist, int byte) +{ + int tmp = (byte << 8) | byte; + tmp = (tmp >> dist); + return (tmp & 0xff); +} + +// one step of GF polynomial mult : times two mod 11b +int xtime (int a) +{ + int out = 0; + out = a << 1; + if ((out & 0x100) != 0) + { + out = out ^ 0x11b; + } + return (out); +} + +// GF multiply two bytes +int special_mult (int a, int b) +{ + int out = 0; + int n = 0; + + int running = a; + + for (n=0; n<8; n++) + { + if ((b & 1) != 0) out ^= running; + b >>= 1; + running = xtime (running); + } + return (out); +} + +// build the sbox tables +int main (void) +{ + int in, out; + int affine [256]; + int rev_affine [256]; + int rev_sbox [256]; + + int i,n; + unsigned int nyb; + + // reverse the sbox + for (in=0; in<256; in ++) + { + rev_sbox[sbox[in]] = in; + } + + // build the affine and reverse affine functions as + // tables + for (in=0; in<256; in ++) + { + out = in ^ 0x63 ^ ror(4,in) ^ ror(5,in) ^ ror(6,in) ^ ror(7,in); + affine[in] = out; + rev_affine[out] = in; + } + + // reverse the affine and sanity check the mult inverse + // is correct. + for (in=0; in<256; in ++) + { + out = rev_affine[sbox[in]]; + if (special_mult (in,out) != 1) + { + // inverse of 0 is defined to be 0 + if (in != 0) + { + fprintf (stdout,"Error - sbox table cross check failed\n"); + fprintf (stdout," in = %02x out = %02x\n",in,out); + return (1); + } + } + } + + fprintf (stdout,"// baeckler - 03-09-2006\n\n"); + fprintf (stdout,"//////////////////////////////////////////////\n"); + fprintf (stdout,"// eight input (256 word) ROM helper fn\n"); + fprintf (stdout,"//////////////////////////////////////////////\n"); + + fprintf (stdout,"module eight_input_rom (in,out);\n"); + fprintf (stdout,"input [7:0] in;\n"); + fprintf (stdout,"output out;\n"); + fprintf (stdout,"wire out /* synthesis keep */;\n\n"); + + fprintf (stdout,"parameter [255:0] mask = 256'b0;\n\n"); + + fprintf (stdout,"wire [3:0] t /* synthesis keep */;\n"); + fprintf (stdout,"wire [63:0] m0 = mask[63:0];\n"); + fprintf (stdout,"wire [63:0] m1 = mask[127:64];\n"); + fprintf (stdout,"wire [63:0] m2 = mask[191:128];\n"); + fprintf (stdout,"wire [63:0] m3 = mask[255:192];\n\n"); + + fprintf (stdout,"assign t[0] = m0[in[5:0]];\n"); + fprintf (stdout,"assign t[1] = m1[in[5:0]];\n"); + fprintf (stdout,"assign t[2] = m2[in[5:0]];\n"); + fprintf (stdout,"assign t[3] = m3[in[5:0]];\n"); + fprintf (stdout,"assign out = t[in[7:6]];\n\n"); + fprintf (stdout,"endmodule\n\n"); + + // dump verilog sbox + fprintf (stdout,"//////////////////////////////////////////////\n"); + fprintf (stdout,"// Single Rijndael SBOX\n"); + fprintf (stdout,"//////////////////////////////////////////////\n"); + + fprintf (stdout,"module sbox (in,out);\n"); + fprintf (stdout,"input [7:0] in;\n"); + fprintf (stdout,"output [7:0] out;\n"); + fprintf (stdout,"wire [7:0] out;\n\n"); + + fprintf (stdout,"parameter METHOD = 1;\n\n"); + + fprintf (stdout,"generate\n"); + fprintf (stdout," if (METHOD == 0) begin\n"); + fprintf (stdout," reg [7:0] o;\n"); + fprintf (stdout," always @(in) begin\n"); + fprintf (stdout," case (in)\n "); + for (in=0; in<256; in++) + { + fprintf (stdout," 8'h%02x: o = 8'h%02x;",in,sbox[in]); + if ((in % 4) == 3) fprintf (stdout,"\n "); + } + fprintf (stdout," default: o = 8'h0;\n"); + fprintf (stdout," endcase\n"); + fprintf (stdout," end\n"); + fprintf (stdout," assign out = o;\n"); + fprintf (stdout," end\n"); + fprintf (stdout," else if (METHOD == 1) begin\n"); + for (i=0;i<8;i++) + { + fprintf (stdout," eight_input_rom r%d (.in(in),.out(out[%d]));\n",i,i); + fprintf (stdout," defparam r%d .mask = 256'h",i); + for (n=255;n>=0;n-=4) + { + nyb = (sbox[n] & (1 << i)) >> i; + nyb = (nyb << 1) | ((sbox[n-1] & (1 << i)) >> i); + nyb = (nyb << 1) | ((sbox[n-2] & (1 << i)) >> i); + nyb = (nyb << 1) | ((sbox[n-3] & (1 << i)) >> i); + fprintf (stdout,"%x",nyb); + } + fprintf (stdout,";\n"); + } + fprintf (stdout," end\n"); + fprintf (stdout,"endgenerate\n"); + fprintf (stdout,"endmodule\n\n"); + + // dump verilog inverse sbox + fprintf (stdout,"//////////////////////////////////////////////\n"); + fprintf (stdout,"// Single Rijndael Inverse SBOX\n"); + fprintf (stdout,"//////////////////////////////////////////////\n"); + + fprintf (stdout,"module inv_sbox (in,out);\n"); + fprintf (stdout,"input [7:0] in;\n"); + fprintf (stdout,"output [7:0] out;\n"); + fprintf (stdout,"wire [7:0] out;\n\n"); + + fprintf (stdout,"parameter METHOD = 1;\n\n"); + + fprintf (stdout,"generate\n"); + fprintf (stdout," if (METHOD == 0) begin\n"); + fprintf (stdout," reg [7:0] o;\n"); + fprintf (stdout," always @(in) begin\n"); + fprintf (stdout," case (in)\n "); + for (in=0; in<256; in++) + { + fprintf (stdout," 8'h%02x: o = 8'h%02x;",in,rev_sbox[in]); + if ((in % 4) == 3) fprintf (stdout,"\n "); + } + fprintf (stdout," default: o = 8'h0;\n"); + fprintf (stdout," endcase\n"); + fprintf (stdout," end\n"); + fprintf (stdout," assign out = o;\n"); + fprintf (stdout," end\n"); + fprintf (stdout," else if (METHOD == 1) begin\n"); + for (i=0;i<8;i++) + { + fprintf (stdout," eight_input_rom r%d (.in(in),.out(out[%d]));\n",i,i); + fprintf (stdout," defparam r%d .mask = 256'h",i); + for (n=255;n>=0;n-=4) + { + nyb = (rev_sbox[n] & (1 << i)) >> i; + nyb = (nyb << 1) | ((rev_sbox[n-1] & (1 << i)) >> i); + nyb = (nyb << 1) | ((rev_sbox[n-2] & (1 << i)) >> i); + nyb = (nyb << 1) | ((rev_sbox[n-3] & (1 << i)) >> i); + fprintf (stdout,"%x",nyb); + } + fprintf (stdout,";\n"); + } + fprintf (stdout," end\n"); + fprintf (stdout,"endgenerate\n"); + fprintf (stdout,"endmodule\n\n"); + + fprintf (stdout,"////////////////////////////////////////////////////\n"); + fprintf (stdout,"// sub_bytes implemented as 4 by 4 array of SBOXes\n"); + fprintf (stdout,"////////////////////////////////////////////////////\n"); + + fprintf (stdout,"module sub_bytes (in,out);\n"); + fprintf (stdout,"input [16*8-1 : 0] in;\n"); + fprintf (stdout,"output [16*8-1 : 0] out;\n"); + fprintf (stdout,"wire [16*8-1 : 0] out;\n\n"); + + fprintf (stdout,"genvar i;\n"); + fprintf (stdout,"generate\n"); + fprintf (stdout," for (i=0; i<16; i=i+1)\n"); + fprintf (stdout," begin : sb\n"); + fprintf (stdout," sbox s (.in(in[8*i+7:8*i]), .out(out[8*i+7:8*i]));\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"endgenerate\n"); + fprintf (stdout,"endmodule\n\n"); + + fprintf (stdout,"////////////////////////////////////////////////////\n"); + fprintf (stdout,"// inv_sub_bytes implemented as 4x4 inv_SBOXes\n"); + fprintf (stdout,"////////////////////////////////////////////////////\n"); + + fprintf (stdout,"module inv_sub_bytes (in,out);\n"); + fprintf (stdout,"input [16*8-1 : 0] in;\n"); + fprintf (stdout,"output [16*8-1 : 0] out;\n"); + fprintf (stdout,"wire [16*8-1 : 0] out;\n\n"); + + fprintf (stdout,"genvar i;\n"); + fprintf (stdout,"generate\n"); + fprintf (stdout," for (i=0; i<16; i=i+1)\n"); + fprintf (stdout," begin : sb\n"); + fprintf (stdout," inv_sbox s (.in(in[8*i+7:8*i]), .out(out[8*i+7:8*i]));\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"endgenerate\n"); + fprintf (stdout,"endmodule\n\n"); + + return (0); +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/aes/sub_bytes.v b/Advanced Synthesis Cookbook/crypto/aes/sub_bytes.v new file mode 100644 index 0000000..f1ede35 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/aes/sub_bytes.v @@ -0,0 +1,292 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-09-2006 + +////////////////////////////////////////////// +// eight input (256 word) ROM helper fn +////////////////////////////////////////////// +module eight_input_rom (in,out); +input [7:0] in; +output out; +wire out /* synthesis keep */; + +parameter [255:0] mask = 256'b0; + +wire [3:0] t /* synthesis keep */; +wire [63:0] m0 = mask[63:0]; +wire [63:0] m1 = mask[127:64]; +wire [63:0] m2 = mask[191:128]; +wire [63:0] m3 = mask[255:192]; + +assign t[0] = m0[in[5:0]]; +assign t[1] = m1[in[5:0]]; +assign t[2] = m2[in[5:0]]; +assign t[3] = m3[in[5:0]]; +assign out = t[in[7:6]]; + +endmodule + +////////////////////////////////////////////// +// Single Rijndael SBOX +////////////////////////////////////////////// +module sbox (in,out); +input [7:0] in; +output [7:0] out; +wire [7:0] out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) begin + reg [7:0] o; + always @(in) begin + case (in) + 8'h00: o = 8'h63; 8'h01: o = 8'h7c; 8'h02: o = 8'h77; 8'h03: o = 8'h7b; + 8'h04: o = 8'hf2; 8'h05: o = 8'h6b; 8'h06: o = 8'h6f; 8'h07: o = 8'hc5; + 8'h08: o = 8'h30; 8'h09: o = 8'h01; 8'h0a: o = 8'h67; 8'h0b: o = 8'h2b; + 8'h0c: o = 8'hfe; 8'h0d: o = 8'hd7; 8'h0e: o = 8'hab; 8'h0f: o = 8'h76; + 8'h10: o = 8'hca; 8'h11: o = 8'h82; 8'h12: o = 8'hc9; 8'h13: o = 8'h7d; + 8'h14: o = 8'hfa; 8'h15: o = 8'h59; 8'h16: o = 8'h47; 8'h17: o = 8'hf0; + 8'h18: o = 8'had; 8'h19: o = 8'hd4; 8'h1a: o = 8'ha2; 8'h1b: o = 8'haf; + 8'h1c: o = 8'h9c; 8'h1d: o = 8'ha4; 8'h1e: o = 8'h72; 8'h1f: o = 8'hc0; + 8'h20: o = 8'hb7; 8'h21: o = 8'hfd; 8'h22: o = 8'h93; 8'h23: o = 8'h26; + 8'h24: o = 8'h36; 8'h25: o = 8'h3f; 8'h26: o = 8'hf7; 8'h27: o = 8'hcc; + 8'h28: o = 8'h34; 8'h29: o = 8'ha5; 8'h2a: o = 8'he5; 8'h2b: o = 8'hf1; + 8'h2c: o = 8'h71; 8'h2d: o = 8'hd8; 8'h2e: o = 8'h31; 8'h2f: o = 8'h15; + 8'h30: o = 8'h04; 8'h31: o = 8'hc7; 8'h32: o = 8'h23; 8'h33: o = 8'hc3; + 8'h34: o = 8'h18; 8'h35: o = 8'h96; 8'h36: o = 8'h05; 8'h37: o = 8'h9a; + 8'h38: o = 8'h07; 8'h39: o = 8'h12; 8'h3a: o = 8'h80; 8'h3b: o = 8'he2; + 8'h3c: o = 8'heb; 8'h3d: o = 8'h27; 8'h3e: o = 8'hb2; 8'h3f: o = 8'h75; + 8'h40: o = 8'h09; 8'h41: o = 8'h83; 8'h42: o = 8'h2c; 8'h43: o = 8'h1a; + 8'h44: o = 8'h1b; 8'h45: o = 8'h6e; 8'h46: o = 8'h5a; 8'h47: o = 8'ha0; + 8'h48: o = 8'h52; 8'h49: o = 8'h3b; 8'h4a: o = 8'hd6; 8'h4b: o = 8'hb3; + 8'h4c: o = 8'h29; 8'h4d: o = 8'he3; 8'h4e: o = 8'h2f; 8'h4f: o = 8'h84; + 8'h50: o = 8'h53; 8'h51: o = 8'hd1; 8'h52: o = 8'h00; 8'h53: o = 8'hed; + 8'h54: o = 8'h20; 8'h55: o = 8'hfc; 8'h56: o = 8'hb1; 8'h57: o = 8'h5b; + 8'h58: o = 8'h6a; 8'h59: o = 8'hcb; 8'h5a: o = 8'hbe; 8'h5b: o = 8'h39; + 8'h5c: o = 8'h4a; 8'h5d: o = 8'h4c; 8'h5e: o = 8'h58; 8'h5f: o = 8'hcf; + 8'h60: o = 8'hd0; 8'h61: o = 8'hef; 8'h62: o = 8'haa; 8'h63: o = 8'hfb; + 8'h64: o = 8'h43; 8'h65: o = 8'h4d; 8'h66: o = 8'h33; 8'h67: o = 8'h85; + 8'h68: o = 8'h45; 8'h69: o = 8'hf9; 8'h6a: o = 8'h02; 8'h6b: o = 8'h7f; + 8'h6c: o = 8'h50; 8'h6d: o = 8'h3c; 8'h6e: o = 8'h9f; 8'h6f: o = 8'ha8; + 8'h70: o = 8'h51; 8'h71: o = 8'ha3; 8'h72: o = 8'h40; 8'h73: o = 8'h8f; + 8'h74: o = 8'h92; 8'h75: o = 8'h9d; 8'h76: o = 8'h38; 8'h77: o = 8'hf5; + 8'h78: o = 8'hbc; 8'h79: o = 8'hb6; 8'h7a: o = 8'hda; 8'h7b: o = 8'h21; + 8'h7c: o = 8'h10; 8'h7d: o = 8'hff; 8'h7e: o = 8'hf3; 8'h7f: o = 8'hd2; + 8'h80: o = 8'hcd; 8'h81: o = 8'h0c; 8'h82: o = 8'h13; 8'h83: o = 8'hec; + 8'h84: o = 8'h5f; 8'h85: o = 8'h97; 8'h86: o = 8'h44; 8'h87: o = 8'h17; + 8'h88: o = 8'hc4; 8'h89: o = 8'ha7; 8'h8a: o = 8'h7e; 8'h8b: o = 8'h3d; + 8'h8c: o = 8'h64; 8'h8d: o = 8'h5d; 8'h8e: o = 8'h19; 8'h8f: o = 8'h73; + 8'h90: o = 8'h60; 8'h91: o = 8'h81; 8'h92: o = 8'h4f; 8'h93: o = 8'hdc; + 8'h94: o = 8'h22; 8'h95: o = 8'h2a; 8'h96: o = 8'h90; 8'h97: o = 8'h88; + 8'h98: o = 8'h46; 8'h99: o = 8'hee; 8'h9a: o = 8'hb8; 8'h9b: o = 8'h14; + 8'h9c: o = 8'hde; 8'h9d: o = 8'h5e; 8'h9e: o = 8'h0b; 8'h9f: o = 8'hdb; + 8'ha0: o = 8'he0; 8'ha1: o = 8'h32; 8'ha2: o = 8'h3a; 8'ha3: o = 8'h0a; + 8'ha4: o = 8'h49; 8'ha5: o = 8'h06; 8'ha6: o = 8'h24; 8'ha7: o = 8'h5c; + 8'ha8: o = 8'hc2; 8'ha9: o = 8'hd3; 8'haa: o = 8'hac; 8'hab: o = 8'h62; + 8'hac: o = 8'h91; 8'had: o = 8'h95; 8'hae: o = 8'he4; 8'haf: o = 8'h79; + 8'hb0: o = 8'he7; 8'hb1: o = 8'hc8; 8'hb2: o = 8'h37; 8'hb3: o = 8'h6d; + 8'hb4: o = 8'h8d; 8'hb5: o = 8'hd5; 8'hb6: o = 8'h4e; 8'hb7: o = 8'ha9; + 8'hb8: o = 8'h6c; 8'hb9: o = 8'h56; 8'hba: o = 8'hf4; 8'hbb: o = 8'hea; + 8'hbc: o = 8'h65; 8'hbd: o = 8'h7a; 8'hbe: o = 8'hae; 8'hbf: o = 8'h08; + 8'hc0: o = 8'hba; 8'hc1: o = 8'h78; 8'hc2: o = 8'h25; 8'hc3: o = 8'h2e; + 8'hc4: o = 8'h1c; 8'hc5: o = 8'ha6; 8'hc6: o = 8'hb4; 8'hc7: o = 8'hc6; + 8'hc8: o = 8'he8; 8'hc9: o = 8'hdd; 8'hca: o = 8'h74; 8'hcb: o = 8'h1f; + 8'hcc: o = 8'h4b; 8'hcd: o = 8'hbd; 8'hce: o = 8'h8b; 8'hcf: o = 8'h8a; + 8'hd0: o = 8'h70; 8'hd1: o = 8'h3e; 8'hd2: o = 8'hb5; 8'hd3: o = 8'h66; + 8'hd4: o = 8'h48; 8'hd5: o = 8'h03; 8'hd6: o = 8'hf6; 8'hd7: o = 8'h0e; + 8'hd8: o = 8'h61; 8'hd9: o = 8'h35; 8'hda: o = 8'h57; 8'hdb: o = 8'hb9; + 8'hdc: o = 8'h86; 8'hdd: o = 8'hc1; 8'hde: o = 8'h1d; 8'hdf: o = 8'h9e; + 8'he0: o = 8'he1; 8'he1: o = 8'hf8; 8'he2: o = 8'h98; 8'he3: o = 8'h11; + 8'he4: o = 8'h69; 8'he5: o = 8'hd9; 8'he6: o = 8'h8e; 8'he7: o = 8'h94; + 8'he8: o = 8'h9b; 8'he9: o = 8'h1e; 8'hea: o = 8'h87; 8'heb: o = 8'he9; + 8'hec: o = 8'hce; 8'hed: o = 8'h55; 8'hee: o = 8'h28; 8'hef: o = 8'hdf; + 8'hf0: o = 8'h8c; 8'hf1: o = 8'ha1; 8'hf2: o = 8'h89; 8'hf3: o = 8'h0d; + 8'hf4: o = 8'hbf; 8'hf5: o = 8'he6; 8'hf6: o = 8'h42; 8'hf7: o = 8'h68; + 8'hf8: o = 8'h41; 8'hf9: o = 8'h99; 8'hfa: o = 8'h2d; 8'hfb: o = 8'h0f; + 8'hfc: o = 8'hb0; 8'hfd: o = 8'h54; 8'hfe: o = 8'hbb; 8'hff: o = 8'h16; + default: o = 8'h0; + endcase + end + assign out = o; + end + else if (METHOD == 1) begin + eight_input_rom r0 (.in(in),.out(out[0])); + defparam r0 .mask = 256'h4f1ead396f247a0410bdb210c006eab568ab4bfa8acb7a13b14ede67096c6eed; + eight_input_rom r1 (.in(in),.out(out[1])); + defparam r1 .mask = 256'hc870974094ead8a96a450b2ef33486b4e61a4c5e97816f7a7bae007d4c53fc7d; + eight_input_rom r2 (.in(in),.out(out[2])); + defparam r2 .mask = 256'hac39b6c0d6ce2efc577d64e03b0c3ffb23a869a2a428c424a16387fb3b48b4c6; + eight_input_rom r3 (.in(in),.out(out[3])); + defparam r3 .mask = 256'h4e9ddb76c892fb1be9da849cf6ac6c1b2568ea2effa8527d109020a2193d586a; + eight_input_rom r4 (.in(in),.out(out[4])); + defparam r4 .mask = 256'hf210a3aece472e532624b286bc48ecb4f7f17a494ce30f58c2b0f97752b8b11e; + eight_input_rom r5 (.in(in),.out(out[5])); + defparam r5 .mask = 256'h54b248130b4f256f7d8dcc4706319e086bc2aa4e0d787aa4f8045f7b6d98dd7f; + eight_input_rom r6 (.in(in),.out(out[6])); + defparam r6 .mask = 256'h21e0b833255917823f6bcb91b30db559e4851b3bf3ab2560980a3cc2c2fdb4ff; + eight_input_rom r7 (.in(in),.out(out[7])); + defparam r7 .mask = 256'h52379de7b844e3e14cb3770196ca0329e7bac28f866aac825caa2ec7bf977090; + end +endgenerate +endmodule + +////////////////////////////////////////////// +// Single Rijndael Inverse SBOX +////////////////////////////////////////////// +module inv_sbox (in,out); +input [7:0] in; +output [7:0] out; +wire [7:0] out; + +parameter METHOD = 1; + +generate + if (METHOD == 0) begin + reg [7:0] o; + always @(in) begin + case (in) + 8'h00: o = 8'h52; 8'h01: o = 8'h09; 8'h02: o = 8'h6a; 8'h03: o = 8'hd5; + 8'h04: o = 8'h30; 8'h05: o = 8'h36; 8'h06: o = 8'ha5; 8'h07: o = 8'h38; + 8'h08: o = 8'hbf; 8'h09: o = 8'h40; 8'h0a: o = 8'ha3; 8'h0b: o = 8'h9e; + 8'h0c: o = 8'h81; 8'h0d: o = 8'hf3; 8'h0e: o = 8'hd7; 8'h0f: o = 8'hfb; + 8'h10: o = 8'h7c; 8'h11: o = 8'he3; 8'h12: o = 8'h39; 8'h13: o = 8'h82; + 8'h14: o = 8'h9b; 8'h15: o = 8'h2f; 8'h16: o = 8'hff; 8'h17: o = 8'h87; + 8'h18: o = 8'h34; 8'h19: o = 8'h8e; 8'h1a: o = 8'h43; 8'h1b: o = 8'h44; + 8'h1c: o = 8'hc4; 8'h1d: o = 8'hde; 8'h1e: o = 8'he9; 8'h1f: o = 8'hcb; + 8'h20: o = 8'h54; 8'h21: o = 8'h7b; 8'h22: o = 8'h94; 8'h23: o = 8'h32; + 8'h24: o = 8'ha6; 8'h25: o = 8'hc2; 8'h26: o = 8'h23; 8'h27: o = 8'h3d; + 8'h28: o = 8'hee; 8'h29: o = 8'h4c; 8'h2a: o = 8'h95; 8'h2b: o = 8'h0b; + 8'h2c: o = 8'h42; 8'h2d: o = 8'hfa; 8'h2e: o = 8'hc3; 8'h2f: o = 8'h4e; + 8'h30: o = 8'h08; 8'h31: o = 8'h2e; 8'h32: o = 8'ha1; 8'h33: o = 8'h66; + 8'h34: o = 8'h28; 8'h35: o = 8'hd9; 8'h36: o = 8'h24; 8'h37: o = 8'hb2; + 8'h38: o = 8'h76; 8'h39: o = 8'h5b; 8'h3a: o = 8'ha2; 8'h3b: o = 8'h49; + 8'h3c: o = 8'h6d; 8'h3d: o = 8'h8b; 8'h3e: o = 8'hd1; 8'h3f: o = 8'h25; + 8'h40: o = 8'h72; 8'h41: o = 8'hf8; 8'h42: o = 8'hf6; 8'h43: o = 8'h64; + 8'h44: o = 8'h86; 8'h45: o = 8'h68; 8'h46: o = 8'h98; 8'h47: o = 8'h16; + 8'h48: o = 8'hd4; 8'h49: o = 8'ha4; 8'h4a: o = 8'h5c; 8'h4b: o = 8'hcc; + 8'h4c: o = 8'h5d; 8'h4d: o = 8'h65; 8'h4e: o = 8'hb6; 8'h4f: o = 8'h92; + 8'h50: o = 8'h6c; 8'h51: o = 8'h70; 8'h52: o = 8'h48; 8'h53: o = 8'h50; + 8'h54: o = 8'hfd; 8'h55: o = 8'hed; 8'h56: o = 8'hb9; 8'h57: o = 8'hda; + 8'h58: o = 8'h5e; 8'h59: o = 8'h15; 8'h5a: o = 8'h46; 8'h5b: o = 8'h57; + 8'h5c: o = 8'ha7; 8'h5d: o = 8'h8d; 8'h5e: o = 8'h9d; 8'h5f: o = 8'h84; + 8'h60: o = 8'h90; 8'h61: o = 8'hd8; 8'h62: o = 8'hab; 8'h63: o = 8'h00; + 8'h64: o = 8'h8c; 8'h65: o = 8'hbc; 8'h66: o = 8'hd3; 8'h67: o = 8'h0a; + 8'h68: o = 8'hf7; 8'h69: o = 8'he4; 8'h6a: o = 8'h58; 8'h6b: o = 8'h05; + 8'h6c: o = 8'hb8; 8'h6d: o = 8'hb3; 8'h6e: o = 8'h45; 8'h6f: o = 8'h06; + 8'h70: o = 8'hd0; 8'h71: o = 8'h2c; 8'h72: o = 8'h1e; 8'h73: o = 8'h8f; + 8'h74: o = 8'hca; 8'h75: o = 8'h3f; 8'h76: o = 8'h0f; 8'h77: o = 8'h02; + 8'h78: o = 8'hc1; 8'h79: o = 8'haf; 8'h7a: o = 8'hbd; 8'h7b: o = 8'h03; + 8'h7c: o = 8'h01; 8'h7d: o = 8'h13; 8'h7e: o = 8'h8a; 8'h7f: o = 8'h6b; + 8'h80: o = 8'h3a; 8'h81: o = 8'h91; 8'h82: o = 8'h11; 8'h83: o = 8'h41; + 8'h84: o = 8'h4f; 8'h85: o = 8'h67; 8'h86: o = 8'hdc; 8'h87: o = 8'hea; + 8'h88: o = 8'h97; 8'h89: o = 8'hf2; 8'h8a: o = 8'hcf; 8'h8b: o = 8'hce; + 8'h8c: o = 8'hf0; 8'h8d: o = 8'hb4; 8'h8e: o = 8'he6; 8'h8f: o = 8'h73; + 8'h90: o = 8'h96; 8'h91: o = 8'hac; 8'h92: o = 8'h74; 8'h93: o = 8'h22; + 8'h94: o = 8'he7; 8'h95: o = 8'had; 8'h96: o = 8'h35; 8'h97: o = 8'h85; + 8'h98: o = 8'he2; 8'h99: o = 8'hf9; 8'h9a: o = 8'h37; 8'h9b: o = 8'he8; + 8'h9c: o = 8'h1c; 8'h9d: o = 8'h75; 8'h9e: o = 8'hdf; 8'h9f: o = 8'h6e; + 8'ha0: o = 8'h47; 8'ha1: o = 8'hf1; 8'ha2: o = 8'h1a; 8'ha3: o = 8'h71; + 8'ha4: o = 8'h1d; 8'ha5: o = 8'h29; 8'ha6: o = 8'hc5; 8'ha7: o = 8'h89; + 8'ha8: o = 8'h6f; 8'ha9: o = 8'hb7; 8'haa: o = 8'h62; 8'hab: o = 8'h0e; + 8'hac: o = 8'haa; 8'had: o = 8'h18; 8'hae: o = 8'hbe; 8'haf: o = 8'h1b; + 8'hb0: o = 8'hfc; 8'hb1: o = 8'h56; 8'hb2: o = 8'h3e; 8'hb3: o = 8'h4b; + 8'hb4: o = 8'hc6; 8'hb5: o = 8'hd2; 8'hb6: o = 8'h79; 8'hb7: o = 8'h20; + 8'hb8: o = 8'h9a; 8'hb9: o = 8'hdb; 8'hba: o = 8'hc0; 8'hbb: o = 8'hfe; + 8'hbc: o = 8'h78; 8'hbd: o = 8'hcd; 8'hbe: o = 8'h5a; 8'hbf: o = 8'hf4; + 8'hc0: o = 8'h1f; 8'hc1: o = 8'hdd; 8'hc2: o = 8'ha8; 8'hc3: o = 8'h33; + 8'hc4: o = 8'h88; 8'hc5: o = 8'h07; 8'hc6: o = 8'hc7; 8'hc7: o = 8'h31; + 8'hc8: o = 8'hb1; 8'hc9: o = 8'h12; 8'hca: o = 8'h10; 8'hcb: o = 8'h59; + 8'hcc: o = 8'h27; 8'hcd: o = 8'h80; 8'hce: o = 8'hec; 8'hcf: o = 8'h5f; + 8'hd0: o = 8'h60; 8'hd1: o = 8'h51; 8'hd2: o = 8'h7f; 8'hd3: o = 8'ha9; + 8'hd4: o = 8'h19; 8'hd5: o = 8'hb5; 8'hd6: o = 8'h4a; 8'hd7: o = 8'h0d; + 8'hd8: o = 8'h2d; 8'hd9: o = 8'he5; 8'hda: o = 8'h7a; 8'hdb: o = 8'h9f; + 8'hdc: o = 8'h93; 8'hdd: o = 8'hc9; 8'hde: o = 8'h9c; 8'hdf: o = 8'hef; + 8'he0: o = 8'ha0; 8'he1: o = 8'he0; 8'he2: o = 8'h3b; 8'he3: o = 8'h4d; + 8'he4: o = 8'hae; 8'he5: o = 8'h2a; 8'he6: o = 8'hf5; 8'he7: o = 8'hb0; + 8'he8: o = 8'hc8; 8'he9: o = 8'heb; 8'hea: o = 8'hbb; 8'heb: o = 8'h3c; + 8'hec: o = 8'h83; 8'hed: o = 8'h53; 8'hee: o = 8'h99; 8'hef: o = 8'h61; + 8'hf0: o = 8'h17; 8'hf1: o = 8'h2b; 8'hf2: o = 8'h04; 8'hf3: o = 8'h7e; + 8'hf4: o = 8'hba; 8'hf5: o = 8'h77; 8'hf6: o = 8'hd6; 8'hf7: o = 8'h26; + 8'hf8: o = 8'he1; 8'hf9: o = 8'h69; 8'hfa: o = 8'h14; 8'hfb: o = 8'h63; + 8'hfc: o = 8'h55; 8'hfd: o = 8'h21; 8'hfe: o = 8'h0c; 8'hff: o = 8'h7d; + default: o = 8'h0; + endcase + end + assign out = o; + end + else if (METHOD == 1) begin + eight_input_rom r0 (.in(in),.out(out[0])); + defparam r0 .mask = 256'hbb23f64cbbbe99eb224883fb66f0853ebf6869447a703000fa244cc2c4f6f54a; + eight_input_rom r1 (.in(in),.out(out[1])); + defparam r1 .mask = 256'h08fb36349c4492694b3edf05c519cfb1eafca1c41d80c095278af97aa6faed25; + eight_input_rom r2 (.in(in),.out(out[2])); + defparam r2 .mask = 256'hd4ed0858cba4d063a8174b51f4f76d70066ecb30ff317f9c914a87953be14968; + eight_input_rom r3 (.in(in),.out(out[3])); + defparam r3 .mask = 256'hc21a4f3ceddcc8177b4df9b4da220cd1c67e14b661f51c623a33ab82e2758986; + eight_input_rom r4 (.in(in),.out(out[4])); + defparam r4 .mask = 256'h94796cc45c368f8bdb67e21e7645b347242535634bdad5c743a0248f2155e9b9; + eight_input_rom r5 (.in(in),.out(out[5])); + defparam r5 .mask = 256'habba8ef7872d518c98c5572aaf7ef2a1862233241073622f95de21da4167a5f4; + eight_input_rom r6 (.in(in),.out(out[6])); + defparam r6 .mask = 256'h9b68a34aa647c842fe7b054beb14def8811147420dbf3d2f5b28f323fc43e20d; + eight_input_rom r7 (.in(in),.out(out[7])); + defparam r7 .mask = 256'h015057d3fa286156af3152c24bb37fc247193377f0f0cb5664a46534f2dafd48; + end +endgenerate +endmodule + +//////////////////////////////////////////////////// +// sub_bytes implemented as 4 by 4 array of SBOXes +//////////////////////////////////////////////////// +module sub_bytes (in,out); +input [16*8-1 : 0] in; +output [16*8-1 : 0] out; +wire [16*8-1 : 0] out; + +genvar i; +generate + for (i=0; i<16; i=i+1) + begin : sb + sbox s (.in(in[8*i+7:8*i]), .out(out[8*i+7:8*i])); + end +endgenerate +endmodule + +//////////////////////////////////////////////////// +// inv_sub_bytes implemented as 4x4 inv_SBOXes +//////////////////////////////////////////////////// +module inv_sub_bytes (in,out); +input [16*8-1 : 0] in; +output [16*8-1 : 0] out; +wire [16*8-1 : 0] out; + +genvar i; +generate + for (i=0; i<16; i=i+1) + begin : sb + inv_sbox s (.in(in[8*i+7:8*i]), .out(out[8*i+7:8*i])); + end +endgenerate +endmodule + diff --git a/Advanced Synthesis Cookbook/crypto/des/des.v b/Advanced Synthesis Cookbook/crypto/des/des.v new file mode 100644 index 0000000..f6f3638 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/des/des.v @@ -0,0 +1,149 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 1-19-2006 + +module des (clk,rst,in,out,key,salt); +input clk,rst; +input [63:0] in; +input [63:0] key; +input [11:0] salt; +output [63:0] out; +wire [63:0] out; + +parameter DECRYPT = 1'b0; +parameter USE_SALT = 1'b0; + +// Optional pipeline latency of 8 or 16 +// pipeline style A inserts regs at normal round outputs +// pipeline style B pushes back through XOR for Stratix II minimum +// depth. 16B is generally best. +// +parameter PIPE_8A = 1'b0; // 904 DFF, 1280 small luts 512 6LUT, depth 5 +parameter PIPE_8B = 1'b0; // 1160 DFF, 1280 small luts 512 6LUT, depth 4 +parameter PIPE_16A = 1'b0; // 1856 DFF, 1280 small luts 512 6LUT, depth 3 +parameter PIPE_16B = 1'b1; // 2368 DFF, 1280 small luts 512 6LUT, depth 2 + + wire [55:0] iperm_key; + wire [55:0] iperm_key_adj; + key_init_perm kin (.in(key),.out(iperm_key)); + + generate + if (DECRYPT) begin + key_shift adj (.in(iperm_key),.out(iperm_key_adj)); + defparam adj .SINGLE = 1'b1; + end else begin + assign iperm_key_adj = iperm_key; + end + endgenerate + wire [63:0] d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14,d15; + wire [55:0] k0,k1,k2,k3,k4,k5,k6,k7,k8,k9,k10,k11,k12,k13,k14,k15; + + round r0 (.clk(clk),.rst(rst),.in(in),.out(d0),.key_in(iperm_key_adj),.key_out(k0),.salt(salt)); + defparam r0 .INIT = 1'b1; + defparam r0 .SINGLE = 1'b1; + defparam r0 .REVERSE = DECRYPT; + defparam r0 .USE_SALT = USE_SALT; + defparam r0 .PIPEA = PIPE_16A; + defparam r0 .PIPEB = PIPE_16B; + round r1 (.clk(clk),.rst(rst),.in(d0),.out(d1),.key_in(k0),.key_out(k1),.salt(salt)); + defparam r1 .SINGLE = 1'b1; + defparam r1 .REVERSE = DECRYPT; + defparam r1 .USE_SALT = USE_SALT; + defparam r1 .PIPEA = PIPE_16A | PIPE_8A; + defparam r1 .PIPEB = PIPE_16B | PIPE_8B; + round r2 (.clk(clk),.rst(rst),.in(d1),.out(d2),.key_in(k1),.key_out(k2),.salt(salt)); + defparam r2 .REVERSE = DECRYPT; + defparam r2 .USE_SALT = USE_SALT; + defparam r2 .PIPEA = PIPE_16A; + defparam r2 .PIPEB = PIPE_16B; + round r3 (.clk(clk),.rst(rst),.in(d2),.out(d3),.key_in(k2),.key_out(k3),.salt(salt)); + defparam r3 .REVERSE = DECRYPT; + defparam r3 .USE_SALT = USE_SALT; + defparam r3 .PIPEA = PIPE_16A | PIPE_8A; + defparam r3 .PIPEB = PIPE_16B | PIPE_8B; + round r4 (.clk(clk),.rst(rst),.in(d3),.out(d4),.key_in(k3),.key_out(k4),.salt(salt)); + defparam r4 .REVERSE = DECRYPT; + defparam r4 .USE_SALT = USE_SALT; + defparam r4 .PIPEA = PIPE_16A; + defparam r4 .PIPEB = PIPE_16B; + round r5 (.clk(clk),.rst(rst),.in(d4),.out(d5),.key_in(k4),.key_out(k5),.salt(salt)); + defparam r5 .REVERSE = DECRYPT; + defparam r5 .USE_SALT = USE_SALT; + defparam r5 .PIPEA = PIPE_16A | PIPE_8A; + defparam r5 .PIPEB = PIPE_16B | PIPE_8B; + round r6 (.clk(clk),.rst(rst),.in(d5),.out(d6),.key_in(k5),.key_out(k6),.salt(salt)); + defparam r6 .REVERSE = DECRYPT; + defparam r6 .USE_SALT = USE_SALT; + defparam r6 .PIPEA = PIPE_16A; + defparam r6 .PIPEB = PIPE_16B; + round r7 (.clk(clk),.rst(rst),.in(d6),.out(d7),.key_in(k6),.key_out(k7),.salt(salt)); + defparam r7 .REVERSE = DECRYPT; + defparam r7 .USE_SALT = USE_SALT; + defparam r7 .PIPEA = PIPE_16A | PIPE_8A; + defparam r7 .PIPEB = PIPE_16B | PIPE_8B; + round r8 (.clk(clk),.rst(rst),.in(d7),.out(d8),.key_in(k7),.key_out(k8),.salt(salt)); + defparam r8 .SINGLE = 1'b1; + defparam r8 .REVERSE = DECRYPT; + defparam r8 .USE_SALT = USE_SALT; + defparam r8 .PIPEA = PIPE_16A; + defparam r8 .PIPEB = PIPE_16B; + round r9 (.clk(clk),.rst(rst),.in(d8),.out(d9),.key_in(k8),.key_out(k9),.salt(salt)); + defparam r9 .REVERSE = DECRYPT; + defparam r9 .USE_SALT = USE_SALT; + defparam r9 .PIPEA = PIPE_16A | PIPE_8A; + defparam r9 .PIPEB = PIPE_16B | PIPE_8B; + round r10 (.clk(clk),.rst(rst),.in(d9),.out(d10),.key_in(k9),.key_out(k10),.salt(salt)); + defparam r10 .REVERSE = DECRYPT; + defparam r10 .USE_SALT = USE_SALT; + defparam r10 .PIPEA = PIPE_16A; + defparam r10 .PIPEB = PIPE_16B; + round r11 (.clk(clk),.rst(rst),.in(d10),.out(d11),.key_in(k10),.key_out(k11),.salt(salt)); + defparam r11 .REVERSE = DECRYPT; + defparam r11 .USE_SALT = USE_SALT; + defparam r11 .PIPEA = PIPE_16A | PIPE_8A; + defparam r11 .PIPEB = PIPE_16B | PIPE_8B; + round r12 (.clk(clk),.rst(rst),.in(d11),.out(d12),.key_in(k11),.key_out(k12),.salt(salt)); + defparam r12 .REVERSE = DECRYPT; + defparam r12 .USE_SALT = USE_SALT; + defparam r12 .PIPEA = PIPE_16A; + defparam r12 .PIPEB = PIPE_16B; + round r13 (.clk(clk),.rst(rst),.in(d12),.out(d13),.key_in(k12),.key_out(k13),.salt(salt)); + defparam r13 .REVERSE = DECRYPT; + defparam r13 .USE_SALT = USE_SALT; + defparam r13 .PIPEA = PIPE_16A | PIPE_8A; + defparam r13 .PIPEB = PIPE_16B | PIPE_8B; + round r14 (.clk(clk),.rst(rst),.in(d13),.out(d14),.key_in(k13),.key_out(k14),.salt(salt)); + defparam r14 .REVERSE = DECRYPT; + defparam r14 .USE_SALT = USE_SALT; + defparam r14 .PIPEA = PIPE_16A; + defparam r14 .PIPEB = PIPE_16B; + round r15 (.clk(clk),.rst(rst),.in(d14),.out(d15),.key_in(k14),.key_out(k15),.salt(salt)); + defparam r15 .FINAL = 1'b1; + defparam r15 .SINGLE = 1'b1; + defparam r15 .REVERSE = DECRYPT; + defparam r15 .USE_SALT = USE_SALT; + defparam r15 .PIPEA = PIPE_16A | PIPE_8A; + defparam r15 .PIPEB = PIPE_16B | PIPE_8B; + assign out = d15; + +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/des/des_tb.v b/Advanced Synthesis Cookbook/crypto/des/des_tb.v new file mode 100644 index 0000000..c38b44b --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/des/des_tb.v @@ -0,0 +1,177 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 2-16-2007 + +module des_tb (); + +reg [63:0] plain; +reg [63:0] key; +reg [63:0] exp_c; +wire [63:0] actual_c; +wire [63:0] rebuilt_plain; + +reg clk,rst,bug; + +parameter PIPE_16A = 1'b0; +parameter PIPE_16B = 1'b0; +parameter PIPE_8A = 1'b0; +parameter PIPE_8B = 1'b0; + +des d (.clk(clk),.rst(rst),.in(plain),.key(key),.out(actual_c),.salt(12'b0)); + +des u (.clk(clk),.rst(rst),.in(actual_c),.key(key),.out(rebuilt_plain),.salt(12'b0)); +defparam u .DECRYPT = 1'b1; + +defparam u .PIPE_16A = PIPE_16A; +defparam u .PIPE_16B = PIPE_16B; +defparam u .PIPE_8A = PIPE_8A; +defparam u .PIPE_8B = PIPE_8B; + +defparam d .PIPE_16A = PIPE_16A; +defparam d .PIPE_16B = PIPE_16B; +defparam d .PIPE_8A = PIPE_8A; +defparam d .PIPE_8B = PIPE_8B; + +initial begin + clk = 0; + rst = 0; + bug = 0; + #10 rst = 1; + #10 rst = 0; +end + +reg [191:0] stim [39:0]; +initial begin + // key plain cipher + stim[0] = 192'h0000000000000000_0000000000000000_8ca64de9c1b123a7; + stim[1] = 192'he5c48d8397b26531_8ca64de9c1b123a7_5cf505a4e1feec12; + stim[2] = 192'he5c48d8397b26531_5cf505a4e1feec12_b0140f4c955c0adc; + stim[3] = 192'he5c48d8397b26531_b0140f4c955c0adc_003fe788c88e223a; + stim[4] = 192'hb980fc9086559931_003fe788c88e223a_be69636adef9c2dd; + stim[5] = 192'hb980fc9086559931_be69636adef9c2dd_ac7ac30637670dc7; + stim[6] = 192'hb980fc9086559931_ac7ac30637670dc7_a6b9c8d6ff934423; + stim[7] = 192'h7da2356fed460454_a6b9c8d6ff934423_492cd4a0e11a08f0; + stim[8] = 192'h7da2356fed460454_492cd4a0e11a08f0_07c30a13c852f6e0; + stim[9] = 192'h7da2356fed460454_07c30a13c852f6e0_e3349cc34acf4490; + stim[10] = 192'h7480c63d2e7f2893_e3349cc34acf4490_25df12f428cd5994; + stim[11] = 192'h7480c63d2e7f2893_25df12f428cd5994_44abaa7759807712; + stim[12] = 192'h7480c63d2e7f2893_44abaa7759807712_9c7c42965dda2c27; + stim[13] = 192'h90b49d87473d16aa_9c7c42965dda2c27_c7b1cfbb4e70afff; + stim[14] = 192'h90b49d87473d16aa_c7b1cfbb4e70afff_2958b501302b8845; + stim[15] = 192'h90b49d87473d16aa_2958b501302b8845_9101279b3aa464b4; + stim[16] = 192'hbd92b8db9ed99623_9101279b3aa464b4_42b33eb2940e6b8f; + stim[17] = 192'hbd92b8db9ed99623_42b33eb2940e6b8f_6e9c5d771eb4f448; + stim[18] = 192'hbd92b8db9ed99623_6e9c5d771eb4f448_2c21562ec8bd8fc1; + stim[19] = 192'h3e6305c8eab31217_2c21562ec8bd8fc1_c5da5fd4729055e6; + stim[20] = 192'h3e6305c8eab31217_c5da5fd4729055e6_9977cf9c63ddb72b; + stim[21] = 192'h3e6305c8eab31217_9977cf9c63ddb72b_e357a4f2642fc4fe; + stim[22] = 192'h4140f1eea596f8d0_e357a4f2642fc4fe_5de11b8cb4289c39; + stim[23] = 192'h4140f1eea596f8d0_5de11b8cb4289c39_4fe00b7bef6c08c8; + stim[24] = 192'h4140f1eea596f8d0_4fe00b7bef6c08c8_7bc3b98550c2d375; + stim[25] = 192'hef8bb2e4040b3b0e_7bc3b98550c2d375_a0046f2b0436098b; + stim[26] = 192'hef8bb2e4040b3b0e_a0046f2b0436098b_3d54554d4a1e327c; + stim[27] = 192'hef8bb2e4040b3b0e_3d54554d4a1e327c_65a266fe826dcce0; + stim[28] = 192'he8b804a57b6d7ea8_65a266fe826dcce0_8d9bab910ea34e75; + stim[29] = 192'he8b804a57b6d7ea8_8d9bab910ea34e75_35b55a6e6166b837; + stim[30] = 192'he8b804a57b6d7ea8_35b55a6e6166b837_0f2cb27cfd7286b2; + stim[31] = 192'ha5d94a1a45204a58_0f2cb27cfd7286b2_b3883906d3da3403; + stim[32] = 192'ha5d94a1a45204a58_b3883906d3da3403_3332c4309364baf2; + stim[33] = 192'ha5d94a1a45204a58_3332c4309364baf2_41bae5a84229919c; + stim[34] = 192'h9c50de58508717da_41bae5a84229919c_cc6c11ec82b3b8b9; + stim[35] = 192'h9c50de58508717da_cc6c11ec82b3b8b9_f7d3b864729b0ed4; + stim[36] = 192'h9c50de58508717da_f7d3b864729b0ed4_8b25b86cd5c7ace2; + stim[37] = 192'hdb653df3669ab30b_8b25b86cd5c7ace2_fe39696a27761ab6; + stim[38] = 192'hdb653df3669ab30b_fe39696a27761ab6_ff3c1fe1f2cf3a9a; + stim[39] = 192'hdb653df3669ab30b_ff3c1fe1f2cf3a9a_e6676daf0ef6b2ed; +end + +reg [7:0] stim_index; +initial stim_index = 0; + +integer i; +reg [7:0] latency; +initial latency = (PIPE_16A | PIPE_16B) ? 16 : ((PIPE_8A | PIPE_8B) ? 8 : 0); + +reg stage_b; +initial stage_b = 1'b0; +always begin + #100 + if (!stage_b) begin + if (stim_index == 40) begin + if (!bug) $display ("DES pass"); + else $display ("DES mismatch"); + stage_b = 1'b1; + end + #100 + {key,plain,exp_c} = stim[stim_index]; + clk = 1'b0; + for (i=0; i="a"?(in-59):in>="A"?(in-53):in-"."); +endmodule + +//////////////////////////// + +module bin_to_ascii (in,out); +input [5:0] in; +output [7:0] out; +wire [7:0] out; +assign out = (in>=38?(in-38+"a"):in>=12?(in-12+"A"):in+"."); +endmodule + +//////////////////////////// + +module salt_to_bin (in,out); +input [15:0] in; +output [11:0] out; +wire [11:0] out; +ascii_to_bin x (.in(in[15:8]),.out(out[5:0]) ); +ascii_to_bin y (.in(in[7:0]),.out(out[11:6]) ); +endmodule + +//////////////////////////// + +module passwd_to_bin (in,out); +input [63:0] in; +output [63:0] out; +wire [63:0] out; +assign out = ((in & 64'h7f7f7f7f7f7f7f7f) << 1); +endmodule + +//////////////////////////// + +module des_to_string (in,out); +input [63:0] in; +output[87:0] out; +wire [87:0] out; + +genvar i; +generate +for (i=0;i<10;i=i+1) + begin:dtos + bin_to_ascii b( + .in(in[63-6*i:58-6*i]), + .out(out[87-8*i:80-8*i]) + ); + end +endgenerate +bin_to_ascii l (.in({in[3:0],2'b0}), + .out(out[7:0]) + ); +endmodule + +//////////////////////////// + +// unix password crypt function +// 25 round DES with 12 bit salt +// salt,pass,and out are ASCII string format. +// +// for use in a pipeline possible to feed in 16 strings, wait, read out 16 +// + +module passwd_crypt (clk,rst,salt,pass,out,super_round,des_round); +input clk,rst; +input [15:0] salt; +input [63:0] pass; +output [87:0] out; +output [4:0] super_round; // 0..24 full DES +output [3:0] des_round; // 0..15 des stage within a full round + +wire [4:0] super_round; +wire [3:0] des_round; + +wire [87:0] out; + +wire [63:0] key; +passwd_to_bin ptob (.in(pass),.out(key)); + +reg [8:0] cntr; +assign {super_round,des_round} = cntr; +always @(posedge clk or posedge rst) begin + if (rst) cntr <= 9'b0; + else begin + if (cntr == 9'b110001111) // super 24, round 15 + cntr <= 9'b0; + else + cntr <= cntr + 1'b1; + end +end + +wire [63:0] des_out; +wire [63:0] block_in; +wire [11:0] salt_bin; + +salt_to_bin stob (.in(salt),.out(salt_bin)); + +assign block_in = (cntr[8:4] == 5'b0 ? 64'b0 : des_out); +des d (.clk(clk),.rst(rst),.in(block_in), + .out(des_out),.key(key),.salt(salt_bin)); +defparam d .PIPE_16B = 1'b1; +defparam d .USE_SALT = 1'b1; + +des_to_string dtos (.in(des_out),.out(out)); + +endmodule + diff --git a/Advanced Synthesis Cookbook/crypto/rc4/rc4.cpp b/Advanced Synthesis Cookbook/crypto/rc4/rc4.cpp new file mode 100644 index 0000000..e3869e2 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/rc4/rc4.cpp @@ -0,0 +1,85 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-10-2006 +// RC4 test program +// algorithm taken from "Applied Cryptography" by Bruce Schneier + +#include + +int main (void) +{ + int i = 0, j = 0; + int sbox [256]; + int key [256]; + + // fixed key string + char *key_str = "gregg"; + + int tmp = 0; + int n = 0; + + // fill in the key array and init the sbox to 1234... + fprintf (stdout,"Key string is %s\n",key_str); + for (i=0; i<256; i++) + { + key[i] = key_str[i%5]; + sbox[i] = i; + } + + // key the system + j = 0; + for (i=0; i<256; i++) + { + j += sbox[i] + key[i]; + j = j % 256; + //fprintf (stdout,"Keying : swap %d and %d\n",i,j); + tmp = sbox[i]; + sbox[i] = sbox[j]; + sbox[j] = tmp; + } + + // generate some bytes ... + i = 0; + j = 0; + for (n=0; n<10000; n++) + { + // actual generate operation + i++; + i = i % 256; + j += sbox[i]; + j = j % 256; + tmp = sbox[i]; + sbox[i] = sbox[j]; + sbox[j] = tmp; + + // dump a few checkpoint values to look at for + // testing. + if ((n == 0) || (n == 99) || (n == 999) || (n == 9999)) + { + fprintf (stdout,"byte %d is %02x\n", + n+1,sbox[(sbox[i] + sbox[j]) % 256]); + } + } + + return (0); +} diff --git a/Advanced Synthesis Cookbook/crypto/rc4/rc4.v b/Advanced Synthesis Cookbook/crypto/rc4/rc4.v new file mode 100644 index 0000000..b529953 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/rc4/rc4.v @@ -0,0 +1,374 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-13-2006 +// RC4 stream +// algorithm taken from "Applied Cryptography" by Bruce Schneier + +//////////////////////////////////////////////////// +// dual port RAM helper, 256 words x 8 bits +//////////////////////////////////////////////////// +module sbox_ram ( + address_a, + address_b, + clock, + ena, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b +); + + input [7:0] address_a; + input [7:0] address_b; + input clock,ena; + input [7:0] data_a; + input [7:0] data_b; + input wren_a; + input wren_b; + output [7:0] q_a; + output [7:0] q_b; + + wire [7:0] sub_wire0; + wire [7:0] sub_wire1; + wire [7:0] q_a; + wire [7:0] q_b; + + altsyncram altsyncram_component ( + .wren_a (wren_a), + .clock0 (clock), + .wren_b (wren_b), + .address_a (address_a), + .address_b (address_b), + .data_a (data_a), + .data_b (data_b), + .q_a (q_a), + .q_b (q_b), + .aclr0 (1'b0), + .aclr1 (1'b0), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (ena), + .clocken1 (1'b1), + .rden_b (1'b1)); + defparam + altsyncram_component.address_reg_b = "CLOCK0", + altsyncram_component.clock_enable_input_a = "NORMAL", + altsyncram_component.clock_enable_input_b = "NORMAL", + altsyncram_component.clock_enable_output_a = "NORMAL", + altsyncram_component.clock_enable_output_b = "NORMAL", + altsyncram_component.indata_reg_b = "CLOCK0", + altsyncram_component.intended_device_family = "Stratix II", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 256, + altsyncram_component.numwords_b = 256, + altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_aclr_b = "NONE", + altsyncram_component.outdata_reg_a = "CLOCK0", + altsyncram_component.outdata_reg_b = "CLOCK0", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", + altsyncram_component.widthad_a = 8, + altsyncram_component.widthad_b = 8, + altsyncram_component.width_a = 8, + altsyncram_component.width_b = 8, + altsyncram_component.width_byteena_a = 1, + altsyncram_component.width_byteena_b = 1, + altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0"; + +endmodule + +//////////////////////////////////////////////////// +// stream generator - 1 byte per 4 cycles +//////////////////////////////////////////////////// +module rc4 (clk,ena,rst,load_key,key,dat_valid,dat); + +parameter KEY_BYTES = 5; + +input clk,ena,rst,load_key; +input [KEY_BYTES*8-1:0] key; + +output [7:0] dat; +output dat_valid; + +reg [7:0] dat; +reg dat_valid; + +reg [7:0] i,j; + +// state +parameter INIT_SCLR=1, INIT_SPIN=2, + STEP_READY=3,STEP_ONE=4,STEP_TWO=5,STEP_THREE=6,STEP_FOUR=7; +reg [2:0] state; +reg [2:0] next_state; + +////////////////////////// + +reg [7:0] address_a, address_b; +reg [7:0] data_a, data_b; +reg wren_a,wren_b; +wire [7:0] q_a, q_b; + +sbox_ram bx (.address_a(address_a),.address_b(address_b), + .clock(clk),.ena(ena),.data_a(data_a),.data_b(data_b), + .wren_a(wren_a),.wren_b(wren_b),.q_a(q_a),.q_b(q_b) +); + +////////////////////////// + +reg inc_i, sclr_i; +always @(posedge clk or posedge rst) begin + if (rst) i <= 8'b0; + else begin + if (ena) i <= ~{8{sclr_i}} & (i+inc_i); + end +end + +////////////////////////// + +reg [7:0] add_j; +reg sclr_j; +always @(posedge clk or posedge rst) begin + if (rst) j <= 8'b0; + else begin + if (ena) j <= ~{8{sclr_j}} & (j+add_j); + end +end + +////////////////////////// + +reg key_load,key_shft; +reg [KEY_BYTES*8-1:0] key_reg; +always @(posedge clk or posedge rst) begin + if (rst) + key_reg <= 0; + else begin + if (ena) begin + if (key_load) key_reg <= key; + + // rotate left one byte + else if (key_shft) key_reg <= + {key_reg[(KEY_BYTES-1)*8-1:0],key_reg[KEY_BYTES*8-1:(KEY_BYTES-1)*8]}; + end + end +end + +////////////////////////// + +reg out_idx_load, out_idx_accum; +reg [7:0] out_idx; +always @(posedge clk or posedge rst) begin + if (rst) + out_idx <= 0; + else begin + if (ena) begin + if (out_idx_load) out_idx <= q_a; + else if (out_idx_accum) out_idx <= out_idx + q_b; + end + end +end + +////////////////////////// + +reg keying; +reg start_keying,done_keying; +always @(posedge clk or posedge rst) begin + if (rst) keying <= 1'b0; + else begin + if (ena) keying <= (keying & !done_keying) | start_keying; + end +end + +////////////////////////// + +reg first_load; +reg load_dout; + +always @(posedge clk or posedge rst) begin + if (rst) begin + first_load <= 1'b0; + end + else begin + // after keying swallow the 1st output + // byte generated, it's an artifact. + if (ena) begin + if (keying) first_load <= 1'b1; + else if (load_dout) first_load <= 1'b0; + end + end +end + +////////////////////////// + +always @(posedge clk or posedge rst) begin + if (rst) begin + dat_valid <= 1'b0; + dat <= 0; + end + else begin + // manage the output byte and valid registers + if (ena) begin + if (load_dout && !first_load) begin + dat <= q_b; + dat_valid <= 1'b1; + end + else dat_valid <= 1'b0; + end + end +end + + +////////////////////////// + +// state registers +always @(posedge clk or posedge rst) begin + if (rst) state <= INIT_SCLR; + else if (ena) state <= next_state; +end + + +// next state and output decisions +always @(*) begin + + // control signal defaults + sclr_i = 0; + inc_i = 0; + sclr_j = 0; + add_j = 0; + + out_idx_load = 0; + out_idx_accum = 0; + load_dout = 0; + + start_keying = 0; + done_keying = 0; + key_load = 0; + key_shft = 0; + + wren_a = 0; + wren_b = 0; + + // these are really don't care when not specified. + address_a = 8'bx; + address_b = 8'bx; + data_a = 8'bx; + data_b = q_b; + + // from any state offer sync reset to the init state + if (load_key) begin + $display ("Reinit requested"); + next_state = INIT_SCLR; + end + // otherwise do the state machine... + else begin + case (state) + + // The INIT states cover RAM init to 0..255 + // the B port is idle. Could double up here. + INIT_SCLR : begin + next_state = INIT_SPIN; + start_keying = 1'b1; + sclr_i = 1'b1; + sclr_j = 1'b1; + end + INIT_SPIN : begin + // write 0..255 to memory 0..255 + // on port A + if (i == 255) next_state = STEP_READY; + else next_state = INIT_SPIN; + wren_a = 1'b1; + address_a = i; + data_a = i; + inc_i = 1'b1; + end + + // The STEP states cover keying and generation + STEP_READY : begin + $display ("Ready state. keying = %b",keying); + next_state = STEP_ONE; + key_load = keying; + sclr_j = 1'b1; + + // i is going to be 0 on entry. + // during generation we want 1, not 0. + inc_i = !keying; + + // ask for S[i] on A, correct it if it should be 1 + address_a = i | !keying; + end + STEP_ONE : begin + // ask for S[i] on A + // ask for the output byte (s[S[i]+S[j]]) on B + next_state = STEP_TWO; + address_a = i; + address_b = out_idx; + add_j <= keying ? key_reg[KEY_BYTES*8-1:(KEY_BYTES-1)*8] : 0; + key_shft = keying; + end + STEP_TWO : begin + // S[i] ready on A + // ask for j + S[i] aka S[j] on B + next_state = STEP_THREE; + address_b = j + q_a; + add_j = q_a; + end + STEP_THREE : begin + // S[i] still ready on A + // output byte ready on B + // write S[i] to loc j on B + next_state = STEP_FOUR; + wren_a = 1'b1; + address_a = j; + data_a = q_a; + + out_idx_load = 1'b1; + load_dout = !keying; + end + STEP_FOUR : begin + // S[j] ready on B + // write S[j] to loc i on B + wren_b = 1'b1; + data_b = q_b; + address_b = i; + + if (keying && i == 255) begin + next_state = STEP_READY; + done_keying = 1'b1; + end + else next_state = STEP_ONE; + + // ask for the next S[i] on A + address_a = i + 1; + inc_i = 1'b1; + out_idx_accum = 1'b1; + end + endcase + end +end +endmodule + diff --git a/Advanced Synthesis Cookbook/crypto/rc4/rc4_tb.v b/Advanced Synthesis Cookbook/crypto/rc4/rc4_tb.v new file mode 100644 index 0000000..3d8fd33 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/rc4/rc4_tb.v @@ -0,0 +1,133 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-17-2006 +// RC4 testbench +// tests the generator using a fixed key (matching the CPP file) +// and the synchronous reset capability +// +module rc4_tb (); + +reg clk,rst,load_key,ena; +wire [7:0] dat; +wire dat_valid; + +rc4 rs (.clk(clk),.ena(ena),.rst(rst),.dat(dat),.dat_valid(dat_valid), + .key("gregg"),.load_key(load_key)); + defparam rs .KEY_BYTES = 5; +integer i; + +reg fail = 0; + +initial begin + clk = 1'b0; + rst = 1'b0; + ena = 1'b1; + load_key = 0; + #10 rst = 1; + #10 rst = 0; + + $display ("Keying..."); + @(posedge dat_valid); + #10 + $display ("First byte generated : %x expected ee",dat); + if (dat != 8'hee) fail = 1'b1; + + for (i=2; i<101; i=i+1) + begin + @(posedge dat_valid); + end + #10 + $display ("100th byte generated : %x expected ab",dat); + if (dat != 8'hab) fail = 1'b1; + + for (i=101; i<1001; i=i+1) + begin + @(posedge dat_valid); + end + #10 + $display ("1000th byte generated : %x expected 90",dat); + if (dat != 8'h90) fail = 1'b1; + + for (i=1001; i<10001; i=i+1) + begin + @(posedge dat_valid); + end + #10 + $display ("10000th byte generated : %x expected 28",dat); + if (dat != 8'h28) fail = 1'b1; + + ///////////////////////////////// + // test the synchronous reset + ///////////////////////////////// + @(negedge clk); + #10 + load_key = 1; + ena = 1; + @(posedge clk); + @(negedge clk); + load_key = 0; + + $display ("Started ReKeying at time %d...",$time); + @(posedge dat_valid); + #10 + $display ("First byte generated : %x expected ee",dat); + if (dat != 8'hee) fail = 1'b1; + + for (i=2; i<101; i=i+1) + begin + @(posedge dat_valid); + end + #10 + $display ("100th byte generated : %x expected ab",dat); + if (dat != 8'hab) fail = 1'b1; + + for (i=101; i<1001; i=i+1) + begin + @(posedge dat_valid); + end + #10 + $display ("1000th byte generated : %x expected 90",dat); + if (dat != 8'h90) fail = 1'b1; + + + for (i=1001; i<10001; i=i+1) + begin + @(posedge dat_valid); + end + #10 + $display ("10000th byte generated : %x expected 28",dat); + + if (!fail) $display ("PASS"); + $stop(); +end + +// fiddle the enable randomly +always @(negedge clk) begin + ena = $random; +end + +always begin + #100 clk = ~clk; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/sha/delay_reg.v b/Advanced Synthesis Cookbook/crypto/sha/delay_reg.v new file mode 100644 index 0000000..2b9be3e --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/sha/delay_reg.v @@ -0,0 +1,104 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-13-2006 +module delay_reg ( + clock, + enable, + data_in, + data_out +); + +parameter DEPTH = 7; // Minimum legal DEPTH is 2 +parameter WIDTH = 64; + +// RAM info +parameter ADDR_WIDTH = 4; // 2^ADDR_WIDTH must be > DEPTH +parameter NUM_WORDS = 1 << ADDR_WIDTH; + +input clock,enable; +input [WIDTH-1:0] data_in; +output [WIDTH-1:0] data_out; + +reg [ADDR_WIDTH-1:0] pointer; +wire [ADDR_WIDTH-1:0] adv_pointer = pointer + (DEPTH - 2); // 2 is for RAM IO regs + +// this value does not matter, but must not be X +initial begin + pointer = 0; +end + +always @(posedge clock) begin + if (enable) pointer <= pointer + 1'b1; +end + +altsyncram altsyncram_component ( + .wren_a (1'b1), + .clock0 (clock), + .wren_b (1'b0), + .address_a (adv_pointer), + .address_b (pointer), + .data_a (data_in), + .data_b ({WIDTH{1'b0}}), + .q_a (), + .q_b (data_out), + .aclr0 (1'b0), + .aclr1 (1'b0), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (enable), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .eccstatus (), + .rden_a (1'b1), + .rden_b (1'b1)); + defparam + altsyncram_component.address_reg_b = "CLOCK0", + altsyncram_component.clock_enable_input_a = "NORMAL", + altsyncram_component.clock_enable_input_b = "NORMAL", + altsyncram_component.clock_enable_output_a = "NORMAL", + altsyncram_component.clock_enable_output_b = "NORMAL", + altsyncram_component.indata_reg_b = "CLOCK0", + altsyncram_component.intended_device_family = "Stratix II", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = NUM_WORDS, + altsyncram_component.numwords_b = NUM_WORDS, + altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_aclr_b = "NONE", + altsyncram_component.outdata_reg_a = "CLOCK0", + altsyncram_component.outdata_reg_b = "CLOCK0", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", + altsyncram_component.widthad_a = ADDR_WIDTH, + altsyncram_component.widthad_b = ADDR_WIDTH, + altsyncram_component.width_a = WIDTH, + altsyncram_component.width_b = WIDTH, + altsyncram_component.width_byteena_a = 1, + altsyncram_component.width_byteena_b = 1, + altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0"; + +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/sha/delay_reg_tb.v b/Advanced Synthesis Cookbook/crypto/sha/delay_reg_tb.v new file mode 100644 index 0000000..88b7a96 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/sha/delay_reg_tb.v @@ -0,0 +1,83 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module delay_reg_tb (); + +parameter DEPTH = 5; +parameter WIDTH = 64; + +reg clock,enable; +reg [WIDTH-1:0] data_in; +wire [WIDTH-1:0] data_out; + +///////////////////// +// test unit +///////////////////// +delay_reg dut ( + .clock (clock), + .enable (enable), + .data_in (data_in), + .data_out (data_out) +); +defparam dut .DEPTH = DEPTH; +defparam dut .WIDTH = WIDTH; + +///////////////////// +// reference unit +///////////////////// +reg [DEPTH*WIDTH-1:0] comp_reg; +always @(posedge clock) begin + if (enable) comp_reg <= (comp_reg << WIDTH) | data_in; +end + +///////////////////// +// stim +///////////////////// +initial begin + clock = 1'b0; + data_in = 0; + enable = 1'b1; +end + +always begin + #100 clock = ~clock; +end + +always @(negedge clock) begin + data_in = {$random,$random}; +end + +reg fail = 0; +always @(posedge clock) begin + #10 if (comp_reg[DEPTH*WIDTH-1:DEPTH*WIDTH-WIDTH] !== data_out) begin + $display ("Disagreement at time %d",$time); + fail = 1'b1; + end +end + +initial begin + #1000000 + if (!fail) $display("PASS"); + $stop(); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/sha/log2.inc b/Advanced Synthesis Cookbook/crypto/sha/log2.inc new file mode 100644 index 0000000..20309bd --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/sha/log2.inc @@ -0,0 +1,41 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-12-2006 +// helper function to compute LOG base 2 +// +// NOTE - This is a somewhat abusive definition of LOG2(v) as the +// number of bits required to represent "v". So log2(256) will be +// 9 rather than 8 (256 = 9'b1_0000_0000). I apologize for any +// confusion this may cause. +// + +function integer log2; + input integer val; + begin + log2 = 0; + while (val > 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/crypto/sha/sha384_tb.v b/Advanced Synthesis Cookbook/crypto/sha/sha384_tb.v new file mode 100644 index 0000000..5972a21 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/sha/sha384_tb.v @@ -0,0 +1,148 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-14-2006 +// from appendix d2, SHA 384 example + +module sha384_tb (); + +reg clk,reset,new_msg,msg_complete; +wire msg_word_ack; + +reg [63:0] msg_word; +wire [511:0] hash_out; +wire hash_ready; + +sha512 dut +( + .clk(clk), + .reset(reset), + .new_msg(new_msg), + .msg_complete(msg_complete), + .msg_word(msg_word), + .msg_word_ack(msg_word_ack), + .msg_word_valid(1'b1), + .hash_out(hash_out), + .hash_ready(hash_ready) +); +defparam dut .HASH_SIZE = 384; + +wire [895:0] test_str = {"abcdefghbcdefghicdefghijdefghijkefghijklfghijklmghijklmn", + "hijklmnoijklmnopjklmnopqklmnopqrlmnopqrsmnopqrstnopqrstu"}; + +wire [1151:0] padding = {1'b1,127'b0, + 896'b0,64'h0,64'h380}; + +reg [2047:0] test_data; + +integer n; + +reg last_msg_word_ack; +always @(posedge clk) begin + last_msg_word_ack <= msg_word_ack; +end + +// sanity check that the message burn rate is correct +// should be 16 per message block +reg [7:0] reqs; +always @(posedge clk) begin + if (reset) reqs <= 0; + else if (msg_word_ack) reqs <= reqs + 1'b1; +end + +initial begin + #1 + clk = 1'b0; + reset = 1'b1; + new_msg = 1'b0; + msg_complete = 1'b0; + msg_word = 0; + test_data = {test_str,padding}; + msg_word = test_data[2047:2047-63]; + + @(posedge clk); + @(negedge clk); + reset = 1'b0; + new_msg = 1'b1; + + n = 0; + while (n<=32) + begin + if (n == 17) begin + if (hash_out !== { + 64'h8f2ebe9a81e6a2c5, + 64'h37eb9a6660feb519, + 64'h17b61a85e2ccf0a9, + 64'hc662113e9ebb4d64, + 64'hf6352ca156acaff7, + 64'h015a2173796c1a88, + 64'heaae96d1a673c741, + 64'h2a7f1d895fd58e0b }) + begin + $display ("Hash of the 1st block is incorrect"); + $stop(); + end + else begin + $display ("Hash of 1st block matches expected value"); + end + end + + + @(negedge clk); + new_msg = 1'b0; + #1 + if (last_msg_word_ack) begin + test_data = test_data << 64; + n = n + 1; + end + #1 msg_word = test_data[2047:2047-63]; + end + msg_complete = 1'b1; + + if (hash_out !== { + 64'hff334559a7135d3a, + 64'h1e9f1f7449ad1749, + 64'h66c3e9fa91746039, + 64'hfcc7c71a557e2db9, + 64'h2fa08086e3b0f712, + 64'h53111b173b3b05d2, + 64'h3d192fc782cd1b47, + 64'h09330c33f71147e8}) + begin + $display ("Hash of the 2nd block is incorrect"); + $stop(); + end + else begin + $display ("Hash of 2nd block matches expected value"); + end + + @(negedge clk); + @(negedge clk); + $display ("PASS"); + $stop(); +end + +always begin + #100 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/sha/sha512.v b/Advanced Synthesis Cookbook/crypto/sha/sha512.v new file mode 100644 index 0000000..04c165e --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/sha/sha512.v @@ -0,0 +1,587 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-13-2006 +// straight from FIPS 180 + +/////////////////////////////////////// + +module big_sigma_0_512 (x,result); +input [63:0] x; +output [63:0] result; + +wire [63:0] ror28,ror34,ror39; + + assign ror28 = {x[28-1:0],x[63:28]}; + assign ror34 = {x[34-1:0],x[63:34]}; + assign ror39 = {x[39-1:0],x[63:39]}; + assign result = ror28 ^ ror34 ^ ror39; + +endmodule + +/////////////////////////////////////// + +module big_sigma_1_512 (x,result); +input [63:0] x; +output [63:0] result; + +wire [63:0] ror14,ror18,ror41; + + assign ror14 = {x[14-1:0],x[63:14]}; + assign ror18 = {x[18-1:0],x[63:18]}; + assign ror41 = {x[41-1:0],x[63:41]}; + assign result = ror14 ^ ror18 ^ ror41; + +endmodule + +/////////////////////////////////////// + +module little_sigma_0_512 (x,result); +input [63:0] x; +output [63:0] result; + +wire [63:0] ror1,ror8,shr7; + + assign ror1 = {x[1-1:0],x[63:1]}; + assign ror8 = {x[8-1:0],x[63:8]}; + assign shr7 = {7'b0,x[63:7]}; + assign result = ror1 ^ ror8 ^ shr7; + +endmodule + +/////////////////////////////////////// + +module little_sigma_1_512 (x,result); +input [63:0] x; +output [63:0] result; + +wire [63:0] ror19,ror61,shr6; + + assign ror19 = {x[19-1:0],x[63:19]}; + assign ror61 = {x[61-1:0],x[63:61]}; + assign shr6 = {6'b0,x[63:6]}; + assign result = ror19 ^ ror61 ^ shr6; + +endmodule + +/////////////////////////////////////// + +module fn_ch (x,y,z,result); +input [63:0] x,y,z; +output [63:0] result; + assign result = (x & y) ^ (~x & z); +endmodule + +/////////////////////////////////////// + +module fn_maj (x,y,z,result); +input [63:0] x,y,z; +output [63:0] result; + assign result = (x & y) ^ (x & z) ^ (y & z); +endmodule + +/////////////////////////////////////// + +// this costs about 192 luts, depth 2 in raw SII cells +module k_table (idx,k); +input [6:0] idx; +output [63:0] k; +reg [63:0] k; + + always @(*) begin + case (idx) + 0 : k=64'h428a2f98d728ae22 ; + 1 : k=64'h7137449123ef65cd ; + 2 : k=64'hb5c0fbcfec4d3b2f ; + 3 : k=64'he9b5dba58189dbbc ; + 4 : k=64'h3956c25bf348b538 ; + 5 : k=64'h59f111f1b605d019 ; + 6 : k=64'h923f82a4af194f9b ; + 7 : k=64'hab1c5ed5da6d8118 ; + 8 : k=64'hd807aa98a3030242 ; + 9 : k=64'h12835b0145706fbe ; + 10 : k=64'h243185be4ee4b28c ; + 11 : k=64'h550c7dc3d5ffb4e2 ; + 12 : k=64'h72be5d74f27b896f ; + 13 : k=64'h80deb1fe3b1696b1 ; + 14 : k=64'h9bdc06a725c71235 ; + 15 : k=64'hc19bf174cf692694 ; + 16 : k=64'he49b69c19ef14ad2 ; + 17 : k=64'hefbe4786384f25e3 ; + 18 : k=64'h0fc19dc68b8cd5b5 ; + 19 : k=64'h240ca1cc77ac9c65 ; + 20 : k=64'h2de92c6f592b0275 ; + 21 : k=64'h4a7484aa6ea6e483 ; + 22 : k=64'h5cb0a9dcbd41fbd4 ; + 23 : k=64'h76f988da831153b5 ; + 24 : k=64'h983e5152ee66dfab ; + 25 : k=64'ha831c66d2db43210 ; + 26 : k=64'hb00327c898fb213f ; + 27 : k=64'hbf597fc7beef0ee4 ; + 28 : k=64'hc6e00bf33da88fc2 ; + 29 : k=64'hd5a79147930aa725 ; + 30 : k=64'h06ca6351e003826f ; + 31 : k=64'h142929670a0e6e70 ; + 32 : k=64'h27b70a8546d22ffc ; + 33 : k=64'h2e1b21385c26c926 ; + 34 : k=64'h4d2c6dfc5ac42aed ; + 35 : k=64'h53380d139d95b3df ; + 36 : k=64'h650a73548baf63de ; + 37 : k=64'h766a0abb3c77b2a8 ; + 38 : k=64'h81c2c92e47edaee6 ; + 39 : k=64'h92722c851482353b ; + 40 : k=64'ha2bfe8a14cf10364 ; + 41 : k=64'ha81a664bbc423001 ; + 42 : k=64'hc24b8b70d0f89791 ; + 43 : k=64'hc76c51a30654be30 ; + 44 : k=64'hd192e819d6ef5218 ; + 45 : k=64'hd69906245565a910 ; + 46 : k=64'hf40e35855771202a ; + 47 : k=64'h106aa07032bbd1b8 ; + 48 : k=64'h19a4c116b8d2d0c8 ; + 49 : k=64'h1e376c085141ab53 ; + 50 : k=64'h2748774cdf8eeb99 ; + 51 : k=64'h34b0bcb5e19b48a8 ; + 52 : k=64'h391c0cb3c5c95a63 ; + 53 : k=64'h4ed8aa4ae3418acb ; + 54 : k=64'h5b9cca4f7763e373 ; + 55 : k=64'h682e6ff3d6b2b8a3 ; + 56 : k=64'h748f82ee5defb2fc ; + 57 : k=64'h78a5636f43172f60 ; + 58 : k=64'h84c87814a1f0ab72 ; + 59 : k=64'h8cc702081a6439ec ; + 60 : k=64'h90befffa23631e28 ; + 61 : k=64'ha4506cebde82bde9 ; + 62 : k=64'hbef9a3f7b2c67915 ; + 63 : k=64'hc67178f2e372532b ; + 64 : k=64'hca273eceea26619c ; + 65 : k=64'hd186b8c721c0c207 ; + 66 : k=64'heada7dd6cde0eb1e ; + 67 : k=64'hf57d4f7fee6ed178 ; + 68 : k=64'h06f067aa72176fba ; + 69 : k=64'h0a637dc5a2c898a6 ; + 70 : k=64'h113f9804bef90dae ; + 71 : k=64'h1b710b35131c471b ; + 72 : k=64'h28db77f523047d84 ; + 73 : k=64'h32caab7b40c72493 ; + 74 : k=64'h3c9ebe0a15c9bebc ; + 75 : k=64'h431d67c49c100d4c ; + 76 : k=64'h4cc5d4becb3e42b6 ; + 77 : k=64'h597f299cfc657e2a ; + 78 : k=64'h5fcb6fab3ad6faec ; + 79 : k=64'h6c44198c4a475817 ; + default : k = 64'h0; + endcase + end +endmodule + +/////////////////////////////////////// + +module h_register (clk,reset,evolve,in,out,out_comb); + +parameter HASH_SIZE = 384; // allowable 384,512 + +input [8*64-1:0] in; +output [8*64-1:0] out; +output [8*64-1:0] out_comb; +input clk,reset,evolve; + + generate + initial begin + if (HASH_SIZE !== 384 && HASH_SIZE !== 512) + begin + $display ("Unsupported size %d",HASH_SIZE); + $stop(); + end + end + endgenerate + + genvar i; + reg [8*64-1:0] h_reg; + reg [8*64-1:0] h_comb; + + assign out = h_reg; + assign out_comb = h_comb; + + wire [511:0] init_val; + generate + if (HASH_SIZE == 384) + assign init_val = { + 64'h47b5481dbefa4fa4, + 64'hdb0c2e0d64f98fa7, + 64'h8eb44a8768581511, + 64'h67332667ffc00b31, + 64'h152fecd8f70e5939, + 64'h9159015a3070dd17, + 64'h629a292a367cd507, + 64'hcbbb9d5dc1059ed8}; + else + assign init_val = { + 64'h5be0cd19137e2179, + 64'h1f83d9abfb41bd6b, + 64'h9b05688c2b3e6c1f, + 64'h510e527fade682d1, + 64'ha54ff53a5f1d36f1, + 64'h3c6ef372fe94f82b, + 64'hbb67ae8584caa73b, + 64'h6a09e667f3bcc908}; + endgenerate + + // order is h7 (MS end) .. h0 (LS end) + + generate + for (i=0; i<8; i=i+1) + begin : hrlp + always @(*) begin + if (reset) begin + h_comb [64*i+63:64*i] = init_val[64*i+63:64*i]; + end + else begin + h_comb [64*i+63:64*i] = h_reg[64*i+63:64*i] + in[64*i+63:64*i]; + end + end + end + endgenerate + + always @(posedge clk) begin + if (reset | evolve) h_reg <= h_comb; + end + +endmodule + +/////////////////////////////////////// + +module msg_schedule_reg ( + clk,new_msg, + word_ack,m_in, + next_w,w_out, + msg_word_valid,enable_out +); + +input clk,new_msg,next_w; +input [63:0] m_in; +input msg_word_valid; +output [63:0] w_out; +output word_ack; +output enable_out; + + reg [63:0] w_out; + reg [63:0] w_tm1,w_tm2,w_tm15; + wire [63:0] w_tm6,w_tm14; + + reg cntr_max; + reg [6:0] cntr; + + wire enable_out; + + // I want a message word. + wire internal_ack = (next_w & (cntr <= 15)); + + // If I want a msg word, and msg_word_valid is asserted + // then we need to stall the rest of the system. + assign enable_out = !internal_ack | msg_word_valid; + assign word_ack = internal_ack & msg_word_valid; + + /////////////////// + // Hybrid RAM / Reg shift regiter - 64bit x 16 + always @(posedge clk) begin + if (next_w & enable_out) begin + w_tm1 <= w_out; + w_tm2 <= w_tm1; + w_tm15 <= w_tm14; + end + end + + delay_reg dr0 ( + .clock(clk), + .enable(next_w & enable_out), + .data_in(w_tm2), + .data_out(w_tm6) + ); + defparam dr0 .DEPTH = 4; + defparam dr0 .WIDTH = 64; + + delay_reg dr1 ( + .clock(clk), + .enable(next_w & enable_out), + .data_in(w_tm6), + .data_out(w_tm14) + ); + defparam dr1 .DEPTH = 8; + defparam dr1 .WIDTH = 64; + + ///////////////// + // + always @(posedge clk) begin + if (new_msg) begin + cntr <= 7'b0; + cntr_max <= 1'b0; + end + else if (enable_out) begin + cntr_max <= (cntr == 7'd79); // count should be 0 to 80 continuous + if (cntr_max) cntr <= 7'b0; + else cntr <= cntr + 1'b1; + end + end + + ///////////////// + // computation on the taps + // these are shifted a bit from the spec tap #'s due + // to latency + + wire [63:0] w_val,w_valx,w_valy; + little_sigma_1_512 lsx (.x(w_tm1),.result(w_valx)); + little_sigma_0_512 lsy (.x(w_tm14),.result(w_valy)); + + always @(posedge clk) begin + if (next_w & enable_out) begin + if (cntr_max || cntr < 16) w_out <= m_in; + else w_out <= w_valx + w_valy + w_tm6 + w_tm15; + end + end + +endmodule + + +/////////////////////////////////////// + +// bit order is {h,g,f,e,d,c,b,a}; + +module ab_register (clk,load,evolve,in,out,round_k,round_w); + +input [8*64-1:0] in; +output [8*64-1:0] out; +input clk,load,evolve; +input [63:0] round_k,round_w; + + wire [63:0] t1, t2; + reg [63:0] a,b,c,d,e,f,g,h; + + assign out = {h,g,f,e,d,c,b,a}; + + // knock out T1 pieces + wire [63:0] t1_ch,t1_sig,t1_round,t1_fns; + big_sigma_1_512 t1sig (.x(e),.result(t1_sig)); + fn_ch t1ch (.x(e),.y(f),.z(g),.result(t1_ch)); + // t1 = h + (t1_sig + t1_ch) + (round_k + round_w); + assign t1_round = round_k + round_w; + assign t1_fns = t1_sig + t1_ch; // this part is critical + + // knock out T2 + wire [63:0] t2_sig,t2_maj; + big_sigma_0_512 t2sig (.x(a),.result(t2_sig)); + fn_maj t2maj (.x(a),.y(b),.z(c),.result(t2_maj)); + assign t2 = t2_sig + t2_maj; + + always @(posedge clk) begin + if (load) begin + {h,g,f,e,d,c,b,a} <= in; + end + else if (evolve) begin + h <= g; + g <= f; + f <= e; + e <= t1_fns + ((d + h) + t1_round); // get the critical part forward + d <= c; + c <= b; + b <= a; + a <= (t1_round + t1_fns) + (h + t2); + end + end +endmodule + +/////////////////////////////////////// + +// new_msg is asserted to start running a fresh message +// complete indicates no more message blocks available +// msg_word must be advanced in immediate response to ack + +// hash_out is { h7,6,5,4,3,2,1,h0 } + +module sha512 (clk,reset, + new_msg,msg_complete, + msg_word,msg_word_ack,msg_word_valid, + hash_out,hash_ready); + +parameter HASH_SIZE = 512; + // allowable sizes 384 and 512 + // this changes the value used to initialize the hash register + // discard output bits [511:384] when using SHA 384 mode. + +input clk,reset,new_msg,msg_complete,msg_word_valid; +input [63:0] msg_word; +output [511:0] hash_out; +output msg_word_ack,hash_ready; + + reg[6:0] round, round_plus_one /* synthesis preserve */; + reg last_round; + + reg last_new_msg; + always @(posedge clk) begin + if (reset) last_new_msg = 1'b0; + else last_new_msg <= new_msg; + end + + wire [63:0] round_w, next_round_k; + reg [63:0] round_k /* synthesis preserve */; + wire [8*64-1:0] h_reg_comb, ab_reg; + + reg h_reset,evolve_h; + reg clear_round,next_round; + reg load_ab_reg,evolve_ab; + reg next_w; + reg hash_ready; + + // this enables evolution - generated by message availability + wire enable; + + // generate K series + k_table kt (.idx(round_plus_one),.k(next_round_k)); + always @(posedge clk) begin + if (enable) round_k <= next_round_k; + end + + // generate W series + msg_schedule_reg sched ( + .clk(clk), + .new_msg(new_msg), + .word_ack(msg_word_ack), + .m_in(msg_word), + .next_w(next_w), + .w_out(round_w), + .msg_word_valid(msg_word_valid), + .enable_out(enable) + ); + + // the hash register + h_register hreg ( + .clk(clk), + .reset(h_reset), + .evolve(evolve_h & enable), + .in(ab_reg), + .out(hash_out), + .out_comb(h_reg_comb) + ); + defparam hreg .HASH_SIZE = HASH_SIZE; + + // the working abcdefgh register + ab_register abreg ( + .clk(clk), + .load(load_ab_reg & enable), + .evolve(evolve_ab & enable), + .in(h_reg_comb), + .out(ab_reg), + .round_k(round_k), + .round_w(round_w) + ); + + // leading round counter for K computation + always @(posedge clk) begin + if (enable) begin + if (reset | new_msg) round_plus_one <= 7'b0; + else if (last_round) round_plus_one <= 7'b0; + else round_plus_one <= round_plus_one + 1'b1; + end + end + + // round counter sweeps 0..80 inclusive (80 is evolve time) + always @(posedge clk) begin + if (enable) begin + if (clear_round) begin + round <= 0; + last_round <= 0; + end + else if (next_round) begin + round <= round + 1'b1; + last_round <= (round == 7'd78); + end + end + end + + // little state machine control + reg [1:0] state,next_state; + parameter IDLE = 0, EVOLVE_H = 1, EVOLVE_AB = 2; + + always @(*) begin + + // defaults + h_reset = 1'b0; + evolve_h = 1'b0; + clear_round = 1'b0; + load_ab_reg = 1'b0; + next_round = 1'b0; + evolve_ab = 1'b0; + next_w = 1'b0; + next_state = state; + hash_ready = 1'b0; + + case (state) + IDLE : begin + if (new_msg) next_state = EVOLVE_H; + hash_ready = 1'b1; + end + EVOLVE_H : begin // 1 tick + if (last_new_msg) begin + h_reset = 1'b1; + end else begin + evolve_h = 1'b1; + end + clear_round = 1'b1; + load_ab_reg = 1'b1; + if (msg_complete) next_state = IDLE; + else begin + next_w = 1'b1; + next_state = EVOLVE_AB; + end + end + EVOLVE_AB : begin // 80 ticks + next_round = 1'b1; + evolve_ab = 1'b1; + if (last_round) next_state = EVOLVE_H; + else next_w = 1'b1; + end + endcase + end + + always @(posedge clk) begin + if (reset) state = IDLE; + else if (enable) state <= next_state; + end + + +// synthesis translate_off + +// Mimic FIPS example D2 printout +wire [63:0] ta,tb,tc,td,te,tf,tg,th; +assign {th,tg,tf,te,td,tc,tb,ta} = ab_reg; +always @(posedge clk) begin + #10 if (round >= 1) begin + $display ("t=%d : %x - %x - %x - %x", + round - 1, ta,tb,tc,td); + $display ("t=%d : %x - %x - %x - %x", + round - 1, te,tf,tg,th); + end +end + +// synthesis translate_on + +endmodule diff --git a/Advanced Synthesis Cookbook/crypto/sha/sha512_tb.v b/Advanced Synthesis Cookbook/crypto/sha/sha512_tb.v new file mode 100644 index 0000000..e2187e2 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/sha/sha512_tb.v @@ -0,0 +1,171 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-15-2006 +// from appendix c2, SHA 512 example + +module sha512_tb (); + +reg clk,reset,new_msg,msg_complete,msg_word_valid; +wire msg_word_ack; + +reg [63:0] msg_word; +wire [511:0] hash_out; +wire hash_ready; + +sha512 dut +( + .clk(clk), + .reset(reset), + .new_msg(new_msg), + .msg_complete(msg_complete), + .msg_word(msg_word), + .msg_word_ack(msg_word_ack), + .msg_word_valid(msg_word_valid), + .hash_out(hash_out), + .hash_ready(hash_ready) +); +defparam dut .HASH_SIZE = 512; + +wire [895:0] test_str = {"abcdefghbcdefghicdefghijdefghijkefghijklfghijklmghijklmn", + "hijklmnoijklmnopjklmnopqklmnopqrlmnopqrsmnopqrstnopqrstu"}; + +wire [1151:0] padding = {1'b1,127'b0, + 896'b0,64'h0,64'h380}; + +reg [2047:0] test_data; + +integer n; + +reg last_msg_word_ack; +always @(posedge clk) begin + last_msg_word_ack <= msg_word_ack; +end + +// sanity check that the message burn rate is correct +// should be 16 per message block +reg [7:0] reqs; +always @(posedge clk) begin + if (reset) reqs <= 0; + else if (msg_word_ack) reqs <= reqs + 1'b1; +end + +// disable the message briefly when the message words aren't being +// used. Should have no-effect +initial begin + #6000 @(negedge clk); + msg_word_valid = 1'b0; + @(negedge clk); + @(negedge clk); + msg_word_valid = 1'b1; +end + +initial begin + #1 + clk = 1'b0; + reset = 1'b1; + new_msg = 1'b0; + msg_complete = 1'b0; + msg_word = 0; + test_data = {test_str,padding}; + msg_word = test_data[2047:2047-63]; + msg_word_valid = 1'b1; + + @(posedge clk); + @(negedge clk); + reset = 1'b0; + new_msg = 1'b1; + + n = 0; + while (n<=32) + begin + if (n == 6) begin + // pretend to stall the availability of message words here + msg_word_valid = 1'b0; + @(posedge clk); + @(negedge clk); + @(posedge clk); + @(negedge clk); + msg_word_valid = 1'b1; + end + + if (n == 17) begin + if (hash_out !== { + 64'h06add5b50e671c72, + 64'h00ec057f37d14b8e, + 64'ha260144709736920, + 64'hd787d6764b20bda2, + 64'h6ef8b71d2f810585, + 64'h0186bf199f30aa95, + 64'hcd4b05938bae5e89, + 64'h4319017a2b706e69 + }) + begin + $display ("Hash of the 1st block is incorrect"); + $stop(); + end + else begin + $display ("Hash of 1st block matches expected value"); + end + end + + + @(negedge clk); + new_msg = 1'b0; + #1 + if (last_msg_word_ack) begin + test_data = test_data << 64; + n = n + 1; + end + #1 msg_word = test_data[2047:2047-63]; + end + msg_complete = 1'b1; + + if (hash_out !== { + 64'h5e96e55b874be909, + 64'hc7d329eeb6dd2654, + 64'h331b99dec4b5433a, + 64'h501d289e4900f7e4, + 64'h7299aeadb6889018, + 64'h8f7779c6eb9f7fa1, + 64'h8cf4f72814fc143f, + 64'h8e959b75dae313da + }) + begin + $display ("Hash of the 2nd block is incorrect"); + $stop(); + end + else begin + $display ("Hash of 2nd block matches expected value"); + end + + @(negedge clk); + @(negedge clk); + $display ("PASS"); + $stop(); +end + +always begin + #100 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/crypto/sha/sha_padding.v b/Advanced Synthesis Cookbook/crypto/sha/sha_padding.v new file mode 100644 index 0000000..79ab2b7 --- /dev/null +++ b/Advanced Synthesis Cookbook/crypto/sha/sha_padding.v @@ -0,0 +1,103 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 3-15-2006 + +module sha_padding ( + clk, + reset, + word_in, + word_in_bits, + word_out, + msg_complete, + next_word +); + +`include "log2.inc" + +parameter WORD_SIZE = 64; +parameter LOG_WORD = log2(WORD_SIZE-1); +parameter WPM = 1024 / WORD_SIZE; // words per message block +parameter LOG_WPM = log2(WPM-1); + +input clk,reset; +input [WORD_SIZE-1:0] word_in; +input [LOG_WORD:0] word_in_bits; // one bit too many, for full blocks +wire word_in_full = word_in_bits[LOG_WORD]; + +output [WORD_SIZE-1:0] word_out; +input next_word; +output msg_complete; + +reg [2*WORD_SIZE-1:0] user_bits; +reg [LOG_WPM-1:0] words; + +// status flags for the postamble +reg msg_size_h, msg_size_l, finishing; + +always @(posedge clk) begin + if (reset) begin + user_bits <= 0; + words <= 0; + msg_size_h <= 1'b0; + msg_size_l <= 1'b0; + finishing <= 1'b0; + end + else if (next_word) begin + user_bits <= user_bits + word_in_bits; + words <= words + 1'b1; + if (!word_in_full) begin + finishing <= 1'b1; + if (words == (WPM-3)) msg_size_h <= 1'b1; + end + msg_size_l <= msg_size_h; + end +end + +reg [WORD_SIZE:0] one_pos; +always @(*) begin + one_pos = 0; + one_pos[WORD_SIZE-1-word_in_bits] = 1'b1; +end + +// generate the word with 1000 ... at the end if appro +wire [WORD_SIZE-1:0] used /* synthesis keep */; +wire [WORD_SIZE-1:0] masked_word; +genvar i; +generate + for (i=0; i> 2; + +input [WIDTH-1:0] in; +output [8*NYBBLES-1:0] out; + +wire [PADDED_WIDTH-1:0] padded_in = {{PAD_BITS {1'b0}},in}; + +genvar i; +generate + for (i=0; i 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/debug/quad_stream_grabber.v b/Advanced Synthesis Cookbook/debug/quad_stream_grabber.v new file mode 100644 index 0000000..da85bbd --- /dev/null +++ b/Advanced Synthesis Cookbook/debug/quad_stream_grabber.v @@ -0,0 +1,211 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module quad_stream_grabber #( + parameter DAT_WIDTH = 72, // multiple of 8 + parameter ADDR_BITS = 8 // depth of the sample memory +) +( + // streams to observe - independently clocked + input [3:0] clk_str, arst_str, + input [DAT_WIDTH*4-1:0] data_in, + input [3:0] data_in_valid, + + // system clk / ctrl + input clk_sys, arst_sys, + input start_harvest, + + // combined output + output [7:0] dout, + input dout_ready, + output dout_valid, + output reporting +); + +localparam NUM_STREAMS = 4; + +wire [NUM_STREAMS*8-1:0] byte_out_str ; +wire [NUM_STREAMS-1:0] byte_out_ready_str, byte_out_valid_str; +wire [NUM_STREAMS*8-1:0] rdata_sys; +wire [NUM_STREAMS-1:0] rdata_ready_sys, rdata_valid_sys; +wire [NUM_STREAMS-1:0] start_harvest_str; + +// register the harvest start signal on sys domain +reg start_harvest_r /* synthesis preserve */; +always @(posedge clk_sys or posedge arst_sys) begin + if (arst_sys) start_harvest_r <= 0; + else start_harvest_r <= start_harvest; +end + +wire [NUM_STREAMS-1:0] reporting_str,reporting_sys; +reg [NUM_STREAMS-1:0] reporting_str_r; + +genvar i; +generate + for (i=0;i +#include +#include "read_c_probe.h" + +#define C_PROBE_ID 0x30 + +//////////////////////////////////////////////////////////////////// + +int jtag_init +( + char *preferred_hardware, + char *preferred_server, + char *preferred_port, + char *preferred_device, + int preferred_node_id, + int preferrred_instance, + char *appname, + int print_scan, + type_jtagnode *node +) +{ + AJI_ERROR err; + int i,j,k; + ULONG hardware_count = 0x10; + AJI_HARDWARE hardware_list[0x10]; + int hardware_id = 0; + ULONG device_count = 0x10; + ULONG node_count = 0x10; + AJI_DEVICE device_list[0x10]; + DWORD node_n = 1; + int foundlink = -1; + DWORD idcodes[0x10]; + DWORD device_index; + int match = 0; + ULONG node_info; + + + node->id = 0; + node->offset = 0; + node->data_length = 0; + node->hub_id = 0; + err = aji_get_hardware(&hardware_count, hardware_list, 5000); + if (err) { + printf("\naji_get_hardware(), err=%d ",err); + return JTAGLINK_ERR_BAD_HARDWARE; + } + if (print_scan) { + printf("\nscanning jtag ..."); + } + for (i=0; i<(int)hardware_count; i++) { + if (print_scan) { + if (hardware_list[i].server) printf("\n %s on %s [%s]", hardware_list[i].hw_name, hardware_list[i].server, hardware_list[i].port ); + else printf("\n %s [%s]", hardware_list[i].hw_name, hardware_list[i].port ); + } + err = aji_lock_chain(hardware_list[i].chain_id, 10000); + if (err) { + printf("\naji_lock_chain(), err=%d",err); + } + else { + device_count = 0x10; + err = aji_read_device_chain(hardware_list[i].chain_id, &device_count, device_list, 1); + if (err) { + if (err != AJI_BAD_JTAG_CHAIN) printf("\naji_read_device_chain(), err=%d ",err); + } else { + for (j=0; j<(int)device_count; j++) { + if (print_scan) { + printf("\n %s, (%08x)", device_list[j].device_name,device_list[j].device_id); + } + + //get number of nodes + node_count = 0x10; + err = aji_get_nodes(hardware_list[i].chain_id, j, &idcodes[0], &node_count); + if (err) { + printf("\naji_get_nodes(), err=%d ",err); + err = aji_unlock_chain(hardware_list[i].chain_id); + return JTAGLINK_ERR_BAD_NODEINFO; + } + for (k=0; k<(int)node_count; k++) { + err = aji_open_node(hardware_list[i].chain_id, j, idcodes[k], (AJI_OPEN_ID *)(&node->id), alt_jtaglib_claims, sizeof(alt_jtaglib_claims)/sizeof(alt_jtaglib_claims[0]), appname); + if (err == AJI_CHAINS_CLAIMED) { + //in use ... + } else { + if (err) { + printf("\naji_open_node(), err=%d, aji_node_id=%x",err,node->id); + err = aji_unlock_chain(hardware_list[i].chain_id); + return JTAGLINK_ERR_BAD_NODES; + } + err = aji_get_node_info((AJI_OPEN_ID)node->id, &device_index, (ULONG *)&node->info); + if (err) { + printf("\naji_get_nodes(), err=%d ",err); + err = aji_close_device((AJI_OPEN_ID)node->id); + err = aji_unlock_chain(hardware_list[i].chain_id); + return JTAGLINK_ERR_BAD_NODEINFO; + } + node_info = *(ULONG *)&node->info; + node->info.inst_id = (UCHAR)(node_info >> 0) & 0xff; + node->info.mfg_id = (USHORT)(node_info >> 8) & 0x7ff; + node->info.node_id = (UCHAR)(node_info >> 19) & 0xff; + node->info.version = (UCHAR)(node_info >> 27) & 0x1f; + if (print_scan) { + printf("\n %02x:%02x:%02x:%02x",node->info.mfg_id,node->info.node_id,node->info.version,node->info.inst_id); + } + + if (node->info.mfg_id==ALTERA_MFG_ID) { + match = (preferred_hardware) ? (hardware_list[i].hw_name) ? (int)strstr(hardware_list[i].hw_name,preferred_hardware) : 0 : 1; + if (match) { + match = (preferred_server) ? (hardware_list[i].server) ? (int)strstr(hardware_list[i].server,preferred_server) : 0 : 1; + if (match) { + match = (preferred_port) ? (hardware_list[i].port) ? (int)strstr(hardware_list[i].port,preferred_port) : 0 : 1; + if (match) { + match = (preferred_device) ? (device_list[j].device_name) ? (int)strstr(device_list[j].device_name,preferred_device) : 0 : 1; + if (match) { + match = (preferred_node_id>=0) ? (node->info.node_id==preferred_node_id) : 1; + if (match) { + match = (preferrred_instance>=0) ? (node->info.inst_id==preferrred_instance) : 1; + if (match) { + //if this is our 'preferred' hardware/device use it + // else continue the search + strcpy(node->hardware,(hardware_list[i].hw_name)?hardware_list[i].hw_name:""); + strcpy(node->server,(hardware_list[i].server)?hardware_list[i].server:""); + strcpy(node->port,(hardware_list[i].port)?hardware_list[i].port:""); + strcpy(node->device,(device_list[j].device_name)?device_list[j].device_name:""); + + foundlink = k; + if (match) { + err = aji_unlock_chain(hardware_list[i].chain_id); + if (err) { + printf("\naji_unlock_chain(), err=%d, chain_id=%x",err,hardware_list[i].chain_id); + return JTAGLINK_ERR_BAD_NODEUNLOCK; + } + goto found; + } + } + } + } + } + } + } + } + err = aji_close_device((AJI_OPEN_ID)node->id); + } + } + } + } + err = aji_unlock_chain(hardware_list[i].chain_id); + if (err) { + printf("\naji_unlock_chain(), err=%d, chain_id=%x",err,hardware_list[i].chain_id); + return JTAGLINK_ERR_BAD_NODEUNLOCK; + } + } + } +found: + if (foundlink == -1) { + if (print_scan) { + printf("\ncannot find JTAG node"); + } + return JTAGLINK_ERR_BAD_NODES; + } + + return 0; +} + +//////////////////////////////////////////////////////////////////// + +int jtag_close +( + type_jtagnode *node +) +{ + AJI_ERROR err; + + err = aji_close_device((AJI_OPEN_ID)node->id); + if (err) { + printf("\naji_close_device(), err=%d",err); + return err; + } + + return 0; +} + +//////////////////////////////////////////////////////////////////// + +int jtag_command +( + type_jtagnode *node, + DWORD instruction, + DWORD length, + BYTE *data_in, + BYTE *data_out +) +{ + AJI_ERROR err; + AJI_ERROR err1; + DWORD delay = 0; + err = aji_lock((AJI_OPEN_ID)node->id, 500, AJI_PACK_AUTO); // TODO: longer timeout for first try + if (err) { + printf("\naji_lock(), err=%d ",err); + return JTAGLINK_ERR_BAD_NODELOCK; + } + + do { + err = aji_access_overlay((AJI_OPEN_ID)node->id, instruction, 0); + if (err == AJI_CHAIN_IN_USE) { + //then the aji_lock() has failed, but hadn't yet told us ... + // so wait a bit, then relock and try again + Sleep(100); + err1 = aji_lock((AJI_OPEN_ID)node->id, 500, AJI_PACK_AUTO); // TODO: longer timeout for first try + if (err1) printf("\naji_lock(), err=%d ",err1); + } + } while (err == AJI_CHAIN_IN_USE); + + if (err) { + printf("\naji_access_overlay(), err=%d, instruction=%x ",err, instruction); + err = aji_unlock((AJI_OPEN_ID)node->id); + return JTAGLINK_ERR_BAD_NODEACCESSIR; + } + + err = aji_access_dr((AJI_OPEN_ID)node->id, length, AJI_DR_UNUSED_X, 0, (data_in)?length:0, data_in, 0, (data_out)?length:0, data_out); + if (err) { + printf("\naji_access_dr(), err=%d, length=%x, data_in=%02x %02x, data_out=%02x %02x",err, length, (data_in)?data_in[0]:0, (data_in)?data_in[1]:0, (data_out)?data_out[0]:0, (data_out)?data_out[1]:0); + err = aji_unlock((AJI_OPEN_ID)node->id); + return JTAGLINK_ERR_BAD_NODEACCESSDR; + } + err = aji_unlock((AJI_OPEN_ID)node->id); + if (err) { + printf("\naji_unlock(), err=%d ",err); + return JTAGLINK_ERR_BAD_NODEUNLOCK; + } + + return 0; +} + +//////////////////////////////////////////////////////////////////// + +int main (void) +{ + type_jtagnode node; + int const probe_width = 16; + UCHAR buffer [(probe_width >> 3) + 1]; + int ret = 0, val = 0; + int k = 0, n = 0; + int const required_bytes = 100000; + FILE * f = NULL; + bool bad_sample = false; + int next_status = 0; + + f = fopen ("c_probe.bin","wb"); + if (!f) + { + fprintf (stdout,"Unable to write dump file\n"); + return (1); + } + + ret = jtag_init(0,0,0,0,C_PROBE_ID,0,"jtag_c_probe", 1, &node); + if (ret < 0) + { + printf("\nfailed to find jtag node"); + return 0; + } + + fprintf (stdout,"\n\nCapturing %d bytes to c_probe.bin...\n",required_bytes); + k=0; + while (k= next_status) + { + fprintf (stdout,"%d pct complete\n", + k * 100 / required_bytes); + next_status += 2000; + } + + // read from probe. To write reverse 0 and buffer args + jtag_command(&node, 0, probe_width, 0, buffer); + if (buffer[1] == 0 && buffer[0] == 0) + { + // probe isn't ready + } + else + { + bad_sample = false; + for (n=0; n<2; n++) + { + if (buffer[n] >= 'A' && buffer[n] <= 'F') + { + buffer[n] = buffer[n] - 'A' + 10; + } + else if (buffer[n] >= 'a' && buffer[n] <= 'f') + { + buffer[n] = buffer[n] - 'a' + 10; + } + else if (buffer[n] >= '0' && buffer[n] <= '9') + { + buffer[n] = buffer[n] - '0'; + } + else + { + bad_sample = true; + } + } + if (bad_sample) + { + fprintf (stdout,"Read bad sample - link is corrupt?\n"); + } + else + { + val = buffer[1]; + val <<= 4; + val |= buffer[0]; + fprintf (f,"%c",val); + k++; + } + } + } + jtag_close(&node); + fclose (f); + fprintf (stdout,"done\n"); + + return (0); + +} + + diff --git a/Advanced Synthesis Cookbook/debug/read_c_probe.h b/Advanced Synthesis Cookbook/debug/read_c_probe.h new file mode 100644 index 0000000..ecb2c5a --- /dev/null +++ b/Advanced Synthesis Cookbook/debug/read_c_probe.h @@ -0,0 +1,258 @@ + +#define AJI_API + +typedef int SLD_HUB_ID; +typedef int SLD_NODE_ID; +typedef struct { + BYTE inst_id; + WORD mfg_id; + BYTE node_id; + BYTE version; +} SLD_NODE_INFO; + +typedef enum +{ + JTAGLINK_ERR_BAD_HARDWARE = -99, + JTAGLINK_ERR_BAD_DEVICE, + JTAGLINK_ERR_BAD_HUB, + JTAGLINK_ERR_BAD_NODES, + JTAGLINK_ERR_BAD_NODEINFO, + JTAGLINK_ERR_BAD_NODEOPEN, + JTAGLINK_ERR_BAD_NODELOCK, + JTAGLINK_ERR_BAD_NODEUNLOCK, + JTAGLINK_ERR_BAD_NODEACCESSIR, + JTAGLINK_ERR_BAD_NODEACCESSDR, +}; + + +typedef struct +{ + SLD_HUB_ID hub_id; + SLD_NODE_INFO info; + SLD_NODE_ID id; + char hardware[0x20]; + char server[0x20]; + char port[0x20]; + char device[0x20]; + int offset; //for all, offset in jtag chain, set by jtag_atlantic_init(), jtag_avalon_init() + int data_length; //for jtag_atlantic, length of data word (bits), set by jtag_atlantic_init() + int addr_width; //for jtag_avalon, width of address bus (bits), set by jtag_avalon_status() + int data_width; //for jtag_avalon, width of data bus (bits) + int mode_width; //for jtag_avalon, width of mode bus (bits) +} type_jtagnode; + +//mfg_id +#define ALTERA_MFG_ID 0x6e + +enum AJI_ERROR // These are transmitted from client to server so must not change. +{ + AJI_NO_ERROR = 0, + AJI_FAILURE = 1, + AJI_TIMEOUT = 2, + + AJI_UNKNOWN_HARDWARE = 32, + AJI_INVALID_CHAIN_ID = 33, + AJI_LOCKED = 34, + AJI_NOT_LOCKED = 35, + + AJI_CHAIN_IN_USE = 36, + AJI_NO_DEVICES = 37, + AJI_CHAIN_NOT_CONFIGURED = 38, + AJI_BAD_TAP_POSITION = 39, + AJI_DEVICE_DOESNT_MATCH = 40, + AJI_IR_LENGTH_ERROR = 41, + AJI_DEVICE_NOT_CONFIGURED = 42, + AJI_CHAINS_CLAIMED = 43, + + AJI_INVALID_OPEN_ID = 44, + AJI_INVALID_PARAMETER = 45, + AJI_BAD_TAP_STATE = 46, + AJI_TOO_MANY_DEVICES = 47, + AJI_IR_MULTIPLE = 48, + AJI_BAD_SEQUENCE = 49, + AJI_INSTRUCTION_CLAIMED = 50, + AJI_MODE_NOT_AVAILABLE = 51, // The mode requested is not supported by this hardware + + AJI_FILE_ERROR = 80, + AJI_NET_DOWN = 81, + AJI_SERVER_ERROR = 82, + AJI_NO_MEMORY = 83, // Out of memory when configuring + AJI_BAD_PORT = 84, // Port number (eg LPT1) does not exist + AJI_PORT_IN_USE = 85, + AJI_BAD_HARDWARE = 86, // Hardware (eg byteblaster cable) not connected to port + AJI_BAD_JTAG_CHAIN = 87, // JTAG chain connected to hardware is broken + AJI_SERVER_ACTIVE = 88, // Another thread in this process is using the JTAG Server + AJI_NOT_PERMITTED = 89, + + AJI_UNIMPLEMENTED = 126, + AJI_INTERNAL_ERROR = 127, + +// These errors are generated on the client side only + AJI_NO_HUBS = 256, + AJI_TOO_MANY_HUBS = 257, + AJI_NO_MATCHING_NODES = 258, + AJI_TOO_MANY_MATCHING_NODES = 259 +}; + +// The AJI_HARDWARE class represents one chain attached to one hardware driver. +// This chain can either be a jtag chain or a passive serial chain (as +// indicated by chain_type). + +typedef class AJI_CHAIN * AJI_CHAIN_ID; +typedef class AJI_OPEN * AJI_OPEN_ID; + +enum AJI_CHAIN_TYPE // These are transmitted from client to server so must not change. +{ + AJI_CHAIN_UNKNOWN = 0, + AJI_CHAIN_JTAG = 1, + AJI_CHAIN_SERIAL = 2, + AJI_CHAIN_PASSIVE = 2, // Passive serial (EPC2 style) + AJI_CHAIN_ACTIVE = 3 // Active serial (Motorola SPI device) +}; + +enum AJI_PACK_STYLE // These are transmitted from client to server so must not change. +{ + AJI_PACK_NEVER = 0, + AJI_PACK_AUTO = 1, + AJI_PACK_MANUAL = 2 +}; + +typedef struct AJI_HARDWARE AJI_HARDWARE; +struct AJI_HARDWARE +{ + AJI_CHAIN_ID chain_id; + DWORD persistent_id; + const char * hw_name; // Name of this type of hardware + const char * port; + const char * device_name; // Name given to hardware by user (or NULL) + AJI_CHAIN_TYPE chain_type; + const char * server; // Name of server this is attached to (NULL if local) + DWORD features; // Logical or of AJI_FEATURE_xxx +}; + +// The AJI_DEVICE class represents the information which the server needs to +// know about one JTAG TAP controller on a JTAG chain. + +typedef struct AJI_DEVICE AJI_DEVICE; +struct AJI_DEVICE +{ + DWORD device_id; + DWORD mask; // 1 bit in mask indicates X in device_id + BYTE instruction_length; + DWORD features; // Bitwise or of AJI_DEVFEAT + const char * device_name; // May be NULL +}; + +enum AJI_CLAIM_TYPE +{ + AJI_CLAIM_IR = 0x0000, // Exclusive access to this IR value + AJI_CLAIM_IR_SHARED = 0x0100, // Shared access to this IR value + AJI_CLAIM_IR_SHARED_OVERLAY = 0x0300, // Shared access to this OVERLAY IR value + AJI_CLAIM_IR_OVERLAID = 0x0400, // Exclusive access to this OVERLAID IR value + AJI_CLAIM_IR_SHARED_OVERLAID = 0x0500, // Shared access to this OVERLAID IR value + AJI_CLAIM_IR_WEAK = 0x0800, // Allow access to this IR value if unclaimed + // (value ~0 means all unclaimed IR values) + + AJI_CLAIM_OVERLAY = 0x0001, // Exclusive access to this value in the OVERLAY DR + AJI_CLAIM_OVERLAY_SHARED = 0x0101, // Shared access to this value in the OVERLAY DR + AJI_CLAIM_OVERLAY_WEAK = 0x0801 // Allow access to this value in OVERLAY DR if unclaimed + // (value ~0 means all unclaimed OVERLAY DR values) +}; + +struct AJI_CLAIM +{ + AJI_CLAIM_TYPE type; + DWORD value; +}; + +enum AJI_DR_FLAGS // These are transmitted from client to server so must not change. +{ + AJI_DR_UNUSED_0 = 1, // Allow zeros to be written to unspecified bits + AJI_DR_UNUSED_0_OMIT = 3, // Allow zeros at the TDI end, allow any value at TDO end + AJI_DR_UNUSED_X = 15, // Allow any value to be written to unspecified bits + AJI_DR_NO_SHORT = 16 // Must clock all bits through (disable optimisations) +}; + +AJI_ERROR AJI_API aji_get_hardware (DWORD * hardware_count, + AJI_HARDWARE * hardware_list, + DWORD timeout = 0x7FFFFFFF); +AJI_ERROR AJI_API aji_lock_chain (AJI_CHAIN_ID chain_id, + DWORD timeout); + +AJI_ERROR AJI_API aji_unlock_chain (AJI_CHAIN_ID chain_id); + +AJI_ERROR AJI_API aji_lock (AJI_OPEN_ID open_id, + DWORD timeout, + AJI_PACK_STYLE pack_style); + +AJI_ERROR AJI_API aji_unlock (AJI_OPEN_ID open_id); + +AJI_ERROR AJI_API aji_access_ir (AJI_OPEN_ID open_id, + DWORD instruction, + DWORD * captured_ir, + DWORD flags = 0); + +AJI_ERROR AJI_API aji_access_dr (AJI_OPEN_ID open_id, + DWORD length_dr, + DWORD flags, + DWORD write_offset, + DWORD write_length, + const BYTE * write_bits, + DWORD read_offset, + DWORD read_length, + BYTE * read_bits); + +AJI_ERROR AJI_API aji_access_dr (AJI_OPEN_ID open_id, + DWORD length_dr, + DWORD flags, + DWORD write_offset, + DWORD write_length, + const BYTE * write_bits, + DWORD read_offset, + DWORD read_length, + BYTE * read_bits, + DWORD batch); + +AJI_ERROR AJI_API aji_access_ir (AJI_OPEN_ID open_id, + DWORD length_ir, + const BYTE * write_bits, + BYTE * read_bits, + DWORD flags = 0); + +AJI_ERROR AJI_API aji_get_nodes (AJI_CHAIN_ID chain_id, + DWORD tap_position, + DWORD * idcodes, + DWORD * idcode_n); + +AJI_ERROR AJI_API aji_open_node (AJI_CHAIN_ID chain_id, + DWORD tap_position, + DWORD idcode, + AJI_OPEN_ID * node_id, + const AJI_CLAIM * claims, + DWORD claim_n, + const char * application_name); + +AJI_ERROR AJI_API aji_get_node_info (AJI_OPEN_ID node_id, + DWORD * device_index, + DWORD * info); + +AJI_ERROR AJI_API aji_read_device_chain (AJI_CHAIN_ID chain_id, + DWORD * device_count, + AJI_DEVICE * device_list, + bool auto_scan = true); + +AJI_ERROR AJI_API aji_close_device (AJI_OPEN_ID open_id); + +AJI_ERROR AJI_API aji_access_overlay (AJI_OPEN_ID node_id, + DWORD overlay, + DWORD * captured_overlay); + +enum INSTR { ACCESS_INSTR = 0, CONFIG_INSTR = 1, INVALID = ~0 }; + +static const AJI_CLAIM alt_jtaglib_claims[] = { +{ AJI_CLAIM_OVERLAY, 0 }, +{ AJI_CLAIM_OVERLAY, 1 }, +{ AJI_CLAIM_OVERLAY, 2 }, +{ AJI_CLAIM_OVERLAY, 3 } +}; + diff --git a/Advanced Synthesis Cookbook/debug/stream_grabber.v b/Advanced Synthesis Cookbook/debug/stream_grabber.v new file mode 100644 index 0000000..9980ebf --- /dev/null +++ b/Advanced Synthesis Cookbook/debug/stream_grabber.v @@ -0,0 +1,226 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-23-2009 +module stream_grabber #( + parameter DAT_WIDTH = 72, // multiple of 8 + parameter ADDR_BITS = 8, // depth of the sample memory + + // initial tag to shift out, length must be DAT_WIDTH + // holding is the number of bytes actually used + // shift initial content toward more significant end + parameter INITIAL_SR_CONTENT = {"Stream 0",8'h0}, + parameter INITIAL_HOLDING = 8 +) +( + input clk,arst, + input [DAT_WIDTH-1:0] data_in, + input data_in_valid, + + input start_harvest, + output reg reporting, + + output [7:0] byte_out, + output byte_out_valid, + input byte_out_ready +); + +`include "log2.inc" + +localparam NUM_BYTES = DAT_WIDTH >> 3; +localparam LOG_NUM_BYTES = log2(NUM_BYTES); + +/////////////////////////////////////////////// +// sample RAM +/////////////////////////////////////////////// + +wire [DAT_WIDTH-1:0] ram_d, ram_q; +wire ram_we; +reg [ADDR_BITS+1-1:0] ram_a; + +altsyncram altsyncram_component ( + .wren_a (ram_we), + .clock0 (clk), + .address_a (ram_a[ADDR_BITS-1:0]), + .data_a (ram_d), + .q_a (ram_q), + .aclr0 (1'b0), + .aclr1 (1'b0), + .address_b (1'b1), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_b (1'b1), + .eccstatus (), + .q_b (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_b (1'b0)); +defparam + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.intended_device_family = "Stratix II", + altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = (1< report -> idle +always @(posedge clk or posedge arst) begin + if (arst) begin + harvest <= 0; + report <= 0; + idle <= 1'b1; + end + else begin + if (idle & start_harvest) begin + idle <= 1'b0; + harvest <= 1'b1; + end + if (harvest & ram_a[ADDR_BITS]) begin + harvest <= 1'b0; + report <= 1'b1; + end + if (report & !ram_a[ADDR_BITS]) begin + report <= 1'b0; + idle <= 1'b1; + end + end +end + +always @(posedge clk or posedge arst) begin + if (arst) begin + word_valid <= 0; + word_valid_p <= 0; + end + else begin + if (word_valid & word_ready) begin + word_valid <= 0; + word_valid_p <= 0; + end + else begin + word_valid_p <= report; + word_valid <= word_valid_p & report; + end + end +end + +/////////////////////////////////////////////// +// Convert captured samples to byte stream +/////////////////////////////////////////////// + +reg [LOG_NUM_BYTES-1:0] holding; +reg [DAT_WIDTH-1:0] out_sr; +assign word_ready = (holding == 0) || ((holding == 1) & byte_out_ready); +assign byte_out_valid = |holding; +assign byte_out = out_sr[DAT_WIDTH-1:DAT_WIDTH-8]; + +always @(posedge clk or posedge arst) begin + if (arst) begin + holding <= 0; + out_sr <= 0; + end + else begin + // serialize words out as bytes + if (word_valid & word_ready) begin + holding <= NUM_BYTES; + out_sr <= word; + end + else if (byte_out_valid & byte_out_ready) begin + holding <= holding - 1'b1; + out_sr <= {out_sr[DAT_WIDTH-9:0],8'h0}; + end + + // initialize with a tag to identify this stream + if (start_harvest) begin + out_sr <= INITIAL_SR_CONTENT; + holding <= INITIAL_HOLDING; + end + end +end + +// stretch the reporting status until the data is +// really gone. + +always @(posedge clk or posedge arst) begin + if (arst) reporting <= 0; + else begin + if (report) reporting <= 1'b1; + if (!report & !byte_out_valid) reporting <= 1'b0; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/debug/stream_grabber_tb.sv b/Advanced Synthesis Cookbook/debug/stream_grabber_tb.sv new file mode 100644 index 0000000..a9852c2 --- /dev/null +++ b/Advanced Synthesis Cookbook/debug/stream_grabber_tb.sv @@ -0,0 +1,77 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler -03-23-2009 +module stream_grabber_tb (); + +parameter DAT_WIDTH = 72; // multiple of 8 +parameter ADDR_BITS = 4; // depth of the sample memory + +reg clk,arst; +reg [DAT_WIDTH-1:0] data_in = 0; +reg data_in_valid = 1'b0; + +reg start_harvest; +wire reporting; + +wire [7:0] byte_out; +wire byte_out_valid; +reg byte_out_ready = 1'b1; + +////////////////////////////////// +// DUT +////////////////////////////////// + +stream_grabber dut +( + .* +); +defparam dut .DAT_WIDTH = DAT_WIDTH; +defparam dut .ADDR_BITS = ADDR_BITS; + +////////////////////////////////// +// Rough data stream +////////////////////////////////// +always @(posedge clk) begin + if (data_in_valid) data_in <= data_in + 1'b1; + data_in_valid <= $random; +end + +////////////////////////////////// +// Clock driver +////////////////////////////////// + +always begin + #5 clk = ~clk; +end + +initial begin + clk = 0; + arst = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; + #200 + @(negedge clk) start_harvest = 1'b1; + @(negedge clk) start_harvest = 1'b0; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/debug/stream_mux.v b/Advanced Synthesis Cookbook/debug/stream_mux.v new file mode 100644 index 0000000..ea141c4 --- /dev/null +++ b/Advanced Synthesis Cookbook/debug/stream_mux.v @@ -0,0 +1,104 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module stream_mux #( + parameter WIDTH = 8 +) +( + input clk, arst, + + input [WIDTH-1:0] din_a, + output din_ready_a, + input din_valid_a, + input reporting_a, + + input [WIDTH-1:0] din_b, + output din_ready_b, + input din_valid_b, + input reporting_b, + + input start_harvest, + output reporting, + input dout_ready, + output dout_valid, + output [WIDTH-1:0] dout +); + +reg chan_ena; +reg chan_sel; + +//////////////////////////////////////////// +// MUX A and B ports according to state +//////////////////////////////////////////// + +assign din_ready_a = chan_ena & !chan_sel & dout_ready; +assign din_ready_b = chan_ena & chan_sel & dout_ready; +assign dout_valid = (chan_sel ? din_valid_b : din_valid_a); +assign dout = (chan_sel ? din_b : din_a); + +//////////////////////////////////////////// +// to harvest +// take report from A then B +// wait for next harvest +//////////////////////////////////////////// + +reg [2:0] state /* synthesis preserve */; +localparam ST_IDLE = 0, + ST_WAIT_A = 1, + ST_WAIT_NOTA = 2, + ST_WAIT_B = 3, + ST_WAIT_NOTB = 4; + +always @(posedge clk or posedge arst) begin + if (arst) begin + state <= ST_IDLE; + chan_sel <= 0; + chan_ena <= 0; + end + else begin + case (state) + ST_IDLE : begin + chan_sel <= 0; + chan_ena <= 0; + if (start_harvest) state <= ST_WAIT_A; + end + ST_WAIT_A : begin + chan_ena <= 1'b1; + if (reporting_a) state <= ST_WAIT_NOTA; + end + ST_WAIT_NOTA : begin + if (!reporting_a) state <= ST_WAIT_B; + end + ST_WAIT_B : begin + chan_sel <= 1'b1; + if (reporting_b) state <= ST_WAIT_NOTB; + end + ST_WAIT_NOTB : begin + if (!reporting_b) state <= ST_IDLE; + end + endcase + end +end + +assign reporting = (state != ST_IDLE); + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/debug/temp_sense.v b/Advanced Synthesis Cookbook/debug/temp_sense.v new file mode 100644 index 0000000..7947ad3 --- /dev/null +++ b/Advanced Synthesis Cookbook/debug/temp_sense.v @@ -0,0 +1,176 @@ +// Copyright 2010 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +// baeckler - 04-24-2009 + +module temp_sense ( + input clk,arst, // < 80 MHz + output reg [7:0] degrees_c, + output reg [7:0] degrees_f, + output reg [11:0] degrees_f_bcd, + output reg fresh_sample,failed_sample +); + +parameter OFFSET_DEGREES = 8'd133; + +///////////////////////////////////// +// slow down the clock by 2 for the TSD block +///////////////////////////////////// + +reg half_clk; +always @(posedge clk or posedge arst) begin + if (arst) begin + half_clk <= 1'b0; + end + else begin + half_clk <= ~half_clk; + end +end + +///////////////////////////////////// +// temp sense block +///////////////////////////////////// + +reg tsd_clr; +wire [7:0] tsd_out; +wire tsd_done; + +stratixiv_tsdblock tsd +( + .clk(half_clk), + .ce(1'b1), + .clr(tsd_clr), + .tsdcalo(tsd_out), + .tsdcaldone(tsd_done) + + // Temp sense is still kind of an "engineering only" + // feature - the sim model appears to be a little out of sync. + // + // synthesis translate off + , + .offset(), + .testin(), + .fdbkctrlfromcore(), + .compouttest(), + .tsdcompout(), + .offsetout() + // synthesis translate on +); + +///////////////////////////////////// +// sampling schedule +///////////////////////////////////// + +reg [19:0] timer; +reg timer_max; +reg [7:0] raw_degrees_c; + +always @(posedge clk or posedge arst) begin + if (arst) begin + timer <= 0; + timer_max <= 1'b0; + fresh_sample <= 1'b0; + failed_sample <= 1'b0; + raw_degrees_c <= 0; + end + else begin + fresh_sample <= 1'b0; + failed_sample <= 1'b0; + timer_max <= (timer == 20'hffffe); + tsd_clr <= (timer [19:4] == 16'h0000); + if (timer_max) timer <= 0; + else timer <= timer + 1'b1; + if (timer_max) begin + if (tsd_done) begin + raw_degrees_c <= tsd_out; + fresh_sample <= 1'b1; + end + else failed_sample <= 1'b1; + end + degrees_c <= raw_degrees_c - OFFSET_DEGREES; + end +end + +wire [8:0] degc_x2 = {degrees_c,1'b0}; +wire [8:0] degc_x14 = {2'b0,degrees_c[7:2]}; + +always @(posedge clk or posedge arst) begin + if (arst) begin + degrees_f <= 0; + end + else begin + // rough C to F convert + degrees_f <= degc_x2 - degc_x14 + 9'd32; + end +end + +localparam + ST_START = 2'h0, + ST_HUND = 2'h1, + ST_TENS = 2'h2, + ST_ONES = 2'h3; + +reg [1:0] bcd_state /* synthesis preserve */; + +reg [7:0] working; +reg [3:0] working_hund,working_tens; + +always @(posedge clk or posedge arst) begin + if (arst) begin + degrees_f_bcd <= 0; + bcd_state <= ST_START; + end + else begin + case (bcd_state) + ST_START : begin + working <= degrees_f; + working_hund <= 0; + working_tens <= 0; + bcd_state <= ST_HUND; + end + ST_HUND : begin + if (working >= 8'd100) begin + working <= working - 8'd100; + working_hund <= working_hund + 1'b1; + end + else bcd_state <= ST_TENS; + end + ST_TENS : begin + if (working >= 8'd10) begin + working <= working - 8'd10; + working_tens <= working_tens + 1'b1; + end + else bcd_state <= ST_ONES; + end + ST_ONES : begin + degrees_f_bcd <= + {working_hund, + working_tens, + working[3:0]}; + bcd_state <= ST_START; + end + endcase + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/debug/temp_sense_s5.v b/Advanced Synthesis Cookbook/debug/temp_sense_s5.v new file mode 100644 index 0000000..9d7b03e --- /dev/null +++ b/Advanced Synthesis Cookbook/debug/temp_sense_s5.v @@ -0,0 +1,109 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +// baeckler - 06-09-2011 + +module temp_sense_s5 ( + input clk, // ~50-100 MHz + output reg [7:0] degrees_c, + output reg [7:0] degrees_f +); + +////////////////////////////////////////////// + +wire [7:0] tsd_out; +wire tsd_done; +reg tsd_clr = 1'b0; +reg tsd_clr_inv = 1'b0 /* synthesis preserve */; +wire tsd_clk; +reg tsd_ce = 1'b0 /* synthesis preserve */; +reg [7:0] raw_c = 8'd133; + +// little clock divider +reg [11:0] tsd_cntr = 0 /* synthesis preserve */ + /* synthesis ALTERA_ATTRIBUTE = "-name SDC_STATEMENT \"create_clock -name {temp_sense_clock} -period 40.0 [get_keepers {*temp_sense*tsd_cntr\[11\]}]\" " */; +assign tsd_clk = tsd_cntr[11]; +always @(posedge clk) tsd_cntr <= tsd_cntr + 1'b1; + +reg [7:0] tsd_sched = 0 /* synthesis preserve */; +always @(posedge tsd_clk) begin + tsd_sched <= tsd_sched + 1'b1; + tsd_clr <= (tsd_sched == 8'h01) ^ tsd_clr_inv; + if (&tsd_sched) begin + if (tsd_done && (~&tsd_out)) begin + raw_c <= tsd_out ^ {1'b0,tsd_out[7:1]}; // grey code for crossing + end + else begin + // sampling error - call it very cold + raw_c <= 8'd133; + + // muck with the control polarity - it is programmable + // and not super clear in the docs + {tsd_ce,tsd_clr_inv} <= {tsd_ce,tsd_clr_inv} + 1'b1; + end + end +end + +// WYS connection to sense diode ADC +stratixv_tsdblock tsd +( + .clk(tsd_clk), + .ce(tsd_ce), + .clr(tsd_clr), + .tsdcalo(tsd_out), + .tsdcaldone(tsd_done) +); + +// this is ridiculous overkill, but better safe than unstable +reg [7:0] raw_c_meta = 8'h0 /* synthesis preserve */ + /* synthesis ALTERA_ATTRIBUTE = "-name SDC_STATEMENT \"set_false_path -to [get_keepers {*temp_sense*raw_c_meta\[*\]}]\" " */; +reg [7:0] raw_c_sync = 8'h0 /* synthesis preserve */; +always @(posedge clk) begin + raw_c_meta <= raw_c; + raw_c_sync <= raw_c_meta; +end + +// convert back to decimal +reg [7:0] raw_c_dec = 0; +genvar i; +generate +for (i=0; i<8; i=i+1) begin : gry + always @(posedge clk) begin + raw_c_dec[i] <= ^raw_c_sync[7:i]; + end +end +endgenerate + +// convert valid samples to better format +initial degrees_c = 0; +initial degrees_f = 0; +always @(posedge clk) begin + degrees_c <= raw_c_dec - 8'd133; // offset + + // F = C * 1.8 + 32 + // rounding off the fraction a little bit + degrees_f <= {degrees_c, 1'b0} - {2'b0,degrees_c [7:2]} + + {4'b0,degrees_c [7:4]} + 8'd32; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/ecc_16bit_tb.v b/Advanced Synthesis Cookbook/ecc/ecc_16bit_tb.v new file mode 100644 index 0000000..b727a58 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_16bit_tb.v @@ -0,0 +1,247 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 +// revd 08-25-2006 + +module ecc_16bit_tb (); + +// this should be the sum of encode and +// decode registers +parameter TOTAL_LATENCY = 2; + +parameter DATA_BITS = 16; +parameter TOTAL_BITS = 22; + +reg [DATA_BITS-1:0] data_in,next_data_in; +wire [TOTAL_BITS-1:0] code_out; +reg [TOTAL_BITS-1:0] error_sig,next_error_sig; +wire [TOTAL_BITS-1:0] damaged_code; +wire [DATA_BITS-1:0] recovered_data; +wire no_err,err_corrected,err_fatal; + +reg clk,rst; + +/////////////////////// +// encoder unit +/////////////////////// +ecc_encode_16bit enc +( + .d(data_in), + .c(code_out) +); + +/////////////////////// +// insert optional error +/////////////////////// +reg [2:0] num_errors, next_num_errors; +assign damaged_code = code_out ^ error_sig; + +/////////////////////// +// decoder unit +/////////////////////// +ecc_decode_16bit dec +( + .clk(clk), + .rst(rst), + .c(damaged_code), + .d(recovered_data), + .no_err(no_err), + .err_corrected(err_corrected), + .err_fatal(err_fatal) +); +defparam dec .MIDDLE_REG = 1; +defparam dec .OUTPUT_REG = 1; + + +//////////////////////// +// main control +//////////////////////// +reg fail; +reg [TOTAL_LATENCY:0] flushing; + +initial begin + clk = 0; + rst = 0; + num_errors = 0; + error_sig = 0; + data_in = 0; + fail = 0; + + #10 rst = 1; + #10 rst = 0; + + // wait for the pipe to fill + #10 + if (!flushing[TOTAL_LATENCY]) begin + @(posedge flushing[TOTAL_LATENCY]); + end + fail = 0; + + #10000000 if (!fail) $display ("PASS"); + else $display ("FAIL"); + $stop(); +end + +integer n = 0; +reg [6:0] which_bit; + +//////////////////////// +// new random stimulus +//////////////////////// +always @(negedge clk or posedge rst) begin + next_data_in = {$random,$random}; + + // create an error signal with a few randomly + // placed 1's. + next_num_errors = $random; + next_num_errors = next_num_errors % 5; + + next_error_sig = 0; + for (n=0; n0; i=i-1) begin + delayed_data[i] <= delayed_data[i-1]; + delayed_num_errors[i] <= delayed_num_errors[i-1]; + end + end +end + +always @(*) begin + delayed_num_errors[0] = num_errors; + delayed_data[0] = data_in; +end + +/////////////////////////////// +// capture and check results +/////////////////////////////// + +integer wrong_bits = 0; +reg [DATA_BITS-1:0] recovered_error; + +integer stats_3bit_pass = 0; +integer stats_3bit_one = 0; +integer stats_3bit_two = 0; + +integer stats_4bit_pass = 0; +integer stats_4bit_one = 0; +integer stats_4bit_two = 0; + +integer z; + +always @(posedge clk or posedge rst) begin + #20 + + // count the number of wrong bits in the + // recovered data. + wrong_bits = 0; + recovered_error = delayed_data[TOTAL_LATENCY] ^ recovered_data; + for (z=0;z<64;z=z+1) + begin + if (recovered_error[z]) wrong_bits = wrong_bits + 1; + end + + #1 + + if (delayed_num_errors[TOTAL_LATENCY] == 0) begin + if (wrong_bits !== 0) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b100) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 1) begin + // 1 bit errors need to be flagged and repaired + if (wrong_bits !== 0) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b010) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 2) begin + // 2 bit errors need to be detected + // and not made any worse + if (wrong_bits > 2) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b001) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 3) begin + // 3 bit errors need to be detected as parity error + // they will be mistakenly corrected as 1 bit errors + if ({no_err,err_corrected,err_fatal} == 3'b100) + stats_3bit_pass = stats_3bit_pass + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b010) + stats_3bit_one = stats_3bit_one + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b001) + stats_3bit_two = stats_3bit_two + 1; + end + else begin + // 4 + bit errors + if ({no_err,err_corrected,err_fatal} == 3'b100) + stats_4bit_pass = stats_4bit_pass + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b010) + stats_4bit_one = stats_4bit_one + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b001) + stats_4bit_two = stats_4bit_two + 1; + end + + // early exit for failure + if (fail) begin + #100 $display ("Mismatch at time %d",$time); + $stop(); + end +end + +always begin + #100 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/ecc/ecc_2bit.cpp b/Advanced Synthesis Cookbook/ecc/ecc_2bit.cpp new file mode 100644 index 0000000..0f1da9a --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_2bit.cpp @@ -0,0 +1,106 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 7-21-2006 + +#include + +int bit_count (int c) +{ + int cnt = 0; + int n = 0; + + for (n=0;n<6; n++) + { + if ((c & 1) != 0) cnt++; + c >>= 1; + } + return (cnt); +} + +int main (void) +{ + + int code = 0; + int diff[4]; + int best_diff = 0; + + fprintf (stdout,"//////////////////////////////////////////////\n"); + fprintf (stdout,"// 2 to 6 bit ECC encoder\n"); + fprintf (stdout,"//////////////////////////////////////////////\n"); + fprintf (stdout,"module ecc_encode_2bit (d,c);\n"); + fprintf (stdout,"input [1:0] d;\n"); + fprintf (stdout,"output [5:0] c;\n"); + fprintf (stdout,"wire [5:0] c;\n\n"); + + fprintf (stdout," assign c = {d[1],d[1],d[0],d[0],^d,^d};\n"); + fprintf (stdout,"endmodule\n\n"); + + fprintf (stdout,"//////////////////////////////////////////////\n"); + fprintf (stdout,"// the error flag indicates\n"); + fprintf (stdout,"// [2] 2 or more bit error\n"); + fprintf (stdout,"// [1] 1 bit error (corrected)\n"); + fprintf (stdout,"// [0] no error\n"); + fprintf (stdout,"//////////////////////////////////////////////\n"); + + fprintf (stdout,"module ecc_decode_2bit (c,d,err_flag);\n"); + fprintf (stdout,"input [5:0] c;\n"); + fprintf (stdout,"output [1:0] d;\n"); + fprintf (stdout,"output [2:0] err_flag;\n"); + fprintf (stdout,"reg [1:0] d;\n"); + fprintf (stdout,"reg [2:0] err_flag;\n"); + + fprintf (stdout," always @(c) begin\n"); + fprintf (stdout," case (c)\n"); + fprintf (stdout," // bit distance to codes 0 .. 3\n"); + for (code = 0; code<64; code++) + { + fprintf (stdout," 6'h%02x : ",code); + + // look at the bit distance from input to the codes + diff[0] = bit_count(code ^ 0x00); + diff[1] = bit_count(code ^ 0x0f); + diff[2] = bit_count(code ^ 0x33); + diff[3] = bit_count(code ^ 0x3c); + best_diff = 0; + if (diff[1] < diff[best_diff]) best_diff = 1; + if (diff[2] < diff[best_diff]) best_diff = 2; + if (diff[3] < diff[best_diff]) best_diff = 3; + + // select data + fprintf (stdout, "{d,err_flag} = {2'b%d%d, 3'b%d%d%d};", + (best_diff>>1) & 1, best_diff & 1, + diff[best_diff] >= 2 ? 1 : 0, + diff[best_diff] == 1 ? 1 : 0, + diff[best_diff] == 0 ? 1 : 0); + + fprintf (stdout," // %d %d %d %d\n",diff[0],diff[1],diff[2],diff[3]); + + } + fprintf (stdout," endcase\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"endmodule\n"); + + + return (0); + +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/ecc/ecc_2bit.v b/Advanced Synthesis Cookbook/ecc/ecc_2bit.v new file mode 100644 index 0000000..f318dcf --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_2bit.v @@ -0,0 +1,115 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +////////////////////////////////////////////// +// 2 to 6 bit ECC encoder +////////////////////////////////////////////// +module ecc_encode_2bit (d,c); +input [1:0] d; +output [5:0] c; +wire [5:0] c; + + assign c = {d[1],d[1],d[0],d[0],^d,^d}; +endmodule + +////////////////////////////////////////////// +// the error flag indicates +// [2] 2 or more bit error +// [1] 1 bit error (corrected) +// [0] no error +////////////////////////////////////////////// +module ecc_decode_2bit (c,d,err_flag); +input [5:0] c; +output [1:0] d; +output [2:0] err_flag; +reg [1:0] d; +reg [2:0] err_flag; + always @(c) begin + case (c) + // bit distance to codes 0 .. 3 + 6'h00 : {d,err_flag} = {2'b00, 3'b001}; // 0 4 4 4 + 6'h01 : {d,err_flag} = {2'b00, 3'b010}; // 1 3 3 5 + 6'h02 : {d,err_flag} = {2'b00, 3'b010}; // 1 3 3 5 + 6'h03 : {d,err_flag} = {2'b00, 3'b100}; // 2 2 2 6 + 6'h04 : {d,err_flag} = {2'b00, 3'b010}; // 1 3 5 3 + 6'h05 : {d,err_flag} = {2'b00, 3'b100}; // 2 2 4 4 + 6'h06 : {d,err_flag} = {2'b00, 3'b100}; // 2 2 4 4 + 6'h07 : {d,err_flag} = {2'b01, 3'b010}; // 3 1 3 5 + 6'h08 : {d,err_flag} = {2'b00, 3'b010}; // 1 3 5 3 + 6'h09 : {d,err_flag} = {2'b00, 3'b100}; // 2 2 4 4 + 6'h0a : {d,err_flag} = {2'b00, 3'b100}; // 2 2 4 4 + 6'h0b : {d,err_flag} = {2'b01, 3'b010}; // 3 1 3 5 + 6'h0c : {d,err_flag} = {2'b00, 3'b100}; // 2 2 6 2 + 6'h0d : {d,err_flag} = {2'b01, 3'b010}; // 3 1 5 3 + 6'h0e : {d,err_flag} = {2'b01, 3'b010}; // 3 1 5 3 + 6'h0f : {d,err_flag} = {2'b01, 3'b001}; // 4 0 4 4 + 6'h10 : {d,err_flag} = {2'b00, 3'b010}; // 1 5 3 3 + 6'h11 : {d,err_flag} = {2'b00, 3'b100}; // 2 4 2 4 + 6'h12 : {d,err_flag} = {2'b00, 3'b100}; // 2 4 2 4 + 6'h13 : {d,err_flag} = {2'b10, 3'b010}; // 3 3 1 5 + 6'h14 : {d,err_flag} = {2'b00, 3'b100}; // 2 4 4 2 + 6'h15 : {d,err_flag} = {2'b00, 3'b100}; // 3 3 3 3 + 6'h16 : {d,err_flag} = {2'b00, 3'b100}; // 3 3 3 3 + 6'h17 : {d,err_flag} = {2'b01, 3'b100}; // 4 2 2 4 + 6'h18 : {d,err_flag} = {2'b00, 3'b100}; // 2 4 4 2 + 6'h19 : {d,err_flag} = {2'b00, 3'b100}; // 3 3 3 3 + 6'h1a : {d,err_flag} = {2'b00, 3'b100}; // 3 3 3 3 + 6'h1b : {d,err_flag} = {2'b01, 3'b100}; // 4 2 2 4 + 6'h1c : {d,err_flag} = {2'b11, 3'b010}; // 3 3 5 1 + 6'h1d : {d,err_flag} = {2'b01, 3'b100}; // 4 2 4 2 + 6'h1e : {d,err_flag} = {2'b01, 3'b100}; // 4 2 4 2 + 6'h1f : {d,err_flag} = {2'b01, 3'b010}; // 5 1 3 3 + 6'h20 : {d,err_flag} = {2'b00, 3'b010}; // 1 5 3 3 + 6'h21 : {d,err_flag} = {2'b00, 3'b100}; // 2 4 2 4 + 6'h22 : {d,err_flag} = {2'b00, 3'b100}; // 2 4 2 4 + 6'h23 : {d,err_flag} = {2'b10, 3'b010}; // 3 3 1 5 + 6'h24 : {d,err_flag} = {2'b00, 3'b100}; // 2 4 4 2 + 6'h25 : {d,err_flag} = {2'b00, 3'b100}; // 3 3 3 3 + 6'h26 : {d,err_flag} = {2'b00, 3'b100}; // 3 3 3 3 + 6'h27 : {d,err_flag} = {2'b01, 3'b100}; // 4 2 2 4 + 6'h28 : {d,err_flag} = {2'b00, 3'b100}; // 2 4 4 2 + 6'h29 : {d,err_flag} = {2'b00, 3'b100}; // 3 3 3 3 + 6'h2a : {d,err_flag} = {2'b00, 3'b100}; // 3 3 3 3 + 6'h2b : {d,err_flag} = {2'b01, 3'b100}; // 4 2 2 4 + 6'h2c : {d,err_flag} = {2'b11, 3'b010}; // 3 3 5 1 + 6'h2d : {d,err_flag} = {2'b01, 3'b100}; // 4 2 4 2 + 6'h2e : {d,err_flag} = {2'b01, 3'b100}; // 4 2 4 2 + 6'h2f : {d,err_flag} = {2'b01, 3'b010}; // 5 1 3 3 + 6'h30 : {d,err_flag} = {2'b00, 3'b100}; // 2 6 2 2 + 6'h31 : {d,err_flag} = {2'b10, 3'b010}; // 3 5 1 3 + 6'h32 : {d,err_flag} = {2'b10, 3'b010}; // 3 5 1 3 + 6'h33 : {d,err_flag} = {2'b10, 3'b001}; // 4 4 0 4 + 6'h34 : {d,err_flag} = {2'b11, 3'b010}; // 3 5 3 1 + 6'h35 : {d,err_flag} = {2'b10, 3'b100}; // 4 4 2 2 + 6'h36 : {d,err_flag} = {2'b10, 3'b100}; // 4 4 2 2 + 6'h37 : {d,err_flag} = {2'b10, 3'b010}; // 5 3 1 3 + 6'h38 : {d,err_flag} = {2'b11, 3'b010}; // 3 5 3 1 + 6'h39 : {d,err_flag} = {2'b10, 3'b100}; // 4 4 2 2 + 6'h3a : {d,err_flag} = {2'b10, 3'b100}; // 4 4 2 2 + 6'h3b : {d,err_flag} = {2'b10, 3'b010}; // 5 3 1 3 + 6'h3c : {d,err_flag} = {2'b11, 3'b001}; // 4 4 4 0 + 6'h3d : {d,err_flag} = {2'b11, 3'b010}; // 5 3 3 1 + 6'h3e : {d,err_flag} = {2'b11, 3'b010}; // 5 3 3 1 + 6'h3f : {d,err_flag} = {2'b01, 3'b100}; // 6 2 2 2 + endcase + end +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/ecc_2bit_tb.v b/Advanced Synthesis Cookbook/ecc/ecc_2bit_tb.v new file mode 100644 index 0000000..e9dde28 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_2bit_tb.v @@ -0,0 +1,91 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 7-20-2006 + +module ecc_2bit_tb (); + +reg [1:0] dat; +wire [5:0] code; +reg [5:0] err; +wire [5:0] damaged_code; +wire [1:0] recovered; +wire [2:0] err_flag; + +// encode - corrupt - decode +ecc_encode_2bit enc (.d(dat), .c(code)); +assign damaged_code = code ^ err; +ecc_decode_2bit dec (.c(damaged_code),.d(recovered), .err_flag (err_flag)); + +integer n,i,j; + +initial begin + + // test the four no-error cases + for (n=0; n<4; n=n+1) begin + dat = n[1:0]; + err = 0; + #1 + // you must recover data and flag no-error + if ((recovered !== dat) || (err_flag !== 3'b001)) begin + $display ("Mismatch in no-error cases"); + $stop(); + end + end + + // test the twenty four one-error cases + for (n=0; n<4; n=n+1) begin + for (i=0; i<6; i=i+1) begin + dat = n[1:0]; + err = 1'b1 << i; + #1 + // you must recover the data and flag correctly + if ((recovered !== dat) || (err_flag !== 3'b010)) begin + $display ("Mismatch in one-error cases"); + $stop(); + end + end + end + + // test the (144? but overlapping) two-error cases + for (n=0; n<4; n=n+1) begin + for (i=0; i<6; i=i+1) begin + for (j=0; j<6; j=j+1) begin + if (j != i) begin + dat = n[1:0]; + err = 1'b1 << i; + err = err | (1'b1 << j); + #1 + // you must flag correctly + if (err_flag !== 3'b100) begin + $display ("Mismatch in two-error cases"); + $stop(); + end + end + end + end + end + + #10 $display ("PASS"); + $stop(); +end +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/ecc_32bit_tb.v b/Advanced Synthesis Cookbook/ecc/ecc_32bit_tb.v new file mode 100644 index 0000000..21dcb6d --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_32bit_tb.v @@ -0,0 +1,247 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 +// revd 08-25-2006 + +module ecc_32bit_tb (); + +// this should be the sum of encode and +// decode registers +parameter TOTAL_LATENCY = 2; + +parameter DATA_BITS = 32; +parameter TOTAL_BITS = 39; + +reg [DATA_BITS-1:0] data_in,next_data_in; +wire [TOTAL_BITS-1:0] code_out; +reg [TOTAL_BITS-1:0] error_sig,next_error_sig; +wire [TOTAL_BITS-1:0] damaged_code; +wire [DATA_BITS-1:0] recovered_data; +wire no_err,err_corrected,err_fatal; + +reg clk,rst; + +/////////////////////// +// encoder unit +/////////////////////// +ecc_encode_32bit enc +( + .d(data_in), + .c(code_out) +); + +/////////////////////// +// insert optional error +/////////////////////// +reg [2:0] num_errors, next_num_errors; +assign damaged_code = code_out ^ error_sig; + +/////////////////////// +// decoder unit +/////////////////////// +ecc_decode_32bit dec +( + .clk(clk), + .rst(rst), + .c(damaged_code), + .d(recovered_data), + .no_err(no_err), + .err_corrected(err_corrected), + .err_fatal(err_fatal) +); +defparam dec .MIDDLE_REG = 1; +defparam dec .OUTPUT_REG = 1; + + +//////////////////////// +// main control +//////////////////////// +reg fail; +reg [TOTAL_LATENCY:0] flushing; + +initial begin + clk = 0; + rst = 0; + num_errors = 0; + error_sig = 0; + data_in = 0; + fail = 0; + + #10 rst = 1; + #10 rst = 0; + + // wait for the pipe to fill + #10 + if (!flushing[TOTAL_LATENCY]) begin + @(posedge flushing[TOTAL_LATENCY]); + end + fail = 0; + + #10000000 if (!fail) $display ("PASS"); + else $display ("FAIL"); + $stop(); +end + +integer n = 0; +reg [6:0] which_bit; + +//////////////////////// +// new random stimulus +//////////////////////// +always @(negedge clk or posedge rst) begin + next_data_in = {$random,$random}; + + // create an error signal with a few randomly + // placed 1's. + next_num_errors = $random; + next_num_errors = next_num_errors % 5; + + next_error_sig = 0; + for (n=0; n0; i=i-1) begin + delayed_data[i] <= delayed_data[i-1]; + delayed_num_errors[i] <= delayed_num_errors[i-1]; + end + end +end + +always @(*) begin + delayed_num_errors[0] = num_errors; + delayed_data[0] = data_in; +end + +/////////////////////////////// +// capture and check results +/////////////////////////////// + +integer wrong_bits = 0; +reg [DATA_BITS-1:0] recovered_error; + +integer stats_3bit_pass = 0; +integer stats_3bit_one = 0; +integer stats_3bit_two = 0; + +integer stats_4bit_pass = 0; +integer stats_4bit_one = 0; +integer stats_4bit_two = 0; + +integer z; + +always @(posedge clk or posedge rst) begin + #20 + + // count the number of wrong bits in the + // recovered data. + wrong_bits = 0; + recovered_error = delayed_data[TOTAL_LATENCY] ^ recovered_data; + for (z=0;z<64;z=z+1) + begin + if (recovered_error[z]) wrong_bits = wrong_bits + 1; + end + + #1 + + if (delayed_num_errors[TOTAL_LATENCY] == 0) begin + if (wrong_bits !== 0) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b100) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 1) begin + // 1 bit errors need to be flagged and repaired + if (wrong_bits !== 0) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b010) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 2) begin + // 2 bit errors need to be detected + // and not made any worse + if (wrong_bits > 2) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b001) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 3) begin + // 3 bit errors need to be detected as parity error + // they will be mistakenly corrected as 1 bit errors + if ({no_err,err_corrected,err_fatal} == 3'b100) + stats_3bit_pass = stats_3bit_pass + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b010) + stats_3bit_one = stats_3bit_one + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b001) + stats_3bit_two = stats_3bit_two + 1; + end + else begin + // 4 + bit errors + if ({no_err,err_corrected,err_fatal} == 3'b100) + stats_4bit_pass = stats_4bit_pass + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b010) + stats_4bit_one = stats_4bit_one + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b001) + stats_4bit_two = stats_4bit_two + 1; + end + + // early exit for failure + if (fail) begin + #100 $display ("Mismatch at time %d",$time); + $stop(); + end +end + +always begin + #100 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/ecc/ecc_64bit_tb.v b/Advanced Synthesis Cookbook/ecc/ecc_64bit_tb.v new file mode 100644 index 0000000..affcc23 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_64bit_tb.v @@ -0,0 +1,247 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 +// revd 08-25-2006 + +module ecc_64bit_tb (); + +// this should be the sum of encode and +// decode registers +parameter TOTAL_LATENCY = 2; + +parameter DATA_BITS = 64; +parameter TOTAL_BITS = 72; + +reg [DATA_BITS-1:0] data_in,next_data_in; +wire [TOTAL_BITS-1:0] code_out; +reg [TOTAL_BITS-1:0] error_sig,next_error_sig; +wire [TOTAL_BITS-1:0] damaged_code; +wire [DATA_BITS-1:0] recovered_data; +wire no_err,err_corrected,err_fatal; + +reg clk,rst; + +/////////////////////// +// encoder unit +/////////////////////// +ecc_encode_64bit enc +( + .d(data_in), + .c(code_out) +); + +/////////////////////// +// insert optional error +/////////////////////// +reg [2:0] num_errors, next_num_errors; +assign damaged_code = code_out ^ error_sig; + +/////////////////////// +// decoder unit +/////////////////////// +ecc_decode_64bit dec +( + .clk(clk), + .rst(rst), + .c(damaged_code), + .d(recovered_data), + .no_err(no_err), + .err_corrected(err_corrected), + .err_fatal(err_fatal) +); +defparam dec .MIDDLE_REG = 1; +defparam dec .OUTPUT_REG = 1; + + +//////////////////////// +// main control +//////////////////////// +reg fail; +reg [TOTAL_LATENCY:0] flushing; + +initial begin + clk = 0; + rst = 0; + num_errors = 0; + error_sig = 0; + data_in = 0; + fail = 0; + + #10 rst = 1; + #10 rst = 0; + + // wait for the pipe to fill + #10 + if (!flushing[TOTAL_LATENCY]) begin + @(posedge flushing[TOTAL_LATENCY]); + end + fail = 0; + + #10000000 if (!fail) $display ("PASS"); + else $display ("FAIL"); + $stop(); +end + +integer n = 0; +reg [6:0] which_bit; + +//////////////////////// +// new random stimulus +//////////////////////// +always @(negedge clk or posedge rst) begin + next_data_in = {$random,$random}; + + // create an error signal with a few randomly + // placed 1's. + next_num_errors = $random; + next_num_errors = next_num_errors % 5; + + next_error_sig = 0; + for (n=0; n0; i=i-1) begin + delayed_data[i] <= delayed_data[i-1]; + delayed_num_errors[i] <= delayed_num_errors[i-1]; + end + end +end + +always @(*) begin + delayed_num_errors[0] = num_errors; + delayed_data[0] = data_in; +end + +/////////////////////////////// +// capture and check results +/////////////////////////////// + +integer wrong_bits = 0; +reg [DATA_BITS-1:0] recovered_error; + +integer stats_3bit_pass = 0; +integer stats_3bit_one = 0; +integer stats_3bit_two = 0; + +integer stats_4bit_pass = 0; +integer stats_4bit_one = 0; +integer stats_4bit_two = 0; + +integer z; + +always @(posedge clk or posedge rst) begin + #20 + + // count the number of wrong bits in the + // recovered data. + wrong_bits = 0; + recovered_error = delayed_data[TOTAL_LATENCY] ^ recovered_data; + for (z=0;z<64;z=z+1) + begin + if (recovered_error[z]) wrong_bits = wrong_bits + 1; + end + + #1 + + if (delayed_num_errors[TOTAL_LATENCY] == 0) begin + if (wrong_bits !== 0) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b100) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 1) begin + // 1 bit errors need to be flagged and repaired + if (wrong_bits !== 0) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b010) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 2) begin + // 2 bit errors need to be detected + // and not made any worse + if (wrong_bits > 2) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b001) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 3) begin + // 3 bit errors need to be detected as parity error + // they will be mistakenly corrected as 1 bit errors + if ({no_err,err_corrected,err_fatal} == 3'b100) + stats_3bit_pass = stats_3bit_pass + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b010) + stats_3bit_one = stats_3bit_one + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b001) + stats_3bit_two = stats_3bit_two + 1; + end + else begin + // 4 + bit errors + if ({no_err,err_corrected,err_fatal} == 3'b100) + stats_4bit_pass = stats_4bit_pass + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b010) + stats_4bit_one = stats_4bit_one + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b001) + stats_4bit_two = stats_4bit_two + 1; + end + + // early exit for failure + if (fail) begin + #100 $display ("Mismatch at time %d",$time); + $stop(); + end +end + +always begin + #100 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/ecc/ecc_8bit_tb.v b/Advanced Synthesis Cookbook/ecc/ecc_8bit_tb.v new file mode 100644 index 0000000..1195473 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_8bit_tb.v @@ -0,0 +1,249 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-20-2006 + +module ecc_8bit_tb (); + +// this should be the sum of encode and +// decode registers +parameter TOTAL_LATENCY = 2; + +reg [7:0] data_in,next_data_in; +wire [12:0] code_out; +reg [12:0] error_sig,next_error_sig; +wire [12:0] damaged_code; +wire [7:0] recovered_data; +wire no_err,err_corrected,err_fatal; + +reg clk,rst; + +/////////////////////// +// encoder unit +/////////////////////// +ecc_encode_8bit enc +( + .d(data_in), + .c(code_out) +); + +/////////////////////// +// insert optional error +/////////////////////// +reg [2:0] num_errors, next_num_errors; +assign damaged_code = code_out ^ error_sig; + +/////////////////////// +// decoder unit +/////////////////////// +ecc_decode_8bit dec +( + .clk(clk), + .rst(rst), + .c(damaged_code), + .d(recovered_data), + .no_err(no_err), + .err_corrected(err_corrected), + .err_fatal(err_fatal) +); +defparam dec .MIDDLE_REG = 1; +defparam dec .OUTPUT_REG = 1; + + +//////////////////////// +// main control +//////////////////////// +reg fail; +reg [TOTAL_LATENCY:0] flushing; + +initial begin + clk = 0; + rst = 0; + num_errors = 0; + error_sig = 0; + data_in = 8'b0; + fail = 0; + + #10 rst = 1; + #10 rst = 0; + + // wait for the pipe to fill + #10 + if (!flushing[TOTAL_LATENCY]) begin + @(posedge flushing[TOTAL_LATENCY]); + end + fail = 0; + + #10000000 if (!fail) $display ("PASS"); + else $display ("FAIL"); + $stop(); +end + +integer n = 0; +reg [6:0] which_bit; + +//////////////////////// +// new random stimulus +//////////////////////// +always @(negedge clk or posedge rst) begin + next_data_in = {$random,$random}; + + // create an error signal with a few randomly + // placed 1's. + next_num_errors = $random; + next_num_errors = next_num_errors % 5; + + next_error_sig = 13'b0; + for (n=0; n0; i=i-1) begin + delayed_data[i] <= delayed_data[i-1]; + delayed_num_errors[i] <= delayed_num_errors[i-1]; + end + end +end + +always @(*) begin + delayed_num_errors[0] = num_errors; + delayed_data[0] = data_in; +end + +/////////////////////////////// +// capture and check results +/////////////////////////////// + +integer wrong_bits = 0; +reg [12:0] recovered_error; + +integer stats_3bit_pass = 0; +integer stats_3bit_one = 0; +integer stats_3bit_two = 0; + +integer stats_4bit_pass = 0; +integer stats_4bit_one = 0; +integer stats_4bit_two = 0; + +integer z; + +always @(posedge clk or posedge rst) begin + #20 + + // count the number of wrong bits in the + // recovered data. + wrong_bits = 0; + recovered_error = delayed_data[TOTAL_LATENCY] ^ recovered_data; + for (z=0;z<13;z=z+1) + begin + if (recovered_error[z]) wrong_bits = wrong_bits + 1; + end + + #1 + + if (delayed_num_errors[TOTAL_LATENCY] == 0) begin + if (wrong_bits !== 0) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b100) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 1) begin + // 1 bit errors need to be flagged and repaired + if (wrong_bits !== 0) begin + $display ("Single bit error was not corrected"); + fail = 1; + end + if ({no_err,err_corrected,err_fatal} !== 3'b010) begin + $display ("Single bit error was not flagged"); + fail = 1; + end + end + else if (delayed_num_errors[TOTAL_LATENCY] == 2) begin + // 2 bit errors need to be detected + // and not made any worse + if (wrong_bits > 2) fail = 1; + if ({no_err,err_corrected,err_fatal} !== 3'b001) fail = 1; + end + else if (delayed_num_errors[TOTAL_LATENCY] == 3) begin + // 3 bit errors need to be detected as parity error + // they will be mistakenly corrected as 1 bit errors + if ({no_err,err_corrected,err_fatal} == 3'b100) + stats_3bit_pass = stats_3bit_pass + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b010) + stats_3bit_one = stats_3bit_one + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b001) + stats_3bit_two = stats_3bit_two + 1; + end + else begin + // 4 + bit errors + if ({no_err,err_corrected,err_fatal} == 3'b100) + stats_4bit_pass = stats_4bit_pass + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b010) + stats_4bit_one = stats_4bit_one + 1; + else if ({no_err,err_corrected,err_fatal} == 3'b001) + stats_4bit_two = stats_4bit_two + 1; + end + + // early exit for failure + if (fail) begin + #100 $display ("Mismatch at time %d",$time); + $stop(); + end +end + +always begin + #100 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/ecc/ecc_generate.cpp b/Advanced Synthesis Cookbook/ecc/ecc_generate.cpp new file mode 100644 index 0000000..2a15126 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_generate.cpp @@ -0,0 +1,727 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-06-2006 +// reved to be more parameterized 08-25-2006 +// +// Build verilog factored XORs for error correction encode +// matrix. Not very fancy because there isn't any good reuse +// to be had on the depth 2 6-LUT solution. Needs a bit of +// hand balancing to reflect smaller luts being cheaper. +// +// And then the decoder and appropriate helper modules. Variable +// latency controlled by parameters. + +#include + +// 64 -> 72 (8) +// 32 -> 39 (7) +// 16 -> 22 (6) +// 8 -> 13 (5) + +int const DATA_BITS = 8; +int const SYN_BITS = 5; +int const TOTAL_BITS = DATA_BITS + SYN_BITS; + + +unsigned char matrix[64][72+1] = +{ +"11100000000000000000000000000000000000000000000000000000000000000000000", +"10011000000000000000000000000000000000000000000000000000000000000000000", +"01010100000000000000000000000000000000000000000000000000000000000000000", +"11010010000000000000000000000000000000000000000000000000000000000000000", +"10000001100000000000000000000000000000000000000000000000000000000000000", +"01000001010000000000000000000000000000000000000000000000000000000000000", +"11000001001000000000000000000000000000000000000000000000000000000000000", +"00010001000100000000000000000000000000000000000000000000000000000000000", +"10010001000010000000000000000000000000000000000000000000000000000000000", +"01010001000001000000000000000000000000000000000000000000000000000000000", +"11010001000000100000000000000000000000000000000000000000000000000000000", +"10000000000000011000000000000000000000000000000000000000000000000000000", +"01000000000000010100000000000000000000000000000000000000000000000000000", +"11000000000000010010000000000000000000000000000000000000000000000000000", +"00010000000000010001000000000000000000000000000000000000000000000000000", +"10010000000000010000100000000000000000000000000000000000000000000000000", +"01010000000000010000010000000000000000000000000000000000000000000000000", +"11010000000000010000001000000000000000000000000000000000000000000000000", +"00000001000000010000000100000000000000000000000000000000000000000000000", +"10000001000000010000000010000000000000000000000000000000000000000000000", +"01000001000000010000000001000000000000000000000000000000000000000000000", +"11000001000000010000000000100000000000000000000000000000000000000000000", +"00010001000000010000000000010000000000000000000000000000000000000000000", +"10010001000000010000000000001000000000000000000000000000000000000000000", +"01010001000000010000000000000100000000000000000000000000000000000000000", +"11010001000000010000000000000010000000000000000000000000000000000000000", +"10000000000000000000000000000001100000000000000000000000000000000000000", +"01000000000000000000000000000001010000000000000000000000000000000000000", +"11000000000000000000000000000001001000000000000000000000000000000000000", +"00010000000000000000000000000001000100000000000000000000000000000000000", +"10010000000000000000000000000001000010000000000000000000000000000000000", +"01010000000000000000000000000001000001000000000000000000000000000000000", +"11010000000000000000000000000001000000100000000000000000000000000000000", +"00000001000000000000000000000001000000010000000000000000000000000000000", +"10000001000000000000000000000001000000001000000000000000000000000000000", +"01000001000000000000000000000001000000000100000000000000000000000000000", +"11000001000000000000000000000001000000000010000000000000000000000000000", +"00010001000000000000000000000001000000000001000000000000000000000000000", +"10010001000000000000000000000001000000000000100000000000000000000000000", +"01010001000000000000000000000001000000000000010000000000000000000000000", +"11010001000000000000000000000001000000000000001000000000000000000000000", +"00000000000000010000000000000001000000000000000100000000000000000000000", +"10000000000000010000000000000001000000000000000010000000000000000000000", +"01000000000000010000000000000001000000000000000001000000000000000000000", +"11000000000000010000000000000001000000000000000000100000000000000000000", +"00010000000000010000000000000001000000000000000000010000000000000000000", +"10010000000000010000000000000001000000000000000000001000000000000000000", +"01010000000000010000000000000001000000000000000000000100000000000000000", +"11010000000000010000000000000001000000000000000000000010000000000000000", +"00000001000000010000000000000001000000000000000000000001000000000000000", +"10000001000000010000000000000001000000000000000000000000100000000000000", +"01000001000000010000000000000001000000000000000000000000010000000000000", +"11000001000000010000000000000001000000000000000000000000001000000000000", +"00010001000000010000000000000001000000000000000000000000000100000000000", +"10010001000000010000000000000001000000000000000000000000000010000000000", +"01010001000000010000000000000001000000000000000000000000000001000000000", +"11010001000000010000000000000001000000000000000000000000000000100000000", +"10000000000000000000000000000000000000000000000000000000000000011000000", +"01000000000000000000000000000000000000000000000000000000000000010100000", +"11000000000000000000000000000000000000000000000000000000000000010010000", +"00010000000000000000000000000000000000000000000000000000000000010001000", +"10010000000000000000000000000000000000000000000000000000000000010000100", +"01010000000000000000000000000000000000000000000000000000000000010000010", +"11010000000000000000000000000000000000000000000000000000000000010000001" +}; + + +void dump_ecc_coder (FILE * f) +{ + int x=0,y=0; + int num_terms = 0; + int lutsize = 6; + int n=0,i=0,num_helpers=0,num_ins_this_helper=0; + + fprintf (f,"// baeckler - 08-25-2006 \n\n"); + + fprintf (f,"//////////////////////////////////////////\n"); + fprintf (f,"// %d bit to %d bit ECC encoder\n",DATA_BITS,TOTAL_BITS); + fprintf (f,"//////////////////////////////////////////\n\n"); + fprintf (f,"module ecc_encode_%dbit (d,c);\n\n",DATA_BITS); + fprintf (f,"input [%d:0] d;\n",DATA_BITS-1); + fprintf (f,"output [%d:0] c;\n",TOTAL_BITS-1); + fprintf (f,"wire [%d:0] c;\n\n",TOTAL_BITS-1); + + // the MSB of the code is the parity of the other code outs. + // re-express it in terms of the data inputs in the MSB postion + // of the matrix. + for (y=0; y=0;n--) + { + fprintf (f,"dw[%d] & %ss[6] & !fatal_s6_%s", + bit_syndrome[n] & 0x3f, + ((bit_syndrome[n] & 0x40) != 0 ? "" : "!"), + ((bit_syndrome[n] & 0x40) != 0 ? "hot" : "cold")); + if (n!=0) fprintf (f,","); + if ((n%4) == 0) fprintf (f,"\n "); + } + fprintf (f,"};\n\n"); + } + else + { + //////////////////////////////////////////////////////// + // non - 64 bit decoder + //////////////////////////////////////////////////////// + fprintf (f," // decode the syndrome\n"); + fprintf (f," reg [%d:0] d;\n",4*DATA_BITS-1); + fprintf (f," wire [%d:0] dw /* synthesis keep */;\n",4*DATA_BITS-1); + fprintf (f," always @(s) begin\n"); + fprintf (f," d = %d'b0;\n",4*DATA_BITS); + fprintf (f," d[{!s[%d],s[%d:0]}] = 1'b1;\n",SYN_BITS-1,SYN_BITS-2); + fprintf (f," end\n"); + fprintf (f," assign dw = d;\n\n"); + + fprintf (f," // Identify uncorrectable errors\n"); + fprintf (f," wire fatal = (|s[%d:0]) & !s[%d] /* synthesis keep */;\n", + SYN_BITS-2,SYN_BITS-1); + + fprintf (f," assign e = {\n "); + + for (n=DATA_BITS-1;n>=0;n--) + { + fprintf (f,"dw[%d]", + bit_syndrome[n] & ((1<=0;n--) + { + fprintf (f,"c[%d]",bit_syndrome[n]-1); + if (n!=0) fprintf (f,","); + if ((n%8) == 0) fprintf (f,"\n "); + } + fprintf (f,"};\n\n"); + + fprintf (f," // conditional output register\n"); + fprintf (f," generate\n"); + fprintf (f," if (REGISTER) begin\n"); + fprintf (f," always @(posedge clk or posedge rst) begin\n"); + fprintf (f," if (rst) d <= 0;\n"); + fprintf (f," else d <= d_int;\n"); + fprintf (f," end\n"); + fprintf (f," end else begin\n"); + fprintf (f," always @(d_int) begin\n"); + fprintf (f," d <= d_int;\n"); + fprintf (f," end\n"); + fprintf (f," end\n"); + fprintf (f," endgenerate\n\n"); + + fprintf (f,"endmodule\n\n"); + + fprintf (f,"//////////////////////////////////////////\n"); + fprintf (f,"// %d bit to %d bit ECC decoder\n",TOTAL_BITS,DATA_BITS); + fprintf (f,"//////////////////////////////////////////\n\n"); + fprintf (f,"module ecc_decode_%dbit (clk,rst,c,d,no_err,err_corrected,err_fatal);\n\n",DATA_BITS); + + fprintf (f,"// optional pipeline registers at the halfway\n"); + fprintf (f,"// point and on the outputs\n"); + fprintf (f,"parameter MIDDLE_REG = 0;\n"); + fprintf (f,"parameter OUTPUT_REG = 0;\n\n"); + + fprintf (f,"input clk,rst;\n"); + fprintf (f,"input [%d:0] c;\n",TOTAL_BITS-1); + fprintf (f,"output [%d:0] d;\n",DATA_BITS-1); + fprintf (f,"output no_err, err_corrected, err_fatal;\n\n"); + + fprintf (f,"reg [%d:0] d;\n",DATA_BITS-1); + fprintf (f,"reg no_err, err_corrected, err_fatal;\n\n"); + + fprintf (f," // Pull the raw (uncorrected) data from the codeword\n"); + fprintf (f," wire [%d:0] raw_bits;\n",DATA_BITS-1); + fprintf (f," ecc_raw_data_%dbit raw (.clk(clk),.rst(rst),.c(c),.d(raw_bits));\n\n",DATA_BITS); + fprintf (f," defparam raw .REGISTER = MIDDLE_REG;\n"); + + fprintf (f," // Build syndrome, which will be 0 for correct\n"); + fprintf (f," // correct codewords, otherwise a pointer to the\n"); + fprintf (f," // error.\n"); + fprintf (f," wire [%d:0] syndrome;\n",SYN_BITS-1); + fprintf (f," ecc_syndrome_%dbit syn (.clk(clk),.rst(rst),.c(c),.s(syndrome));\n",DATA_BITS); + fprintf (f," defparam syn .REGISTER = MIDDLE_REG;\n\n"); + + fprintf (f," // Use the the syndrome to find a correction, or 0 for no correction\n"); + fprintf (f," wire [%d:0] err_flip;\n",DATA_BITS-1); + fprintf (f," wire fatal;\n"); + fprintf (f," ecc_correction_%dbit cor (.s(syndrome),.e(err_flip),.fatal(fatal));\n\n",DATA_BITS); + + fprintf (f," // Classify error types and correct data as appropriate\n"); + fprintf (f," // If there is a multibit error take care not to make \n"); + fprintf (f," // the data worse.\n"); + fprintf (f," generate\n"); + fprintf (f," if (OUTPUT_REG) begin\n"); + fprintf (f," always @(posedge clk or posedge rst) begin\n"); + fprintf (f," if (rst) begin\n"); + fprintf (f," no_err <= 1'b0;\n"); + fprintf (f," err_corrected <= 1'b0;\n"); + fprintf (f," err_fatal <= 1'b0;\n"); + fprintf (f," d <= 1'b0;\n"); + fprintf (f," end else begin\n"); + fprintf (f," no_err <= ~| syndrome;\n"); + fprintf (f," err_corrected <= syndrome[%d];\n",SYN_BITS-1); + fprintf (f," err_fatal <= fatal;\n\n"); + fprintf (f," d <= err_flip ^ raw_bits;\n"); + fprintf (f," end\n"); + fprintf (f," end\n"); + fprintf (f," end else begin\n"); + fprintf (f," always @(*) begin\n"); + fprintf (f," no_err = ~| syndrome;\n"); + fprintf (f," err_corrected = syndrome[%d];\n",SYN_BITS-1); + fprintf (f," err_fatal = fatal;\n\n"); + fprintf (f," d = err_flip ^ raw_bits;\n"); + fprintf (f," end\n"); + fprintf (f," end\n"); + fprintf (f," endgenerate\n\n"); + + fprintf (f,"endmodule\n\n"); +} + +//////////////////////////////////////////////////////////// + +void dump_ecc_ram (FILE * f) +{ + fprintf (f,"// baeckler - 07-10-2006\n"); + fprintf (f,"// %d-%d ECC internal RAM\n",DATA_BITS,TOTAL_BITS); + fprintf (f,"//\n"); + fprintf (f,"module soft_ecc_ram_%dbit (\n",DATA_BITS); + fprintf (f," rst,\n"); + fprintf (f," address_a,\n"); + fprintf (f," address_b,\n"); + fprintf (f," clock_a,\n"); + fprintf (f," clock_b,\n"); + fprintf (f," data_a,\n"); + fprintf (f," data_b,\n"); + fprintf (f," wren_a,\n"); + fprintf (f," wren_b,\n"); + fprintf (f," q_a,\n"); + fprintf (f," q_b,\n"); + fprintf (f," err_a,\n"); + fprintf (f," err_b\n"); + fprintf (f,");\n"); + fprintf (f,"\n"); + fprintf (f,"`include \"log2.inc\"\n"); + fprintf (f,"\n"); + fprintf (f,"// Number of %d bit data words (stored as %d bit words internally)\n",DATA_BITS,TOTAL_BITS); + fprintf (f,"parameter NUM_WORDS = 512;\n"); + fprintf (f,"localparam ADDR_WIDTH = log2(NUM_WORDS-1);\n"); + fprintf (f,"\n"); + fprintf (f,"// For testing error detection / correction\n"); + fprintf (f,"// a 1 bit indicates inversion of the corresponding code bit\n"); + fprintf (f,"// on the encoded RAM output.\n"); + fprintf (f,"parameter PORT_A_ERROR_INJECT = %d'b0;\n",TOTAL_BITS); + fprintf (f,"parameter PORT_B_ERROR_INJECT = %d'b0;\n",TOTAL_BITS); + fprintf (f,"\n"); + fprintf (f," input rst;\n"); + fprintf (f," input [ADDR_WIDTH-1:0] address_a;\n"); + fprintf (f," input [ADDR_WIDTH-1:0] address_b;\n"); + fprintf (f," input clock_a;\n"); + fprintf (f," input clock_b;\n"); + fprintf (f," input [%d:0] data_a;\n",DATA_BITS-1); + fprintf (f," input [%d:0] data_b;\n",DATA_BITS-1); + fprintf (f," input wren_a;\n"); + fprintf (f," input wren_b;\n"); + fprintf (f," output [%d:0] q_a;\n",DATA_BITS-1); + fprintf (f," output [%d:0] q_b;\n",DATA_BITS-1); + fprintf (f," output [2:0] err_a;\n"); + fprintf (f," output [2:0] err_b;\n"); + fprintf (f,"\n"); + fprintf (f,"\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"// port A encoder\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"reg [%d:0] data_a_reg;\n",DATA_BITS-1); + fprintf (f,"always @(posedge clock_a or posedge rst) begin\n"); + fprintf (f," if (rst) data_a_reg <= %d'b0;\n",DATA_BITS); + fprintf (f," else data_a_reg <= data_a;\n"); + fprintf (f,"end\n"); + fprintf (f,"wire [%d:0] data_a_code;\n",TOTAL_BITS-1); + fprintf (f,"ecc_encode_%dbit enc_a (.d(data_a_reg),.c(data_a_code));\n",DATA_BITS); + fprintf (f,"\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"// port B encoder\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"reg [%d:0] data_b_reg;\n",DATA_BITS-1); + fprintf (f,"always @(posedge clock_b or posedge rst) begin\n"); + fprintf (f," if (rst) data_b_reg <= %d'b0;\n",DATA_BITS); + fprintf (f," else data_b_reg <= data_b;\n"); + fprintf (f,"end\n"); + fprintf (f,"wire [%d:0] data_b_code;\n",TOTAL_BITS-1); + fprintf (f,"ecc_encode_%dbit enc_b (.d(data_b_reg),.c(data_b_code));\n",DATA_BITS); + fprintf (f,"\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"// RAM block (%d bit words)\n",TOTAL_BITS); + fprintf (f,"///////////////////////\n"); + fprintf (f,"wire [%d:0] q_a_code;\n",TOTAL_BITS-1); + fprintf (f,"wire [%d:0] q_b_code;\n",TOTAL_BITS-1); + fprintf (f,"ram_block ram (\n"); + fprintf (f," .aclr_a(rst),\n"); + fprintf (f," .aclr_b(rst),\n"); + fprintf (f," .address_a(address_a),\n"); + fprintf (f," .address_b(address_b),\n"); + fprintf (f," .clock_a(clock_a),\n"); + fprintf (f," .clock_b(clock_b),\n"); + fprintf (f," .data_a(data_a_code),\n"); + fprintf (f," .data_b(data_b_code),\n"); + fprintf (f," .wren_a(wren_a),\n"); + fprintf (f," .wren_b(wren_b),\n"); + fprintf (f," .q_a(q_a_code),\n"); + fprintf (f," .q_b(q_b_code)\n"); + fprintf (f,");\n"); + fprintf (f,"defparam ram .NUM_WORDS = NUM_WORDS;\n"); + fprintf (f,"defparam ram .DAT_WIDTH = %d;\n",TOTAL_BITS); + fprintf (f,"\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"// port A decoder\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"ecc_decode_%dbit dec_a (\n",DATA_BITS); + fprintf (f," .clk(clock_a),\n"); + fprintf (f," .rst(rst),\n"); + fprintf (f," .c(q_a_code ^ PORT_A_ERROR_INJECT),\n"); + fprintf (f," .d(q_a),\n"); + fprintf (f," .no_err(err_a[0]),\n"); + fprintf (f," .err_corrected(err_a[1]),\n"); + fprintf (f," .err_fatal(err_a[2]));\n"); + fprintf (f,"\n"); + fprintf (f,"defparam dec_a .OUTPUT_REG = 1;\n"); + fprintf (f,"defparam dec_a .MIDDLE_REG = 1;\n"); + fprintf (f,"\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"// port B decoder\n"); + fprintf (f,"///////////////////////\n"); + fprintf (f,"ecc_decode_%dbit dec_b (\n",DATA_BITS); + fprintf (f," .clk(clock_b),\n"); + fprintf (f," .rst(rst),\n"); + fprintf (f," .c(q_b_code ^ PORT_B_ERROR_INJECT),\n"); + fprintf (f," .d(q_b),\n"); + fprintf (f," .no_err(err_b[0]),\n"); + fprintf (f," .err_corrected(err_b[1]),\n"); + fprintf (f," .err_fatal(err_b[2]));\n"); + fprintf (f,"\n"); + fprintf (f,"defparam dec_b .OUTPUT_REG = 1;\n"); + fprintf (f,"defparam dec_b .MIDDLE_REG = 1;\n"); + fprintf (f,"\n"); + fprintf (f,"endmodule\n"); +} + +int main (void) +{ + char buffer [255]; + FILE * f = NULL; + + //////////////// + // build the encode and decode functions + sprintf (buffer,"ecc_matrix_%dbit.v",DATA_BITS); + f = fopen (buffer,"wt"); + if (!f) + { + fprintf (stdout,"ERROR : Unable to write file %s\n",buffer); + return (1); + } + dump_ecc_coder (f); + fclose (f); + + //////////////// + // build a soft RAM with 2 enc/dec ports + sprintf (buffer,"soft_ecc_ram_%dbit.v",DATA_BITS); + f = fopen (buffer,"wt"); + if (!f) + { + fprintf (stdout,"ERROR : Unable to write file %s\n",buffer); + return (1); + } + dump_ecc_ram (f); + fclose (f); + return (0); +} + diff --git a/Advanced Synthesis Cookbook/ecc/ecc_matrix_16bit.v b/Advanced Synthesis Cookbook/ecc/ecc_matrix_16bit.v new file mode 100644 index 0000000..1c9560b --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_matrix_16bit.v @@ -0,0 +1,335 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 08-25-2006 + +////////////////////////////////////////// +// 16 bit to 22 bit ECC encoder +////////////////////////////////////////// + +module ecc_encode_16bit (d,c); + +input [15:0] d; +output [21:0] c; +wire [21:0] c; + + wire [1:0] help_c0; + xor6 help_c0_0 (help_c0[0],d[0],d[1],d[3],d[4],d[6],d[8]); + xor6 help_c0_1 (help_c0[1],d[10],d[11],d[13],d[15],1'b0,1'b0); + assign c[0] = ^help_c0; + + wire [1:0] help_c1; + xor6 help_c1_0 (help_c1[0],d[0],d[2],d[3],d[5],d[6],d[9]); + xor6 help_c1_1 (help_c1[1],d[10],d[12],d[13],1'b0,1'b0,1'b0); + assign c[1] = ^help_c1; + + assign c[2] = d[0]; + wire [1:0] help_c3; + xor6 help_c3_0 (help_c3[0],d[1],d[2],d[3],d[7],d[8],d[9]); + xor6 help_c3_1 (help_c3[1],d[10],d[14],d[15],1'b0,1'b0,1'b0); + assign c[3] = ^help_c3; + + assign c[4] = d[1]; + assign c[5] = d[2]; + assign c[6] = d[3]; + wire [1:0] help_c7; + xor6 help_c7_0 (help_c7[0],d[4],d[5],d[6],d[7],d[8],d[9]); + assign help_c7[1] = d[10]; + assign c[7] = ^help_c7; + + assign c[8] = d[4]; + assign c[9] = d[5]; + assign c[10] = d[6]; + assign c[11] = d[7]; + assign c[12] = d[8]; + assign c[13] = d[9]; + assign c[14] = d[10]; + assign c[15] = d[11] ^ d[12] ^ d[13] ^ d[14] ^ d[15]; + assign c[16] = d[11]; + assign c[17] = d[12]; + assign c[18] = d[13]; + assign c[19] = d[14]; + assign c[20] = d[15]; + wire [1:0] help_c21; + xor6 help_c21_0 (help_c21[0],d[0],d[1],d[2],d[4],d[5],d[7]); + xor6 help_c21_1 (help_c21[1],d[10],d[11],d[12],d[14],1'b0,1'b0); + assign c[21] = ^help_c21; + +endmodule + +////////////////////////////////////////// +// compute a syndrome from the code word +////////////////////////////////////////// + +module ecc_syndrome_16bit (clk,rst,c,s); + +// optional register on the outputs +// of bits 0..6 and back one level in bit 7 +parameter REGISTER = 0; + +input clk,rst; +input [21:0] c; +output [5:0] s; +reg [5:0] s; + + // 11 terms + wire [1:0] help_s0; + xor6 help_s0_0 (help_s0[0],c[0],c[2],c[4],c[6],c[8],c[10]); + xor6 help_s0_1 (help_s0[1],c[12],c[14],c[16],c[18],c[20],1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[0] <= 0; + else s[0] <= ^help_s0; + end + end else begin + always @(help_s0) begin + s[0] = ^help_s0; + end + end + endgenerate + + // 10 terms + wire [1:0] help_s1; + xor6 help_s1_0 (help_s1[0],c[1],c[2],c[5],c[6],c[9],c[10]); + xor6 help_s1_1 (help_s1[1],c[13],c[14],c[17],c[18],1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[1] <= 0; + else s[1] <= ^help_s1; + end + end else begin + always @(help_s1) begin + s[1] = ^help_s1; + end + end + endgenerate + + // 10 terms + wire [1:0] help_s2; + xor6 help_s2_0 (help_s2[0],c[3],c[4],c[5],c[6],c[11],c[12]); + xor6 help_s2_1 (help_s2[1],c[13],c[14],c[19],c[20],1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[2] <= 0; + else s[2] <= ^help_s2; + end + end else begin + always @(help_s2) begin + s[2] = ^help_s2; + end + end + endgenerate + + // 8 terms + wire [1:0] help_s3; + xor6 help_s3_0 (help_s3[0],c[7],c[8],c[9],c[10],c[11],c[12]); + xor6 help_s3_1 (help_s3[1],c[13],c[14],1'b0,1'b0,1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[3] <= 0; + else s[3] <= ^help_s3; + end + end else begin + always @(help_s3) begin + s[3] = ^help_s3; + end + end + endgenerate + + // 6 terms + wire [0:0] help_s4; + xor6 help_s4_0 (help_s4[0],c[15],c[16],c[17],c[18],c[19],c[20]); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[4] <= 0; + else s[4] <= ^help_s4; + end + end else begin + always @(help_s4) begin + s[4] = ^help_s4; + end + end + endgenerate + + // 22 terms + wire [3:0] help_s5; + xor6 help_s5_0 (help_s5[0],c[0],c[1],c[2],c[3],c[4],c[5]); + xor6 help_s5_1 (help_s5[1],c[6],c[7],c[8],c[9],c[10],c[11]); + xor6 help_s5_2 (help_s5[2],c[12],c[13],c[14],c[15],c[16],c[17]); + xor6 help_s5_3 (help_s5[3],c[18],c[19],c[20],c[21],1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[5] <= 0; + else s[5] <= ^help_s5; + end + end else begin + always @(help_s5) begin + s[5] = ^help_s5; + end + end + endgenerate + +endmodule + +////////////////////////////////////////// +// From the syndrome compute the correction +// needed to fix the data, or set fatal = 1 +// and no correction if there are too many. +////////////////////////////////////////// +module ecc_correction_16bit (s,e,fatal); + +input [5:0] s; +output [15:0] e; +output fatal; +wire [15:0] e; + + // decode the syndrome + reg [63:0] d; + wire [63:0] dw /* synthesis keep */; + always @(s) begin + d = 64'b0; + d[{!s[5],s[4:0]}] = 1'b1; + end + assign dw = d; + + // Identify uncorrectable errors + wire fatal = (|s[4:0]) & !s[5] /* synthesis keep */; + assign e = { + dw[21],dw[20],dw[19],dw[18], + dw[17],dw[15],dw[14],dw[13], + dw[12],dw[11],dw[10],dw[9], + dw[7],dw[6],dw[5],dw[3] + }; + + +endmodule + +////////////////////////////////////////// +// select the (uncorrected) data bits out +// of the code word. +////////////////////////////////////////// + +module ecc_raw_data_16bit (clk,rst,c,d); +parameter REGISTER = 0; +input clk,rst; +input [21:0] c; +output [15:0] d; +reg [15:0] d; + +wire [15:0] d_int; + + // pull out the pure data bits + assign d_int = { + c[20],c[19],c[18],c[17],c[16],c[14],c[13],c[12], + c[11],c[10],c[9],c[8],c[6],c[5],c[4],c[2] + }; + + // conditional output register + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) d <= 0; + else d <= d_int; + end + end else begin + always @(d_int) begin + d <= d_int; + end + end + endgenerate + +endmodule + +////////////////////////////////////////// +// 22 bit to 16 bit ECC decoder +////////////////////////////////////////// + +module ecc_decode_16bit (clk,rst,c,d,no_err,err_corrected,err_fatal); + +// optional pipeline registers at the halfway +// point and on the outputs +parameter MIDDLE_REG = 0; +parameter OUTPUT_REG = 0; + +input clk,rst; +input [21:0] c; +output [15:0] d; +output no_err, err_corrected, err_fatal; + +reg [15:0] d; +reg no_err, err_corrected, err_fatal; + + // Pull the raw (uncorrected) data from the codeword + wire [15:0] raw_bits; + ecc_raw_data_16bit raw (.clk(clk),.rst(rst),.c(c),.d(raw_bits)); + + defparam raw .REGISTER = MIDDLE_REG; + // Build syndrome, which will be 0 for correct + // correct codewords, otherwise a pointer to the + // error. + wire [5:0] syndrome; + ecc_syndrome_16bit syn (.clk(clk),.rst(rst),.c(c),.s(syndrome)); + defparam syn .REGISTER = MIDDLE_REG; + + // Use the the syndrome to find a correction, or 0 for no correction + wire [15:0] err_flip; + wire fatal; + ecc_correction_16bit cor (.s(syndrome),.e(err_flip),.fatal(fatal)); + + // Classify error types and correct data as appropriate + // If there is a multibit error take care not to make + // the data worse. + generate + if (OUTPUT_REG) begin + always @(posedge clk or posedge rst) begin + if (rst) begin + no_err <= 1'b0; + err_corrected <= 1'b0; + err_fatal <= 1'b0; + d <= 1'b0; + end else begin + no_err <= ~| syndrome; + err_corrected <= syndrome[5]; + err_fatal <= fatal; + + d <= err_flip ^ raw_bits; + end + end + end else begin + always @(*) begin + no_err = ~| syndrome; + err_corrected = syndrome[5]; + err_fatal = fatal; + + d = err_flip ^ raw_bits; + end + end + endgenerate + +endmodule + diff --git a/Advanced Synthesis Cookbook/ecc/ecc_matrix_32bit.v b/Advanced Synthesis Cookbook/ecc/ecc_matrix_32bit.v new file mode 100644 index 0000000..cb5f29c --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_matrix_32bit.v @@ -0,0 +1,400 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 08-25-2006 + +////////////////////////////////////////// +// 32 bit to 39 bit ECC encoder +////////////////////////////////////////// + +module ecc_encode_32bit (d,c); + +input [31:0] d; +output [38:0] c; +wire [38:0] c; + + wire [2:0] help_c0; + xor6 help_c0_0 (help_c0[0],d[0],d[1],d[3],d[4],d[6],d[8]); + xor6 help_c0_1 (help_c0[1],d[10],d[11],d[13],d[15],d[17],d[19]); + xor6 help_c0_2 (help_c0[2],d[21],d[23],d[25],d[26],d[28],d[30]); + assign c[0] = ^help_c0; + + wire [2:0] help_c1; + xor6 help_c1_0 (help_c1[0],d[0],d[2],d[3],d[5],d[6],d[9]); + xor6 help_c1_1 (help_c1[1],d[10],d[12],d[13],d[16],d[17],d[20]); + xor6 help_c1_2 (help_c1[2],d[21],d[24],d[25],d[27],d[28],d[31]); + assign c[1] = ^help_c1; + + assign c[2] = d[0]; + wire [2:0] help_c3; + xor6 help_c3_0 (help_c3[0],d[1],d[2],d[3],d[7],d[8],d[9]); + xor6 help_c3_1 (help_c3[1],d[10],d[14],d[15],d[16],d[17],d[22]); + xor6 help_c3_2 (help_c3[2],d[23],d[24],d[25],d[29],d[30],d[31]); + assign c[3] = ^help_c3; + + assign c[4] = d[1]; + assign c[5] = d[2]; + assign c[6] = d[3]; + wire [2:0] help_c7; + xor6 help_c7_0 (help_c7[0],d[4],d[5],d[6],d[7],d[8],d[9]); + xor6 help_c7_1 (help_c7[1],d[10],d[18],d[19],d[20],d[21],d[22]); + xor6 help_c7_2 (help_c7[2],d[23],d[24],d[25],1'b0,1'b0,1'b0); + assign c[7] = ^help_c7; + + assign c[8] = d[4]; + assign c[9] = d[5]; + assign c[10] = d[6]; + assign c[11] = d[7]; + assign c[12] = d[8]; + assign c[13] = d[9]; + assign c[14] = d[10]; + wire [2:0] help_c15; + xor6 help_c15_0 (help_c15[0],d[11],d[12],d[13],d[14],d[15],d[16]); + xor6 help_c15_1 (help_c15[1],d[17],d[18],d[19],d[20],d[21],d[22]); + xor6 help_c15_2 (help_c15[2],d[23],d[24],d[25],1'b0,1'b0,1'b0); + assign c[15] = ^help_c15; + + assign c[16] = d[11]; + assign c[17] = d[12]; + assign c[18] = d[13]; + assign c[19] = d[14]; + assign c[20] = d[15]; + assign c[21] = d[16]; + assign c[22] = d[17]; + assign c[23] = d[18]; + assign c[24] = d[19]; + assign c[25] = d[20]; + assign c[26] = d[21]; + assign c[27] = d[22]; + assign c[28] = d[23]; + assign c[29] = d[24]; + assign c[30] = d[25]; + wire [0:0] help_c31; + xor6 help_c31_0 (help_c31[0],d[26],d[27],d[28],d[29],d[30],d[31]); + assign c[31] = ^help_c31; + + assign c[32] = d[26]; + assign c[33] = d[27]; + assign c[34] = d[28]; + assign c[35] = d[29]; + assign c[36] = d[30]; + assign c[37] = d[31]; + wire [2:0] help_c38; + xor6 help_c38_0 (help_c38[0],d[0],d[1],d[2],d[4],d[5],d[7]); + xor6 help_c38_1 (help_c38[1],d[10],d[11],d[12],d[14],d[17],d[18]); + xor6 help_c38_2 (help_c38[2],d[21],d[23],d[24],d[26],d[27],d[29]); + assign c[38] = ^help_c38; + +endmodule + +////////////////////////////////////////// +// compute a syndrome from the code word +////////////////////////////////////////// + +module ecc_syndrome_32bit (clk,rst,c,s); + +// optional register on the outputs +// of bits 0..6 and back one level in bit 7 +parameter REGISTER = 0; + +input clk,rst; +input [38:0] c; +output [6:0] s; +reg [6:0] s; + + // 19 terms + wire [3:0] help_s0; + xor6 help_s0_0 (help_s0[0],c[0],c[2],c[4],c[6],c[8],c[10]); + xor6 help_s0_1 (help_s0[1],c[12],c[14],c[16],c[18],c[20],c[22]); + xor6 help_s0_2 (help_s0[2],c[24],c[26],c[28],c[30],c[32],c[34]); + assign help_s0[3] = c[36]; + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[0] <= 0; + else s[0] <= ^help_s0; + end + end else begin + always @(help_s0) begin + s[0] = ^help_s0; + end + end + endgenerate + + // 19 terms + wire [3:0] help_s1; + xor6 help_s1_0 (help_s1[0],c[1],c[2],c[5],c[6],c[9],c[10]); + xor6 help_s1_1 (help_s1[1],c[13],c[14],c[17],c[18],c[21],c[22]); + xor6 help_s1_2 (help_s1[2],c[25],c[26],c[29],c[30],c[33],c[34]); + assign help_s1[3] = c[37]; + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[1] <= 0; + else s[1] <= ^help_s1; + end + end else begin + always @(help_s1) begin + s[1] = ^help_s1; + end + end + endgenerate + + // 19 terms + wire [3:0] help_s2; + xor6 help_s2_0 (help_s2[0],c[3],c[4],c[5],c[6],c[11],c[12]); + xor6 help_s2_1 (help_s2[1],c[13],c[14],c[19],c[20],c[21],c[22]); + xor6 help_s2_2 (help_s2[2],c[27],c[28],c[29],c[30],c[35],c[36]); + assign help_s2[3] = c[37]; + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[2] <= 0; + else s[2] <= ^help_s2; + end + end else begin + always @(help_s2) begin + s[2] = ^help_s2; + end + end + endgenerate + + // 16 terms + wire [2:0] help_s3; + xor6 help_s3_0 (help_s3[0],c[7],c[8],c[9],c[10],c[11],c[12]); + xor6 help_s3_1 (help_s3[1],c[13],c[14],c[23],c[24],c[25],c[26]); + xor6 help_s3_2 (help_s3[2],c[27],c[28],c[29],c[30],1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[3] <= 0; + else s[3] <= ^help_s3; + end + end else begin + always @(help_s3) begin + s[3] = ^help_s3; + end + end + endgenerate + + // 16 terms + wire [2:0] help_s4; + xor6 help_s4_0 (help_s4[0],c[15],c[16],c[17],c[18],c[19],c[20]); + xor6 help_s4_1 (help_s4[1],c[21],c[22],c[23],c[24],c[25],c[26]); + xor6 help_s4_2 (help_s4[2],c[27],c[28],c[29],c[30],1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[4] <= 0; + else s[4] <= ^help_s4; + end + end else begin + always @(help_s4) begin + s[4] = ^help_s4; + end + end + endgenerate + + // 7 terms + wire [1:0] help_s5; + xor6 help_s5_0 (help_s5[0],c[31],c[32],c[33],c[34],c[35],c[36]); + assign help_s5[1] = c[37]; + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[5] <= 0; + else s[5] <= ^help_s5; + end + end else begin + always @(help_s5) begin + s[5] = ^help_s5; + end + end + endgenerate + + // 39 terms + wire [6:0] help_s6; + xor6 help_s6_0 (help_s6[0],c[0],c[1],c[2],c[3],c[4],c[5]); + xor6 help_s6_1 (help_s6[1],c[6],c[7],c[8],c[9],c[10],c[11]); + xor6 help_s6_2 (help_s6[2],c[12],c[13],c[14],c[15],c[16],c[17]); + xor6 help_s6_3 (help_s6[3],c[18],c[19],c[20],c[21],c[22],c[23]); + xor6 help_s6_4 (help_s6[4],c[24],c[25],c[26],c[27],c[28],c[29]); + xor6 help_s6_5 (help_s6[5],c[30],c[31],c[32],c[33],c[34],c[35]); + xor6 help_s6_6 (help_s6[6],c[36],c[37],c[38],1'b0,1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[6] <= 0; + else s[6] <= ^help_s6; + end + end else begin + always @(help_s6) begin + s[6] = ^help_s6; + end + end + endgenerate + +endmodule + +////////////////////////////////////////// +// From the syndrome compute the correction +// needed to fix the data, or set fatal = 1 +// and no correction if there are too many. +////////////////////////////////////////// +module ecc_correction_32bit (s,e,fatal); + +input [6:0] s; +output [31:0] e; +output fatal; +wire [31:0] e; + + // decode the syndrome + reg [127:0] d; + wire [127:0] dw /* synthesis keep */; + always @(s) begin + d = 128'b0; + d[{!s[6],s[5:0]}] = 1'b1; + end + assign dw = d; + + // Identify uncorrectable errors + wire fatal = (|s[5:0]) & !s[6] /* synthesis keep */; + assign e = { + dw[38],dw[37],dw[36],dw[35], + dw[34],dw[33],dw[31],dw[30], + dw[29],dw[28],dw[27],dw[26], + dw[25],dw[24],dw[23],dw[22], + dw[21],dw[20],dw[19],dw[18], + dw[17],dw[15],dw[14],dw[13], + dw[12],dw[11],dw[10],dw[9], + dw[7],dw[6],dw[5],dw[3] + }; + + +endmodule + +////////////////////////////////////////// +// select the (uncorrected) data bits out +// of the code word. +////////////////////////////////////////// + +module ecc_raw_data_32bit (clk,rst,c,d); +parameter REGISTER = 0; +input clk,rst; +input [38:0] c; +output [31:0] d; +reg [31:0] d; + +wire [31:0] d_int; + + // pull out the pure data bits + assign d_int = { + c[37],c[36],c[35],c[34],c[33],c[32],c[30],c[29], + c[28],c[27],c[26],c[25],c[24],c[23],c[22],c[21], + c[20],c[19],c[18],c[17],c[16],c[14],c[13],c[12], + c[11],c[10],c[9],c[8],c[6],c[5],c[4],c[2] + }; + + // conditional output register + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) d <= 0; + else d <= d_int; + end + end else begin + always @(d_int) begin + d <= d_int; + end + end + endgenerate + +endmodule + +////////////////////////////////////////// +// 39 bit to 32 bit ECC decoder +////////////////////////////////////////// + +module ecc_decode_32bit (clk,rst,c,d,no_err,err_corrected,err_fatal); + +// optional pipeline registers at the halfway +// point and on the outputs +parameter MIDDLE_REG = 0; +parameter OUTPUT_REG = 0; + +input clk,rst; +input [38:0] c; +output [31:0] d; +output no_err, err_corrected, err_fatal; + +reg [31:0] d; +reg no_err, err_corrected, err_fatal; + + // Pull the raw (uncorrected) data from the codeword + wire [31:0] raw_bits; + ecc_raw_data_32bit raw (.clk(clk),.rst(rst),.c(c),.d(raw_bits)); + + defparam raw .REGISTER = MIDDLE_REG; + // Build syndrome, which will be 0 for correct + // correct codewords, otherwise a pointer to the + // error. + wire [6:0] syndrome; + ecc_syndrome_32bit syn (.clk(clk),.rst(rst),.c(c),.s(syndrome)); + defparam syn .REGISTER = MIDDLE_REG; + + // Use the the syndrome to find a correction, or 0 for no correction + wire [31:0] err_flip; + wire fatal; + ecc_correction_32bit cor (.s(syndrome),.e(err_flip),.fatal(fatal)); + + // Classify error types and correct data as appropriate + // If there is a multibit error take care not to make + // the data worse. + generate + if (OUTPUT_REG) begin + always @(posedge clk or posedge rst) begin + if (rst) begin + no_err <= 1'b0; + err_corrected <= 1'b0; + err_fatal <= 1'b0; + d <= 1'b0; + end else begin + no_err <= ~| syndrome; + err_corrected <= syndrome[6]; + err_fatal <= fatal; + + d <= err_flip ^ raw_bits; + end + end + end else begin + always @(*) begin + no_err = ~| syndrome; + err_corrected = syndrome[6]; + err_fatal = fatal; + + d = err_flip ^ raw_bits; + end + end + endgenerate + +endmodule + diff --git a/Advanced Synthesis Cookbook/ecc/ecc_matrix_64bit.v b/Advanced Synthesis Cookbook/ecc/ecc_matrix_64bit.v new file mode 100644 index 0000000..1f0d4bd --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_matrix_64bit.v @@ -0,0 +1,528 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 08-25-2006 + +////////////////////////////////////////// +// 64 bit to 72 bit ECC encoder +////////////////////////////////////////// + +module ecc_encode_64bit (d,c); + +input [63:0] d; +output [71:0] c; +wire [71:0] c; + + wire [5:0] help_c0; + xor6 help_c0_0 (help_c0[0],d[0],d[1],d[3],d[4],d[6],d[8]); + xor6 help_c0_1 (help_c0[1],d[10],d[11],d[13],d[15],d[17],d[19]); + xor6 help_c0_2 (help_c0[2],d[21],d[23],d[25],d[26],d[28],d[30]); + xor6 help_c0_3 (help_c0[3],d[32],d[34],d[36],d[38],d[40],d[42]); + xor6 help_c0_4 (help_c0[4],d[44],d[46],d[48],d[50],d[52],d[54]); + xor6 help_c0_5 (help_c0[5],d[56],d[57],d[59],d[61],d[63],1'b0); + assign c[0] = ^help_c0; + + wire [5:0] help_c1; + xor6 help_c1_0 (help_c1[0],d[0],d[2],d[3],d[5],d[6],d[9]); + xor6 help_c1_1 (help_c1[1],d[10],d[12],d[13],d[16],d[17],d[20]); + xor6 help_c1_2 (help_c1[2],d[21],d[24],d[25],d[27],d[28],d[31]); + xor6 help_c1_3 (help_c1[3],d[32],d[35],d[36],d[39],d[40],d[43]); + xor6 help_c1_4 (help_c1[4],d[44],d[47],d[48],d[51],d[52],d[55]); + xor6 help_c1_5 (help_c1[5],d[56],d[58],d[59],d[62],d[63],1'b0); + assign c[1] = ^help_c1; + + assign c[2] = d[0]; + wire [5:0] help_c3; + xor6 help_c3_0 (help_c3[0],d[1],d[2],d[3],d[7],d[8],d[9]); + xor6 help_c3_1 (help_c3[1],d[10],d[14],d[15],d[16],d[17],d[22]); + xor6 help_c3_2 (help_c3[2],d[23],d[24],d[25],d[29],d[30],d[31]); + xor6 help_c3_3 (help_c3[3],d[32],d[37],d[38],d[39],d[40],d[45]); + xor6 help_c3_4 (help_c3[4],d[46],d[47],d[48],d[53],d[54],d[55]); + xor6 help_c3_5 (help_c3[5],d[56],d[60],d[61],d[62],d[63],1'b0); + assign c[3] = ^help_c3; + + assign c[4] = d[1]; + assign c[5] = d[2]; + assign c[6] = d[3]; + wire [5:0] help_c7; + xor6 help_c7_0 (help_c7[0],d[4],d[5],d[6],d[7],d[8],d[9]); + xor6 help_c7_1 (help_c7[1],d[10],d[18],d[19],d[20],d[21],d[22]); + xor6 help_c7_2 (help_c7[2],d[23],d[24],d[25],d[33],d[34],d[35]); + xor6 help_c7_3 (help_c7[3],d[36],d[37],d[38],d[39],d[40],d[49]); + xor6 help_c7_4 (help_c7[4],d[50],d[51],d[52],d[53],d[54],d[55]); + assign help_c7[5] = d[56]; + assign c[7] = ^help_c7; + + assign c[8] = d[4]; + assign c[9] = d[5]; + assign c[10] = d[6]; + assign c[11] = d[7]; + assign c[12] = d[8]; + assign c[13] = d[9]; + assign c[14] = d[10]; + wire [5:0] help_c15; + xor6 help_c15_0 (help_c15[0],d[11],d[12],d[13],d[14],d[15],d[16]); + xor6 help_c15_1 (help_c15[1],d[17],d[18],d[19],d[20],d[21],d[22]); + xor6 help_c15_2 (help_c15[2],d[23],d[24],d[25],d[41],d[42],d[43]); + xor6 help_c15_3 (help_c15[3],d[44],d[45],d[46],d[47],d[48],d[49]); + xor6 help_c15_4 (help_c15[4],d[50],d[51],d[52],d[53],d[54],d[55]); + assign help_c15[5] = d[56]; + assign c[15] = ^help_c15; + + assign c[16] = d[11]; + assign c[17] = d[12]; + assign c[18] = d[13]; + assign c[19] = d[14]; + assign c[20] = d[15]; + assign c[21] = d[16]; + assign c[22] = d[17]; + assign c[23] = d[18]; + assign c[24] = d[19]; + assign c[25] = d[20]; + assign c[26] = d[21]; + assign c[27] = d[22]; + assign c[28] = d[23]; + assign c[29] = d[24]; + assign c[30] = d[25]; + wire [5:0] help_c31; + xor6 help_c31_0 (help_c31[0],d[26],d[27],d[28],d[29],d[30],d[31]); + xor6 help_c31_1 (help_c31[1],d[32],d[33],d[34],d[35],d[36],d[37]); + xor6 help_c31_2 (help_c31[2],d[38],d[39],d[40],d[41],d[42],d[43]); + xor6 help_c31_3 (help_c31[3],d[44],d[45],d[46],d[47],d[48],d[49]); + xor6 help_c31_4 (help_c31[4],d[50],d[51],d[52],d[53],d[54],d[55]); + assign help_c31[5] = d[56]; + assign c[31] = ^help_c31; + + assign c[32] = d[26]; + assign c[33] = d[27]; + assign c[34] = d[28]; + assign c[35] = d[29]; + assign c[36] = d[30]; + assign c[37] = d[31]; + assign c[38] = d[32]; + assign c[39] = d[33]; + assign c[40] = d[34]; + assign c[41] = d[35]; + assign c[42] = d[36]; + assign c[43] = d[37]; + assign c[44] = d[38]; + assign c[45] = d[39]; + assign c[46] = d[40]; + assign c[47] = d[41]; + assign c[48] = d[42]; + assign c[49] = d[43]; + assign c[50] = d[44]; + assign c[51] = d[45]; + assign c[52] = d[46]; + assign c[53] = d[47]; + assign c[54] = d[48]; + assign c[55] = d[49]; + assign c[56] = d[50]; + assign c[57] = d[51]; + assign c[58] = d[52]; + assign c[59] = d[53]; + assign c[60] = d[54]; + assign c[61] = d[55]; + assign c[62] = d[56]; + wire [1:0] help_c63; + xor6 help_c63_0 (help_c63[0],d[57],d[58],d[59],d[60],d[61],d[62]); + assign help_c63[1] = d[63]; + assign c[63] = ^help_c63; + + assign c[64] = d[57]; + assign c[65] = d[58]; + assign c[66] = d[59]; + assign c[67] = d[60]; + assign c[68] = d[61]; + assign c[69] = d[62]; + assign c[70] = d[63]; + wire [5:0] help_c71; + xor6 help_c71_0 (help_c71[0],d[0],d[1],d[2],d[4],d[5],d[7]); + xor6 help_c71_1 (help_c71[1],d[10],d[11],d[12],d[14],d[17],d[18]); + xor6 help_c71_2 (help_c71[2],d[21],d[23],d[24],d[26],d[27],d[29]); + xor6 help_c71_3 (help_c71[3],d[32],d[33],d[36],d[38],d[39],d[41]); + xor6 help_c71_4 (help_c71[4],d[44],d[46],d[47],d[50],d[51],d[53]); + xor6 help_c71_5 (help_c71[5],d[56],d[57],d[58],d[60],d[63],1'b0); + assign c[71] = ^help_c71; + +endmodule + +////////////////////////////////////////// +// compute a syndrome from the code word +////////////////////////////////////////// + +module ecc_syndrome_64bit (clk,rst,c,s); + +// optional register on the outputs +// of bits 0..6 and back one level in bit 7 +parameter REGISTER = 0; + +input clk,rst; +input [71:0] c; +output [7:0] s; +reg [7:0] s; + + // 36 terms + wire [5:0] help_s0; + xor6 help_s0_0 (help_s0[0],c[0],c[2],c[4],c[6],c[8],c[10]); + xor6 help_s0_1 (help_s0[1],c[12],c[14],c[16],c[18],c[20],c[22]); + xor6 help_s0_2 (help_s0[2],c[24],c[26],c[28],c[30],c[32],c[34]); + xor6 help_s0_3 (help_s0[3],c[36],c[38],c[40],c[42],c[44],c[46]); + xor6 help_s0_4 (help_s0[4],c[48],c[50],c[52],c[54],c[56],c[58]); + xor6 help_s0_5 (help_s0[5],c[60],c[62],c[64],c[66],c[68],c[70]); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[0] <= 0; + else s[0] <= ^help_s0; + end + end else begin + always @(help_s0) begin + s[0] = ^help_s0; + end + end + endgenerate + + // 36 terms + wire [5:0] help_s1; + xor6 help_s1_0 (help_s1[0],c[1],c[2],c[5],c[6],c[9],c[10]); + xor6 help_s1_1 (help_s1[1],c[13],c[14],c[17],c[18],c[21],c[22]); + xor6 help_s1_2 (help_s1[2],c[25],c[26],c[29],c[30],c[33],c[34]); + xor6 help_s1_3 (help_s1[3],c[37],c[38],c[41],c[42],c[45],c[46]); + xor6 help_s1_4 (help_s1[4],c[49],c[50],c[53],c[54],c[57],c[58]); + xor6 help_s1_5 (help_s1[5],c[61],c[62],c[65],c[66],c[69],c[70]); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[1] <= 0; + else s[1] <= ^help_s1; + end + end else begin + always @(help_s1) begin + s[1] = ^help_s1; + end + end + endgenerate + + // 36 terms + wire [5:0] help_s2; + xor6 help_s2_0 (help_s2[0],c[3],c[4],c[5],c[6],c[11],c[12]); + xor6 help_s2_1 (help_s2[1],c[13],c[14],c[19],c[20],c[21],c[22]); + xor6 help_s2_2 (help_s2[2],c[27],c[28],c[29],c[30],c[35],c[36]); + xor6 help_s2_3 (help_s2[3],c[37],c[38],c[43],c[44],c[45],c[46]); + xor6 help_s2_4 (help_s2[4],c[51],c[52],c[53],c[54],c[59],c[60]); + xor6 help_s2_5 (help_s2[5],c[61],c[62],c[67],c[68],c[69],c[70]); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[2] <= 0; + else s[2] <= ^help_s2; + end + end else begin + always @(help_s2) begin + s[2] = ^help_s2; + end + end + endgenerate + + // 32 terms + wire [5:0] help_s3; + xor6 help_s3_0 (help_s3[0],c[7],c[8],c[9],c[10],c[11],c[12]); + xor6 help_s3_1 (help_s3[1],c[13],c[14],c[23],c[24],c[25],c[26]); + xor6 help_s3_2 (help_s3[2],c[27],c[28],c[29],c[30],c[39],c[40]); + xor6 help_s3_3 (help_s3[3],c[41],c[42],c[43],c[44],c[45],c[46]); + xor6 help_s3_4 (help_s3[4],c[55],c[56],c[57],c[58],c[59],c[60]); + xor6 help_s3_5 (help_s3[5],c[61],c[62],1'b0,1'b0,1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[3] <= 0; + else s[3] <= ^help_s3; + end + end else begin + always @(help_s3) begin + s[3] = ^help_s3; + end + end + endgenerate + + // 32 terms + wire [5:0] help_s4; + xor6 help_s4_0 (help_s4[0],c[15],c[16],c[17],c[18],c[19],c[20]); + xor6 help_s4_1 (help_s4[1],c[21],c[22],c[23],c[24],c[25],c[26]); + xor6 help_s4_2 (help_s4[2],c[27],c[28],c[29],c[30],c[47],c[48]); + xor6 help_s4_3 (help_s4[3],c[49],c[50],c[51],c[52],c[53],c[54]); + xor6 help_s4_4 (help_s4[4],c[55],c[56],c[57],c[58],c[59],c[60]); + xor6 help_s4_5 (help_s4[5],c[61],c[62],1'b0,1'b0,1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[4] <= 0; + else s[4] <= ^help_s4; + end + end else begin + always @(help_s4) begin + s[4] = ^help_s4; + end + end + endgenerate + + // 32 terms + wire [5:0] help_s5; + xor6 help_s5_0 (help_s5[0],c[31],c[32],c[33],c[34],c[35],c[36]); + xor6 help_s5_1 (help_s5[1],c[37],c[38],c[39],c[40],c[41],c[42]); + xor6 help_s5_2 (help_s5[2],c[43],c[44],c[45],c[46],c[47],c[48]); + xor6 help_s5_3 (help_s5[3],c[49],c[50],c[51],c[52],c[53],c[54]); + xor6 help_s5_4 (help_s5[4],c[55],c[56],c[57],c[58],c[59],c[60]); + xor6 help_s5_5 (help_s5[5],c[61],c[62],1'b0,1'b0,1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[5] <= 0; + else s[5] <= ^help_s5; + end + end else begin + always @(help_s5) begin + s[5] = ^help_s5; + end + end + endgenerate + + // 8 terms + wire [1:0] help_s6; + xor6 help_s6_0 (help_s6[0],c[63],c[64],c[65],c[66],c[67],c[68]); + xor6 help_s6_1 (help_s6[1],c[69],c[70],1'b0,1'b0,1'b0,1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[6] <= 0; + else s[6] <= ^help_s6; + end + end else begin + always @(help_s6) begin + s[6] = ^help_s6; + end + end + endgenerate + + // 72 terms + wire [11:0] help_s7; + xor6 help_s7_0 (help_s7[0],c[0],c[1],c[2],c[3],c[4],c[5]); + xor6 help_s7_1 (help_s7[1],c[6],c[7],c[8],c[9],c[10],c[11]); + xor6 help_s7_2 (help_s7[2],c[12],c[13],c[14],c[15],c[16],c[17]); + xor6 help_s7_3 (help_s7[3],c[18],c[19],c[20],c[21],c[22],c[23]); + xor6 help_s7_4 (help_s7[4],c[24],c[25],c[26],c[27],c[28],c[29]); + xor6 help_s7_5 (help_s7[5],c[30],c[31],c[32],c[33],c[34],c[35]); + xor6 help_s7_6 (help_s7[6],c[36],c[37],c[38],c[39],c[40],c[41]); + xor6 help_s7_7 (help_s7[7],c[42],c[43],c[44],c[45],c[46],c[47]); + xor6 help_s7_8 (help_s7[8],c[48],c[49],c[50],c[51],c[52],c[53]); + xor6 help_s7_9 (help_s7[9],c[54],c[55],c[56],c[57],c[58],c[59]); + xor6 help_s7_10 (help_s7[10],c[60],c[61],c[62],c[63],c[64],c[65]); + xor6 help_s7_11 (help_s7[11],c[66],c[67],c[68],c[69],c[70],c[71]); + + // the parity bit has too much fanin + // register it a bit higher for balance + reg [11:0] help_s7_r; + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) help_s7_r <= 0; + else help_s7_r <= help_s7; + end + end else begin + always @(help_s7) begin + help_s7_r = help_s7; + end + end + endgenerate + + // group the parity helper XORs + wire par_0, par_1; + xor6 par_0x (par_0,help_s7_r[0],help_s7_r[1],help_s7_r[2],help_s7_r[3],help_s7_r[4],help_s7_r[5]); + xor6 par_1x (par_1,help_s7_r[6],help_s7_r[7],help_s7_r[8],help_s7_r[9],help_s7_r[10],help_s7_r[11]); + + always @(par_0 or par_1) begin + s[7] = par_0 ^ par_1; + end + +endmodule + +////////////////////////////////////////// +// From the syndrome compute the correction +// needed to fix the data, or set fatal = 1 +// and no correction if there are too many. +////////////////////////////////////////// +module ecc_correction_64bit (s,e,fatal); + +input [7:0] s; +output [63:0] e; +output fatal; +wire [63:0] e; + + // decode the lower part of syndrome + reg [63:0] d; + wire [63:0] dw /* synthesis keep */; + always @(s) begin + d = 64'b0; + d[s[5:0]] = 1'b1; + end + assign dw = d; + + // Identify uncorrectable errors + // and unroll the s[6] ODC condition to help + // synthesis get minimum depth + wire or_syn50 = |(s[5:0]) /* synthesis keep */; + wire fatal = (s[6] & !s[7]) | (or_syn50 & !s[7]); + wire fatal_s6_cold = (or_syn50 & !s[7]); + wire fatal_s6_hot = !s[7]; + assign e = { + dw[7] & s[6] & !fatal_s6_hot,dw[6] & s[6] & !fatal_s6_hot,dw[5] & s[6] & !fatal_s6_hot,dw[4] & s[6] & !fatal_s6_hot, + dw[3] & s[6] & !fatal_s6_hot,dw[2] & s[6] & !fatal_s6_hot,dw[1] & s[6] & !fatal_s6_hot,dw[63] & !s[6] & !fatal_s6_cold, + dw[62] & !s[6] & !fatal_s6_cold,dw[61] & !s[6] & !fatal_s6_cold,dw[60] & !s[6] & !fatal_s6_cold,dw[59] & !s[6] & !fatal_s6_cold, + dw[58] & !s[6] & !fatal_s6_cold,dw[57] & !s[6] & !fatal_s6_cold,dw[56] & !s[6] & !fatal_s6_cold,dw[55] & !s[6] & !fatal_s6_cold, + dw[54] & !s[6] & !fatal_s6_cold,dw[53] & !s[6] & !fatal_s6_cold,dw[52] & !s[6] & !fatal_s6_cold,dw[51] & !s[6] & !fatal_s6_cold, + dw[50] & !s[6] & !fatal_s6_cold,dw[49] & !s[6] & !fatal_s6_cold,dw[48] & !s[6] & !fatal_s6_cold,dw[47] & !s[6] & !fatal_s6_cold, + dw[46] & !s[6] & !fatal_s6_cold,dw[45] & !s[6] & !fatal_s6_cold,dw[44] & !s[6] & !fatal_s6_cold,dw[43] & !s[6] & !fatal_s6_cold, + dw[42] & !s[6] & !fatal_s6_cold,dw[41] & !s[6] & !fatal_s6_cold,dw[40] & !s[6] & !fatal_s6_cold,dw[39] & !s[6] & !fatal_s6_cold, + dw[38] & !s[6] & !fatal_s6_cold,dw[37] & !s[6] & !fatal_s6_cold,dw[36] & !s[6] & !fatal_s6_cold,dw[35] & !s[6] & !fatal_s6_cold, + dw[34] & !s[6] & !fatal_s6_cold,dw[33] & !s[6] & !fatal_s6_cold,dw[31] & !s[6] & !fatal_s6_cold,dw[30] & !s[6] & !fatal_s6_cold, + dw[29] & !s[6] & !fatal_s6_cold,dw[28] & !s[6] & !fatal_s6_cold,dw[27] & !s[6] & !fatal_s6_cold,dw[26] & !s[6] & !fatal_s6_cold, + dw[25] & !s[6] & !fatal_s6_cold,dw[24] & !s[6] & !fatal_s6_cold,dw[23] & !s[6] & !fatal_s6_cold,dw[22] & !s[6] & !fatal_s6_cold, + dw[21] & !s[6] & !fatal_s6_cold,dw[20] & !s[6] & !fatal_s6_cold,dw[19] & !s[6] & !fatal_s6_cold,dw[18] & !s[6] & !fatal_s6_cold, + dw[17] & !s[6] & !fatal_s6_cold,dw[15] & !s[6] & !fatal_s6_cold,dw[14] & !s[6] & !fatal_s6_cold,dw[13] & !s[6] & !fatal_s6_cold, + dw[12] & !s[6] & !fatal_s6_cold,dw[11] & !s[6] & !fatal_s6_cold,dw[10] & !s[6] & !fatal_s6_cold,dw[9] & !s[6] & !fatal_s6_cold, + dw[7] & !s[6] & !fatal_s6_cold,dw[6] & !s[6] & !fatal_s6_cold,dw[5] & !s[6] & !fatal_s6_cold,dw[3] & !s[6] & !fatal_s6_cold + }; + + +endmodule + +////////////////////////////////////////// +// select the (uncorrected) data bits out +// of the code word. +////////////////////////////////////////// + +module ecc_raw_data_64bit (clk,rst,c,d); +parameter REGISTER = 0; +input clk,rst; +input [71:0] c; +output [63:0] d; +reg [63:0] d; + +wire [63:0] d_int; + + // pull out the pure data bits + assign d_int = { + c[70],c[69],c[68],c[67],c[66],c[65],c[64],c[62], + c[61],c[60],c[59],c[58],c[57],c[56],c[55],c[54], + c[53],c[52],c[51],c[50],c[49],c[48],c[47],c[46], + c[45],c[44],c[43],c[42],c[41],c[40],c[39],c[38], + c[37],c[36],c[35],c[34],c[33],c[32],c[30],c[29], + c[28],c[27],c[26],c[25],c[24],c[23],c[22],c[21], + c[20],c[19],c[18],c[17],c[16],c[14],c[13],c[12], + c[11],c[10],c[9],c[8],c[6],c[5],c[4],c[2] + }; + + // conditional output register + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) d <= 0; + else d <= d_int; + end + end else begin + always @(d_int) begin + d <= d_int; + end + end + endgenerate + +endmodule + +////////////////////////////////////////// +// 72 bit to 64 bit ECC decoder +////////////////////////////////////////// + +module ecc_decode_64bit (clk,rst,c,d,no_err,err_corrected,err_fatal); + +// optional pipeline registers at the halfway +// point and on the outputs +parameter MIDDLE_REG = 0; +parameter OUTPUT_REG = 0; + +input clk,rst; +input [71:0] c; +output [63:0] d; +output no_err, err_corrected, err_fatal; + +reg [63:0] d; +reg no_err, err_corrected, err_fatal; + + // Pull the raw (uncorrected) data from the codeword + wire [63:0] raw_bits; + ecc_raw_data_64bit raw (.clk(clk),.rst(rst),.c(c),.d(raw_bits)); + + defparam raw .REGISTER = MIDDLE_REG; + // Build syndrome, which will be 0 for correct + // correct codewords, otherwise a pointer to the + // error. + wire [7:0] syndrome; + ecc_syndrome_64bit syn (.clk(clk),.rst(rst),.c(c),.s(syndrome)); + defparam syn .REGISTER = MIDDLE_REG; + + // Use the the syndrome to find a correction, or 0 for no correction + wire [63:0] err_flip; + wire fatal; + ecc_correction_64bit cor (.s(syndrome),.e(err_flip),.fatal(fatal)); + + // Classify error types and correct data as appropriate + // If there is a multibit error take care not to make + // the data worse. + generate + if (OUTPUT_REG) begin + always @(posedge clk or posedge rst) begin + if (rst) begin + no_err <= 1'b0; + err_corrected <= 1'b0; + err_fatal <= 1'b0; + d <= 1'b0; + end else begin + no_err <= ~| syndrome; + err_corrected <= syndrome[7]; + err_fatal <= fatal; + + d <= err_flip ^ raw_bits; + end + end + end else begin + always @(*) begin + no_err = ~| syndrome; + err_corrected = syndrome[7]; + err_fatal = fatal; + + d = err_flip ^ raw_bits; + end + end + endgenerate + +endmodule + diff --git a/Advanced Synthesis Cookbook/ecc/ecc_matrix_8bit.v b/Advanced Synthesis Cookbook/ecc/ecc_matrix_8bit.v new file mode 100644 index 0000000..b7d0563 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ecc_matrix_8bit.v @@ -0,0 +1,285 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 08-25-2006 + +////////////////////////////////////////// +// 8 bit to 13 bit ECC encoder +////////////////////////////////////////// + +module ecc_encode_8bit (d,c); + +input [7:0] d; +output [12:0] c; +wire [12:0] c; + + assign c[0] = d[0] ^ d[1] ^ d[3] ^ d[4] ^ d[6]; + assign c[1] = d[0] ^ d[2] ^ d[3] ^ d[5] ^ d[6]; + assign c[2] = d[0]; + assign c[3] = d[1] ^ d[2] ^ d[3] ^ d[7]; + assign c[4] = d[1]; + assign c[5] = d[2]; + assign c[6] = d[3]; + assign c[7] = d[4] ^ d[5] ^ d[6] ^ d[7]; + assign c[8] = d[4]; + assign c[9] = d[5]; + assign c[10] = d[6]; + assign c[11] = d[7]; + wire [0:0] help_c12; + xor6 help_c12_0 (help_c12[0],d[0],d[1],d[2],d[4],d[5],d[7]); + assign c[12] = ^help_c12; + +endmodule + +////////////////////////////////////////// +// compute a syndrome from the code word +////////////////////////////////////////// + +module ecc_syndrome_8bit (clk,rst,c,s); + +// optional register on the outputs +// of bits 0..6 and back one level in bit 7 +parameter REGISTER = 0; + +input clk,rst; +input [12:0] c; +output [4:0] s; +reg [4:0] s; + + // 6 terms + wire [0:0] help_s0; + xor6 help_s0_0 (help_s0[0],c[0],c[2],c[4],c[6],c[8],c[10]); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[0] <= 0; + else s[0] <= ^help_s0; + end + end else begin + always @(help_s0) begin + s[0] = ^help_s0; + end + end + endgenerate + + // 6 terms + wire [0:0] help_s1; + xor6 help_s1_0 (help_s1[0],c[1],c[2],c[5],c[6],c[9],c[10]); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[1] <= 0; + else s[1] <= ^help_s1; + end + end else begin + always @(help_s1) begin + s[1] = ^help_s1; + end + end + endgenerate + + // 5 terms + wire [0:0] help_s2; + xor6 help_s2_0 (help_s2[0],c[3],c[4],c[5],c[6],c[11],1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[2] <= 0; + else s[2] <= ^help_s2; + end + end else begin + always @(help_s2) begin + s[2] = ^help_s2; + end + end + endgenerate + + // 5 terms + wire [0:0] help_s3; + xor6 help_s3_0 (help_s3[0],c[7],c[8],c[9],c[10],c[11],1'b0); + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[3] <= 0; + else s[3] <= ^help_s3; + end + end else begin + always @(help_s3) begin + s[3] = ^help_s3; + end + end + endgenerate + + // 13 terms + wire [2:0] help_s4; + xor6 help_s4_0 (help_s4[0],c[0],c[1],c[2],c[3],c[4],c[5]); + xor6 help_s4_1 (help_s4[1],c[6],c[7],c[8],c[9],c[10],c[11]); + assign help_s4[2] = c[12]; + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) s[4] <= 0; + else s[4] <= ^help_s4; + end + end else begin + always @(help_s4) begin + s[4] = ^help_s4; + end + end + endgenerate + +endmodule + +////////////////////////////////////////// +// From the syndrome compute the correction +// needed to fix the data, or set fatal = 1 +// and no correction if there are too many. +////////////////////////////////////////// +module ecc_correction_8bit (s,e,fatal); + +input [4:0] s; +output [7:0] e; +output fatal; +wire [7:0] e; + + // decode the syndrome + reg [31:0] d; + wire [31:0] dw /* synthesis keep */; + always @(s) begin + d = 32'b0; + d[{!s[4],s[3:0]}] = 1'b1; + end + assign dw = d; + + // Identify uncorrectable errors + wire fatal = (|s[3:0]) & !s[4] /* synthesis keep */; + assign e = { + dw[12],dw[11],dw[10],dw[9], + dw[7],dw[6],dw[5],dw[3] + }; + + +endmodule + +////////////////////////////////////////// +// select the (uncorrected) data bits out +// of the code word. +////////////////////////////////////////// + +module ecc_raw_data_8bit (clk,rst,c,d); +parameter REGISTER = 0; +input clk,rst; +input [12:0] c; +output [7:0] d; +reg [7:0] d; + +wire [7:0] d_int; + + // pull out the pure data bits + assign d_int = { + c[11],c[10],c[9],c[8],c[6],c[5],c[4],c[2] + }; + + // conditional output register + generate + if (REGISTER) begin + always @(posedge clk or posedge rst) begin + if (rst) d <= 0; + else d <= d_int; + end + end else begin + always @(d_int) begin + d <= d_int; + end + end + endgenerate + +endmodule + +////////////////////////////////////////// +// 13 bit to 8 bit ECC decoder +////////////////////////////////////////// + +module ecc_decode_8bit (clk,rst,c,d,no_err,err_corrected,err_fatal); + +// optional pipeline registers at the halfway +// point and on the outputs +parameter MIDDLE_REG = 0; +parameter OUTPUT_REG = 0; + +input clk,rst; +input [12:0] c; +output [7:0] d; +output no_err, err_corrected, err_fatal; + +reg [7:0] d; +reg no_err, err_corrected, err_fatal; + + // Pull the raw (uncorrected) data from the codeword + wire [7:0] raw_bits; + ecc_raw_data_8bit raw (.clk(clk),.rst(rst),.c(c),.d(raw_bits)); + + defparam raw .REGISTER = MIDDLE_REG; + // Build syndrome, which will be 0 for correct + // correct codewords, otherwise a pointer to the + // error. + wire [4:0] syndrome; + ecc_syndrome_8bit syn (.clk(clk),.rst(rst),.c(c),.s(syndrome)); + defparam syn .REGISTER = MIDDLE_REG; + + // Use the the syndrome to find a correction, or 0 for no correction + wire [7:0] err_flip; + wire fatal; + ecc_correction_8bit cor (.s(syndrome),.e(err_flip),.fatal(fatal)); + + // Classify error types and correct data as appropriate + // If there is a multibit error take care not to make + // the data worse. + generate + if (OUTPUT_REG) begin + always @(posedge clk or posedge rst) begin + if (rst) begin + no_err <= 1'b0; + err_corrected <= 1'b0; + err_fatal <= 1'b0; + d <= 1'b0; + end else begin + no_err <= ~| syndrome; + err_corrected <= syndrome[4]; + err_fatal <= fatal; + + d <= err_flip ^ raw_bits; + end + end + end else begin + always @(*) begin + no_err = ~| syndrome; + err_corrected = syndrome[4]; + err_fatal = fatal; + + d = err_flip ^ raw_bits; + end + end + endgenerate + +endmodule + diff --git a/Advanced Synthesis Cookbook/ecc/log2.inc b/Advanced Synthesis Cookbook/ecc/log2.inc new file mode 100644 index 0000000..20309bd --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/log2.inc @@ -0,0 +1,41 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-12-2006 +// helper function to compute LOG base 2 +// +// NOTE - This is a somewhat abusive definition of LOG2(v) as the +// number of bits required to represent "v". So log2(256) will be +// 9 rather than 8 (256 = 9'b1_0000_0000). I apologize for any +// confusion this may cause. +// + +function integer log2; + input integer val; + begin + log2 = 0; + while (val > 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/ecc/ram_block.v b/Advanced Synthesis Cookbook/ecc/ram_block.v new file mode 100644 index 0000000..792c0c6 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ram_block.v @@ -0,0 +1,113 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 +// Vanilla dual port RAM +// use the quartus/eda/sim_lib/altera_mf.v library to simulate +// +module ram_block ( + aclr_a, + aclr_b, + address_a, + address_b, + clock_a, + clock_b, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b +); + +`include "log2.inc" + +parameter NUM_WORDS = 512; +parameter DAT_WIDTH = 72; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); + + input aclr_a; + input aclr_b; + input [ADDR_WIDTH-1:0] address_a; + input [ADDR_WIDTH-1:0] address_b; + input clock_a; + input clock_b; + input [DAT_WIDTH-1:0] data_a; + input [DAT_WIDTH-1:0] data_b; + input wren_a; + input wren_b; + output [DAT_WIDTH-1:0] q_a; + output [DAT_WIDTH-1:0] q_b; + + altsyncram altsyncram_component ( + .wren_a (wren_a), + .aclr0 (aclr_a), + .clock0 (clock_a), + .wren_b (wren_b), + .aclr1 (aclr_b), + .clock1 (clock_b), + .address_a (address_a), + .address_b (address_b), + .data_a (data_a), + .data_b (data_b), + .q_a (q_a), + .q_b (q_b), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .eccstatus (), + .rden_a (1'b1), + .rden_b (1'b1) + ); + + defparam + altsyncram_component.address_reg_b = "CLOCK1", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_input_b = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.clock_enable_output_b = "BYPASS", + altsyncram_component.indata_reg_b = "CLOCK1", + altsyncram_component.intended_device_family = "Stratix II", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = NUM_WORDS, + altsyncram_component.numwords_b = NUM_WORDS, + altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", + altsyncram_component.outdata_aclr_a = "CLEAR0", + altsyncram_component.outdata_aclr_b = "CLEAR1", + altsyncram_component.outdata_reg_a = "CLOCK0", + altsyncram_component.outdata_reg_b = "CLOCK1", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.ram_block_type = "AUTO", + altsyncram_component.widthad_a = ADDR_WIDTH, + altsyncram_component.widthad_b = ADDR_WIDTH, + altsyncram_component.width_a = DAT_WIDTH, + altsyncram_component.width_b = DAT_WIDTH, + altsyncram_component.width_byteena_a = 1, + altsyncram_component.width_byteena_b = 1, + altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK1"; + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/ram_speed_test.v b/Advanced Synthesis Cookbook/ecc/ram_speed_test.v new file mode 100644 index 0000000..29ef94f --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/ram_speed_test.v @@ -0,0 +1,123 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module ram_speed_test ( + aclr_a, + aclr_b, + address_a, + address_b, + clock_a, + clock_b, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b +); + +`include "log2.inc" + +parameter NUM_WORDS = 512; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); + + input aclr_a; + input aclr_b; + input [ADDR_WIDTH-1:0] address_a; + input [ADDR_WIDTH-1:0] address_b; + input clock_a; + input clock_b; + input [71:0] data_a; + input [71:0] data_b; + input wren_a; + input wren_b; + output [71:0] q_a; + output [71:0] q_b; + reg [71:0] q_a; + reg [71:0] q_b; + + + wire [71:0] q_a_int,q_b_int; + + reg [71:0] data_a_r, data_b_r; + always @(posedge clock_a) begin + data_a_r <= data_a; + q_a <= q_a_int; + end + always @(posedge clock_b) begin + data_b_r <= data_b; + q_b <= q_b_int; + end + + altsyncram altsyncram_component ( + .wren_a (wren_a), + .aclr0 (aclr_a), + .clock0 (clock_a), + .wren_b (wren_b), + .aclr1 (aclr_b), + .clock1 (clock_b), + .address_a (address_a), + .address_b (address_b), + .data_a (data_a_r), + .data_b (data_b_r), + .q_a (q_a_int), + .q_b (q_b_int), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .eccstatus (), + .rden_a (1'b1), + .rden_b (1'b1) + ); + + defparam + altsyncram_component.address_reg_b = "CLOCK1", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_input_b = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.clock_enable_output_b = "BYPASS", + altsyncram_component.indata_reg_b = "CLOCK1", + altsyncram_component.intended_device_family = "Stratix II", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = NUM_WORDS, + altsyncram_component.numwords_b = NUM_WORDS, + altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", + altsyncram_component.outdata_aclr_a = "CLEAR0", + altsyncram_component.outdata_aclr_b = "CLEAR1", + altsyncram_component.outdata_reg_a = "CLOCK0", + altsyncram_component.outdata_reg_b = "CLOCK1", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.ram_block_type = "AUTO", + altsyncram_component.widthad_a = ADDR_WIDTH, + altsyncram_component.widthad_b = ADDR_WIDTH, + altsyncram_component.width_a = 72, + altsyncram_component.width_b = 72, + altsyncram_component.width_byteena_a = 1, + altsyncram_component.width_byteena_b = 1, + altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK1"; + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/reed_sol.cpp b/Advanced Synthesis Cookbook/ecc/reed_sol.cpp new file mode 100644 index 0000000..72e327c --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/reed_sol.cpp @@ -0,0 +1,2330 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 08-01-2006 + +#include +#include + +int const MAX_SIZE = 256; // 2^symbol size + +/////////////////////////////////////////// + +int gf_mult ( + int bits, + int a, + int b, + int mod_poly +) +{ + int result = 0; + + while (b != 0) + { + if ((b & 1) != 0) + { + result ^= a; + } + a = a << 1; + if ((a & (1<> 1; + } + return (result); +} + +/////////////////////////////////////////// + +// XOR all of the bits of this integer +int reduce_xor (int dat) +{ + int result = 0; + while (dat != 0) + { + result ^= (dat & 1); + dat >>= 1; + } + return (result); +} + +/////////////////////////////////////////// + +void build_gf_const_mult ( + int symbol_size, + int const_val, + int mod_poly +) +{ + int table [MAX_SIZE]; + int xor_ins = 0; + int i = 0,j=0; + bool first = false; + + // build a cheat sheet + for (i=0; i<(1<=0; j--) + { + fprintf (stdout,"^(a & %d'h%x)",symbol_size,table[j]); + if (j != 0) fprintf (stdout,","); + } + fprintf (stdout,"};\n\n"); + + // update to the next power + fbk = table[symbol_size-1]; + for (j=symbol_size-1; j>0; j--) + { + table[j] = table[j-1]; + } + table[0] = 0; + for (j=symbol_size-1; j>=0; j--) + { + if ((mod_poly & (1< signal_use[q]) + { + q = j; + } + } + + // find the AND terms using the most popular signal + for (j=0; j0; j--) + { + g[j] = gf_mult (symbol_size,g[j],alpha[i-1+b],mod_poly) ^ + g[j-1]; + } + g[0] = gf_mult (symbol_size,g[0],alpha[i-1+b],mod_poly); + } + + fprintf (stdout,"\n"); + for (i=0; i<(n-k+1); i++) + { + fprintf (stdout,"// gen_poly [%d] = %02x\n",i,g[i]); + } + + fprintf (stdout,"\n"); + + // build a full rack of GF constant multipliers + for (i=1; i<=n; i++) + { + build_gf_const_mult (symbol_size,i,mod_poly); + } + + fprintf (stdout,"\n///////////////////////////////////////////\n\n"); + + // main encoder + fprintf (stdout,"// first din zeros the accumulator on the first data symbol\n"); + fprintf (stdout,"// shift is for reading out the parity register, overrides\n"); + fprintf (stdout,"// the first_din signal\n"); + fprintf (stdout,"module encoder (clk,rst,shift,ena,first_din,din,parity);\n"); + fprintf (stdout,"input clk,rst,shift,ena,first_din;\n"); + fprintf (stdout,"input [%d:0] din;\n",symbol_size-1); + fprintf (stdout,"output [%d:0] parity;\n",t*2*symbol_size-1); + fprintf (stdout,"reg [%d:0] parity;\n\n",t*2*symbol_size-1); + + fprintf (stdout," wire [%d:0] feedback;\n",symbol_size-1); + fprintf (stdout," assign feedback = din ^ (first_din ? %d'b0 : parity[%d:%d]);\n\n", + symbol_size, + t*2*symbol_size-1, + t*2*symbol_size-symbol_size); + + fprintf (stdout," wire [%d:0] gen_fn;\n",t*2*symbol_size-1); + for (i=0; i<(n-k); i++) + { + fprintf (stdout," gf_mult_by_%02x m%d (.i(feedback),.o(gen_fn[%d:%d]));\n" + ,g[i],i,(i+1)*symbol_size-1,i*symbol_size); + } + fprintf (stdout,"\n"); + + fprintf (stdout," always @(posedge clk or posedge rst) begin\n"); + fprintf (stdout," if (rst) begin\n"); + fprintf (stdout," parity <= 0;\n"); + fprintf (stdout," end\n"); + fprintf (stdout," else if (ena) begin\n"); + fprintf (stdout," parity <= ((!shift & first_din) ? %d'b0 : (parity << %d)) ^\n", + t*2*symbol_size,symbol_size); + fprintf (stdout," (shift ? %d'b0 : gen_fn);\n", + t*2*symbol_size,symbol_size); + fprintf (stdout," end\n"); + fprintf (stdout," end\n"); + + fprintf (stdout,"endmodule\n\n"); + +} + +/////////////////////////////////////////// + +void build_syndrome_flat ( + int symbol_size, + int data_symbols, + int mod_poly +) +{ + int n = (1 << symbol_size) - 1; + int k = data_symbols; + int t = (n - k) / 2; + int alpha [MAX_SIZE]; + int const b = 0; + + int i,j; + int mult_num = 0; + + fprintf (stdout,"\n///////////////////////////////////////////\n\n"); + + // build up the values of alpha^(i) mod poly + alpha[0] = 1; + for (i=1; i<=n; i++) + { + alpha[i] = gf_mult (symbol_size,alpha[i-1],2,mod_poly); + } + + fprintf (stdout,"// No latency syndrome computation\n"); + fprintf (stdout,"module syndrome_flat (rx_data,syndrome);\n"); + fprintf (stdout,"input [%d:0] rx_data;\n",n * symbol_size-1); + fprintf (stdout,"output [%d:0] syndrome;\n",2*t*symbol_size-1); + fprintf (stdout,"wire [%d:0] syndrome;\n\n",2*t*symbol_size-1); + + for (i=0; i<2*t; i=i+1) + { + fprintf (stdout,"\n// syndrome %d\n",i); + fprintf (stdout," wire [%d:0] syn_%d_tmp;\n",n*symbol_size-1,i); + for (j=0; j>= 1; + lg++; + } + return (lg); +} + +/////////////////////////////////////////// + +void build_error_loc_poly ( + int symbol_size, + int data_symbols, + int mod_poly +) +{ + int n = (1 << symbol_size) - 1; + int k = data_symbols; + int t = (n - k) / 2; + + int i = 0; + int mult_num = 0; + + fprintf (stdout,"\n///////////////////////////////////////////\n"); + fprintf (stdout,"// Error Location poly computation\n"); + fprintf (stdout,"// 1 tick per round version\n"); + fprintf (stdout,"///////////////////////////////////////////\n\n"); + + fprintf (stdout,"// initial ELP_in is 1 at word 0 (meaning 1)\n"); + fprintf (stdout,"// initial correction is 1 at word 1 (meaning x)\n"); + fprintf (stdout,"// step = 1..2t\n"); + fprintf (stdout,"// This is using the Berlekamp method\n"); + fprintf (stdout,"module error_loc_poly_round (step,order_in,order_out,elp_in,elp_out,step_syndrome,\n"); + fprintf (stdout," correction_in,correction_out);\n"); + fprintf (stdout,"input [%d:0] step;\n",log_2(2*t)-1); + fprintf (stdout,"input [%d:0] order_in;\n",log_2(2*t-1)-1); + fprintf (stdout,"output [%d:0] order_out;\n",log_2(2*t-1)-1); + fprintf (stdout,"input [%d:0] elp_in;\n",symbol_size*2*t-1); + fprintf (stdout,"output [%d:0] elp_out;\n",symbol_size*2*t-1); + fprintf (stdout,"input [%d:0] step_syndrome;\n",symbol_size*2*t-1); + fprintf (stdout,"input [%d:0] correction_in;\n",symbol_size*2*t-1); + fprintf (stdout,"output [%d:0] correction_out;\n\n",symbol_size*2*t-1); + + fprintf (stdout,"reg [%d:0] order_out;\n",log_2(2*t-1)-1); + fprintf (stdout,"reg [%d:0] correction_out;\n\n",symbol_size*2*t-1); + fprintf (stdout,"wire [%d:0] discrepancy;\n\n",symbol_size-1); + + fprintf (stdout,"wire [%d:0] disc_mult;\n",symbol_size*2*t-1); + for (i=0; i<2*t; i=i+1) + { + fprintf (stdout,"gf_mult m%d (.a(elp_in[%d:%d]),.b(step_syndrome[%d:%d]),.o(disc_mult[%d:%d]));\n", + mult_num, + (i+1)*symbol_size-1,i*symbol_size, + (i+1)*symbol_size-1,i*symbol_size, + (i+1)*symbol_size-1,i*symbol_size + ); + mult_num++; + } + + fprintf (stdout,"\nassign discrepancy = \n"); + for (i=0; i<2*t; i=i+1) + { + fprintf (stdout," disc_mult [%d:%d]", + (i+1)*symbol_size-1,i*symbol_size); + if (i != 2*t-1) + { + fprintf (stdout," ^"); + } + fprintf (stdout,"\n"); + } + fprintf (stdout,";\n\n"); + + fprintf (stdout,"wire [%d:0] disc_mult_correction;\n",symbol_size*2*t-1); + + for (i=0; i<2*t; i=i+1) + { + fprintf (stdout,"gf_mult m%d (.a(discrepancy)," + ".b(correction_in[%d:%d]),.o(disc_mult_correction[%d:%d]));\n", + mult_num, + (i+1)*symbol_size-1,i*symbol_size, + (i+1)*symbol_size-1,i*symbol_size + ); + mult_num++; + } + + fprintf (stdout,"\nassign elp_out = elp_in ^ disc_mult_correction;\n\n"); + + fprintf (stdout,"// build the elp divided by the discrepancy\n"); + fprintf (stdout,"// by inverse then multiply...\n"); + fprintf (stdout,"wire [%d:0] inv_discrepancy;\n",symbol_size-1); + fprintf (stdout,"gf_inverse id (.i(discrepancy),.o(inv_discrepancy));\n\n"); + + fprintf (stdout,"wire [%d:0] elp_div_disc;\n",symbol_size*2*t-1); + for (i=0; i<2*t; i=i+1) + { + fprintf (stdout,"gf_mult d%d (.a(elp_in[%d:%d])," + ".b(inv_discrepancy),.o(elp_div_disc[%d:%d]));\n", + mult_num, + (i+1)*symbol_size-1,i*symbol_size, + (i+1)*symbol_size-1,i*symbol_size + ); + mult_num++; + } + + fprintf (stdout,"\n"); + fprintf (stdout,"// update the order and correction poly\n"); + fprintf (stdout,"always @(*) begin\n"); + fprintf (stdout," if ((|discrepancy) && ((order_in << 1) < step)) begin\n"); + fprintf (stdout," order_out = step - order_in;\n"); + fprintf (stdout," correction_out = {elp_div_disc[%d:%d],%d'b0};\n", + symbol_size*2*t-1-symbol_size, + 0, + symbol_size); + fprintf (stdout," end\n"); + fprintf (stdout," else begin\n"); + fprintf (stdout," order_out = order_in;\n"); + fprintf (stdout," correction_out = {correction_in[%d:%d],%d'b0} ;\n", + symbol_size*2*t-1-symbol_size, + 0, + symbol_size); + fprintf (stdout," end\n"); + fprintf (stdout,"end\n\n"); + + fprintf (stdout,"endmodule\n"); +} + +/////////////////////////////////////////// + +void build_error_loc_poly_multi_step ( + int symbol_size, + int data_symbols, + int mod_poly +) +{ + int n = (1 << symbol_size) - 1; + int k = data_symbols; + int t = (n - k) / 2; + + int i = 0; + int mult_num = 0; + + fprintf (stdout,"\n/////////////////////////////////////////////////\n"); + fprintf (stdout,"// Error Location poly computation\n"); + fprintf (stdout,"// Multiple ticks per round version\n"); + fprintf (stdout,"///////////////////////////////////////////////////\n\n"); + + fprintf (stdout,"// initial ELP_in is 1 at word 0 (meaning 1)\n"); + fprintf (stdout,"// initial correction is 1 at word 1 (meaning x)\n"); + fprintf (stdout,"// step = 1..2t\n"); + fprintf (stdout,"// This is using the Berlekamp method\n"); + fprintf (stdout,"module error_loc_poly_round_multi_step (step,order_in,order_out,elp_in,elp_out,step_syndrome,\n"); + fprintf (stdout," correction_in,correction_out,clk,rst,sync,elpr_wait);\n"); + fprintf (stdout,"input [%d:0] step;\n",log_2(2*t)-1); + fprintf (stdout,"input [%d:0] order_in;\n",log_2(2*t-1)-1); + fprintf (stdout,"output [%d:0] order_out;\n",log_2(2*t-1)-1); + fprintf (stdout,"input [%d:0] elp_in;\n",symbol_size*2*t-1); + fprintf (stdout,"output [%d:0] elp_out;\n",symbol_size*2*t-1); + fprintf (stdout,"input [%d:0] step_syndrome;\n",symbol_size*2*t-1); + fprintf (stdout,"input [%d:0] correction_in;\n",symbol_size*2*t-1); + fprintf (stdout,"output [%d:0] correction_out;\n\n",symbol_size*2*t-1); + + fprintf (stdout,"input clk,rst,sync;\n"); + fprintf (stdout,"output elpr_wait;\n\n"); + + fprintf (stdout,"reg [%d:0] order_out;\n",log_2(2*t-1)-1); + fprintf (stdout,"reg [%d:0] correction_out;\n\n",symbol_size*2*t-1); + fprintf (stdout,"wire [%d:0] discrepancy;\n\n",symbol_size-1); + + fprintf (stdout,"// state 0 : (upper) discrepancy_reg <= ^ (elp_in * step_syn)\n"); + fprintf (stdout,"// state 1 : (lower) discrepancy_reg <= ^ (elp_in * step_syn)\n"); + fprintf (stdout,"// state 2 : (upper) disc_cor_reg <= (dicrepancy_reg * correction)\n"); + fprintf (stdout,"// state 3 : (lower) disc_cor_reg <= (dicrepancy_reg * correction)\n"); + fprintf (stdout,"// state 4 : (upper) elp_div_disc_reg <= elp_in * inverse discrepancy\n"); + fprintf (stdout,"// state 5 : (lower) elp_div_disc_reg <= elp_in * inverse discrepancy\n"); + fprintf (stdout,"// state 6 : settling time\n"); + + fprintf (stdout,"reg [6:0] wait_state;\n\n"); + + fprintf (stdout,"always @(posedge clk or posedge rst) begin\n"); + fprintf (stdout," if (rst) wait_state <= 7'b1;\n"); + fprintf (stdout," else begin\n"); + fprintf (stdout," if (sync) wait_state <= 7'b000001;\n"); + fprintf (stdout," else wait_state <= {wait_state[5:0],wait_state[6]};\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"end\n\n"); + + fprintf (stdout,"assign elpr_wait = !wait_state[6];\n\n"); + + fprintf (stdout,"wire [%d:0] mult_in_a, mult_in_b, mult_o, disc_inv_repeat;\n",symbol_size*t-1); + fprintf (stdout,"wire [%d:0] disc_inv_mux;\n",symbol_size-1); + fprintf (stdout,"assign disc_inv_repeat = {%d{disc_inv_mux}};\n\n",t); + + fprintf (stdout,"// multi purpose Galois mult (half size)\n"); +//printf (stdout,"assign mult_in_a = ((wait_state[0] || wait_state[1] || wait_state[4] || wait_state[5]) ? elp_in : correction_in);\n"); +//printf (stdout,"assign mult_in_b = ((wait_state[0] || wait_state[1]) ? step_syndrome : disc_inv_repeat);\n"); + + fprintf (stdout,"wire [%d:0] elp_in_hi, elp_in_lo, correction_in_hi, correction_in_lo;\n",symbol_size*t-1); + fprintf (stdout,"wire [%d:0] step_syndrome_hi, step_syndrome_lo;\n",symbol_size*t-1); + fprintf (stdout,"assign {step_syndrome_hi,step_syndrome_lo} = step_syndrome;\n"); + fprintf (stdout,"assign {elp_in_hi,elp_in_lo} = elp_in;\n"); + fprintf (stdout,"assign {correction_in_hi,correction_in_lo} = correction_in;\n\n"); + + fprintf (stdout,"assign mult_in_a = (wait_state[0] | wait_state[4]) ? elp_in_hi :\n"); + fprintf (stdout," (wait_state[1] | wait_state[5]) ? elp_in_lo :\n"); + fprintf (stdout," (wait_state[2]) ? correction_in_hi :\n"); + fprintf (stdout," correction_in_lo;\n"); + fprintf (stdout,"assign mult_in_b = (wait_state[0]) ? step_syndrome_hi :\n"); + fprintf (stdout," (wait_state[1]) ? step_syndrome_lo :\n"); + fprintf (stdout," disc_inv_repeat;\n\n"); + + for (i=0; i> %d;\n",symbol_size); + fprintf (stdout," if (final_emp) emp_ready <= 1'b1;\n",t-1); + fprintf (stdout," emp <= {emp_term,emp[%d:%d]};\n", + symbol_size*t-1,symbol_size); + fprintf (stdout," end\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"end\n\n"); + + fprintf (stdout,"///////////////////////////////////////////////\n"); + fprintf (stdout,"// ELP Roots (bad symbols in the word)\n"); + fprintf (stdout,"// and Error values (bad bits in the symbol)\n"); + fprintf (stdout,"///////////////////////////////////////////////\n\n"); + + fprintf (stdout,"reg [%d:0] root_step_elp;\n",symbol_size*(t+1)-1); + fprintf (stdout,"wire [%d:0] next_root_step_elp;\n",symbol_size*(t+1)-1); + fprintf (stdout,"wire root_match;\n"); + fprintf (stdout,"reg last_root_match;\n"); + fprintf (stdout,"reg last_emp_ready;\n"); + fprintf (stdout,"reg [%d:0] root_cntr;\n",log_2(k)-1); + fprintf (stdout,"reg roots_pending;\n"); + fprintf (stdout,"wire root_ena = 1'b1;\n\n"); + + fprintf (stdout,"// generate a pulse when the new EMP is available\n"); + fprintf (stdout,"always @(posedge clk or posedge rst) begin\n"); + fprintf (stdout," if (rst) last_emp_ready <= 1'b0;\n"); + fprintf (stdout," else last_emp_ready <= emp_ready;\n"); + fprintf (stdout,"end\n\n"); + + fprintf (stdout,"// find the roots of the error location poly.\n"); + fprintf (stdout,"// The ELP will be stable before the EMP is ready\n"); + fprintf (stdout,"error_loc_poly_roots root (\n"); + fprintf (stdout," .elp_in(root_step_elp),\n"); + fprintf (stdout," .elp_out(next_root_step_elp),\n"); + fprintf (stdout," .match(root_match));\n\n"); + + fprintf (stdout,"always @(posedge clk or posedge rst) begin\n"); + fprintf (stdout," if (rst) begin\n"); + fprintf (stdout," last_root_match <= 0;\n"); + fprintf (stdout," root_step_elp <= 0;\n"); + fprintf (stdout," root_cntr <= 0;\n"); + fprintf (stdout," roots_pending <= 0;\n"); + fprintf (stdout," end\n"); + fprintf (stdout," else if (root_ena) begin\n"); + fprintf (stdout," if (final_emp) begin\n"); + fprintf (stdout," // while waiting for the the last EMP, load the ELP\n"); + fprintf (stdout," root_step_elp <= elp[%d:0];\n", + symbol_size*(t+1)-1); + fprintf (stdout," root_cntr <= 0;\n"); + fprintf (stdout," roots_pending <= 1'b1;\n"); + fprintf (stdout," end\n"); + fprintf (stdout," else begin\n"); + fprintf (stdout," if (roots_pending) begin\n"); + fprintf (stdout," // Advancing through the roots...\n"); + fprintf (stdout," root_step_elp <= next_root_step_elp;\n"); + fprintf (stdout," if (root_cntr == %d) begin\n",n); //here + fprintf (stdout," root_cntr <= 0;\n"); + fprintf (stdout," roots_pending <= 1'b0;\n"); + fprintf (stdout," end\n"); + fprintf (stdout," else begin\n"); + fprintf (stdout," root_cntr <= root_cntr + 1'b1;\n"); + fprintf (stdout," end\n"); + fprintf (stdout," end\n"); + fprintf (stdout," end\n"); + fprintf (stdout," last_root_match <= root_match;\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"end\n\n"); + + fprintf (stdout,"reg [%d:0] step_emp;\n",symbol_size*(t+1)-1); + fprintf (stdout,"wire [%d:0] next_step_emp;\n\n",symbol_size*(t+1)-1); + + fprintf (stdout,"// the derivitive term is equal to the sum of the \n"); + fprintf (stdout,"// odd terms of the working ELP from the root search\n"); + fprintf (stdout,"wire [%d:0] deriv_term =\n",symbol_size-1); + first = true; + for (h=symbol_size; h> 8; + if (final_emp) emp_ready <= 1'b1; + emp <= {emp_term,emp[63:8]}; + end + end +end + +/////////////////////////////////////////////// +// ELP Roots (bad symbols in the word) +// and Error values (bad bits in the symbol) +/////////////////////////////////////////////// + +reg [71:0] root_step_elp; +wire [71:0] next_root_step_elp; +wire root_match; +reg last_root_match; +reg last_emp_ready; +reg [7:0] root_cntr; +reg roots_pending; +wire root_ena = 1'b1; + +// generate a pulse when the new EMP is available +always @(posedge clk or posedge rst) begin + if (rst) last_emp_ready <= 1'b0; + else last_emp_ready <= emp_ready; +end + +// find the roots of the error location poly. +// The ELP will be stable before the EMP is ready +error_loc_poly_roots root ( + .elp_in(root_step_elp), + .elp_out(next_root_step_elp), + .match(root_match)); + +always @(posedge clk or posedge rst) begin + if (rst) begin + last_root_match <= 0; + root_step_elp <= 0; + root_cntr <= 0; + roots_pending <= 0; + end + else if (root_ena) begin + if (final_emp) begin + // while waiting for the the last EMP, load the ELP + root_step_elp <= elp[71:0]; + root_cntr <= 0; + roots_pending <= 1'b1; + end + else begin + if (roots_pending) begin + // Advancing through the roots... + root_step_elp <= next_root_step_elp; + if (root_cntr == 255) begin + root_cntr <= 0; + roots_pending <= 1'b0; + end + else begin + root_cntr <= root_cntr + 1'b1; + end + end + end + last_root_match <= root_match; + end +end + +reg [71:0] step_emp; +wire [71:0] next_step_emp; + +// the derivitive term is equal to the sum of the +// odd terms of the working ELP from the root search +wire [7:0] deriv_term = + root_step_elp[15:8] ^ + root_step_elp[31:24] ^ + root_step_elp[47:40] ^ + root_step_elp[63:56]; + +wire [7:0] error_val; + +// this is running 1 tick behind the root finder +// to use the root_match and derivitive output signals +error_value_round eval ( + .emp_in(step_emp), + .emp_out(next_step_emp), + .deriv_term(deriv_term), + .error_pos(last_root_match), + .error_val(error_val)); + +always @(posedge clk or posedge rst) begin + if (rst) begin + step_emp <= 0; + end + else if (root_ena) begin + if (emp_ready & !last_emp_ready) begin + step_emp <= emp; + end + else begin + step_emp <= next_step_emp; + end + end +end + +/////////////////////////////////////////////// +// Delay the data in and mix with the correction +// to form output +/////////////////////////////////////////////// + +reg [3055:0] data_delay; +always @(posedge clk) begin + if (syndrome_ena) data_delay <= {din,data_delay[3055:8]}; +end + +// don't aclear the delay buffer, so it can go in RAM +// but do fix it for simulation +initial begin + data_delay <= 0; +end +always @(posedge clk or posedge rst) begin + if (rst) begin + dout <= 1'b0; + dout_valid <= 1'b0; + end + else begin + if (| root_cntr) begin + dout <= data_delay[7:0] ^ error_val; + corrected_bits <= error_val; + dout_valid <= 1'b1; + end + end +end + +endmodule + +/////////////////////////////////////////// +// Iterative TX / RX testbench +/////////////////////////////////////////// + +module reed_sol_tb (); + +reg clk,rst,tx_first_din; +reg [7:0] tx_din; +wire [7:0] tx_dout; +wire tx_dout_valid,tx_ready_for_din; +reg tx_din_valid; +reg [2039:0] line_noise; +integer bytes_sent,bytes_rxd; + + reed_sol_tx tx ( + .clk(clk),.rst(rst), + .first_din(tx_first_din),.din(tx_din),.din_valid(tx_din_valid), + .ready_for_din(tx_ready_for_din), + .dout(tx_dout),.dout_valid(tx_dout_valid)); + +initial begin + clk = 0; + rst = 0; + tx_din = 0; + tx_din_valid = 1'b1; + tx_first_din = 1'b1; + bytes_sent = 0; + bytes_rxd = 0; + line_noise = {1992'b0,48'h00d0_0000_0200}; + #10 rst = 1; + #10 rst = 0; +end + +always begin + #100 clk = ~clk; +end + +reg [1911:0] original_msg; +always @(posedge clk or posedge rst) begin + if (rst) begin + original_msg <= 0; + bytes_sent <= 0; + end else begin + if (tx_ready_for_din) begin + original_msg <= (original_msg << 8) | tx_din; + bytes_sent <= (bytes_sent + 1'b1) % 239; + end + end +end + +always @(negedge clk) begin + //tx_din = (tx_din+1'b1) % 255; + tx_din = $random; + tx_first_din = ((bytes_sent == 0) ? 1'b1 : 1'b0); +end + +reg rx_first_din; +wire [7:0] rx_din; +wire [7:0] rx_dout; +wire [7:0] corrected_bits; +wire failure; +wire rx_dout_valid,rx_ready_for_din; +wire rx_din_valid; + +// update the noise pattern +always @(posedge clk) begin + if (rx_din_valid) line_noise <= {line_noise[2032:0],line_noise[2039:2032]}; +end + +// XOR in line noise for the RX end +assign rx_din = tx_dout ^ line_noise[2039:2032]; +assign rx_din_valid = tx_dout_valid; + reed_sol_rx rx ( + .clk(clk),.rst(rst), + .first_din(rx_first_din), + .din(rx_din), + .din_valid(rx_din_valid), + .ready_for_din(rx_ready_for_din), + .dout(rx_dout), + .dout_valid(rx_dout_valid), + .corrected_bits(corrected_bits), + .failure(failure) +); + +always @(posedge clk or posedge rst) begin + if (rst) begin + bytes_rxd <= 0; + end else begin + if (rx_ready_for_din && rx_din_valid) begin + bytes_rxd <= (bytes_rxd + 1'b1) % 255; + end + end +end + +reg [2039:0] recovered_msg; +integer bytes_recovered = 0; +always @(posedge clk) begin + if (rx_dout_valid) begin + recovered_msg <= (recovered_msg << 8) | rx_dout; + bytes_recovered <= (bytes_recovered + 1'b1) % 255; + end +end + +always @(negedge clk) begin + rx_first_din = ((bytes_rxd == 0) ? 1'b1 : 1'b0); +end + +reg [1911:0] original_msg0; +reg [1911:0] original_msg1; +reg [1911:0] original_msg2; +always @(posedge clk) begin + if ((bytes_sent == 0) && tx_ready_for_din) begin + $display ("Sent %x",original_msg); + original_msg0 <= original_msg; + original_msg1 <= original_msg0; + original_msg2 <= original_msg1; + end + if (bytes_recovered == 0) begin + $display ("Recovered %x ",recovered_msg); + $display (" should be %x",original_msg1); + if (recovered_msg[2039:128] !== original_msg1) begin + $display ("MISMATCH"); + $display (" pattern %x",original_msg1 ^ recovered_msg[2039:128]); + end else begin + $display ("OK"); + end + end +end + +endmodule + +////////////////////////////////////////// +// GF mult / div correctness testbench +////////////////////////////////////////// + +module gf_math_tb(); +reg fail = 1'b0; +reg [7:0] a,b; +wire [7:0] om0,om1,om2,om3,om4,om5,om6,oi0,oi1; + +// multipliers - (all equivalent) +gf_mult m0 (.a(a),.b(b),.o(om0)); +gf_mult m1 (.a(a),.b(b),.o(om1)); +gf_mult m2 (.a(b),.b(a),.o(om2)); +gf_mult m3 (.a(b),.b(a),.o(om3)); +gf_mult m6 (.a(a),.b(b),.o(om6)); +defparam m0 .METHOD = 0; +defparam m1 .METHOD = 1; +defparam m2 .METHOD = 0; +defparam m3 .METHOD = 1; +defparam m6 .METHOD = 2; + +// mult. inverse +gf_inverse i0 (.i(a),.o(oi0)); +gf_inverse i1 (.i(b),.o(oi1)); + +// pseudo divide +gf_mult m4 (.a(om0),.b(oi0),.o(om4)); +defparam m4 .METHOD = 0; +gf_mult m5 (.a(om0),.b(oi1),.o(om5)); +defparam m5 .METHOD = 0; + +// verify +always begin + #10 + a = $random; + b = $random; + #10 + if (om0 !== om1) fail = 1; + if (om0 !== om2) fail = 1; + if (om0 !== om3) fail = 1; + if (om0 !== om6) fail = 1; + if (om4 !== b && a !== 0) fail = 1; + if (om5 !== a && b !== 0) fail = 1; +end + +initial begin + #1000000 if (!fail) begin + $display ("PASS"); + $stop(); + end + else begin + $display ("FAIL"); + $stop(); + end +end + +endmodule + diff --git a/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_16bit.v b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_16bit.v new file mode 100644 index 0000000..557a18c --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_16bit.v @@ -0,0 +1,143 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 +// 16-22 ECC internal RAM +// +module soft_ecc_ram_16bit ( + rst, + address_a, + address_b, + clock_a, + clock_b, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b, + err_a, + err_b +); + +`include "log2.inc" + +// Number of 16 bit data words (stored as 22 bit words internally) +parameter NUM_WORDS = 512; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); + +// For testing error detection / correction +// a 1 bit indicates inversion of the corresponding code bit +// on the encoded RAM output. +parameter PORT_A_ERROR_INJECT = 22'b0; +parameter PORT_B_ERROR_INJECT = 22'b0; + + input rst; + input [ADDR_WIDTH-1:0] address_a; + input [ADDR_WIDTH-1:0] address_b; + input clock_a; + input clock_b; + input [15:0] data_a; + input [15:0] data_b; + input wren_a; + input wren_b; + output [15:0] q_a; + output [15:0] q_b; + output [2:0] err_a; + output [2:0] err_b; + + +/////////////////////// +// port A encoder +/////////////////////// +reg [15:0] data_a_reg; +always @(posedge clock_a or posedge rst) begin + if (rst) data_a_reg <= 16'b0; + else data_a_reg <= data_a; +end +wire [21:0] data_a_code; +ecc_encode_16bit enc_a (.d(data_a_reg),.c(data_a_code)); + +/////////////////////// +// port B encoder +/////////////////////// +reg [15:0] data_b_reg; +always @(posedge clock_b or posedge rst) begin + if (rst) data_b_reg <= 16'b0; + else data_b_reg <= data_b; +end +wire [21:0] data_b_code; +ecc_encode_16bit enc_b (.d(data_b_reg),.c(data_b_code)); + +/////////////////////// +// RAM block (22 bit words) +/////////////////////// +wire [21:0] q_a_code; +wire [21:0] q_b_code; +ram_block ram ( + .aclr_a(rst), + .aclr_b(rst), + .address_a(address_a), + .address_b(address_b), + .clock_a(clock_a), + .clock_b(clock_b), + .data_a(data_a_code), + .data_b(data_b_code), + .wren_a(wren_a), + .wren_b(wren_b), + .q_a(q_a_code), + .q_b(q_b_code) +); +defparam ram .NUM_WORDS = NUM_WORDS; +defparam ram .DAT_WIDTH = 22; + +/////////////////////// +// port A decoder +/////////////////////// +ecc_decode_16bit dec_a ( + .clk(clock_a), + .rst(rst), + .c(q_a_code ^ PORT_A_ERROR_INJECT), + .d(q_a), + .no_err(err_a[0]), + .err_corrected(err_a[1]), + .err_fatal(err_a[2])); + +defparam dec_a .OUTPUT_REG = 1; +defparam dec_a .MIDDLE_REG = 1; + +/////////////////////// +// port B decoder +/////////////////////// +ecc_decode_16bit dec_b ( + .clk(clock_b), + .rst(rst), + .c(q_b_code ^ PORT_B_ERROR_INJECT), + .d(q_b), + .no_err(err_b[0]), + .err_corrected(err_b[1]), + .err_fatal(err_b[2])); + +defparam dec_b .OUTPUT_REG = 1; +defparam dec_b .MIDDLE_REG = 1; + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_16bit_tb.v b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_16bit_tb.v new file mode 100644 index 0000000..19e6d13 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_16bit_tb.v @@ -0,0 +1,182 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 + +module soft_ecc_ram_16bit_tb (); + +`include "log2.inc" + +parameter NUM_WORDS = 512; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); +parameter RAM_RD_LATENCY = 4; + + +parameter DATA_BITS = 16; +localparam DATA_MASK = {DATA_BITS{1'b1}}; + +reg clk,rst; + + reg [ADDR_WIDTH-1:0] address_a; + reg [ADDR_WIDTH-1:0] address_b; + reg [DATA_BITS-1:0] data_a; + reg [DATA_BITS-1:0] data_b; + reg wren_a; + reg wren_b; + wire [DATA_BITS-1:0] q_a; + wire [DATA_BITS-1:0] q_b; + wire [2:0] err_a; + wire [2:0] err_b; + +////////////////////////////////// +// ECC RAM under test +////////////////////////////////// +soft_ecc_ram_16bit sr ( + .rst(rst), + .address_a(address_a), + .address_b(address_b), + .clock_a(clk), + .clock_b(clk), + .data_a(data_a), + .data_b(data_b), + .wren_a(wren_a), + .wren_b(wren_b), + .q_a(q_a), + .q_b(q_b), + .err_a(err_a), + .err_b(err_b) +); + + +////////////////////////////////// +// test pattern control +////////////////////////////////// + +reg [2:0] state; +parameter STATE_FILL_A = 0, STATE_READ_A = 1, STATE_READ_B = 2, + STATE_FILL_B = 3, STATE_READ_BOTH = 4; + +reg [10:0] cntr; +reg [2:0] last_state; +always @(posedge clk or posedge rst) begin + if (rst) begin + cntr <= 0; + last_state <= STATE_FILL_A; + end + else begin + if (state != last_state) cntr <= 0; + else cntr <= cntr + 1'b1; + last_state <= state; + end +end + +initial begin + clk = 0; + rst = 0; + #10 rst = 1; + #10 rst = 0; +end + +always begin + #100 clk = ~clk; +end + +always @(posedge clk or posedge rst) begin + if (rst) begin + address_a <= 0; + address_b <= 0; + data_a <= 0; + data_b <= 123; + wren_a <= 1'b1; + wren_b <= 1'b0; + state <= STATE_FILL_A; + end + else begin + if (state == STATE_FILL_A) begin + if (&address_a) begin + state <= STATE_READ_A; + wren_a <= 1'b0; + end + address_a <= address_a + 1'b1; + data_a <= data_a + 1'b1; + end + else if (state == STATE_READ_A) begin + if (&address_a) begin + state <= STATE_READ_B; + end + address_a <= address_a + 1'b1; + if (address_a !== 0 && + cntr >= RAM_RD_LATENCY && + q_a !== (cntr-RAM_RD_LATENCY)) begin + $display ("Mismatch in state read A"); + $display (" Expected %x",(cntr-RAM_RD_LATENCY)); + $display (" Read %x",q_a); + #100 $stop(); + end + end + else if (state == STATE_READ_B) begin + if (&address_b) begin + state <= STATE_FILL_B; + wren_b <= 1'b1; + end + address_b <= address_b + 1'b1; + if (address_b !== 0 && + cntr >= RAM_RD_LATENCY && + q_b !== ((cntr-RAM_RD_LATENCY) & DATA_MASK)) begin + $display ("Mismatch in state read B"); + #100 $stop(); + end + end + else if (state == STATE_FILL_B) begin + if (&address_b) begin + state <= STATE_READ_BOTH; + wren_a <= 1'b0; + wren_b <= 1'b0; + end + address_b <= address_b + 1'b1; + data_b <= data_b + 1'b1; + end + else if (state == STATE_READ_BOTH) begin + if (&address_b) begin + state <= STATE_FILL_A; + data_a <= 0; + data_b <= 123; + wren_a <= 1'b1; + + // stop after one test cycle + $display ("PASS"); + $stop(); + + end + address_a <= address_a + 1'b1; + address_b <= address_b + 1'b1; + if (address_b !== 0 && + cntr >= RAM_RD_LATENCY && + q_b !== ((cntr-RAM_RD_LATENCY+123) & DATA_MASK)) begin + $display ("Mismatch in state read both"); + #100 $stop(); + end + end + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_32bit.v b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_32bit.v new file mode 100644 index 0000000..c4ffac6 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_32bit.v @@ -0,0 +1,143 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 +// 32-39 ECC internal RAM +// +module soft_ecc_ram_32bit ( + rst, + address_a, + address_b, + clock_a, + clock_b, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b, + err_a, + err_b +); + +`include "log2.inc" + +// Number of 32 bit data words (stored as 39 bit words internally) +parameter NUM_WORDS = 512; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); + +// For testing error detection / correction +// a 1 bit indicates inversion of the corresponding code bit +// on the encoded RAM output. +parameter PORT_A_ERROR_INJECT = 39'b0; +parameter PORT_B_ERROR_INJECT = 39'b0; + + input rst; + input [ADDR_WIDTH-1:0] address_a; + input [ADDR_WIDTH-1:0] address_b; + input clock_a; + input clock_b; + input [31:0] data_a; + input [31:0] data_b; + input wren_a; + input wren_b; + output [31:0] q_a; + output [31:0] q_b; + output [2:0] err_a; + output [2:0] err_b; + + +/////////////////////// +// port A encoder +/////////////////////// +reg [31:0] data_a_reg; +always @(posedge clock_a or posedge rst) begin + if (rst) data_a_reg <= 32'b0; + else data_a_reg <= data_a; +end +wire [38:0] data_a_code; +ecc_encode_32bit enc_a (.d(data_a_reg),.c(data_a_code)); + +/////////////////////// +// port B encoder +/////////////////////// +reg [31:0] data_b_reg; +always @(posedge clock_b or posedge rst) begin + if (rst) data_b_reg <= 32'b0; + else data_b_reg <= data_b; +end +wire [38:0] data_b_code; +ecc_encode_32bit enc_b (.d(data_b_reg),.c(data_b_code)); + +/////////////////////// +// RAM block (39 bit words) +/////////////////////// +wire [38:0] q_a_code; +wire [38:0] q_b_code; +ram_block ram ( + .aclr_a(rst), + .aclr_b(rst), + .address_a(address_a), + .address_b(address_b), + .clock_a(clock_a), + .clock_b(clock_b), + .data_a(data_a_code), + .data_b(data_b_code), + .wren_a(wren_a), + .wren_b(wren_b), + .q_a(q_a_code), + .q_b(q_b_code) +); +defparam ram .NUM_WORDS = NUM_WORDS; +defparam ram .DAT_WIDTH = 39; + +/////////////////////// +// port A decoder +/////////////////////// +ecc_decode_32bit dec_a ( + .clk(clock_a), + .rst(rst), + .c(q_a_code ^ PORT_A_ERROR_INJECT), + .d(q_a), + .no_err(err_a[0]), + .err_corrected(err_a[1]), + .err_fatal(err_a[2])); + +defparam dec_a .OUTPUT_REG = 1; +defparam dec_a .MIDDLE_REG = 1; + +/////////////////////// +// port B decoder +/////////////////////// +ecc_decode_32bit dec_b ( + .clk(clock_b), + .rst(rst), + .c(q_b_code ^ PORT_B_ERROR_INJECT), + .d(q_b), + .no_err(err_b[0]), + .err_corrected(err_b[1]), + .err_fatal(err_b[2])); + +defparam dec_b .OUTPUT_REG = 1; +defparam dec_b .MIDDLE_REG = 1; + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_32bit_tb.v b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_32bit_tb.v new file mode 100644 index 0000000..b8d12cb --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_32bit_tb.v @@ -0,0 +1,182 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 + +module soft_ecc_ram_32bit_tb (); + +`include "log2.inc" + +parameter NUM_WORDS = 512; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); +parameter RAM_RD_LATENCY = 4; + + +parameter DATA_BITS = 32; +localparam DATA_MASK = {DATA_BITS{1'b1}}; + +reg clk,rst; + + reg [ADDR_WIDTH-1:0] address_a; + reg [ADDR_WIDTH-1:0] address_b; + reg [DATA_BITS-1:0] data_a; + reg [DATA_BITS-1:0] data_b; + reg wren_a; + reg wren_b; + wire [DATA_BITS-1:0] q_a; + wire [DATA_BITS-1:0] q_b; + wire [2:0] err_a; + wire [2:0] err_b; + +////////////////////////////////// +// ECC RAM under test +////////////////////////////////// +soft_ecc_ram_32bit sr ( + .rst(rst), + .address_a(address_a), + .address_b(address_b), + .clock_a(clk), + .clock_b(clk), + .data_a(data_a), + .data_b(data_b), + .wren_a(wren_a), + .wren_b(wren_b), + .q_a(q_a), + .q_b(q_b), + .err_a(err_a), + .err_b(err_b) +); + + +////////////////////////////////// +// test pattern control +////////////////////////////////// + +reg [2:0] state; +parameter STATE_FILL_A = 0, STATE_READ_A = 1, STATE_READ_B = 2, + STATE_FILL_B = 3, STATE_READ_BOTH = 4; + +reg [10:0] cntr; +reg [2:0] last_state; +always @(posedge clk or posedge rst) begin + if (rst) begin + cntr <= 0; + last_state <= STATE_FILL_A; + end + else begin + if (state != last_state) cntr <= 0; + else cntr <= cntr + 1'b1; + last_state <= state; + end +end + +initial begin + clk = 0; + rst = 0; + #10 rst = 1; + #10 rst = 0; +end + +always begin + #100 clk = ~clk; +end + +always @(posedge clk or posedge rst) begin + if (rst) begin + address_a <= 0; + address_b <= 0; + data_a <= 0; + data_b <= 123; + wren_a <= 1'b1; + wren_b <= 1'b0; + state <= STATE_FILL_A; + end + else begin + if (state == STATE_FILL_A) begin + if (&address_a) begin + state <= STATE_READ_A; + wren_a <= 1'b0; + end + address_a <= address_a + 1'b1; + data_a <= data_a + 1'b1; + end + else if (state == STATE_READ_A) begin + if (&address_a) begin + state <= STATE_READ_B; + end + address_a <= address_a + 1'b1; + if (address_a !== 0 && + cntr >= RAM_RD_LATENCY && + q_a !== (cntr-RAM_RD_LATENCY)) begin + $display ("Mismatch in state read A"); + $display (" Expected %x",(cntr-RAM_RD_LATENCY)); + $display (" Read %x",q_a); + #100 $stop(); + end + end + else if (state == STATE_READ_B) begin + if (&address_b) begin + state <= STATE_FILL_B; + wren_b <= 1'b1; + end + address_b <= address_b + 1'b1; + if (address_b !== 0 && + cntr >= RAM_RD_LATENCY && + q_b !== ((cntr-RAM_RD_LATENCY) & DATA_MASK)) begin + $display ("Mismatch in state read B"); + #100 $stop(); + end + end + else if (state == STATE_FILL_B) begin + if (&address_b) begin + state <= STATE_READ_BOTH; + wren_a <= 1'b0; + wren_b <= 1'b0; + end + address_b <= address_b + 1'b1; + data_b <= data_b + 1'b1; + end + else if (state == STATE_READ_BOTH) begin + if (&address_b) begin + state <= STATE_FILL_A; + data_a <= 0; + data_b <= 123; + wren_a <= 1'b1; + + // stop after one test cycle + $display ("PASS"); + $stop(); + + end + address_a <= address_a + 1'b1; + address_b <= address_b + 1'b1; + if (address_b !== 0 && + cntr >= RAM_RD_LATENCY && + q_b !== ((cntr-RAM_RD_LATENCY+123) & DATA_MASK)) begin + $display ("Mismatch in state read both"); + #100 $stop(); + end + end + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_64bit.v b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_64bit.v new file mode 100644 index 0000000..6be7a69 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_64bit.v @@ -0,0 +1,143 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 +// 64-72 ECC internal RAM +// +module soft_ecc_ram_64bit ( + rst, + address_a, + address_b, + clock_a, + clock_b, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b, + err_a, + err_b +); + +`include "log2.inc" + +// Number of 64 bit data words (stored as 72 bit words internally) +parameter NUM_WORDS = 512; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); + +// For testing error detection / correction +// a 1 bit indicates inversion of the corresponding code bit +// on the encoded RAM output. +parameter PORT_A_ERROR_INJECT = 72'b0; +parameter PORT_B_ERROR_INJECT = 72'b0; + + input rst; + input [ADDR_WIDTH-1:0] address_a; + input [ADDR_WIDTH-1:0] address_b; + input clock_a; + input clock_b; + input [63:0] data_a; + input [63:0] data_b; + input wren_a; + input wren_b; + output [63:0] q_a; + output [63:0] q_b; + output [2:0] err_a; + output [2:0] err_b; + + +/////////////////////// +// port A encoder +/////////////////////// +reg [63:0] data_a_reg; +always @(posedge clock_a or posedge rst) begin + if (rst) data_a_reg <= 64'b0; + else data_a_reg <= data_a; +end +wire [71:0] data_a_code; +ecc_encode_64bit enc_a (.d(data_a_reg),.c(data_a_code)); + +/////////////////////// +// port B encoder +/////////////////////// +reg [63:0] data_b_reg; +always @(posedge clock_b or posedge rst) begin + if (rst) data_b_reg <= 64'b0; + else data_b_reg <= data_b; +end +wire [71:0] data_b_code; +ecc_encode_64bit enc_b (.d(data_b_reg),.c(data_b_code)); + +/////////////////////// +// RAM block (72 bit words) +/////////////////////// +wire [71:0] q_a_code; +wire [71:0] q_b_code; +ram_block ram ( + .aclr_a(rst), + .aclr_b(rst), + .address_a(address_a), + .address_b(address_b), + .clock_a(clock_a), + .clock_b(clock_b), + .data_a(data_a_code), + .data_b(data_b_code), + .wren_a(wren_a), + .wren_b(wren_b), + .q_a(q_a_code), + .q_b(q_b_code) +); +defparam ram .NUM_WORDS = NUM_WORDS; +defparam ram .DAT_WIDTH = 72; + +/////////////////////// +// port A decoder +/////////////////////// +ecc_decode_64bit dec_a ( + .clk(clock_a), + .rst(rst), + .c(q_a_code ^ PORT_A_ERROR_INJECT), + .d(q_a), + .no_err(err_a[0]), + .err_corrected(err_a[1]), + .err_fatal(err_a[2])); + +defparam dec_a .OUTPUT_REG = 1; +defparam dec_a .MIDDLE_REG = 1; + +/////////////////////// +// port B decoder +/////////////////////// +ecc_decode_64bit dec_b ( + .clk(clock_b), + .rst(rst), + .c(q_b_code ^ PORT_B_ERROR_INJECT), + .d(q_b), + .no_err(err_b[0]), + .err_corrected(err_b[1]), + .err_fatal(err_b[2])); + +defparam dec_b .OUTPUT_REG = 1; +defparam dec_b .MIDDLE_REG = 1; + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_64bit_tb.v b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_64bit_tb.v new file mode 100644 index 0000000..e044dcf --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_64bit_tb.v @@ -0,0 +1,182 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 + +module soft_ecc_ram_64bit_tb (); + +`include "log2.inc" + +parameter NUM_WORDS = 512; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); +parameter RAM_RD_LATENCY = 4; + + +parameter DATA_BITS = 64; +localparam DATA_MASK = {DATA_BITS{1'b1}}; + +reg clk,rst; + + reg [ADDR_WIDTH-1:0] address_a; + reg [ADDR_WIDTH-1:0] address_b; + reg [DATA_BITS-1:0] data_a; + reg [DATA_BITS-1:0] data_b; + reg wren_a; + reg wren_b; + wire [DATA_BITS-1:0] q_a; + wire [DATA_BITS-1:0] q_b; + wire [2:0] err_a; + wire [2:0] err_b; + +////////////////////////////////// +// ECC RAM under test +////////////////////////////////// +soft_ecc_ram_64bit sr ( + .rst(rst), + .address_a(address_a), + .address_b(address_b), + .clock_a(clk), + .clock_b(clk), + .data_a(data_a), + .data_b(data_b), + .wren_a(wren_a), + .wren_b(wren_b), + .q_a(q_a), + .q_b(q_b), + .err_a(err_a), + .err_b(err_b) +); + + +////////////////////////////////// +// test pattern control +////////////////////////////////// + +reg [2:0] state; +parameter STATE_FILL_A = 0, STATE_READ_A = 1, STATE_READ_B = 2, + STATE_FILL_B = 3, STATE_READ_BOTH = 4; + +reg [10:0] cntr; +reg [2:0] last_state; +always @(posedge clk or posedge rst) begin + if (rst) begin + cntr <= 0; + last_state <= STATE_FILL_A; + end + else begin + if (state != last_state) cntr <= 0; + else cntr <= cntr + 1'b1; + last_state <= state; + end +end + +initial begin + clk = 0; + rst = 0; + #10 rst = 1; + #10 rst = 0; +end + +always begin + #100 clk = ~clk; +end + +always @(posedge clk or posedge rst) begin + if (rst) begin + address_a <= 0; + address_b <= 0; + data_a <= 0; + data_b <= 123; + wren_a <= 1'b1; + wren_b <= 1'b0; + state <= STATE_FILL_A; + end + else begin + if (state == STATE_FILL_A) begin + if (&address_a) begin + state <= STATE_READ_A; + wren_a <= 1'b0; + end + address_a <= address_a + 1'b1; + data_a <= data_a + 1'b1; + end + else if (state == STATE_READ_A) begin + if (&address_a) begin + state <= STATE_READ_B; + end + address_a <= address_a + 1'b1; + if (address_a !== 0 && + cntr >= RAM_RD_LATENCY && + q_a !== (cntr-RAM_RD_LATENCY)) begin + $display ("Mismatch in state read A"); + $display (" Expected %x",(cntr-RAM_RD_LATENCY)); + $display (" Read %x",q_a); + #100 $stop(); + end + end + else if (state == STATE_READ_B) begin + if (&address_b) begin + state <= STATE_FILL_B; + wren_b <= 1'b1; + end + address_b <= address_b + 1'b1; + if (address_b !== 0 && + cntr >= RAM_RD_LATENCY && + q_b !== ((cntr-RAM_RD_LATENCY) & DATA_MASK)) begin + $display ("Mismatch in state read B"); + #100 $stop(); + end + end + else if (state == STATE_FILL_B) begin + if (&address_b) begin + state <= STATE_READ_BOTH; + wren_a <= 1'b0; + wren_b <= 1'b0; + end + address_b <= address_b + 1'b1; + data_b <= data_b + 1'b1; + end + else if (state == STATE_READ_BOTH) begin + if (&address_b) begin + state <= STATE_FILL_A; + data_a <= 0; + data_b <= 123; + wren_a <= 1'b1; + + // stop after one test cycle + $display ("PASS"); + $stop(); + + end + address_a <= address_a + 1'b1; + address_b <= address_b + 1'b1; + if (address_b !== 0 && + cntr >= RAM_RD_LATENCY && + q_b !== ((cntr-RAM_RD_LATENCY+123) & DATA_MASK)) begin + $display ("Mismatch in state read both"); + #100 $stop(); + end + end + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_8bit.v b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_8bit.v new file mode 100644 index 0000000..3063d4e --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/soft_ecc_ram_8bit.v @@ -0,0 +1,143 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 07-10-2006 +// 8-13 ECC internal RAM +// +module soft_ecc_ram_8bit ( + rst, + address_a, + address_b, + clock_a, + clock_b, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b, + err_a, + err_b +); + +`include "log2.inc" + +// Number of 8 bit data words (stored as 13 bit words internally) +parameter NUM_WORDS = 512; +localparam ADDR_WIDTH = log2(NUM_WORDS-1); + +// For testing error detection / correction +// a 1 bit indicates inversion of the corresponding code bit +// on the encoded RAM output. +parameter PORT_A_ERROR_INJECT = 13'b0; +parameter PORT_B_ERROR_INJECT = 13'b0; + + input rst; + input [ADDR_WIDTH-1:0] address_a; + input [ADDR_WIDTH-1:0] address_b; + input clock_a; + input clock_b; + input [7:0] data_a; + input [7:0] data_b; + input wren_a; + input wren_b; + output [7:0] q_a; + output [7:0] q_b; + output [2:0] err_a; + output [2:0] err_b; + + +/////////////////////// +// port A encoder +/////////////////////// +reg [7:0] data_a_reg; +always @(posedge clock_a or posedge rst) begin + if (rst) data_a_reg <= 8'b0; + else data_a_reg <= data_a; +end +wire [12:0] data_a_code; +ecc_encode_8bit enc_a (.d(data_a_reg),.c(data_a_code)); + +/////////////////////// +// port B encoder +/////////////////////// +reg [7:0] data_b_reg; +always @(posedge clock_b or posedge rst) begin + if (rst) data_b_reg <= 8'b0; + else data_b_reg <= data_b; +end +wire [12:0] data_b_code; +ecc_encode_8bit enc_b (.d(data_b_reg),.c(data_b_code)); + +/////////////////////// +// RAM block (13 bit words) +/////////////////////// +wire [12:0] q_a_code; +wire [12:0] q_b_code; +ram_block ram ( + .aclr_a(rst), + .aclr_b(rst), + .address_a(address_a), + .address_b(address_b), + .clock_a(clock_a), + .clock_b(clock_b), + .data_a(data_a_code), + .data_b(data_b_code), + .wren_a(wren_a), + .wren_b(wren_b), + .q_a(q_a_code), + .q_b(q_b_code) +); +defparam ram .NUM_WORDS = NUM_WORDS; +defparam ram .DAT_WIDTH = 13; + +/////////////////////// +// port A decoder +/////////////////////// +ecc_decode_8bit dec_a ( + .clk(clock_a), + .rst(rst), + .c(q_a_code ^ PORT_A_ERROR_INJECT), + .d(q_a), + .no_err(err_a[0]), + .err_corrected(err_a[1]), + .err_fatal(err_a[2])); + +defparam dec_a .OUTPUT_REG = 1; +defparam dec_a .MIDDLE_REG = 1; + +/////////////////////// +// port B decoder +/////////////////////// +ecc_decode_8bit dec_b ( + .clk(clock_b), + .rst(rst), + .c(q_b_code ^ PORT_B_ERROR_INJECT), + .d(q_b), + .no_err(err_b[0]), + .err_corrected(err_b[1]), + .err_fatal(err_b[2])); + +defparam dec_b .OUTPUT_REG = 1; +defparam dec_b .MIDDLE_REG = 1; + +endmodule diff --git a/Advanced Synthesis Cookbook/ecc/xor6.v b/Advanced Synthesis Cookbook/ecc/xor6.v new file mode 100644 index 0000000..cd2ae01 --- /dev/null +++ b/Advanced Synthesis Cookbook/ecc/xor6.v @@ -0,0 +1,41 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module xor6 (out,a,b,c,d,e,f); +input a,b,c,d,e,f; +output out; +wire out; + +// Equivalent function : out = a ^ b ^ c ^ d ^ e ^ f; +//assign out = a ^ b ^ c ^ d ^ e ^ f; + + +stratixii_lcell_comb s2lc ( + .dataa (a),.datab (b),.datac (c),.datad (d),.datae (e),.dataf (f),.datag(1'b1), + .cin(1'b1),.sharein(1'b0),.sumout(),.cout(),.shareout(), + .combout(out)); + +defparam s2lc .lut_mask = 64'h6996966996696996; +defparam s2lc .shared_arith = "off"; +defparam s2lc .extended_lut = "off"; + +endmodule diff --git a/Advanced Synthesis Cookbook/ethernet_fec/annex74_tb.sv b/Advanced Synthesis Cookbook/ethernet_fec/annex74_tb.sv new file mode 100644 index 0000000..4acccc2 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/annex74_tb.sv @@ -0,0 +1,148 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-05-2008 +// compare against sample data in Annex74a doc + +module annex74_tb (); + +`include "reverse_32.inc" + +wire [0 : (64+2) * 32-1] sample = +{ + 2'b10,64'h40ea1e77eed301ec,2'b10,64'had5a3bf86d9acf5c,2'b10,64'hde55cb85df0f7ca0,2'b10,64'he6ccff8e8212b1c6, + 2'b10,64'hd63bc6c309000638,2'b10,64'h70e3b0ce30e0497d,2'b10,64'hdc8df31ec3ab4491,2'b10,64'h66fb9139c81cd37b, + 2'b10,64'hb57477d4f05e3602,2'b10,64'h8cfd495012947a31,2'b10,64'he7777cf0c6d06280,2'b10,64'h44529cf4b4900528, + 2'b10,64'h85ce1d27750ad61b,2'b10,64'h456d5c71743f5c69,2'b10,64'hc1bf62e5dc5464b5,2'b10,64'hdc6011be7ea1ed54, + 2'b10,64'h1cf92c450042a75f,2'b10,64'hcc4b940eaf3140db,2'b10,64'h77bb612a7abf401f,2'b10,64'hc22d341e90545d98, + 2'b10,64'hce6daf1f248bbd6d,2'b10,64'hdd22d0b3f9551ed6,2'b10,64'h574686c3f9e93898,2'b10,64'h2e52628f4a1282ce, + 2'b10,64'hf20c86d71944aab1,2'b10,64'h55133c9333808a2c,2'b10,64'h1aa825d8b817db4d,2'b10,64'h637959989f3021eb, + 2'b10,64'h976806641b26aae9,2'b10,64'h6a37d4531b7ed5f2,2'b10,64'h53c3e96d3b12fb46,2'b10,64'h528c7eb8481bc969 +}; + +wire [0 : (64+1) * 32-1] sample_xcode = +{ + 1'b1,64'h40ea1e77eed301ec,1'b0,64'had5a3bf86d9acf5c,1'b0,64'hde55cb85df0f7ca0,1'b1,64'he6ccff8e8212b1c6, + 1'b0,64'hd63bc6c309000638,1'b1,64'h70e3b0ce30e0497d,1'b1,64'hdc8df31ec3ab4491,1'b1,64'h66fb9139c81cd37b, + 1'b0,64'hb57477d4f05e3602,1'b1,64'h8cfd495012947a31,1'b0,64'he7777cf0c6d06280,1'b0,64'h44529cf4b4900528, + 1'b1,64'h85ce1d27750ad61b,1'b0,64'h456d5c71743f5c69,1'b1,64'hc1bf62e5dc5464b5,1'b0,64'hdc6011be7ea1ed54, + 1'b1,64'h1cf92c450042a75f,1'b0,64'hcc4b940eaf3140db,1'b1,64'h77bb612a7abf401f,1'b0,64'hc22d341e90545d98, + 1'b0,64'hce6daf1f248bbd6d,1'b0,64'hdd22d0b3f9551ed6,1'b0,64'h574686c3f9e93898,1'b0,64'h2e52628f4a1282ce, + 1'b0,64'hf20c86d71944aab1,1'b0,64'h55133c9333808a2c,1'b1,64'h1aa825d8b817db4d,1'b0,64'h637959989f3021eb, + 1'b0,64'h976806641b26aae9,1'b0,64'h6a37d4531b7ed5f2,1'b1,64'h53c3e96d3b12fb46,1'b1,64'h528c7eb8481bc969 +}; + +wire [0 : 64 * 33-1] sample_scram = +{ + 64'h5f8af0c4083cd5b6, 64'h2b57dbab4e33e17d, 64'hb1354680bbe0bac1, 64'h4193315242cb81b6, + 64'hcc1ba1c9f7b7fe64, 64'h90838ec46d969470, 64'ha913b019c27f5689, 64'h7633f46ec762b6d9, + 64'hd1e410905587d0e4, 64'hf9b66a42540af04a, 64'h9909b64535a725b8, 64'h5005107c48b4a6aa, + 64'hf9d684ce4396f7a9, 64'h1b26e0a025c5d0fd, 64'ha4f2c62bc4611217, 64'h3638dc7504ea755e, + 64'h13fe232e3cdd2a84, 64'h5c5118ed10f6ffd8, 64'h5077fba23970c87d, 64'h52ec1279d355fc57, + 64'h48263899cc6652da, 64'hf746ec8b31bd6b40, 64'h006f5809784c86a7, 64'h989b9bd1aab70f0f, + 64'h57d99a87b9a9cc74, 64'h09ffb2754f318f33, 64'hca8fce7654fb1e57, 64'h03a9c3acc87e6cdd, + 64'hb2574be1e93fcc9a, 64'h26c4fde242df5ca6, 64'hc645fd2bf2d3d525, 64'h5b25e6d7f9d78153, + 64'hbd49683cd87b293a +}; + +reg clk,arst; + +// cut up the transcoded data and expected +// scrambled result into 32 bit words +integer n=0, k=0; +reg [31:0] xcode_word = 0; +reg [31:0] scram_word = 0; +always @(posedge clk or posedge arst) begin + if (arst) k = 65; + else begin + #1 + for (n=0; n<32; n++) begin + if (k == 65) xcode_word[n] = 0; + else xcode_word[n] = sample_xcode [k*32+n]; + scram_word[n] = sample_scram [k*32+n]; + end + k = (k + 1) % 66; + end +end + +// delay the expected result to match the generator latency +reg [31:0] scram_word_d; +always @(posedge clk or posedge arst) begin + if (arst) begin + scram_word_d <= 0; + end + else begin + scram_word_d <= scram_word; + end +end + +wire parity_sel = (k == 0); +wire [31:0] dout; + +fec_gen dut ( + .clk,.arst, + .din (xcode_word), + .parity_sel, + .dout +); + +reg first_check; +reg fail = 0; +always @(posedge clk or posedge arst) begin + if (arst) begin + first_check = 1; + end + else begin + #1 if (!first_check && scram_word_d !== dout) begin + $display ("Mismatch at time %d",$time); + fail = 1'b1; + end + + if (parity_sel) begin + @(negedge clk); + if (first_check) begin + @(negedge clk); + @(negedge clk); + first_check = 0; + end + end + end +end + +// make it slightly easier to follow the bit order in sim. +wire [31:0] rev_din = reverse_32(xcode_word); +wire [31:0] rev_dout = reverse_32(dout); +wire [31:0] rev_expected = reverse_32(scram_word_d); + +///////////////////////////////// +// clock driver + +initial begin + clk = 0; + arst = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + +always #5 clk = ~clk; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/ethernet_fec/crc_flat.v b/Advanced Synthesis Cookbook/ethernet_fec/crc_flat.v new file mode 100644 index 0000000..f31297f --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/crc_flat.v @@ -0,0 +1,280 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 58 bit CRC of 1 data bits (forward - LSB first) +// polynomial : 00000080 00000001 +// x^39 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC D +// 0000000000111111111122222222223333333333444444444455555555 0 +// 0123456789012345678901234567890123456789012345678901234567 0 +// C00 = .........................................................# # +// C01 = #......................................................... . +// C02 = .#........................................................ . +// C03 = ..#....................................................... . +// C04 = ...#...................................................... . +// C05 = ....#..................................................... . +// C06 = .....#.................................................... . +// C07 = ......#................................................... . +// C08 = .......#.................................................. . +// C09 = ........#................................................. . +// C10 = .........#................................................ . +// C11 = ..........#............................................... . +// C12 = ...........#.............................................. . +// C13 = ............#............................................. . +// C14 = .............#............................................ . +// C15 = ..............#........................................... . +// C16 = ...............#.......................................... . +// C17 = ................#......................................... . +// C18 = .................#........................................ . +// C19 = ..................#....................................... . +// C20 = ...................#...................................... . +// C21 = ....................#..................................... . +// C22 = .....................#.................................... . +// C23 = ......................#................................... . +// C24 = .......................#.................................. . +// C25 = ........................#................................. . +// C26 = .........................#................................ . +// C27 = ..........................#............................... . +// C28 = ...........................#.............................. . +// C29 = ............................#............................. . +// C30 = .............................#............................ . +// C31 = ..............................#........................... . +// C32 = ...............................#.......................... . +// C33 = ................................#......................... . +// C34 = .................................#........................ . +// C35 = ..................................#....................... . +// C36 = ...................................#...................... . +// C37 = ....................................#..................... . +// C38 = .....................................#.................... . +// C39 = ......................................#..................# # +// C40 = .......................................#.................. . +// C41 = ........................................#................. . +// C42 = .........................................#................ . +// C43 = ..........................................#............... . +// C44 = ...........................................#.............. . +// C45 = ............................................#............. . +// C46 = .............................................#............ . +// C47 = ..............................................#........... . +// C48 = ...............................................#.......... . +// C49 = ................................................#......... . +// C50 = .................................................#........ . +// C51 = ..................................................#....... . +// C52 = ...................................................#...... . +// C53 = ....................................................#..... . +// C54 = .....................................................#.... . +// C55 = ......................................................#... . +// C56 = .......................................................#.. . +// C57 = ........................................................#. . +// +// Number of XORs used is 58 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 61 +// + +module crc_flat ( + input [57:0] c, + input [0:0] d, + output [57:0] crc_out +); + +assign crc_out[0] = + c[57] ^ d[0]; + +assign crc_out[1] = + c[0]; + +assign crc_out[2] = + c[1]; + +assign crc_out[3] = + c[2]; + +assign crc_out[4] = + c[3]; + +assign crc_out[5] = + c[4]; + +assign crc_out[6] = + c[5]; + +assign crc_out[7] = + c[6]; + +assign crc_out[8] = + c[7]; + +assign crc_out[9] = + c[8]; + +assign crc_out[10] = + c[9]; + +assign crc_out[11] = + c[10]; + +assign crc_out[12] = + c[11]; + +assign crc_out[13] = + c[12]; + +assign crc_out[14] = + c[13]; + +assign crc_out[15] = + c[14]; + +assign crc_out[16] = + c[15]; + +assign crc_out[17] = + c[16]; + +assign crc_out[18] = + c[17]; + +assign crc_out[19] = + c[18]; + +assign crc_out[20] = + c[19]; + +assign crc_out[21] = + c[20]; + +assign crc_out[22] = + c[21]; + +assign crc_out[23] = + c[22]; + +assign crc_out[24] = + c[23]; + +assign crc_out[25] = + c[24]; + +assign crc_out[26] = + c[25]; + +assign crc_out[27] = + c[26]; + +assign crc_out[28] = + c[27]; + +assign crc_out[29] = + c[28]; + +assign crc_out[30] = + c[29]; + +assign crc_out[31] = + c[30]; + +assign crc_out[32] = + c[31]; + +assign crc_out[33] = + c[32]; + +assign crc_out[34] = + c[33]; + +assign crc_out[35] = + c[34]; + +assign crc_out[36] = + c[35]; + +assign crc_out[37] = + c[36]; + +assign crc_out[38] = + c[37]; + +assign crc_out[39] = + c[38] ^ c[57] ^ d[0]; + +assign crc_out[40] = + c[39]; + +assign crc_out[41] = + c[40]; + +assign crc_out[42] = + c[41]; + +assign crc_out[43] = + c[42]; + +assign crc_out[44] = + c[43]; + +assign crc_out[45] = + c[44]; + +assign crc_out[46] = + c[45]; + +assign crc_out[47] = + c[46]; + +assign crc_out[48] = + c[47]; + +assign crc_out[49] = + c[48]; + +assign crc_out[50] = + c[49]; + +assign crc_out[51] = + c[50]; + +assign crc_out[52] = + c[51]; + +assign crc_out[53] = + c[52]; + +assign crc_out[54] = + c[53]; + +assign crc_out[55] = + c[54]; + +assign crc_out[56] = + c[55]; + +assign crc_out[57] = + c[56]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/crc_speed.v b/Advanced Synthesis Cookbook/ethernet_fec/crc_speed.v new file mode 100644 index 0000000..13fc4ea --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/crc_speed.v @@ -0,0 +1,290 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 58 bit CRC of 1 data bits (forward - LSB first) +// polynomial : 00000080 00000001 +// x^39 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC D +// 0000000000111111111122222222223333333333444444444455555555 0 +// 0123456789012345678901234567890123456789012345678901234567 0 +// C00 = .........................................................# # +// C01 = #......................................................... . +// C02 = .#........................................................ . +// C03 = ..#....................................................... . +// C04 = ...#...................................................... . +// C05 = ....#..................................................... . +// C06 = .....#.................................................... . +// C07 = ......#................................................... . +// C08 = .......#.................................................. . +// C09 = ........#................................................. . +// C10 = .........#................................................ . +// C11 = ..........#............................................... . +// C12 = ...........#.............................................. . +// C13 = ............#............................................. . +// C14 = .............#............................................ . +// C15 = ..............#........................................... . +// C16 = ...............#.......................................... . +// C17 = ................#......................................... . +// C18 = .................#........................................ . +// C19 = ..................#....................................... . +// C20 = ...................#...................................... . +// C21 = ....................#..................................... . +// C22 = .....................#.................................... . +// C23 = ......................#................................... . +// C24 = .......................#.................................. . +// C25 = ........................#................................. . +// C26 = .........................#................................ . +// C27 = ..........................#............................... . +// C28 = ...........................#.............................. . +// C29 = ............................#............................. . +// C30 = .............................#............................ . +// C31 = ..............................#........................... . +// C32 = ...............................#.......................... . +// C33 = ................................#......................... . +// C34 = .................................#........................ . +// C35 = ..................................#....................... . +// C36 = ...................................#...................... . +// C37 = ....................................#..................... . +// C38 = .....................................#.................... . +// C39 = ......................................#..................# # +// C40 = .......................................#.................. . +// C41 = ........................................#................. . +// C42 = .........................................#................ . +// C43 = ..........................................#............... . +// C44 = ...........................................#.............. . +// C45 = ............................................#............. . +// C46 = .............................................#............ . +// C47 = ..............................................#........... . +// C48 = ...............................................#.......... . +// C49 = ................................................#......... . +// C50 = .................................................#........ . +// C51 = ..................................................#....... . +// C52 = ...................................................#...... . +// C53 = ....................................................#..... . +// C54 = .....................................................#.... . +// C55 = ......................................................#... . +// C56 = .......................................................#.. . +// C57 = ........................................................#. . +// +// Number of XORs used is 58 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 61 +// +module xor_6 (out,a,b,c,d,e,f); +input a,b,c,d,e,f; +output out; +wire out; + +// Equivalent function : out = a ^ b ^ c ^ d ^ e ^ f; +stratixii_lcell_comb s2lc ( + .dataa (a),.datab (b),.datac (c),.datad (d),.datae (e),.dataf (f), + .combout(out)); +defparam s2lc .lut_mask = "6996966996696996"; +defparam s2lc .shared_arith = "off"; +defparam s2lc .extended_lut = "off"; +endmodule + + +module crc_factor ( + input [57:0] c, + input [0:0] d, + output [57:0] crc_out +); + +xor_6 cx_0 (crc_out[0], c[57] , d[0] , 1'b0 , 1'b0 , 1'b0 , 1'b0); +assign crc_out[1] = + c[0]; + +assign crc_out[2] = + c[1]; + +assign crc_out[3] = + c[2]; + +assign crc_out[4] = + c[3]; + +assign crc_out[5] = + c[4]; + +assign crc_out[6] = + c[5]; + +assign crc_out[7] = + c[6]; + +assign crc_out[8] = + c[7]; + +assign crc_out[9] = + c[8]; + +assign crc_out[10] = + c[9]; + +assign crc_out[11] = + c[10]; + +assign crc_out[12] = + c[11]; + +assign crc_out[13] = + c[12]; + +assign crc_out[14] = + c[13]; + +assign crc_out[15] = + c[14]; + +assign crc_out[16] = + c[15]; + +assign crc_out[17] = + c[16]; + +assign crc_out[18] = + c[17]; + +assign crc_out[19] = + c[18]; + +assign crc_out[20] = + c[19]; + +assign crc_out[21] = + c[20]; + +assign crc_out[22] = + c[21]; + +assign crc_out[23] = + c[22]; + +assign crc_out[24] = + c[23]; + +assign crc_out[25] = + c[24]; + +assign crc_out[26] = + c[25]; + +assign crc_out[27] = + c[26]; + +assign crc_out[28] = + c[27]; + +assign crc_out[29] = + c[28]; + +assign crc_out[30] = + c[29]; + +assign crc_out[31] = + c[30]; + +assign crc_out[32] = + c[31]; + +assign crc_out[33] = + c[32]; + +assign crc_out[34] = + c[33]; + +assign crc_out[35] = + c[34]; + +assign crc_out[36] = + c[35]; + +assign crc_out[37] = + c[36]; + +assign crc_out[38] = + c[37]; + +xor_6 cx_39 (crc_out[39], c[38] , c[57] , d[0] , 1'b0 , 1'b0 , 1'b0); +assign crc_out[40] = + c[39]; + +assign crc_out[41] = + c[40]; + +assign crc_out[42] = + c[41]; + +assign crc_out[43] = + c[42]; + +assign crc_out[44] = + c[43]; + +assign crc_out[45] = + c[44]; + +assign crc_out[46] = + c[45]; + +assign crc_out[47] = + c[46]; + +assign crc_out[48] = + c[47]; + +assign crc_out[49] = + c[48]; + +assign crc_out[50] = + c[49]; + +assign crc_out[51] = + c[50]; + +assign crc_out[52] = + c[51]; + +assign crc_out[53] = + c[52]; + +assign crc_out[54] = + c[53]; + +assign crc_out[55] = + c[54]; + +assign crc_out[56] = + c[55]; + +assign crc_out[57] = + c[56]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_check.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_check.v new file mode 100644 index 0000000..67fc445 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_check.v @@ -0,0 +1,254 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-04-2008 +// based very closely on IEEE802 July 06 10GBASEKR FEC tutorial, slide 72 + +module fec_check ( + input clk,arst, + input sof,eof, // eof would be coincident with the parity word + input [31:0] din, + output [31:0] dout, + output parity_match, // perfect parity in current dout's frame + output reg dout_repaired // the previous dout was repaired +); +`include "reverse_32.inc" + +///////////////////////////////////// +// pseudo noise descrambler +///////////////////////////////////// + +wire [31:0] pn_val_w; +reg [31:0] pn_val; +reg [6:0] pn_cntr; + +pn2112_table pn ( + .din(pn_cntr), + .dout(pn_val_w) +); + +always @(posedge clk) begin + if (arst) begin + pn_val <= 0; + pn_cntr <= 0; + end + else begin + pn_val <= pn_val_w; + if (eof) begin + pn_cntr <= 1'b1; + pn_val <= 32'hffffffff; + end + else begin + if (pn_cntr == 7'd65) pn_cntr <= 0; + else pn_cntr <= pn_cntr + 1'b1; + end + end +end + +wire [31:0] descram_din = din ^ pn_val; + +///////////////////////////////////// +// syndrome the input stream +///////////////////////////////////// + +reg [31:0] syndrome,parity; +wire [31:0] next_parity; +fec_parity fp (.c(parity),.d(descram_din),.co(next_parity)); + +always @(posedge clk or posedge arst) begin + if (arst) begin + syndrome <= 0; + parity <= 0; + end + else begin + syndrome <= eof ? + reverse_32(descram_din ^ reverse_32(parity)) : + syndrome; + parity <= eof ? 32'h0 : next_parity; + end +end + +///////////////////////////////////// +// stall 1 frame+ for correction +///////////////////////////////////// + +localparam FRAME_STALL = 66 + 5; +reg [FRAME_STALL*32-1:0] frame_buffer; +wire [32-1:0] delayed_din; +always @(posedge clk) begin + frame_buffer <= {frame_buffer [(FRAME_STALL-1)*32-1:0],descram_din}; +end +assign delayed_din = frame_buffer[FRAME_STALL*32-1:(FRAME_STALL-1)*32]; + +reg [2:0] parity_match_r; +always @(posedge clk or posedge arst) begin + if (arst) parity_match_r <= 0; + else parity_match_r <= {parity_match_r[1:0],~|syndrome}; +end +assign parity_match = parity_match_r[2]; + +///////////////////////////////////// +// rotated syndrome register +///////////////////////////////////// + +reg [31:0] rot_syndrome; +wire [31:0] next_rot_32, next_rot_n2112; +fec_rot_32 fr32 (.c(rot_syndrome),.co(next_rot_32)); +fec_rot_n2112 frn2112 (.c(syndrome),.co(next_rot_n2112)); +always @(posedge clk or posedge arst) begin + if (arst) rot_syndrome <= 0; + else rot_syndrome <= sof ? next_rot_n2112 : next_rot_32; +end + +///////////////////////////////////// +// unroll 1 word by bits +///////////////////////////////////// + +wire [32*32-1:0] rsyns_w; +reg [32*32-1:0] rsyns; +assign rsyns_w [31:0] = rot_syndrome; +fec_rot_1 fr1 (.c(rot_syndrome),.co(rsyns_w[(1+1)*32-1:1*32])); +fec_rot_2 fr2 (.c(rot_syndrome),.co(rsyns_w[(2+1)*32-1:2*32])); +fec_rot_3 fr3 (.c(rot_syndrome),.co(rsyns_w[(3+1)*32-1:3*32])); +fec_rot_4 fr4 (.c(rot_syndrome),.co(rsyns_w[(4+1)*32-1:4*32])); +fec_rot_5 fr5 (.c(rot_syndrome),.co(rsyns_w[(5+1)*32-1:5*32])); +fec_rot_6 fr6 (.c(rot_syndrome),.co(rsyns_w[(6+1)*32-1:6*32])); +fec_rot_7 fr7 (.c(rot_syndrome),.co(rsyns_w[(7+1)*32-1:7*32])); +fec_rot_8 fr8 (.c(rot_syndrome),.co(rsyns_w[(8+1)*32-1:8*32])); +fec_rot_9 fr9 (.c(rot_syndrome),.co(rsyns_w[(9+1)*32-1:9*32])); +fec_rot_10 fr10 (.c(rot_syndrome),.co(rsyns_w[(10+1)*32-1:10*32])); +fec_rot_11 fr11 (.c(rot_syndrome),.co(rsyns_w[(11+1)*32-1:11*32])); +fec_rot_12 fr12 (.c(rot_syndrome),.co(rsyns_w[(12+1)*32-1:12*32])); +fec_rot_13 fr13 (.c(rot_syndrome),.co(rsyns_w[(13+1)*32-1:13*32])); +fec_rot_14 fr14 (.c(rot_syndrome),.co(rsyns_w[(14+1)*32-1:14*32])); +fec_rot_15 fr15 (.c(rot_syndrome),.co(rsyns_w[(15+1)*32-1:15*32])); +fec_rot_16 fr16 (.c(rot_syndrome),.co(rsyns_w[(16+1)*32-1:16*32])); +fec_rot_17 fr17 (.c(rot_syndrome),.co(rsyns_w[(17+1)*32-1:17*32])); +fec_rot_18 fr18 (.c(rot_syndrome),.co(rsyns_w[(18+1)*32-1:18*32])); +fec_rot_19 fr19 (.c(rot_syndrome),.co(rsyns_w[(19+1)*32-1:19*32])); +fec_rot_20 fr20 (.c(rot_syndrome),.co(rsyns_w[(20+1)*32-1:20*32])); +fec_rot_21 fr21 (.c(rot_syndrome),.co(rsyns_w[(21+1)*32-1:21*32])); +fec_rot_22 fr22 (.c(rot_syndrome),.co(rsyns_w[(22+1)*32-1:22*32])); +fec_rot_23 fr23 (.c(rot_syndrome),.co(rsyns_w[(23+1)*32-1:23*32])); +fec_rot_24 fr24 (.c(rot_syndrome),.co(rsyns_w[(24+1)*32-1:24*32])); +fec_rot_25 fr25 (.c(rot_syndrome),.co(rsyns_w[(25+1)*32-1:25*32])); +fec_rot_26 fr26 (.c(rot_syndrome),.co(rsyns_w[(26+1)*32-1:26*32])); +fec_rot_27 fr27 (.c(rot_syndrome),.co(rsyns_w[(27+1)*32-1:27*32])); +fec_rot_28 fr28 (.c(rot_syndrome),.co(rsyns_w[(28+1)*32-1:28*32])); +fec_rot_29 fr29 (.c(rot_syndrome),.co(rsyns_w[(29+1)*32-1:29*32])); +fec_rot_30 fr30 (.c(rot_syndrome),.co(rsyns_w[(30+1)*32-1:30*32])); +fec_rot_31 fr31 (.c(rot_syndrome),.co(rsyns_w[(31+1)*32-1:31*32])); + +always @(posedge clk or posedge arst) begin + if (arst) rsyns <= 0; + else rsyns <= rsyns_w; +end + +///////////////////////////////////// +// error detect array +///////////////////////////////////// + +wire [32*11-1:0] err_det_w; +reg [32*11-1:0] err_det; +genvar i,j; +generate + for (i=0;i<32;i=i+1) begin : fla + wire low_zero = ~|rsyns[i*32+20:i*32]; + assign err_det_w[(i+1)*11-1:i*11] = {11{low_zero}} & rsyns[i*32+31:i*32+21]; + end + +endgenerate + +always @(posedge clk or posedge arst) begin + if (arst) err_det <= 0; + else err_det <= err_det_w; +end + +wire [31:0] next_error; +wire [9:0] next_carry; +reg [31:0] error_reg; +reg [9:0] carry_reg; + +assign next_error[31] = |{err_det[11*21+0],err_det[11*22+1],err_det[11*23+2],err_det[11*24+3],err_det[11*25+4],err_det[11*26+5],err_det[11*27+6],err_det[11*28+7],err_det[11*29+8],err_det[11*30+9],err_det[11*31+10]}; +assign next_error[30] = |{err_det[11*20+0],err_det[11*21+1],err_det[11*22+2],err_det[11*23+3],err_det[11*24+4],err_det[11*25+5],err_det[11*26+6],err_det[11*27+7],err_det[11*28+8],err_det[11*29+9],err_det[11*30+10]}; +assign next_error[29] = |{err_det[11*19+0],err_det[11*20+1],err_det[11*21+2],err_det[11*22+3],err_det[11*23+4],err_det[11*24+5],err_det[11*25+6],err_det[11*26+7],err_det[11*27+8],err_det[11*28+9],err_det[11*29+10]}; +assign next_error[28] = |{err_det[11*18+0],err_det[11*19+1],err_det[11*20+2],err_det[11*21+3],err_det[11*22+4],err_det[11*23+5],err_det[11*24+6],err_det[11*25+7],err_det[11*26+8],err_det[11*27+9],err_det[11*28+10]}; +assign next_error[27] = |{err_det[11*17+0],err_det[11*18+1],err_det[11*19+2],err_det[11*20+3],err_det[11*21+4],err_det[11*22+5],err_det[11*23+6],err_det[11*24+7],err_det[11*25+8],err_det[11*26+9],err_det[11*27+10]}; +assign next_error[26] = |{err_det[11*16+0],err_det[11*17+1],err_det[11*18+2],err_det[11*19+3],err_det[11*20+4],err_det[11*21+5],err_det[11*22+6],err_det[11*23+7],err_det[11*24+8],err_det[11*25+9],err_det[11*26+10]}; +assign next_error[25] = |{err_det[11*15+0],err_det[11*16+1],err_det[11*17+2],err_det[11*18+3],err_det[11*19+4],err_det[11*20+5],err_det[11*21+6],err_det[11*22+7],err_det[11*23+8],err_det[11*24+9],err_det[11*25+10]}; +assign next_error[24] = |{err_det[11*14+0],err_det[11*15+1],err_det[11*16+2],err_det[11*17+3],err_det[11*18+4],err_det[11*19+5],err_det[11*20+6],err_det[11*21+7],err_det[11*22+8],err_det[11*23+9],err_det[11*24+10]}; +assign next_error[23] = |{err_det[11*13+0],err_det[11*14+1],err_det[11*15+2],err_det[11*16+3],err_det[11*17+4],err_det[11*18+5],err_det[11*19+6],err_det[11*20+7],err_det[11*21+8],err_det[11*22+9],err_det[11*23+10]}; +assign next_error[22] = |{err_det[11*12+0],err_det[11*13+1],err_det[11*14+2],err_det[11*15+3],err_det[11*16+4],err_det[11*17+5],err_det[11*18+6],err_det[11*19+7],err_det[11*20+8],err_det[11*21+9],err_det[11*22+10]}; +assign next_error[21] = |{err_det[11*11+0],err_det[11*12+1],err_det[11*13+2],err_det[11*14+3],err_det[11*15+4],err_det[11*16+5],err_det[11*17+6],err_det[11*18+7],err_det[11*19+8],err_det[11*20+9],err_det[11*21+10]}; +assign next_error[20] = |{err_det[11*10+0],err_det[11*11+1],err_det[11*12+2],err_det[11*13+3],err_det[11*14+4],err_det[11*15+5],err_det[11*16+6],err_det[11*17+7],err_det[11*18+8],err_det[11*19+9],err_det[11*20+10]}; +assign next_error[19] = |{err_det[11*9+0],err_det[11*10+1],err_det[11*11+2],err_det[11*12+3],err_det[11*13+4],err_det[11*14+5],err_det[11*15+6],err_det[11*16+7],err_det[11*17+8],err_det[11*18+9],err_det[11*19+10]}; +assign next_error[18] = |{err_det[11*8+0],err_det[11*9+1],err_det[11*10+2],err_det[11*11+3],err_det[11*12+4],err_det[11*13+5],err_det[11*14+6],err_det[11*15+7],err_det[11*16+8],err_det[11*17+9],err_det[11*18+10]}; +assign next_error[17] = |{err_det[11*7+0],err_det[11*8+1],err_det[11*9+2],err_det[11*10+3],err_det[11*11+4],err_det[11*12+5],err_det[11*13+6],err_det[11*14+7],err_det[11*15+8],err_det[11*16+9],err_det[11*17+10]}; +assign next_error[16] = |{err_det[11*6+0],err_det[11*7+1],err_det[11*8+2],err_det[11*9+3],err_det[11*10+4],err_det[11*11+5],err_det[11*12+6],err_det[11*13+7],err_det[11*14+8],err_det[11*15+9],err_det[11*16+10]}; +assign next_error[15] = |{err_det[11*5+0],err_det[11*6+1],err_det[11*7+2],err_det[11*8+3],err_det[11*9+4],err_det[11*10+5],err_det[11*11+6],err_det[11*12+7],err_det[11*13+8],err_det[11*14+9],err_det[11*15+10]}; +assign next_error[14] = |{err_det[11*4+0],err_det[11*5+1],err_det[11*6+2],err_det[11*7+3],err_det[11*8+4],err_det[11*9+5],err_det[11*10+6],err_det[11*11+7],err_det[11*12+8],err_det[11*13+9],err_det[11*14+10]}; +assign next_error[13] = |{err_det[11*3+0],err_det[11*4+1],err_det[11*5+2],err_det[11*6+3],err_det[11*7+4],err_det[11*8+5],err_det[11*9+6],err_det[11*10+7],err_det[11*11+8],err_det[11*12+9],err_det[11*13+10]}; +assign next_error[12] = |{err_det[11*2+0],err_det[11*3+1],err_det[11*4+2],err_det[11*5+3],err_det[11*6+4],err_det[11*7+5],err_det[11*8+6],err_det[11*9+7],err_det[11*10+8],err_det[11*11+9],err_det[11*12+10]}; +assign next_error[11] = |{err_det[11*1+0],err_det[11*2+1],err_det[11*3+2],err_det[11*4+3],err_det[11*5+4],err_det[11*6+5],err_det[11*7+6],err_det[11*8+7],err_det[11*9+8],err_det[11*10+9],err_det[11*11+10]}; +assign next_error[10] = |{err_det[11*0+0],err_det[11*1+1],err_det[11*2+2],err_det[11*3+3],err_det[11*4+4],err_det[11*5+5],err_det[11*6+6],err_det[11*7+7],err_det[11*8+8],err_det[11*9+9],err_det[11*10+10]}; +assign next_error[9] = |{err_det[11*0+1],err_det[11*1+2],err_det[11*2+3],err_det[11*3+4],err_det[11*4+5],err_det[11*5+6],err_det[11*6+7],err_det[11*7+8],err_det[11*8+9],err_det[11*9+10]}; +assign next_error[8] = |{err_det[11*0+2],err_det[11*1+3],err_det[11*2+4],err_det[11*3+5],err_det[11*4+6],err_det[11*5+7],err_det[11*6+8],err_det[11*7+9],err_det[11*8+10]}; +assign next_error[7] = |{err_det[11*0+3],err_det[11*1+4],err_det[11*2+5],err_det[11*3+6],err_det[11*4+7],err_det[11*5+8],err_det[11*6+9],err_det[11*7+10]}; +assign next_error[6] = |{err_det[11*0+4],err_det[11*1+5],err_det[11*2+6],err_det[11*3+7],err_det[11*4+8],err_det[11*5+9],err_det[11*6+10]}; +assign next_error[5] = |{err_det[11*0+5],err_det[11*1+6],err_det[11*2+7],err_det[11*3+8],err_det[11*4+9],err_det[11*5+10]}; +assign next_error[4] = |{err_det[11*0+6],err_det[11*1+7],err_det[11*2+8],err_det[11*3+9],err_det[11*4+10]}; +assign next_error[3] = |{err_det[11*0+7],err_det[11*1+8],err_det[11*2+9],err_det[11*3+10]}; +assign next_error[2] = |{err_det[11*0+8],err_det[11*1+9],err_det[11*2+10]}; +assign next_error[1] = |{err_det[11*0+9],err_det[11*1+10]}; +assign next_error[0] = |{err_det[11*0+10]}; + +assign next_carry[9] = |{err_det[11*31+0]}; +assign next_carry[8] = |{err_det[11*31+1],err_det[11*30+0]}; +assign next_carry[7] = |{err_det[11*31+2],err_det[11*30+1],err_det[11*29+0]}; +assign next_carry[6] = |{err_det[11*31+3],err_det[11*30+2],err_det[11*29+1],err_det[11*28+0]}; +assign next_carry[5] = |{err_det[11*31+4],err_det[11*30+3],err_det[11*29+2],err_det[11*28+1],err_det[11*27+0]}; +assign next_carry[4] = |{err_det[11*31+5],err_det[11*30+4],err_det[11*29+3],err_det[11*28+2],err_det[11*27+1],err_det[11*26+0]}; +assign next_carry[3] = |{err_det[11*31+6],err_det[11*30+5],err_det[11*29+4],err_det[11*28+3],err_det[11*27+2],err_det[11*26+1],err_det[11*25+0]}; +assign next_carry[2] = |{err_det[11*31+7],err_det[11*30+6],err_det[11*29+5],err_det[11*28+4],err_det[11*27+3],err_det[11*26+2],err_det[11*25+1],err_det[11*24+0]}; +assign next_carry[1] = |{err_det[11*31+8],err_det[11*30+7],err_det[11*29+6],err_det[11*28+5],err_det[11*27+4],err_det[11*26+3],err_det[11*25+2],err_det[11*24+1],err_det[11*23+0]}; +assign next_carry[0] = |{err_det[11*31+9],err_det[11*30+8],err_det[11*29+7],err_det[11*28+6],err_det[11*27+5],err_det[11*26+4],err_det[11*25+3],err_det[11*24+2],err_det[11*23+1],err_det[11*22+0]}; + +reg last_sof; +always @(posedge clk or posedge arst) begin + if (arst) begin + error_reg <= 0; + carry_reg <= 0; + last_sof <= 0; + dout_repaired <= 0; + end + else begin + last_sof <= sof; + error_reg <= next_error | carry_reg; + carry_reg <= ~{11{last_sof}} & next_carry; + dout_repaired <= |error_reg; + end +end + +assign dout = delayed_din ^ error_reg; + +endmodule + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_gen.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_gen.v new file mode 100644 index 0000000..5485dbc --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_gen.v @@ -0,0 +1,87 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-04-2008 +// Ethernet 10/40/100G style FEC insertion + PN2112 scramble + +module fec_gen ( + input clk,arst, + input [31:0] din, + input parity_sel, // the next tick's dout is to be the parity word + output reg [31:0] dout +); + +`include "reverse_32.inc" + +/////////////////////////// +// parity workhorse + +reg [31:0] parity; +wire [31:0] next_parity; + +fec_parity fs (.c(parity),.d(din),.co(next_parity)); + +/////////////////////////// +// pseudo noise scrambler +wire [31:0] pn_val_w; +reg [31:0] pn_val; +reg [6:0] pn_cntr; + +pn2112_table pn ( + .din(pn_cntr), + .dout(pn_val_w) +); + +always @(posedge clk) begin + if (arst) begin + pn_val <= 0; + pn_cntr <= 0; + end + else begin + pn_val <= pn_val_w; + if (parity_sel) begin + pn_cntr <= 1'b1; + pn_val <= 32'hffffffff; + end + else begin + if (pn_cntr == 7'd65) pn_cntr <= 0; + else pn_cntr <= pn_cntr + 1'b1; + end + end +end + +/////////////////////////// +// output register + +always @(posedge clk or posedge arst) begin + if (arst) begin + parity <= 0; + dout <= 0; + end + else begin + parity <= parity_sel ? 32'b0 : next_parity; + dout <= (parity_sel ? reverse_32(parity) : din) ^ pn_val; + end +end + +endmodule + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_parity.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_parity.v new file mode 100644 index 0000000..263dc95 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_parity.v @@ -0,0 +1,233 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 32 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222222222233 +// 01234567890123456789012345678901 01234567890123456789012345678901 +// C00 = #........#.#......#..##....#.### ###.#....##..#......#.#........# +// C01 = .#........#.#......#..##....#.## ##.#....##..#......#.#........#. +// C02 = #.#......#...#....#.#####..#..#. .#..#..#####.#....#...#......#.# +// C03 = .#.#......#...#....#.#####..#..# #..#..#####.#....#...#......#.#. +// C04 = ..#.#......#...#....#.#####..#.. ..#..#####.#....#...#......#.#.. +// C05 = ...#.#......#...#....#.#####..#. .#..#####.#....#...#......#.#... +// C06 = ....#.#......#...#....#.#####..# #..#####.#....#...#......#.#.... +// C07 = .....#.#......#...#....#.#####.. ..#####.#....#...#......#.#..... +// C08 = ......#.#......#...#....#.#####. .#####.#....#...#......#.#...... +// C09 = .......#.#......#...#....#.##### #####.#....#...#......#.#....... +// C10 = ........#.#......#...#....#.#### ####.#....#...#......#.#........ +// C11 = #....................#.......... ..........#....................# +// C12 = .#....................#......... .........#....................#. +// C13 = ..#....................#........ ........#....................#.. +// C14 = ...#....................#....... .......#....................#... +// C15 = ....#....................#...... ......#....................#.... +// C16 = .....#....................#..... .....#....................#..... +// C17 = ......#....................#.... ....#....................#...... +// C18 = .......#....................#... ...#....................#....... +// C19 = ........#....................#.. ..#....................#........ +// C20 = .........#....................#. .#....................#......... +// C21 = #........###......#..##....#.##. .##.#....##..#......###........# +// C22 = .#........###......#..##....#.## ##.#....##..#......###........#. +// C23 = #.#......#..##....#.#####..#..#. .#..#..#####.#....##..#......#.# +// C24 = .#.#......#..##....#.#####..#..# #..#..#####.#....##..#......#.#. +// C25 = ..#.#......#..##....#.#####..#.. ..#..#####.#....##..#......#.#.. +// C26 = ...#.#......#..##....#.#####..#. .#..#####.#....##..#......#.#... +// C27 = ....#.#......#..##....#.#####..# #..#####.#....##..#......#.#.... +// C28 = .....#.#......#..##....#.#####.. ..#####.#....##..#......#.#..... +// C29 = ......#.#......#..##....#.#####. .#####.#....##..#......#.#...... +// C30 = .......#.#......#..##....#.##### #####.#....##..#......#.#....... +// C31 = ........#.#......#..##....#.#### ####.#....##..#......#.#........ +// +// Number of XORs used is 32 +// Maximum XOR input count is 26 +// Best possible depth in 4 LUTs = 3 +// Best possible depth in 5 LUTs = 3 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 520 +// + +module fec_parity ( + input [31:0] c, + input [31:0] d, + output [31:0] co +); + +assign co[0] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31] ^ d[0] ^ d[1] ^ d[2] ^ + d[4] ^ d[9] ^ d[10] ^ d[13] ^ d[20] ^ d[22] ^ d[31]; + +assign co[1] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31] ^ d[0] ^ d[1] ^ d[3] ^ d[8] ^ + d[9] ^ d[12] ^ d[19] ^ d[21] ^ d[30]; + +assign co[2] = + c[0] ^ c[2] ^ c[9] ^ c[13] ^ c[18] ^ c[20] ^ + c[21] ^ c[22] ^ c[23] ^ c[24] ^ c[27] ^ c[30] ^ d[1] ^ + d[4] ^ d[7] ^ d[8] ^ d[9] ^ d[10] ^ d[11] ^ d[13] ^ + d[18] ^ d[22] ^ d[29] ^ d[31]; + +assign co[3] = + c[1] ^ c[3] ^ c[10] ^ c[14] ^ c[19] ^ c[21] ^ + c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[28] ^ c[31] ^ d[0] ^ + d[3] ^ d[6] ^ d[7] ^ d[8] ^ d[9] ^ d[10] ^ d[12] ^ + d[17] ^ d[21] ^ d[28] ^ d[30]; + +assign co[4] = + c[2] ^ c[4] ^ c[11] ^ c[15] ^ c[20] ^ c[22] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[29] ^ d[2] ^ d[5] ^ + d[6] ^ d[7] ^ d[8] ^ d[9] ^ d[11] ^ d[16] ^ d[20] ^ + d[27] ^ d[29]; + +assign co[5] = + c[3] ^ c[5] ^ c[12] ^ c[16] ^ c[21] ^ c[23] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30] ^ d[1] ^ d[4] ^ + d[5] ^ d[6] ^ d[7] ^ d[8] ^ d[10] ^ d[15] ^ d[19] ^ + d[26] ^ d[28]; + +assign co[6] = + c[4] ^ c[6] ^ c[13] ^ c[17] ^ c[22] ^ c[24] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31] ^ d[0] ^ d[3] ^ + d[4] ^ d[5] ^ d[6] ^ d[7] ^ d[9] ^ d[14] ^ d[18] ^ + d[25] ^ d[27]; + +assign co[7] = + c[5] ^ c[7] ^ c[14] ^ c[18] ^ c[23] ^ c[25] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ d[2] ^ d[3] ^ d[4] ^ + d[5] ^ d[6] ^ d[8] ^ d[13] ^ d[17] ^ d[24] ^ d[26]; + +assign co[8] = + c[6] ^ c[8] ^ c[15] ^ c[19] ^ c[24] ^ c[26] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ d[1] ^ d[2] ^ d[3] ^ + d[4] ^ d[5] ^ d[7] ^ d[12] ^ d[16] ^ d[23] ^ d[25]; + +assign co[9] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31] ^ d[0] ^ d[1] ^ d[2] ^ + d[3] ^ d[4] ^ d[6] ^ d[11] ^ d[15] ^ d[22] ^ d[24]; + +assign co[10] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31] ^ d[0] ^ d[1] ^ d[2] ^ d[3] ^ + d[5] ^ d[10] ^ d[14] ^ d[21] ^ d[23]; + +assign co[11] = + c[0] ^ c[21] ^ d[10] ^ d[31]; + +assign co[12] = + c[1] ^ c[22] ^ d[9] ^ d[30]; + +assign co[13] = + c[2] ^ c[23] ^ d[8] ^ d[29]; + +assign co[14] = + c[3] ^ c[24] ^ d[7] ^ d[28]; + +assign co[15] = + c[4] ^ c[25] ^ d[6] ^ d[27]; + +assign co[16] = + c[5] ^ c[26] ^ d[5] ^ d[26]; + +assign co[17] = + c[6] ^ c[27] ^ d[4] ^ d[25]; + +assign co[18] = + c[7] ^ c[28] ^ d[3] ^ d[24]; + +assign co[19] = + c[8] ^ c[29] ^ d[2] ^ d[23]; + +assign co[20] = + c[9] ^ c[30] ^ d[1] ^ d[22]; + +assign co[21] = + c[0] ^ c[9] ^ c[10] ^ c[11] ^ c[18] ^ c[21] ^ + c[22] ^ c[27] ^ c[29] ^ c[30] ^ d[1] ^ d[2] ^ d[4] ^ + d[9] ^ d[10] ^ d[13] ^ d[20] ^ d[21] ^ d[22] ^ d[31]; + +assign co[22] = + c[1] ^ c[10] ^ c[11] ^ c[12] ^ c[19] ^ c[22] ^ + c[23] ^ c[28] ^ c[30] ^ c[31] ^ d[0] ^ d[1] ^ d[3] ^ + d[8] ^ d[9] ^ d[12] ^ d[19] ^ d[20] ^ d[21] ^ d[30]; + +assign co[23] = + c[0] ^ c[2] ^ c[9] ^ c[12] ^ c[13] ^ c[18] ^ + c[20] ^ c[21] ^ c[22] ^ c[23] ^ c[24] ^ c[27] ^ c[30] ^ + d[1] ^ d[4] ^ d[7] ^ d[8] ^ d[9] ^ d[10] ^ d[11] ^ + d[13] ^ d[18] ^ d[19] ^ d[22] ^ d[29] ^ d[31]; + +assign co[24] = + c[1] ^ c[3] ^ c[10] ^ c[13] ^ c[14] ^ c[19] ^ + c[21] ^ c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[28] ^ c[31] ^ + d[0] ^ d[3] ^ d[6] ^ d[7] ^ d[8] ^ d[9] ^ d[10] ^ + d[12] ^ d[17] ^ d[18] ^ d[21] ^ d[28] ^ d[30]; + +assign co[25] = + c[2] ^ c[4] ^ c[11] ^ c[14] ^ c[15] ^ c[20] ^ + c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[29] ^ d[2] ^ + d[5] ^ d[6] ^ d[7] ^ d[8] ^ d[9] ^ d[11] ^ d[16] ^ + d[17] ^ d[20] ^ d[27] ^ d[29]; + +assign co[26] = + c[3] ^ c[5] ^ c[12] ^ c[15] ^ c[16] ^ c[21] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30] ^ d[1] ^ + d[4] ^ d[5] ^ d[6] ^ d[7] ^ d[8] ^ d[10] ^ d[15] ^ + d[16] ^ d[19] ^ d[26] ^ d[28]; + +assign co[27] = + c[4] ^ c[6] ^ c[13] ^ c[16] ^ c[17] ^ c[22] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31] ^ d[0] ^ + d[3] ^ d[4] ^ d[5] ^ d[6] ^ d[7] ^ d[9] ^ d[14] ^ + d[15] ^ d[18] ^ d[25] ^ d[27]; + +assign co[28] = + c[5] ^ c[7] ^ c[14] ^ c[17] ^ c[18] ^ c[23] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[29] ^ d[2] ^ d[3] ^ + d[4] ^ d[5] ^ d[6] ^ d[8] ^ d[13] ^ d[14] ^ d[17] ^ + d[24] ^ d[26]; + +assign co[29] = + c[6] ^ c[8] ^ c[15] ^ c[18] ^ c[19] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[30] ^ d[1] ^ d[2] ^ + d[3] ^ d[4] ^ d[5] ^ d[7] ^ d[12] ^ d[13] ^ d[16] ^ + d[23] ^ d[25]; + +assign co[30] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31] ^ d[0] ^ d[1] ^ + d[2] ^ d[3] ^ d[4] ^ d[6] ^ d[11] ^ d[12] ^ d[15] ^ + d[22] ^ d[24]; + +assign co[31] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31] ^ d[0] ^ d[1] ^ d[2] ^ + d[3] ^ d[5] ^ d[10] ^ d[11] ^ d[14] ^ d[21] ^ d[23]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_1.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_1.v new file mode 100644 index 0000000..ff9ee7a --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_1.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 1 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC D +// 00000000001111111111222222222233 0 +// 01234567890123456789012345678901 0 +// C00 = ...............................# . +// C01 = #............................... . +// C02 = .#.............................# . +// C03 = ..#............................. . +// C04 = ...#............................ . +// C05 = ....#........................... . +// C06 = .....#.......................... . +// C07 = ......#......................... . +// C08 = .......#........................ . +// C09 = ........#....................... . +// C10 = .........#...................... . +// C11 = ..........#....................# . +// C12 = ...........#.................... . +// C13 = ............#................... . +// C14 = .............#.................. . +// C15 = ..............#................. . +// C16 = ...............#................ . +// C17 = ................#............... . +// C18 = .................#.............. . +// C19 = ..................#............. . +// C20 = ...................#............ . +// C21 = ....................#..........# . +// C22 = .....................#.......... . +// C23 = ......................#........# . +// C24 = .......................#........ . +// C25 = ........................#....... . +// C26 = .........................#...... . +// C27 = ..........................#..... . +// C28 = ...........................#.... . +// C29 = ............................#... . +// C30 = .............................#.. . +// C31 = ..............................#. . +// +// Number of XORs used is 32 +// Maximum XOR input count is 2 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 36 +// + +module fec_rot_1 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[31]; + +assign co[1] = + c[0]; + +assign co[2] = + c[1] ^ c[31]; + +assign co[3] = + c[2]; + +assign co[4] = + c[3]; + +assign co[5] = + c[4]; + +assign co[6] = + c[5]; + +assign co[7] = + c[6]; + +assign co[8] = + c[7]; + +assign co[9] = + c[8]; + +assign co[10] = + c[9]; + +assign co[11] = + c[10] ^ c[31]; + +assign co[12] = + c[11]; + +assign co[13] = + c[12]; + +assign co[14] = + c[13]; + +assign co[15] = + c[14]; + +assign co[16] = + c[15]; + +assign co[17] = + c[16]; + +assign co[18] = + c[17]; + +assign co[19] = + c[18]; + +assign co[20] = + c[19]; + +assign co[21] = + c[20] ^ c[31]; + +assign co[22] = + c[21]; + +assign co[23] = + c[22] ^ c[31]; + +assign co[24] = + c[23]; + +assign co[25] = + c[24]; + +assign co[26] = + c[25]; + +assign co[27] = + c[26]; + +assign co[28] = + c[27]; + +assign co[29] = + c[28]; + +assign co[30] = + c[29]; + +assign co[31] = + c[30]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_10.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_10.v new file mode 100644 index 0000000..30847f0 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_10.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 10 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDD +// 00000000001111111111222222222233 0000000000 +// 01234567890123456789012345678901 0123456789 +// C00 = ......................#........# .......... +// C01 = .......................#........ .......... +// C02 = ......................#.#......# .......... +// C03 = .......................#.#...... .......... +// C04 = ........................#.#..... .......... +// C05 = .........................#.#.... .......... +// C06 = ..........................#.#... .......... +// C07 = ...........................#.#.. .......... +// C08 = ............................#.#. .......... +// C09 = .............................#.# .......... +// C10 = #.............................#. .......... +// C11 = .#....................#......... .......... +// C12 = ..#....................#........ .......... +// C13 = ...#....................#....... .......... +// C14 = ....#....................#...... .......... +// C15 = .....#....................#..... .......... +// C16 = ......#....................#.... .......... +// C17 = .......#....................#... .......... +// C18 = ........#....................#.. .......... +// C19 = .........#....................#. .......... +// C20 = ..........#....................# .......... +// C21 = ...........#..........#........# .......... +// C22 = ............#..........#........ .......... +// C23 = .............#........#.#......# .......... +// C24 = ..............#........#.#...... .......... +// C25 = ...............#........#.#..... .......... +// C26 = ................#........#.#.... .......... +// C27 = .................#........#.#... .......... +// C28 = ..................#........#.#.. .......... +// C29 = ...................#........#.#. .......... +// C30 = ....................#........#.# .......... +// C31 = .....................#........#. .......... +// +// Number of XORs used is 32 +// Maximum XOR input count is 4 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 74 +// + +module fec_rot_10 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[22] ^ c[31]; + +assign co[1] = + c[23]; + +assign co[2] = + c[22] ^ c[24] ^ c[31]; + +assign co[3] = + c[23] ^ c[25]; + +assign co[4] = + c[24] ^ c[26]; + +assign co[5] = + c[25] ^ c[27]; + +assign co[6] = + c[26] ^ c[28]; + +assign co[7] = + c[27] ^ c[29]; + +assign co[8] = + c[28] ^ c[30]; + +assign co[9] = + c[29] ^ c[31]; + +assign co[10] = + c[0] ^ c[30]; + +assign co[11] = + c[1] ^ c[22]; + +assign co[12] = + c[2] ^ c[23]; + +assign co[13] = + c[3] ^ c[24]; + +assign co[14] = + c[4] ^ c[25]; + +assign co[15] = + c[5] ^ c[26]; + +assign co[16] = + c[6] ^ c[27]; + +assign co[17] = + c[7] ^ c[28]; + +assign co[18] = + c[8] ^ c[29]; + +assign co[19] = + c[9] ^ c[30]; + +assign co[20] = + c[10] ^ c[31]; + +assign co[21] = + c[11] ^ c[22] ^ c[31]; + +assign co[22] = + c[12] ^ c[23]; + +assign co[23] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[24] = + c[14] ^ c[23] ^ c[25]; + +assign co[25] = + c[15] ^ c[24] ^ c[26]; + +assign co[26] = + c[16] ^ c[25] ^ c[27]; + +assign co[27] = + c[17] ^ c[26] ^ c[28]; + +assign co[28] = + c[18] ^ c[27] ^ c[29]; + +assign co[29] = + c[19] ^ c[28] ^ c[30]; + +assign co[30] = + c[20] ^ c[29] ^ c[31]; + +assign co[31] = + c[21] ^ c[30]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_11.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_11.v new file mode 100644 index 0000000..a54c394 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_11.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 11 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDD +// 00000000001111111111222222222233 00000000001 +// 01234567890123456789012345678901 01234567890 +// C00 = .....................#........#. ........... +// C01 = ......................#........# ........... +// C02 = .....................#.#......#. ........... +// C03 = ......................#.#......# ........... +// C04 = .......................#.#...... ........... +// C05 = ........................#.#..... ........... +// C06 = .........................#.#.... ........... +// C07 = ..........................#.#... ........... +// C08 = ...........................#.#.. ........... +// C09 = ............................#.#. ........... +// C10 = .............................#.# ........... +// C11 = #....................#.......... ........... +// C12 = .#....................#......... ........... +// C13 = ..#....................#........ ........... +// C14 = ...#....................#....... ........... +// C15 = ....#....................#...... ........... +// C16 = .....#....................#..... ........... +// C17 = ......#....................#.... ........... +// C18 = .......#....................#... ........... +// C19 = ........#....................#.. ........... +// C20 = .........#....................#. ........... +// C21 = ..........#..........#........## ........... +// C22 = ...........#..........#........# ........... +// C23 = ............#........#.#......#. ........... +// C24 = .............#........#.#......# ........... +// C25 = ..............#........#.#...... ........... +// C26 = ...............#........#.#..... ........... +// C27 = ................#........#.#.... ........... +// C28 = .................#........#.#... ........... +// C29 = ..................#........#.#.. ........... +// C30 = ...................#........#.#. ........... +// C31 = ....................#........#.# ........... +// +// Number of XORs used is 32 +// Maximum XOR input count is 4 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 80 +// + +module fec_rot_11 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[21] ^ c[30]; + +assign co[1] = + c[22] ^ c[31]; + +assign co[2] = + c[21] ^ c[23] ^ c[30]; + +assign co[3] = + c[22] ^ c[24] ^ c[31]; + +assign co[4] = + c[23] ^ c[25]; + +assign co[5] = + c[24] ^ c[26]; + +assign co[6] = + c[25] ^ c[27]; + +assign co[7] = + c[26] ^ c[28]; + +assign co[8] = + c[27] ^ c[29]; + +assign co[9] = + c[28] ^ c[30]; + +assign co[10] = + c[29] ^ c[31]; + +assign co[11] = + c[0] ^ c[21]; + +assign co[12] = + c[1] ^ c[22]; + +assign co[13] = + c[2] ^ c[23]; + +assign co[14] = + c[3] ^ c[24]; + +assign co[15] = + c[4] ^ c[25]; + +assign co[16] = + c[5] ^ c[26]; + +assign co[17] = + c[6] ^ c[27]; + +assign co[18] = + c[7] ^ c[28]; + +assign co[19] = + c[8] ^ c[29]; + +assign co[20] = + c[9] ^ c[30]; + +assign co[21] = + c[10] ^ c[21] ^ c[30] ^ c[31]; + +assign co[22] = + c[11] ^ c[22] ^ c[31]; + +assign co[23] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[24] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[25] = + c[14] ^ c[23] ^ c[25]; + +assign co[26] = + c[15] ^ c[24] ^ c[26]; + +assign co[27] = + c[16] ^ c[25] ^ c[27]; + +assign co[28] = + c[17] ^ c[26] ^ c[28]; + +assign co[29] = + c[18] ^ c[27] ^ c[29]; + +assign co[30] = + c[19] ^ c[28] ^ c[30]; + +assign co[31] = + c[20] ^ c[29] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_12.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_12.v new file mode 100644 index 0000000..d75e495 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_12.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 12 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011 +// 01234567890123456789012345678901 012345678901 +// C00 = ....................#........#.# ............ +// C01 = .....................#........#. ............ +// C02 = ....................#.#......#.. ............ +// C03 = .....................#.#......#. ............ +// C04 = ......................#.#......# ............ +// C05 = .......................#.#...... ............ +// C06 = ........................#.#..... ............ +// C07 = .........................#.#.... ............ +// C08 = ..........................#.#... ............ +// C09 = ...........................#.#.. ............ +// C10 = ............................#.#. ............ +// C11 = ....................#........... ............ +// C12 = #....................#.......... ............ +// C13 = .#....................#......... ............ +// C14 = ..#....................#........ ............ +// C15 = ...#....................#....... ............ +// C16 = ....#....................#...... ............ +// C17 = .....#....................#..... ............ +// C18 = ......#....................#.... ............ +// C19 = .......#....................#... ............ +// C20 = ........#....................#.. ............ +// C21 = .........#..........#........### ............ +// C22 = ..........#..........#........## ............ +// C23 = ...........#........#.#......#.. ............ +// C24 = ............#........#.#......#. ............ +// C25 = .............#........#.#......# ............ +// C26 = ..............#........#.#...... ............ +// C27 = ...............#........#.#..... ............ +// C28 = ................#........#.#.... ............ +// C29 = .................#........#.#... ............ +// C30 = ..................#........#.#.. ............ +// C31 = ...................#........#.#. ............ +// +// Number of XORs used is 32 +// Maximum XOR input count is 5 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 84 +// + +module fec_rot_12 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[20] ^ c[29] ^ c[31]; + +assign co[1] = + c[21] ^ c[30]; + +assign co[2] = + c[20] ^ c[22] ^ c[29]; + +assign co[3] = + c[21] ^ c[23] ^ c[30]; + +assign co[4] = + c[22] ^ c[24] ^ c[31]; + +assign co[5] = + c[23] ^ c[25]; + +assign co[6] = + c[24] ^ c[26]; + +assign co[7] = + c[25] ^ c[27]; + +assign co[8] = + c[26] ^ c[28]; + +assign co[9] = + c[27] ^ c[29]; + +assign co[10] = + c[28] ^ c[30]; + +assign co[11] = + c[20]; + +assign co[12] = + c[0] ^ c[21]; + +assign co[13] = + c[1] ^ c[22]; + +assign co[14] = + c[2] ^ c[23]; + +assign co[15] = + c[3] ^ c[24]; + +assign co[16] = + c[4] ^ c[25]; + +assign co[17] = + c[5] ^ c[26]; + +assign co[18] = + c[6] ^ c[27]; + +assign co[19] = + c[7] ^ c[28]; + +assign co[20] = + c[8] ^ c[29]; + +assign co[21] = + c[9] ^ c[20] ^ c[29] ^ c[30] ^ c[31]; + +assign co[22] = + c[10] ^ c[21] ^ c[30] ^ c[31]; + +assign co[23] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[24] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[25] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[26] = + c[14] ^ c[23] ^ c[25]; + +assign co[27] = + c[15] ^ c[24] ^ c[26]; + +assign co[28] = + c[16] ^ c[25] ^ c[27]; + +assign co[29] = + c[17] ^ c[26] ^ c[28]; + +assign co[30] = + c[18] ^ c[27] ^ c[29]; + +assign co[31] = + c[19] ^ c[28] ^ c[30]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_13.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_13.v new file mode 100644 index 0000000..28e9d45 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_13.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 13 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111 +// 01234567890123456789012345678901 0123456789012 +// C00 = ...................#........#.#. ............. +// C01 = ....................#........#.# ............. +// C02 = ...................#.#......#... ............. +// C03 = ....................#.#......#.. ............. +// C04 = .....................#.#......#. ............. +// C05 = ......................#.#......# ............. +// C06 = .......................#.#...... ............. +// C07 = ........................#.#..... ............. +// C08 = .........................#.#.... ............. +// C09 = ..........................#.#... ............. +// C10 = ...........................#.#.. ............. +// C11 = ...................#............ ............. +// C12 = ....................#........... ............. +// C13 = #....................#.......... ............. +// C14 = .#....................#......... ............. +// C15 = ..#....................#........ ............. +// C16 = ...#....................#....... ............. +// C17 = ....#....................#...... ............. +// C18 = .....#....................#..... ............. +// C19 = ......#....................#.... ............. +// C20 = .......#....................#... ............. +// C21 = ........#..........#........###. ............. +// C22 = .........#..........#........### ............. +// C23 = ..........#........#.#......#..# ............. +// C24 = ...........#........#.#......#.. ............. +// C25 = ............#........#.#......#. ............. +// C26 = .............#........#.#......# ............. +// C27 = ..............#........#.#...... ............. +// C28 = ...............#........#.#..... ............. +// C29 = ................#........#.#.... ............. +// C30 = .................#........#.#... ............. +// C31 = ..................#........#.#.. ............. +// +// Number of XORs used is 32 +// Maximum XOR input count is 5 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 88 +// + +module fec_rot_13 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[19] ^ c[28] ^ c[30]; + +assign co[1] = + c[20] ^ c[29] ^ c[31]; + +assign co[2] = + c[19] ^ c[21] ^ c[28]; + +assign co[3] = + c[20] ^ c[22] ^ c[29]; + +assign co[4] = + c[21] ^ c[23] ^ c[30]; + +assign co[5] = + c[22] ^ c[24] ^ c[31]; + +assign co[6] = + c[23] ^ c[25]; + +assign co[7] = + c[24] ^ c[26]; + +assign co[8] = + c[25] ^ c[27]; + +assign co[9] = + c[26] ^ c[28]; + +assign co[10] = + c[27] ^ c[29]; + +assign co[11] = + c[19]; + +assign co[12] = + c[20]; + +assign co[13] = + c[0] ^ c[21]; + +assign co[14] = + c[1] ^ c[22]; + +assign co[15] = + c[2] ^ c[23]; + +assign co[16] = + c[3] ^ c[24]; + +assign co[17] = + c[4] ^ c[25]; + +assign co[18] = + c[5] ^ c[26]; + +assign co[19] = + c[6] ^ c[27]; + +assign co[20] = + c[7] ^ c[28]; + +assign co[21] = + c[8] ^ c[19] ^ c[28] ^ c[29] ^ c[30]; + +assign co[22] = + c[9] ^ c[20] ^ c[29] ^ c[30] ^ c[31]; + +assign co[23] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[24] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[25] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[26] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[27] = + c[14] ^ c[23] ^ c[25]; + +assign co[28] = + c[15] ^ c[24] ^ c[26]; + +assign co[29] = + c[16] ^ c[25] ^ c[27]; + +assign co[30] = + c[17] ^ c[26] ^ c[28]; + +assign co[31] = + c[18] ^ c[27] ^ c[29]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_14.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_14.v new file mode 100644 index 0000000..4b48687 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_14.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 14 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111 +// 01234567890123456789012345678901 01234567890123 +// C00 = ..................#........#.#.. .............. +// C01 = ...................#........#.#. .............. +// C02 = ..................#.#......#...# .............. +// C03 = ...................#.#......#... .............. +// C04 = ....................#.#......#.. .............. +// C05 = .....................#.#......#. .............. +// C06 = ......................#.#......# .............. +// C07 = .......................#.#...... .............. +// C08 = ........................#.#..... .............. +// C09 = .........................#.#.... .............. +// C10 = ..........................#.#... .............. +// C11 = ..................#............. .............. +// C12 = ...................#............ .............. +// C13 = ....................#........... .............. +// C14 = #....................#.......... .............. +// C15 = .#....................#......... .............. +// C16 = ..#....................#........ .............. +// C17 = ...#....................#....... .............. +// C18 = ....#....................#...... .............. +// C19 = .....#....................#..... .............. +// C20 = ......#....................#.... .............. +// C21 = .......#..........#........###.. .............. +// C22 = ........#..........#........###. .............. +// C23 = .........#........#.#......#..## .............. +// C24 = ..........#........#.#......#..# .............. +// C25 = ...........#........#.#......#.. .............. +// C26 = ............#........#.#......#. .............. +// C27 = .............#........#.#......# .............. +// C28 = ..............#........#.#...... .............. +// C29 = ...............#........#.#..... .............. +// C30 = ................#........#.#.... .............. +// C31 = .................#........#.#... .............. +// +// Number of XORs used is 32 +// Maximum XOR input count is 6 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 92 +// + +module fec_rot_14 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[18] ^ c[27] ^ c[29]; + +assign co[1] = + c[19] ^ c[28] ^ c[30]; + +assign co[2] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[3] = + c[19] ^ c[21] ^ c[28]; + +assign co[4] = + c[20] ^ c[22] ^ c[29]; + +assign co[5] = + c[21] ^ c[23] ^ c[30]; + +assign co[6] = + c[22] ^ c[24] ^ c[31]; + +assign co[7] = + c[23] ^ c[25]; + +assign co[8] = + c[24] ^ c[26]; + +assign co[9] = + c[25] ^ c[27]; + +assign co[10] = + c[26] ^ c[28]; + +assign co[11] = + c[18]; + +assign co[12] = + c[19]; + +assign co[13] = + c[20]; + +assign co[14] = + c[0] ^ c[21]; + +assign co[15] = + c[1] ^ c[22]; + +assign co[16] = + c[2] ^ c[23]; + +assign co[17] = + c[3] ^ c[24]; + +assign co[18] = + c[4] ^ c[25]; + +assign co[19] = + c[5] ^ c[26]; + +assign co[20] = + c[6] ^ c[27]; + +assign co[21] = + c[7] ^ c[18] ^ c[27] ^ c[28] ^ c[29]; + +assign co[22] = + c[8] ^ c[19] ^ c[28] ^ c[29] ^ c[30]; + +assign co[23] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[24] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[25] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[26] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[27] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[28] = + c[14] ^ c[23] ^ c[25]; + +assign co[29] = + c[15] ^ c[24] ^ c[26]; + +assign co[30] = + c[16] ^ c[25] ^ c[27]; + +assign co[31] = + c[17] ^ c[26] ^ c[28]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_15.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_15.v new file mode 100644 index 0000000..fd1a8a3 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_15.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 15 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111 +// 01234567890123456789012345678901 012345678901234 +// C00 = .................#........#.#... ............... +// C01 = ..................#........#.#.. ............... +// C02 = .................#.#......#...#. ............... +// C03 = ..................#.#......#...# ............... +// C04 = ...................#.#......#... ............... +// C05 = ....................#.#......#.. ............... +// C06 = .....................#.#......#. ............... +// C07 = ......................#.#......# ............... +// C08 = .......................#.#...... ............... +// C09 = ........................#.#..... ............... +// C10 = .........................#.#.... ............... +// C11 = .................#.............. ............... +// C12 = ..................#............. ............... +// C13 = ...................#............ ............... +// C14 = ....................#........... ............... +// C15 = #....................#.......... ............... +// C16 = .#....................#......... ............... +// C17 = ..#....................#........ ............... +// C18 = ...#....................#....... ............... +// C19 = ....#....................#...... ............... +// C20 = .....#....................#..... ............... +// C21 = ......#..........#........###... ............... +// C22 = .......#..........#........###.. ............... +// C23 = ........#........#.#......#..##. ............... +// C24 = .........#........#.#......#..## ............... +// C25 = ..........#........#.#......#..# ............... +// C26 = ...........#........#.#......#.. ............... +// C27 = ............#........#.#......#. ............... +// C28 = .............#........#.#......# ............... +// C29 = ..............#........#.#...... ............... +// C30 = ...............#........#.#..... ............... +// C31 = ................#........#.#.... ............... +// +// Number of XORs used is 32 +// Maximum XOR input count is 6 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 96 +// + +module fec_rot_15 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[17] ^ c[26] ^ c[28]; + +assign co[1] = + c[18] ^ c[27] ^ c[29]; + +assign co[2] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[3] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[4] = + c[19] ^ c[21] ^ c[28]; + +assign co[5] = + c[20] ^ c[22] ^ c[29]; + +assign co[6] = + c[21] ^ c[23] ^ c[30]; + +assign co[7] = + c[22] ^ c[24] ^ c[31]; + +assign co[8] = + c[23] ^ c[25]; + +assign co[9] = + c[24] ^ c[26]; + +assign co[10] = + c[25] ^ c[27]; + +assign co[11] = + c[17]; + +assign co[12] = + c[18]; + +assign co[13] = + c[19]; + +assign co[14] = + c[20]; + +assign co[15] = + c[0] ^ c[21]; + +assign co[16] = + c[1] ^ c[22]; + +assign co[17] = + c[2] ^ c[23]; + +assign co[18] = + c[3] ^ c[24]; + +assign co[19] = + c[4] ^ c[25]; + +assign co[20] = + c[5] ^ c[26]; + +assign co[21] = + c[6] ^ c[17] ^ c[26] ^ c[27] ^ c[28]; + +assign co[22] = + c[7] ^ c[18] ^ c[27] ^ c[28] ^ c[29]; + +assign co[23] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[24] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[25] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[26] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[27] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[28] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[29] = + c[14] ^ c[23] ^ c[25]; + +assign co[30] = + c[15] ^ c[24] ^ c[26]; + +assign co[31] = + c[16] ^ c[25] ^ c[27]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_16.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_16.v new file mode 100644 index 0000000..10ea09f --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_16.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 16 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111 +// 01234567890123456789012345678901 0123456789012345 +// C00 = ................#........#.#.... ................ +// C01 = .................#........#.#... ................ +// C02 = ................#.#......#...#.. ................ +// C03 = .................#.#......#...#. ................ +// C04 = ..................#.#......#...# ................ +// C05 = ...................#.#......#... ................ +// C06 = ....................#.#......#.. ................ +// C07 = .....................#.#......#. ................ +// C08 = ......................#.#......# ................ +// C09 = .......................#.#...... ................ +// C10 = ........................#.#..... ................ +// C11 = ................#............... ................ +// C12 = .................#.............. ................ +// C13 = ..................#............. ................ +// C14 = ...................#............ ................ +// C15 = ....................#........... ................ +// C16 = #....................#.......... ................ +// C17 = .#....................#......... ................ +// C18 = ..#....................#........ ................ +// C19 = ...#....................#....... ................ +// C20 = ....#....................#...... ................ +// C21 = .....#..........#........###.... ................ +// C22 = ......#..........#........###... ................ +// C23 = .......#........#.#......#..##.. ................ +// C24 = ........#........#.#......#..##. ................ +// C25 = .........#........#.#......#..## ................ +// C26 = ..........#........#.#......#..# ................ +// C27 = ...........#........#.#......#.. ................ +// C28 = ............#........#.#......#. ................ +// C29 = .............#........#.#......# ................ +// C30 = ..............#........#.#...... ................ +// C31 = ...............#........#.#..... ................ +// +// Number of XORs used is 32 +// Maximum XOR input count is 6 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 100 +// + +module fec_rot_16 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[16] ^ c[25] ^ c[27]; + +assign co[1] = + c[17] ^ c[26] ^ c[28]; + +assign co[2] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[3] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[4] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[5] = + c[19] ^ c[21] ^ c[28]; + +assign co[6] = + c[20] ^ c[22] ^ c[29]; + +assign co[7] = + c[21] ^ c[23] ^ c[30]; + +assign co[8] = + c[22] ^ c[24] ^ c[31]; + +assign co[9] = + c[23] ^ c[25]; + +assign co[10] = + c[24] ^ c[26]; + +assign co[11] = + c[16]; + +assign co[12] = + c[17]; + +assign co[13] = + c[18]; + +assign co[14] = + c[19]; + +assign co[15] = + c[20]; + +assign co[16] = + c[0] ^ c[21]; + +assign co[17] = + c[1] ^ c[22]; + +assign co[18] = + c[2] ^ c[23]; + +assign co[19] = + c[3] ^ c[24]; + +assign co[20] = + c[4] ^ c[25]; + +assign co[21] = + c[5] ^ c[16] ^ c[25] ^ c[26] ^ c[27]; + +assign co[22] = + c[6] ^ c[17] ^ c[26] ^ c[27] ^ c[28]; + +assign co[23] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[24] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[25] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[26] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[27] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[28] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[29] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[30] = + c[14] ^ c[23] ^ c[25]; + +assign co[31] = + c[15] ^ c[24] ^ c[26]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_17.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_17.v new file mode 100644 index 0000000..28fd1c6 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_17.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 17 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111 +// 01234567890123456789012345678901 01234567890123456 +// C00 = ...............#........#.#..... ................. +// C01 = ................#........#.#.... ................. +// C02 = ...............#.#......#...#... ................. +// C03 = ................#.#......#...#.. ................. +// C04 = .................#.#......#...#. ................. +// C05 = ..................#.#......#...# ................. +// C06 = ...................#.#......#... ................. +// C07 = ....................#.#......#.. ................. +// C08 = .....................#.#......#. ................. +// C09 = ......................#.#......# ................. +// C10 = .......................#.#...... ................. +// C11 = ...............#................ ................. +// C12 = ................#............... ................. +// C13 = .................#.............. ................. +// C14 = ..................#............. ................. +// C15 = ...................#............ ................. +// C16 = ....................#........... ................. +// C17 = #....................#.......... ................. +// C18 = .#....................#......... ................. +// C19 = ..#....................#........ ................. +// C20 = ...#....................#....... ................. +// C21 = ....#..........#........###..... ................. +// C22 = .....#..........#........###.... ................. +// C23 = ......#........#.#......#..##... ................. +// C24 = .......#........#.#......#..##.. ................. +// C25 = ........#........#.#......#..##. ................. +// C26 = .........#........#.#......#..## ................. +// C27 = ..........#........#.#......#..# ................. +// C28 = ...........#........#.#......#.. ................. +// C29 = ............#........#.#......#. ................. +// C30 = .............#........#.#......# ................. +// C31 = ..............#........#.#...... ................. +// +// Number of XORs used is 32 +// Maximum XOR input count is 6 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 104 +// + +module fec_rot_17 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[15] ^ c[24] ^ c[26]; + +assign co[1] = + c[16] ^ c[25] ^ c[27]; + +assign co[2] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[3] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[4] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[5] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[6] = + c[19] ^ c[21] ^ c[28]; + +assign co[7] = + c[20] ^ c[22] ^ c[29]; + +assign co[8] = + c[21] ^ c[23] ^ c[30]; + +assign co[9] = + c[22] ^ c[24] ^ c[31]; + +assign co[10] = + c[23] ^ c[25]; + +assign co[11] = + c[15]; + +assign co[12] = + c[16]; + +assign co[13] = + c[17]; + +assign co[14] = + c[18]; + +assign co[15] = + c[19]; + +assign co[16] = + c[20]; + +assign co[17] = + c[0] ^ c[21]; + +assign co[18] = + c[1] ^ c[22]; + +assign co[19] = + c[2] ^ c[23]; + +assign co[20] = + c[3] ^ c[24]; + +assign co[21] = + c[4] ^ c[15] ^ c[24] ^ c[25] ^ c[26]; + +assign co[22] = + c[5] ^ c[16] ^ c[25] ^ c[26] ^ c[27]; + +assign co[23] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[24] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[25] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[26] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[27] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[28] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[29] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[30] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[31] = + c[14] ^ c[23] ^ c[25]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_18.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_18.v new file mode 100644 index 0000000..a28ac0f --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_18.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 18 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111 +// 01234567890123456789012345678901 012345678901234567 +// C00 = ..............#........#.#...... .................. +// C01 = ...............#........#.#..... .................. +// C02 = ..............#.#......#...#.... .................. +// C03 = ...............#.#......#...#... .................. +// C04 = ................#.#......#...#.. .................. +// C05 = .................#.#......#...#. .................. +// C06 = ..................#.#......#...# .................. +// C07 = ...................#.#......#... .................. +// C08 = ....................#.#......#.. .................. +// C09 = .....................#.#......#. .................. +// C10 = ......................#.#......# .................. +// C11 = ..............#................. .................. +// C12 = ...............#................ .................. +// C13 = ................#............... .................. +// C14 = .................#.............. .................. +// C15 = ..................#............. .................. +// C16 = ...................#............ .................. +// C17 = ....................#........... .................. +// C18 = #....................#.......... .................. +// C19 = .#....................#......... .................. +// C20 = ..#....................#........ .................. +// C21 = ...#..........#........###...... .................. +// C22 = ....#..........#........###..... .................. +// C23 = .....#........#.#......#..##.... .................. +// C24 = ......#........#.#......#..##... .................. +// C25 = .......#........#.#......#..##.. .................. +// C26 = ........#........#.#......#..##. .................. +// C27 = .........#........#.#......#..## .................. +// C28 = ..........#........#.#......#..# .................. +// C29 = ...........#........#.#......#.. .................. +// C30 = ............#........#.#......#. .................. +// C31 = .............#........#.#......# .................. +// +// Number of XORs used is 32 +// Maximum XOR input count is 6 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 108 +// + +module fec_rot_18 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[14] ^ c[23] ^ c[25]; + +assign co[1] = + c[15] ^ c[24] ^ c[26]; + +assign co[2] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[3] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[4] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[5] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[6] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[7] = + c[19] ^ c[21] ^ c[28]; + +assign co[8] = + c[20] ^ c[22] ^ c[29]; + +assign co[9] = + c[21] ^ c[23] ^ c[30]; + +assign co[10] = + c[22] ^ c[24] ^ c[31]; + +assign co[11] = + c[14]; + +assign co[12] = + c[15]; + +assign co[13] = + c[16]; + +assign co[14] = + c[17]; + +assign co[15] = + c[18]; + +assign co[16] = + c[19]; + +assign co[17] = + c[20]; + +assign co[18] = + c[0] ^ c[21]; + +assign co[19] = + c[1] ^ c[22]; + +assign co[20] = + c[2] ^ c[23]; + +assign co[21] = + c[3] ^ c[14] ^ c[23] ^ c[24] ^ c[25]; + +assign co[22] = + c[4] ^ c[15] ^ c[24] ^ c[25] ^ c[26]; + +assign co[23] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[24] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[25] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[26] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[27] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[28] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[29] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[30] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[31] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_19.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_19.v new file mode 100644 index 0000000..1424c70 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_19.v @@ -0,0 +1,176 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 19 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111 +// 01234567890123456789012345678901 0123456789012345678 +// C00 = .............#........#.#......# ................... +// C01 = ..............#........#.#...... ................... +// C02 = .............#.#......#...#....# ................... +// C03 = ..............#.#......#...#.... ................... +// C04 = ...............#.#......#...#... ................... +// C05 = ................#.#......#...#.. ................... +// C06 = .................#.#......#...#. ................... +// C07 = ..................#.#......#...# ................... +// C08 = ...................#.#......#... ................... +// C09 = ....................#.#......#.. ................... +// C10 = .....................#.#......#. ................... +// C11 = .............#.................. ................... +// C12 = ..............#................. ................... +// C13 = ...............#................ ................... +// C14 = ................#............... ................... +// C15 = .................#.............. ................... +// C16 = ..................#............. ................... +// C17 = ...................#............ ................... +// C18 = ....................#........... ................... +// C19 = #....................#.......... ................... +// C20 = .#....................#......... ................... +// C21 = ..#..........#........###......# ................... +// C22 = ...#..........#........###...... ................... +// C23 = ....#........#.#......#..##....# ................... +// C24 = .....#........#.#......#..##.... ................... +// C25 = ......#........#.#......#..##... ................... +// C26 = .......#........#.#......#..##.. ................... +// C27 = ........#........#.#......#..##. ................... +// C28 = .........#........#.#......#..## ................... +// C29 = ..........#........#.#......#..# ................... +// C30 = ...........#........#.#......#.. ................... +// C31 = ............#........#.#......#. ................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 7 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 114 +// + +module fec_rot_19 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[1] = + c[14] ^ c[23] ^ c[25]; + +assign co[2] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[3] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[4] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[5] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[6] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[7] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[8] = + c[19] ^ c[21] ^ c[28]; + +assign co[9] = + c[20] ^ c[22] ^ c[29]; + +assign co[10] = + c[21] ^ c[23] ^ c[30]; + +assign co[11] = + c[13]; + +assign co[12] = + c[14]; + +assign co[13] = + c[15]; + +assign co[14] = + c[16]; + +assign co[15] = + c[17]; + +assign co[16] = + c[18]; + +assign co[17] = + c[19]; + +assign co[18] = + c[20]; + +assign co[19] = + c[0] ^ c[21]; + +assign co[20] = + c[1] ^ c[22]; + +assign co[21] = + c[2] ^ c[13] ^ c[22] ^ c[23] ^ c[24] ^ c[31]; + +assign co[22] = + c[3] ^ c[14] ^ c[23] ^ c[24] ^ c[25]; + +assign co[23] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[24] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[25] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[26] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[27] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[28] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[29] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[30] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[31] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_2.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_2.v new file mode 100644 index 0000000..c0eef21 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_2.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 2 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DD +// 00000000001111111111222222222233 00 +// 01234567890123456789012345678901 01 +// C00 = ..............................#. .. +// C01 = ...............................# .. +// C02 = #.............................#. .. +// C03 = .#.............................# .. +// C04 = ..#............................. .. +// C05 = ...#............................ .. +// C06 = ....#........................... .. +// C07 = .....#.......................... .. +// C08 = ......#......................... .. +// C09 = .......#........................ .. +// C10 = ........#....................... .. +// C11 = .........#....................#. .. +// C12 = ..........#....................# .. +// C13 = ...........#.................... .. +// C14 = ............#................... .. +// C15 = .............#.................. .. +// C16 = ..............#................. .. +// C17 = ...............#................ .. +// C18 = ................#............... .. +// C19 = .................#.............. .. +// C20 = ..................#............. .. +// C21 = ...................#..........#. .. +// C22 = ....................#..........# .. +// C23 = .....................#........#. .. +// C24 = ......................#........# .. +// C25 = .......................#........ .. +// C26 = ........................#....... .. +// C27 = .........................#...... .. +// C28 = ..........................#..... .. +// C29 = ...........................#.... .. +// C30 = ............................#... .. +// C31 = .............................#.. .. +// +// Number of XORs used is 32 +// Maximum XOR input count is 2 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 40 +// + +module fec_rot_2 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[30]; + +assign co[1] = + c[31]; + +assign co[2] = + c[0] ^ c[30]; + +assign co[3] = + c[1] ^ c[31]; + +assign co[4] = + c[2]; + +assign co[5] = + c[3]; + +assign co[6] = + c[4]; + +assign co[7] = + c[5]; + +assign co[8] = + c[6]; + +assign co[9] = + c[7]; + +assign co[10] = + c[8]; + +assign co[11] = + c[9] ^ c[30]; + +assign co[12] = + c[10] ^ c[31]; + +assign co[13] = + c[11]; + +assign co[14] = + c[12]; + +assign co[15] = + c[13]; + +assign co[16] = + c[14]; + +assign co[17] = + c[15]; + +assign co[18] = + c[16]; + +assign co[19] = + c[17]; + +assign co[20] = + c[18]; + +assign co[21] = + c[19] ^ c[30]; + +assign co[22] = + c[20] ^ c[31]; + +assign co[23] = + c[21] ^ c[30]; + +assign co[24] = + c[22] ^ c[31]; + +assign co[25] = + c[23]; + +assign co[26] = + c[24]; + +assign co[27] = + c[25]; + +assign co[28] = + c[26]; + +assign co[29] = + c[27]; + +assign co[30] = + c[28]; + +assign co[31] = + c[29]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_20.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_20.v new file mode 100644 index 0000000..5e79d2b --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_20.v @@ -0,0 +1,177 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 20 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111 +// 01234567890123456789012345678901 01234567890123456789 +// C00 = ............#........#.#......#. .................... +// C01 = .............#........#.#......# .................... +// C02 = ............#.#......#...#....#. .................... +// C03 = .............#.#......#...#....# .................... +// C04 = ..............#.#......#...#.... .................... +// C05 = ...............#.#......#...#... .................... +// C06 = ................#.#......#...#.. .................... +// C07 = .................#.#......#...#. .................... +// C08 = ..................#.#......#...# .................... +// C09 = ...................#.#......#... .................... +// C10 = ....................#.#......#.. .................... +// C11 = ............#................... .................... +// C12 = .............#.................. .................... +// C13 = ..............#................. .................... +// C14 = ...............#................ .................... +// C15 = ................#............... .................... +// C16 = .................#.............. .................... +// C17 = ..................#............. .................... +// C18 = ...................#............ .................... +// C19 = ....................#........... .................... +// C20 = #....................#.......... .................... +// C21 = .#..........#........###......#. .................... +// C22 = ..#..........#........###......# .................... +// C23 = ...#........#.#......#..##....#. .................... +// C24 = ....#........#.#......#..##....# .................... +// C25 = .....#........#.#......#..##.... .................... +// C26 = ......#........#.#......#..##... .................... +// C27 = .......#........#.#......#..##.. .................... +// C28 = ........#........#.#......#..##. .................... +// C29 = .........#........#.#......#..## .................... +// C30 = ..........#........#.#......#..# .................... +// C31 = ...........#........#.#......#.. .................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 7 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 120 +// + +module fec_rot_20 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[1] = + c[13] ^ c[22] ^ c[24] ^ c[31]; + +assign co[2] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[3] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[4] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[5] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[6] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[7] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[8] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[9] = + c[19] ^ c[21] ^ c[28]; + +assign co[10] = + c[20] ^ c[22] ^ c[29]; + +assign co[11] = + c[12]; + +assign co[12] = + c[13]; + +assign co[13] = + c[14]; + +assign co[14] = + c[15]; + +assign co[15] = + c[16]; + +assign co[16] = + c[17]; + +assign co[17] = + c[18]; + +assign co[18] = + c[19]; + +assign co[19] = + c[20]; + +assign co[20] = + c[0] ^ c[21]; + +assign co[21] = + c[1] ^ c[12] ^ c[21] ^ c[22] ^ c[23] ^ c[30]; + +assign co[22] = + c[2] ^ c[13] ^ c[22] ^ c[23] ^ c[24] ^ c[31]; + +assign co[23] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[24] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[25] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[26] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[27] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[28] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[29] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[30] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[31] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_21.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_21.v new file mode 100644 index 0000000..f52312d --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_21.v @@ -0,0 +1,178 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 21 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111112 +// 01234567890123456789012345678901 012345678901234567890 +// C00 = ...........#........#.#......#.. ..................... +// C01 = ............#........#.#......#. ..................... +// C02 = ...........#.#......#...#....#.# ..................... +// C03 = ............#.#......#...#....#. ..................... +// C04 = .............#.#......#...#....# ..................... +// C05 = ..............#.#......#...#.... ..................... +// C06 = ...............#.#......#...#... ..................... +// C07 = ................#.#......#...#.. ..................... +// C08 = .................#.#......#...#. ..................... +// C09 = ..................#.#......#...# ..................... +// C10 = ...................#.#......#... ..................... +// C11 = ...........#.................... ..................... +// C12 = ............#................... ..................... +// C13 = .............#.................. ..................... +// C14 = ..............#................. ..................... +// C15 = ...............#................ ..................... +// C16 = ................#............... ..................... +// C17 = .................#.............. ..................... +// C18 = ..................#............. ..................... +// C19 = ...................#............ ..................... +// C20 = ....................#........... ..................... +// C21 = #..........#........###......#.. ..................... +// C22 = .#..........#........###......#. ..................... +// C23 = ..#........#.#......#..##....#.# ..................... +// C24 = ...#........#.#......#..##....#. ..................... +// C25 = ....#........#.#......#..##....# ..................... +// C26 = .....#........#.#......#..##.... ..................... +// C27 = ......#........#.#......#..##... ..................... +// C28 = .......#........#.#......#..##.. ..................... +// C29 = ........#........#.#......#..##. ..................... +// C30 = .........#........#.#......#..## ..................... +// C31 = ..........#........#.#......#..# ..................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 8 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 126 +// + +module fec_rot_21 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[1] = + c[12] ^ c[21] ^ c[23] ^ c[30]; + +assign co[2] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[3] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[4] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[5] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[6] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[7] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[8] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[9] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[10] = + c[19] ^ c[21] ^ c[28]; + +assign co[11] = + c[11]; + +assign co[12] = + c[12]; + +assign co[13] = + c[13]; + +assign co[14] = + c[14]; + +assign co[15] = + c[15]; + +assign co[16] = + c[16]; + +assign co[17] = + c[17]; + +assign co[18] = + c[18]; + +assign co[19] = + c[19]; + +assign co[20] = + c[20]; + +assign co[21] = + c[0] ^ c[11] ^ c[20] ^ c[21] ^ c[22] ^ c[29]; + +assign co[22] = + c[1] ^ c[12] ^ c[21] ^ c[22] ^ c[23] ^ c[30]; + +assign co[23] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[24] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[25] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[26] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[27] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[28] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[29] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[30] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[31] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_22.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_22.v new file mode 100644 index 0000000..12ed040 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_22.v @@ -0,0 +1,180 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 22 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122 +// 01234567890123456789012345678901 0123456789012345678901 +// C00 = ..........#........#.#......#..# ...................... +// C01 = ...........#........#.#......#.. ...................... +// C02 = ..........#.#......#...#....#.## ...................... +// C03 = ...........#.#......#...#....#.# ...................... +// C04 = ............#.#......#...#....#. ...................... +// C05 = .............#.#......#...#....# ...................... +// C06 = ..............#.#......#...#.... ...................... +// C07 = ...............#.#......#...#... ...................... +// C08 = ................#.#......#...#.. ...................... +// C09 = .................#.#......#...#. ...................... +// C10 = ..................#.#......#...# ...................... +// C11 = ..........#....................# ...................... +// C12 = ...........#.................... ...................... +// C13 = ............#................... ...................... +// C14 = .............#.................. ...................... +// C15 = ..............#................. ...................... +// C16 = ...............#................ ...................... +// C17 = ................#............... ...................... +// C18 = .................#.............. ...................... +// C19 = ..................#............. ...................... +// C20 = ...................#............ ...................... +// C21 = ..........#........###......#..# ...................... +// C22 = #..........#........###......#.. ...................... +// C23 = .#........#.#......#..##....#.## ...................... +// C24 = ..#........#.#......#..##....#.# ...................... +// C25 = ...#........#.#......#..##....#. ...................... +// C26 = ....#........#.#......#..##....# ...................... +// C27 = .....#........#.#......#..##.... ...................... +// C28 = ......#........#.#......#..##... ...................... +// C29 = .......#........#.#......#..##.. ...................... +// C30 = ........#........#.#......#..##. ...................... +// C31 = .........#........#.#......#..## ...................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 9 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 136 +// + +module fec_rot_22 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[1] = + c[11] ^ c[20] ^ c[22] ^ c[29]; + +assign co[2] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[3] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[4] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[5] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[6] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[7] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[8] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[9] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[10] = + c[18] ^ c[20] ^ c[27] ^ c[31]; + +assign co[11] = + c[10] ^ c[31]; + +assign co[12] = + c[11]; + +assign co[13] = + c[12]; + +assign co[14] = + c[13]; + +assign co[15] = + c[14]; + +assign co[16] = + c[15]; + +assign co[17] = + c[16]; + +assign co[18] = + c[17]; + +assign co[19] = + c[18]; + +assign co[20] = + c[19]; + +assign co[21] = + c[10] ^ c[19] ^ c[20] ^ c[21] ^ c[28] ^ c[31]; + +assign co[22] = + c[0] ^ c[11] ^ c[20] ^ c[21] ^ c[22] ^ c[29]; + +assign co[23] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[24] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[25] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[26] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[27] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[28] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[29] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[30] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[31] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_23.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_23.v new file mode 100644 index 0000000..c67b41f --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_23.v @@ -0,0 +1,183 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 23 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222 +// 01234567890123456789012345678901 01234567890123456789012 +// C00 = .........#........#.#......#..## ....................... +// C01 = ..........#........#.#......#..# ....................... +// C02 = .........#.#......#...#....#.### ....................... +// C03 = ..........#.#......#...#....#.## ....................... +// C04 = ...........#.#......#...#....#.# ....................... +// C05 = ............#.#......#...#....#. ....................... +// C06 = .............#.#......#...#....# ....................... +// C07 = ..............#.#......#...#.... ....................... +// C08 = ...............#.#......#...#... ....................... +// C09 = ................#.#......#...#.. ....................... +// C10 = .................#.#......#...#. ....................... +// C11 = .........#....................#. ....................... +// C12 = ..........#....................# ....................... +// C13 = ...........#.................... ....................... +// C14 = ............#................... ....................... +// C15 = .............#.................. ....................... +// C16 = ..............#................. ....................... +// C17 = ...............#................ ....................... +// C18 = ................#............... ....................... +// C19 = .................#.............. ....................... +// C20 = ..................#............. ....................... +// C21 = .........#........###......#..## ....................... +// C22 = ..........#........###......#..# ....................... +// C23 = #........#.#......#..##....#.### ....................... +// C24 = .#........#.#......#..##....#.## ....................... +// C25 = ..#........#.#......#..##....#.# ....................... +// C26 = ...#........#.#......#..##....#. ....................... +// C27 = ....#........#.#......#..##....# ....................... +// C28 = .....#........#.#......#..##.... ....................... +// C29 = ......#........#.#......#..##... ....................... +// C30 = .......#........#.#......#..##.. ....................... +// C31 = ........#........#.#......#..##. ....................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 10 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 148 +// + +module fec_rot_23 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[1] = + c[10] ^ c[19] ^ c[21] ^ c[28] ^ c[31]; + +assign co[2] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[3] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[4] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[5] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[6] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[7] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[8] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[9] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[10] = + c[17] ^ c[19] ^ c[26] ^ c[30]; + +assign co[11] = + c[9] ^ c[30]; + +assign co[12] = + c[10] ^ c[31]; + +assign co[13] = + c[11]; + +assign co[14] = + c[12]; + +assign co[15] = + c[13]; + +assign co[16] = + c[14]; + +assign co[17] = + c[15]; + +assign co[18] = + c[16]; + +assign co[19] = + c[17]; + +assign co[20] = + c[18]; + +assign co[21] = + c[9] ^ c[18] ^ c[19] ^ c[20] ^ c[27] ^ c[30] ^ + c[31]; + +assign co[22] = + c[10] ^ c[19] ^ c[20] ^ c[21] ^ c[28] ^ c[31]; + +assign co[23] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[24] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[25] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[26] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[27] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[28] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[29] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[30] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[31] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_24.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_24.v new file mode 100644 index 0000000..6658e09 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_24.v @@ -0,0 +1,186 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 24 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111112222 +// 01234567890123456789012345678901 012345678901234567890123 +// C00 = ........#........#.#......#..##. ........................ +// C01 = .........#........#.#......#..## ........................ +// C02 = ........#.#......#...#....#.#### ........................ +// C03 = .........#.#......#...#....#.### ........................ +// C04 = ..........#.#......#...#....#.## ........................ +// C05 = ...........#.#......#...#....#.# ........................ +// C06 = ............#.#......#...#....#. ........................ +// C07 = .............#.#......#...#....# ........................ +// C08 = ..............#.#......#...#.... ........................ +// C09 = ...............#.#......#...#... ........................ +// C10 = ................#.#......#...#.. ........................ +// C11 = ........#....................#.. ........................ +// C12 = .........#....................#. ........................ +// C13 = ..........#....................# ........................ +// C14 = ...........#.................... ........................ +// C15 = ............#................... ........................ +// C16 = .............#.................. ........................ +// C17 = ..............#................. ........................ +// C18 = ...............#................ ........................ +// C19 = ................#............... ........................ +// C20 = .................#.............. ........................ +// C21 = ........#........###......#..##. ........................ +// C22 = .........#........###......#..## ........................ +// C23 = ........#.#......#..##....#.#### ........................ +// C24 = #........#.#......#..##....#.### ........................ +// C25 = .#........#.#......#..##....#.## ........................ +// C26 = ..#........#.#......#..##....#.# ........................ +// C27 = ...#........#.#......#..##....#. ........................ +// C28 = ....#........#.#......#..##....# ........................ +// C29 = .....#........#.#......#..##.... ........................ +// C30 = ......#........#.#......#..##... ........................ +// C31 = .......#........#.#......#..##.. ........................ +// +// Number of XORs used is 32 +// Maximum XOR input count is 10 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 160 +// + +module fec_rot_24 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[1] = + c[9] ^ c[18] ^ c[20] ^ c[27] ^ c[30] ^ c[31]; + +assign co[2] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[3] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[4] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[5] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[6] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[7] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[8] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[9] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[10] = + c[16] ^ c[18] ^ c[25] ^ c[29]; + +assign co[11] = + c[8] ^ c[29]; + +assign co[12] = + c[9] ^ c[30]; + +assign co[13] = + c[10] ^ c[31]; + +assign co[14] = + c[11]; + +assign co[15] = + c[12]; + +assign co[16] = + c[13]; + +assign co[17] = + c[14]; + +assign co[18] = + c[15]; + +assign co[19] = + c[16]; + +assign co[20] = + c[17]; + +assign co[21] = + c[8] ^ c[17] ^ c[18] ^ c[19] ^ c[26] ^ c[29] ^ + c[30]; + +assign co[22] = + c[9] ^ c[18] ^ c[19] ^ c[20] ^ c[27] ^ c[30] ^ + c[31]; + +assign co[23] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[24] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[25] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[26] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[27] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[28] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[29] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[30] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[31] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_25.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_25.v new file mode 100644 index 0000000..7d5dc0a --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_25.v @@ -0,0 +1,188 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 25 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222 +// 01234567890123456789012345678901 0123456789012345678901234 +// C00 = .......#........#.#......#..##.. ......................... +// C01 = ........#........#.#......#..##. ......................... +// C02 = .......#.#......#...#....#.##### ......................... +// C03 = ........#.#......#...#....#.#### ......................... +// C04 = .........#.#......#...#....#.### ......................... +// C05 = ..........#.#......#...#....#.## ......................... +// C06 = ...........#.#......#...#....#.# ......................... +// C07 = ............#.#......#...#....#. ......................... +// C08 = .............#.#......#...#....# ......................... +// C09 = ..............#.#......#...#.... ......................... +// C10 = ...............#.#......#...#... ......................... +// C11 = .......#....................#... ......................... +// C12 = ........#....................#.. ......................... +// C13 = .........#....................#. ......................... +// C14 = ..........#....................# ......................... +// C15 = ...........#.................... ......................... +// C16 = ............#................... ......................... +// C17 = .............#.................. ......................... +// C18 = ..............#................. ......................... +// C19 = ...............#................ ......................... +// C20 = ................#............... ......................... +// C21 = .......#........###......#..##.. ......................... +// C22 = ........#........###......#..##. ......................... +// C23 = .......#.#......#..##....#.##### ......................... +// C24 = ........#.#......#..##....#.#### ......................... +// C25 = #........#.#......#..##....#.### ......................... +// C26 = .#........#.#......#..##....#.## ......................... +// C27 = ..#........#.#......#..##....#.# ......................... +// C28 = ...#........#.#......#..##....#. ......................... +// C29 = ....#........#.#......#..##....# ......................... +// C30 = .....#........#.#......#..##.... ......................... +// C31 = ......#........#.#......#..##... ......................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 11 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 172 +// + +module fec_rot_25 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[1] = + c[8] ^ c[17] ^ c[19] ^ c[26] ^ c[29] ^ c[30]; + +assign co[2] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[3] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[4] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[5] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[6] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[7] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[8] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[9] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[10] = + c[15] ^ c[17] ^ c[24] ^ c[28]; + +assign co[11] = + c[7] ^ c[28]; + +assign co[12] = + c[8] ^ c[29]; + +assign co[13] = + c[9] ^ c[30]; + +assign co[14] = + c[10] ^ c[31]; + +assign co[15] = + c[11]; + +assign co[16] = + c[12]; + +assign co[17] = + c[13]; + +assign co[18] = + c[14]; + +assign co[19] = + c[15]; + +assign co[20] = + c[16]; + +assign co[21] = + c[7] ^ c[16] ^ c[17] ^ c[18] ^ c[25] ^ c[28] ^ + c[29]; + +assign co[22] = + c[8] ^ c[17] ^ c[18] ^ c[19] ^ c[26] ^ c[29] ^ + c[30]; + +assign co[23] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[24] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[25] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[26] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[27] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[28] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[29] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[30] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[31] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_26.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_26.v new file mode 100644 index 0000000..025191f --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_26.v @@ -0,0 +1,190 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 26 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222222 +// 01234567890123456789012345678901 01234567890123456789012345 +// C00 = ......#........#.#......#..##... .......................... +// C01 = .......#........#.#......#..##.. .......................... +// C02 = ......#.#......#...#....#.#####. .......................... +// C03 = .......#.#......#...#....#.##### .......................... +// C04 = ........#.#......#...#....#.#### .......................... +// C05 = .........#.#......#...#....#.### .......................... +// C06 = ..........#.#......#...#....#.## .......................... +// C07 = ...........#.#......#...#....#.# .......................... +// C08 = ............#.#......#...#....#. .......................... +// C09 = .............#.#......#...#....# .......................... +// C10 = ..............#.#......#...#.... .......................... +// C11 = ......#....................#.... .......................... +// C12 = .......#....................#... .......................... +// C13 = ........#....................#.. .......................... +// C14 = .........#....................#. .......................... +// C15 = ..........#....................# .......................... +// C16 = ...........#.................... .......................... +// C17 = ............#................... .......................... +// C18 = .............#.................. .......................... +// C19 = ..............#................. .......................... +// C20 = ...............#................ .......................... +// C21 = ......#........###......#..##... .......................... +// C22 = .......#........###......#..##.. .......................... +// C23 = ......#.#......#..##....#.#####. .......................... +// C24 = .......#.#......#..##....#.##### .......................... +// C25 = ........#.#......#..##....#.#### .......................... +// C26 = #........#.#......#..##....#.### .......................... +// C27 = .#........#.#......#..##....#.## .......................... +// C28 = ..#........#.#......#..##....#.# .......................... +// C29 = ...#........#.#......#..##....#. .......................... +// C30 = ....#........#.#......#..##....# .......................... +// C31 = .....#........#.#......#..##.... .......................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 11 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 184 +// + +module fec_rot_26 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[1] = + c[7] ^ c[16] ^ c[18] ^ c[25] ^ c[28] ^ c[29]; + +assign co[2] = + c[6] ^ c[8] ^ c[15] ^ c[19] ^ c[24] ^ c[26] ^ + c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[3] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[4] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[5] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[6] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[7] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[8] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[9] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[10] = + c[14] ^ c[16] ^ c[23] ^ c[27]; + +assign co[11] = + c[6] ^ c[27]; + +assign co[12] = + c[7] ^ c[28]; + +assign co[13] = + c[8] ^ c[29]; + +assign co[14] = + c[9] ^ c[30]; + +assign co[15] = + c[10] ^ c[31]; + +assign co[16] = + c[11]; + +assign co[17] = + c[12]; + +assign co[18] = + c[13]; + +assign co[19] = + c[14]; + +assign co[20] = + c[15]; + +assign co[21] = + c[6] ^ c[15] ^ c[16] ^ c[17] ^ c[24] ^ c[27] ^ + c[28]; + +assign co[22] = + c[7] ^ c[16] ^ c[17] ^ c[18] ^ c[25] ^ c[28] ^ + c[29]; + +assign co[23] = + c[6] ^ c[8] ^ c[15] ^ c[18] ^ c[19] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[24] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[25] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[26] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[27] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[28] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[29] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[30] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[31] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_27.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_27.v new file mode 100644 index 0000000..806e626 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_27.v @@ -0,0 +1,192 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 27 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111112222222 +// 01234567890123456789012345678901 012345678901234567890123456 +// C00 = .....#........#.#......#..##.... ........................... +// C01 = ......#........#.#......#..##... ........................... +// C02 = .....#.#......#...#....#.#####.. ........................... +// C03 = ......#.#......#...#....#.#####. ........................... +// C04 = .......#.#......#...#....#.##### ........................... +// C05 = ........#.#......#...#....#.#### ........................... +// C06 = .........#.#......#...#....#.### ........................... +// C07 = ..........#.#......#...#....#.## ........................... +// C08 = ...........#.#......#...#....#.# ........................... +// C09 = ............#.#......#...#....#. ........................... +// C10 = .............#.#......#...#....# ........................... +// C11 = .....#....................#..... ........................... +// C12 = ......#....................#.... ........................... +// C13 = .......#....................#... ........................... +// C14 = ........#....................#.. ........................... +// C15 = .........#....................#. ........................... +// C16 = ..........#....................# ........................... +// C17 = ...........#.................... ........................... +// C18 = ............#................... ........................... +// C19 = .............#.................. ........................... +// C20 = ..............#................. ........................... +// C21 = .....#........###......#..##.... ........................... +// C22 = ......#........###......#..##... ........................... +// C23 = .....#.#......#..##....#.#####.. ........................... +// C24 = ......#.#......#..##....#.#####. ........................... +// C25 = .......#.#......#..##....#.##### ........................... +// C26 = ........#.#......#..##....#.#### ........................... +// C27 = #........#.#......#..##....#.### ........................... +// C28 = .#........#.#......#..##....#.## ........................... +// C29 = ..#........#.#......#..##....#.# ........................... +// C30 = ...#........#.#......#..##....#. ........................... +// C31 = ....#........#.#......#..##....# ........................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 11 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 196 +// + +module fec_rot_27 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[1] = + c[6] ^ c[15] ^ c[17] ^ c[24] ^ c[27] ^ c[28]; + +assign co[2] = + c[5] ^ c[7] ^ c[14] ^ c[18] ^ c[23] ^ c[25] ^ + c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[3] = + c[6] ^ c[8] ^ c[15] ^ c[19] ^ c[24] ^ c[26] ^ + c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[4] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[5] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[6] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[7] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[8] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[9] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[10] = + c[13] ^ c[15] ^ c[22] ^ c[26] ^ c[31]; + +assign co[11] = + c[5] ^ c[26]; + +assign co[12] = + c[6] ^ c[27]; + +assign co[13] = + c[7] ^ c[28]; + +assign co[14] = + c[8] ^ c[29]; + +assign co[15] = + c[9] ^ c[30]; + +assign co[16] = + c[10] ^ c[31]; + +assign co[17] = + c[11]; + +assign co[18] = + c[12]; + +assign co[19] = + c[13]; + +assign co[20] = + c[14]; + +assign co[21] = + c[5] ^ c[14] ^ c[15] ^ c[16] ^ c[23] ^ c[26] ^ + c[27]; + +assign co[22] = + c[6] ^ c[15] ^ c[16] ^ c[17] ^ c[24] ^ c[27] ^ + c[28]; + +assign co[23] = + c[5] ^ c[7] ^ c[14] ^ c[17] ^ c[18] ^ c[23] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[24] = + c[6] ^ c[8] ^ c[15] ^ c[18] ^ c[19] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[25] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[26] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[27] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[28] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[29] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[30] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[31] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_28.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_28.v new file mode 100644 index 0000000..569e96e --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_28.v @@ -0,0 +1,194 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 28 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222222 +// 01234567890123456789012345678901 0123456789012345678901234567 +// C00 = ....#........#.#......#..##....# ............................ +// C01 = .....#........#.#......#..##.... ............................ +// C02 = ....#.#......#...#....#.#####..# ............................ +// C03 = .....#.#......#...#....#.#####.. ............................ +// C04 = ......#.#......#...#....#.#####. ............................ +// C05 = .......#.#......#...#....#.##### ............................ +// C06 = ........#.#......#...#....#.#### ............................ +// C07 = .........#.#......#...#....#.### ............................ +// C08 = ..........#.#......#...#....#.## ............................ +// C09 = ...........#.#......#...#....#.# ............................ +// C10 = ............#.#......#...#....#. ............................ +// C11 = ....#....................#...... ............................ +// C12 = .....#....................#..... ............................ +// C13 = ......#....................#.... ............................ +// C14 = .......#....................#... ............................ +// C15 = ........#....................#.. ............................ +// C16 = .........#....................#. ............................ +// C17 = ..........#....................# ............................ +// C18 = ...........#.................... ............................ +// C19 = ............#................... ............................ +// C20 = .............#.................. ............................ +// C21 = ....#........###......#..##....# ............................ +// C22 = .....#........###......#..##.... ............................ +// C23 = ....#.#......#..##....#.#####..# ............................ +// C24 = .....#.#......#..##....#.#####.. ............................ +// C25 = ......#.#......#..##....#.#####. ............................ +// C26 = .......#.#......#..##....#.##### ............................ +// C27 = ........#.#......#..##....#.#### ............................ +// C28 = #........#.#......#..##....#.### ............................ +// C29 = .#........#.#......#..##....#.## ............................ +// C30 = ..#........#.#......#..##....#.# ............................ +// C31 = ...#........#.#......#..##....#. ............................ +// +// Number of XORs used is 32 +// Maximum XOR input count is 12 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 210 +// + +module fec_rot_28 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[1] = + c[5] ^ c[14] ^ c[16] ^ c[23] ^ c[26] ^ c[27]; + +assign co[2] = + c[4] ^ c[6] ^ c[13] ^ c[17] ^ c[22] ^ c[24] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[3] = + c[5] ^ c[7] ^ c[14] ^ c[18] ^ c[23] ^ c[25] ^ + c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[4] = + c[6] ^ c[8] ^ c[15] ^ c[19] ^ c[24] ^ c[26] ^ + c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[5] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[6] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[7] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[8] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[9] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[10] = + c[12] ^ c[14] ^ c[21] ^ c[25] ^ c[30]; + +assign co[11] = + c[4] ^ c[25]; + +assign co[12] = + c[5] ^ c[26]; + +assign co[13] = + c[6] ^ c[27]; + +assign co[14] = + c[7] ^ c[28]; + +assign co[15] = + c[8] ^ c[29]; + +assign co[16] = + c[9] ^ c[30]; + +assign co[17] = + c[10] ^ c[31]; + +assign co[18] = + c[11]; + +assign co[19] = + c[12]; + +assign co[20] = + c[13]; + +assign co[21] = + c[4] ^ c[13] ^ c[14] ^ c[15] ^ c[22] ^ c[25] ^ + c[26] ^ c[31]; + +assign co[22] = + c[5] ^ c[14] ^ c[15] ^ c[16] ^ c[23] ^ c[26] ^ + c[27]; + +assign co[23] = + c[4] ^ c[6] ^ c[13] ^ c[16] ^ c[17] ^ c[22] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[24] = + c[5] ^ c[7] ^ c[14] ^ c[17] ^ c[18] ^ c[23] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[25] = + c[6] ^ c[8] ^ c[15] ^ c[18] ^ c[19] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[26] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[27] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[28] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[29] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[30] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[31] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_29.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_29.v new file mode 100644 index 0000000..6fad27e --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_29.v @@ -0,0 +1,196 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 29 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222222222 +// 01234567890123456789012345678901 01234567890123456789012345678 +// C00 = ...#........#.#......#..##....#. ............................. +// C01 = ....#........#.#......#..##....# ............................. +// C02 = ...#.#......#...#....#.#####..#. ............................. +// C03 = ....#.#......#...#....#.#####..# ............................. +// C04 = .....#.#......#...#....#.#####.. ............................. +// C05 = ......#.#......#...#....#.#####. ............................. +// C06 = .......#.#......#...#....#.##### ............................. +// C07 = ........#.#......#...#....#.#### ............................. +// C08 = .........#.#......#...#....#.### ............................. +// C09 = ..........#.#......#...#....#.## ............................. +// C10 = ...........#.#......#...#....#.# ............................. +// C11 = ...#....................#....... ............................. +// C12 = ....#....................#...... ............................. +// C13 = .....#....................#..... ............................. +// C14 = ......#....................#.... ............................. +// C15 = .......#....................#... ............................. +// C16 = ........#....................#.. ............................. +// C17 = .........#....................#. ............................. +// C18 = ..........#....................# ............................. +// C19 = ...........#.................... ............................. +// C20 = ............#................... ............................. +// C21 = ...#........###......#..##....#. ............................. +// C22 = ....#........###......#..##....# ............................. +// C23 = ...#.#......#..##....#.#####..#. ............................. +// C24 = ....#.#......#..##....#.#####..# ............................. +// C25 = .....#.#......#..##....#.#####.. ............................. +// C26 = ......#.#......#..##....#.#####. ............................. +// C27 = .......#.#......#..##....#.##### ............................. +// C28 = ........#.#......#..##....#.#### ............................. +// C29 = #........#.#......#..##....#.### ............................. +// C30 = .#........#.#......#..##....#.## ............................. +// C31 = ..#........#.#......#..##....#.# ............................. +// +// Number of XORs used is 32 +// Maximum XOR input count is 12 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 224 +// + +module fec_rot_29 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[1] = + c[4] ^ c[13] ^ c[15] ^ c[22] ^ c[25] ^ c[26] ^ + c[31]; + +assign co[2] = + c[3] ^ c[5] ^ c[12] ^ c[16] ^ c[21] ^ c[23] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30]; + +assign co[3] = + c[4] ^ c[6] ^ c[13] ^ c[17] ^ c[22] ^ c[24] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[4] = + c[5] ^ c[7] ^ c[14] ^ c[18] ^ c[23] ^ c[25] ^ + c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[5] = + c[6] ^ c[8] ^ c[15] ^ c[19] ^ c[24] ^ c[26] ^ + c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[6] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[7] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[8] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[9] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[10] = + c[11] ^ c[13] ^ c[20] ^ c[24] ^ c[29] ^ c[31]; + +assign co[11] = + c[3] ^ c[24]; + +assign co[12] = + c[4] ^ c[25]; + +assign co[13] = + c[5] ^ c[26]; + +assign co[14] = + c[6] ^ c[27]; + +assign co[15] = + c[7] ^ c[28]; + +assign co[16] = + c[8] ^ c[29]; + +assign co[17] = + c[9] ^ c[30]; + +assign co[18] = + c[10] ^ c[31]; + +assign co[19] = + c[11]; + +assign co[20] = + c[12]; + +assign co[21] = + c[3] ^ c[12] ^ c[13] ^ c[14] ^ c[21] ^ c[24] ^ + c[25] ^ c[30]; + +assign co[22] = + c[4] ^ c[13] ^ c[14] ^ c[15] ^ c[22] ^ c[25] ^ + c[26] ^ c[31]; + +assign co[23] = + c[3] ^ c[5] ^ c[12] ^ c[15] ^ c[16] ^ c[21] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30]; + +assign co[24] = + c[4] ^ c[6] ^ c[13] ^ c[16] ^ c[17] ^ c[22] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[25] = + c[5] ^ c[7] ^ c[14] ^ c[17] ^ c[18] ^ c[23] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[26] = + c[6] ^ c[8] ^ c[15] ^ c[18] ^ c[19] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[27] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[28] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[29] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[30] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[31] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_3.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_3.v new file mode 100644 index 0000000..ec332ce --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_3.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 3 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDD +// 00000000001111111111222222222233 000 +// 01234567890123456789012345678901 012 +// C00 = .............................#.. ... +// C01 = ..............................#. ... +// C02 = .............................#.# ... +// C03 = #.............................#. ... +// C04 = .#.............................# ... +// C05 = ..#............................. ... +// C06 = ...#............................ ... +// C07 = ....#........................... ... +// C08 = .....#.......................... ... +// C09 = ......#......................... ... +// C10 = .......#........................ ... +// C11 = ........#....................#.. ... +// C12 = .........#....................#. ... +// C13 = ..........#....................# ... +// C14 = ...........#.................... ... +// C15 = ............#................... ... +// C16 = .............#.................. ... +// C17 = ..............#................. ... +// C18 = ...............#................ ... +// C19 = ................#............... ... +// C20 = .................#.............. ... +// C21 = ..................#..........#.. ... +// C22 = ...................#..........#. ... +// C23 = ....................#........#.# ... +// C24 = .....................#........#. ... +// C25 = ......................#........# ... +// C26 = .......................#........ ... +// C27 = ........................#....... ... +// C28 = .........................#...... ... +// C29 = ..........................#..... ... +// C30 = ...........................#.... ... +// C31 = ............................#... ... +// +// Number of XORs used is 32 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 44 +// + +module fec_rot_3 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[29]; + +assign co[1] = + c[30]; + +assign co[2] = + c[29] ^ c[31]; + +assign co[3] = + c[0] ^ c[30]; + +assign co[4] = + c[1] ^ c[31]; + +assign co[5] = + c[2]; + +assign co[6] = + c[3]; + +assign co[7] = + c[4]; + +assign co[8] = + c[5]; + +assign co[9] = + c[6]; + +assign co[10] = + c[7]; + +assign co[11] = + c[8] ^ c[29]; + +assign co[12] = + c[9] ^ c[30]; + +assign co[13] = + c[10] ^ c[31]; + +assign co[14] = + c[11]; + +assign co[15] = + c[12]; + +assign co[16] = + c[13]; + +assign co[17] = + c[14]; + +assign co[18] = + c[15]; + +assign co[19] = + c[16]; + +assign co[20] = + c[17]; + +assign co[21] = + c[18] ^ c[29]; + +assign co[22] = + c[19] ^ c[30]; + +assign co[23] = + c[20] ^ c[29] ^ c[31]; + +assign co[24] = + c[21] ^ c[30]; + +assign co[25] = + c[22] ^ c[31]; + +assign co[26] = + c[23]; + +assign co[27] = + c[24]; + +assign co[28] = + c[25]; + +assign co[29] = + c[26]; + +assign co[30] = + c[27]; + +assign co[31] = + c[28]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_30.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_30.v new file mode 100644 index 0000000..606af11 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_30.v @@ -0,0 +1,197 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 30 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 000000000011111111112222222222 +// 01234567890123456789012345678901 012345678901234567890123456789 +// C00 = ..#........#.#......#..##....#.# .............................. +// C01 = ...#........#.#......#..##....#. .............................. +// C02 = ..#.#......#...#....#.#####..#.. .............................. +// C03 = ...#.#......#...#....#.#####..#. .............................. +// C04 = ....#.#......#...#....#.#####..# .............................. +// C05 = .....#.#......#...#....#.#####.. .............................. +// C06 = ......#.#......#...#....#.#####. .............................. +// C07 = .......#.#......#...#....#.##### .............................. +// C08 = ........#.#......#...#....#.#### .............................. +// C09 = .........#.#......#...#....#.### .............................. +// C10 = ..........#.#......#...#....#.## .............................. +// C11 = ..#....................#........ .............................. +// C12 = ...#....................#....... .............................. +// C13 = ....#....................#...... .............................. +// C14 = .....#....................#..... .............................. +// C15 = ......#....................#.... .............................. +// C16 = .......#....................#... .............................. +// C17 = ........#....................#.. .............................. +// C18 = .........#....................#. .............................. +// C19 = ..........#....................# .............................. +// C20 = ...........#.................... .............................. +// C21 = ..#........###......#..##....#.# .............................. +// C22 = ...#........###......#..##....#. .............................. +// C23 = ..#.#......#..##....#.#####..#.. .............................. +// C24 = ...#.#......#..##....#.#####..#. .............................. +// C25 = ....#.#......#..##....#.#####..# .............................. +// C26 = .....#.#......#..##....#.#####.. .............................. +// C27 = ......#.#......#..##....#.#####. .............................. +// C28 = .......#.#......#..##....#.##### .............................. +// C29 = ........#.#......#..##....#.#### .............................. +// C30 = #........#.#......#..##....#.### .............................. +// C31 = .#........#.#......#..##....#.## .............................. +// +// Number of XORs used is 32 +// Maximum XOR input count is 12 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 236 +// + +module fec_rot_30 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[1] = + c[3] ^ c[12] ^ c[14] ^ c[21] ^ c[24] ^ c[25] ^ + c[30]; + +assign co[2] = + c[2] ^ c[4] ^ c[11] ^ c[15] ^ c[20] ^ c[22] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[29]; + +assign co[3] = + c[3] ^ c[5] ^ c[12] ^ c[16] ^ c[21] ^ c[23] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30]; + +assign co[4] = + c[4] ^ c[6] ^ c[13] ^ c[17] ^ c[22] ^ c[24] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[5] = + c[5] ^ c[7] ^ c[14] ^ c[18] ^ c[23] ^ c[25] ^ + c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[6] = + c[6] ^ c[8] ^ c[15] ^ c[19] ^ c[24] ^ c[26] ^ + c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[7] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[8] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[9] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[10] = + c[10] ^ c[12] ^ c[19] ^ c[23] ^ c[28] ^ c[30] ^ + c[31]; + +assign co[11] = + c[2] ^ c[23]; + +assign co[12] = + c[3] ^ c[24]; + +assign co[13] = + c[4] ^ c[25]; + +assign co[14] = + c[5] ^ c[26]; + +assign co[15] = + c[6] ^ c[27]; + +assign co[16] = + c[7] ^ c[28]; + +assign co[17] = + c[8] ^ c[29]; + +assign co[18] = + c[9] ^ c[30]; + +assign co[19] = + c[10] ^ c[31]; + +assign co[20] = + c[11]; + +assign co[21] = + c[2] ^ c[11] ^ c[12] ^ c[13] ^ c[20] ^ c[23] ^ + c[24] ^ c[29] ^ c[31]; + +assign co[22] = + c[3] ^ c[12] ^ c[13] ^ c[14] ^ c[21] ^ c[24] ^ + c[25] ^ c[30]; + +assign co[23] = + c[2] ^ c[4] ^ c[11] ^ c[14] ^ c[15] ^ c[20] ^ + c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[29]; + +assign co[24] = + c[3] ^ c[5] ^ c[12] ^ c[15] ^ c[16] ^ c[21] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30]; + +assign co[25] = + c[4] ^ c[6] ^ c[13] ^ c[16] ^ c[17] ^ c[22] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[26] = + c[5] ^ c[7] ^ c[14] ^ c[17] ^ c[18] ^ c[23] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[27] = + c[6] ^ c[8] ^ c[15] ^ c[18] ^ c[19] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[28] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[29] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[30] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[31] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_31.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_31.v new file mode 100644 index 0000000..104c9d8 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_31.v @@ -0,0 +1,197 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 31 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 0000000000111111111122222222223 +// 01234567890123456789012345678901 0123456789012345678901234567890 +// C00 = .#........#.#......#..##....#.## ............................... +// C01 = ..#........#.#......#..##....#.# ............................... +// C02 = .#.#......#...#....#.#####..#..# ............................... +// C03 = ..#.#......#...#....#.#####..#.. ............................... +// C04 = ...#.#......#...#....#.#####..#. ............................... +// C05 = ....#.#......#...#....#.#####..# ............................... +// C06 = .....#.#......#...#....#.#####.. ............................... +// C07 = ......#.#......#...#....#.#####. ............................... +// C08 = .......#.#......#...#....#.##### ............................... +// C09 = ........#.#......#...#....#.#### ............................... +// C10 = .........#.#......#...#....#.### ............................... +// C11 = .#....................#......... ............................... +// C12 = ..#....................#........ ............................... +// C13 = ...#....................#....... ............................... +// C14 = ....#....................#...... ............................... +// C15 = .....#....................#..... ............................... +// C16 = ......#....................#.... ............................... +// C17 = .......#....................#... ............................... +// C18 = ........#....................#.. ............................... +// C19 = .........#....................#. ............................... +// C20 = ..........#....................# ............................... +// C21 = .#........###......#..##....#.## ............................... +// C22 = ..#........###......#..##....#.# ............................... +// C23 = .#.#......#..##....#.#####..#..# ............................... +// C24 = ..#.#......#..##....#.#####..#.. ............................... +// C25 = ...#.#......#..##....#.#####..#. ............................... +// C26 = ....#.#......#..##....#.#####..# ............................... +// C27 = .....#.#......#..##....#.#####.. ............................... +// C28 = ......#.#......#..##....#.#####. ............................... +// C29 = .......#.#......#..##....#.##### ............................... +// C30 = ........#.#......#..##....#.#### ............................... +// C31 = #........#.#......#..##....#.### ............................... +// +// Number of XORs used is 32 +// Maximum XOR input count is 13 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 250 +// + +module fec_rot_31 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[1] = + c[2] ^ c[11] ^ c[13] ^ c[20] ^ c[23] ^ c[24] ^ + c[29] ^ c[31]; + +assign co[2] = + c[1] ^ c[3] ^ c[10] ^ c[14] ^ c[19] ^ c[21] ^ + c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[28] ^ c[31]; + +assign co[3] = + c[2] ^ c[4] ^ c[11] ^ c[15] ^ c[20] ^ c[22] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[29]; + +assign co[4] = + c[3] ^ c[5] ^ c[12] ^ c[16] ^ c[21] ^ c[23] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30]; + +assign co[5] = + c[4] ^ c[6] ^ c[13] ^ c[17] ^ c[22] ^ c[24] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[6] = + c[5] ^ c[7] ^ c[14] ^ c[18] ^ c[23] ^ c[25] ^ + c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[7] = + c[6] ^ c[8] ^ c[15] ^ c[19] ^ c[24] ^ c[26] ^ + c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[8] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[9] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[10] = + c[9] ^ c[11] ^ c[18] ^ c[22] ^ c[27] ^ c[29] ^ + c[30] ^ c[31]; + +assign co[11] = + c[1] ^ c[22]; + +assign co[12] = + c[2] ^ c[23]; + +assign co[13] = + c[3] ^ c[24]; + +assign co[14] = + c[4] ^ c[25]; + +assign co[15] = + c[5] ^ c[26]; + +assign co[16] = + c[6] ^ c[27]; + +assign co[17] = + c[7] ^ c[28]; + +assign co[18] = + c[8] ^ c[29]; + +assign co[19] = + c[9] ^ c[30]; + +assign co[20] = + c[10] ^ c[31]; + +assign co[21] = + c[1] ^ c[10] ^ c[11] ^ c[12] ^ c[19] ^ c[22] ^ + c[23] ^ c[28] ^ c[30] ^ c[31]; + +assign co[22] = + c[2] ^ c[11] ^ c[12] ^ c[13] ^ c[20] ^ c[23] ^ + c[24] ^ c[29] ^ c[31]; + +assign co[23] = + c[1] ^ c[3] ^ c[10] ^ c[13] ^ c[14] ^ c[19] ^ + c[21] ^ c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[28] ^ c[31]; + +assign co[24] = + c[2] ^ c[4] ^ c[11] ^ c[14] ^ c[15] ^ c[20] ^ + c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[29]; + +assign co[25] = + c[3] ^ c[5] ^ c[12] ^ c[15] ^ c[16] ^ c[21] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30]; + +assign co[26] = + c[4] ^ c[6] ^ c[13] ^ c[16] ^ c[17] ^ c[22] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[27] = + c[5] ^ c[7] ^ c[14] ^ c[17] ^ c[18] ^ c[23] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[28] = + c[6] ^ c[8] ^ c[15] ^ c[18] ^ c[19] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[29] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[30] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[31] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_32.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_32.v new file mode 100644 index 0000000..1ef1bd4 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_32.v @@ -0,0 +1,197 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 32 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDDDDDDDDDDDDDDDDDDDDDDDDD +// 00000000001111111111222222222233 00000000001111111111222222222233 +// 01234567890123456789012345678901 01234567890123456789012345678901 +// C00 = #........#.#......#..##....#.### ................................ +// C01 = .#........#.#......#..##....#.## ................................ +// C02 = #.#......#...#....#.#####..#..#. ................................ +// C03 = .#.#......#...#....#.#####..#..# ................................ +// C04 = ..#.#......#...#....#.#####..#.. ................................ +// C05 = ...#.#......#...#....#.#####..#. ................................ +// C06 = ....#.#......#...#....#.#####..# ................................ +// C07 = .....#.#......#...#....#.#####.. ................................ +// C08 = ......#.#......#...#....#.#####. ................................ +// C09 = .......#.#......#...#....#.##### ................................ +// C10 = ........#.#......#...#....#.#### ................................ +// C11 = #....................#.......... ................................ +// C12 = .#....................#......... ................................ +// C13 = ..#....................#........ ................................ +// C14 = ...#....................#....... ................................ +// C15 = ....#....................#...... ................................ +// C16 = .....#....................#..... ................................ +// C17 = ......#....................#.... ................................ +// C18 = .......#....................#... ................................ +// C19 = ........#....................#.. ................................ +// C20 = .........#....................#. ................................ +// C21 = #........###......#..##....#.##. ................................ +// C22 = .#........###......#..##....#.## ................................ +// C23 = #.#......#..##....#.#####..#..#. ................................ +// C24 = .#.#......#..##....#.#####..#..# ................................ +// C25 = ..#.#......#..##....#.#####..#.. ................................ +// C26 = ...#.#......#..##....#.#####..#. ................................ +// C27 = ....#.#......#..##....#.#####..# ................................ +// C28 = .....#.#......#..##....#.#####.. ................................ +// C29 = ......#.#......#..##....#.#####. ................................ +// C30 = .......#.#......#..##....#.##### ................................ +// C31 = ........#.#......#..##....#.#### ................................ +// +// Number of XORs used is 32 +// Maximum XOR input count is 13 +// Best possible depth in 4 LUTs = 2 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 260 +// + +module fec_rot_32 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[0] ^ c[9] ^ c[11] ^ c[18] ^ c[21] ^ c[22] ^ + c[27] ^ c[29] ^ c[30] ^ c[31]; + +assign co[1] = + c[1] ^ c[10] ^ c[12] ^ c[19] ^ c[22] ^ c[23] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[2] = + c[0] ^ c[2] ^ c[9] ^ c[13] ^ c[18] ^ c[20] ^ + c[21] ^ c[22] ^ c[23] ^ c[24] ^ c[27] ^ c[30]; + +assign co[3] = + c[1] ^ c[3] ^ c[10] ^ c[14] ^ c[19] ^ c[21] ^ + c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[28] ^ c[31]; + +assign co[4] = + c[2] ^ c[4] ^ c[11] ^ c[15] ^ c[20] ^ c[22] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[29]; + +assign co[5] = + c[3] ^ c[5] ^ c[12] ^ c[16] ^ c[21] ^ c[23] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30]; + +assign co[6] = + c[4] ^ c[6] ^ c[13] ^ c[17] ^ c[22] ^ c[24] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[7] = + c[5] ^ c[7] ^ c[14] ^ c[18] ^ c[23] ^ c[25] ^ + c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[8] = + c[6] ^ c[8] ^ c[15] ^ c[19] ^ c[24] ^ c[26] ^ + c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[9] = + c[7] ^ c[9] ^ c[16] ^ c[20] ^ c[25] ^ c[27] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[10] = + c[8] ^ c[10] ^ c[17] ^ c[21] ^ c[26] ^ c[28] ^ + c[29] ^ c[30] ^ c[31]; + +assign co[11] = + c[0] ^ c[21]; + +assign co[12] = + c[1] ^ c[22]; + +assign co[13] = + c[2] ^ c[23]; + +assign co[14] = + c[3] ^ c[24]; + +assign co[15] = + c[4] ^ c[25]; + +assign co[16] = + c[5] ^ c[26]; + +assign co[17] = + c[6] ^ c[27]; + +assign co[18] = + c[7] ^ c[28]; + +assign co[19] = + c[8] ^ c[29]; + +assign co[20] = + c[9] ^ c[30]; + +assign co[21] = + c[0] ^ c[9] ^ c[10] ^ c[11] ^ c[18] ^ c[21] ^ + c[22] ^ c[27] ^ c[29] ^ c[30]; + +assign co[22] = + c[1] ^ c[10] ^ c[11] ^ c[12] ^ c[19] ^ c[22] ^ + c[23] ^ c[28] ^ c[30] ^ c[31]; + +assign co[23] = + c[0] ^ c[2] ^ c[9] ^ c[12] ^ c[13] ^ c[18] ^ + c[20] ^ c[21] ^ c[22] ^ c[23] ^ c[24] ^ c[27] ^ c[30]; + +assign co[24] = + c[1] ^ c[3] ^ c[10] ^ c[13] ^ c[14] ^ c[19] ^ + c[21] ^ c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[28] ^ c[31]; + +assign co[25] = + c[2] ^ c[4] ^ c[11] ^ c[14] ^ c[15] ^ c[20] ^ + c[22] ^ c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[29]; + +assign co[26] = + c[3] ^ c[5] ^ c[12] ^ c[15] ^ c[16] ^ c[21] ^ + c[23] ^ c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[30]; + +assign co[27] = + c[4] ^ c[6] ^ c[13] ^ c[16] ^ c[17] ^ c[22] ^ + c[24] ^ c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[31]; + +assign co[28] = + c[5] ^ c[7] ^ c[14] ^ c[17] ^ c[18] ^ c[23] ^ + c[25] ^ c[26] ^ c[27] ^ c[28] ^ c[29]; + +assign co[29] = + c[6] ^ c[8] ^ c[15] ^ c[18] ^ c[19] ^ c[24] ^ + c[26] ^ c[27] ^ c[28] ^ c[29] ^ c[30]; + +assign co[30] = + c[7] ^ c[9] ^ c[16] ^ c[19] ^ c[20] ^ c[25] ^ + c[27] ^ c[28] ^ c[29] ^ c[30] ^ c[31]; + +assign co[31] = + c[8] ^ c[10] ^ c[17] ^ c[20] ^ c[21] ^ c[26] ^ + c[28] ^ c[29] ^ c[30] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_4.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_4.v new file mode 100644 index 0000000..aa21af0 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_4.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 4 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDD +// 00000000001111111111222222222233 0000 +// 01234567890123456789012345678901 0123 +// C00 = ............................#... .... +// C01 = .............................#.. .... +// C02 = ............................#.#. .... +// C03 = .............................#.# .... +// C04 = #.............................#. .... +// C05 = .#.............................# .... +// C06 = ..#............................. .... +// C07 = ...#............................ .... +// C08 = ....#........................... .... +// C09 = .....#.......................... .... +// C10 = ......#......................... .... +// C11 = .......#....................#... .... +// C12 = ........#....................#.. .... +// C13 = .........#....................#. .... +// C14 = ..........#....................# .... +// C15 = ...........#.................... .... +// C16 = ............#................... .... +// C17 = .............#.................. .... +// C18 = ..............#................. .... +// C19 = ...............#................ .... +// C20 = ................#............... .... +// C21 = .................#..........#... .... +// C22 = ..................#..........#.. .... +// C23 = ...................#........#.#. .... +// C24 = ....................#........#.# .... +// C25 = .....................#........#. .... +// C26 = ......................#........# .... +// C27 = .......................#........ .... +// C28 = ........................#....... .... +// C29 = .........................#...... .... +// C30 = ..........................#..... .... +// C31 = ...........................#.... .... +// +// Number of XORs used is 32 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 48 +// + +module fec_rot_4 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[28]; + +assign co[1] = + c[29]; + +assign co[2] = + c[28] ^ c[30]; + +assign co[3] = + c[29] ^ c[31]; + +assign co[4] = + c[0] ^ c[30]; + +assign co[5] = + c[1] ^ c[31]; + +assign co[6] = + c[2]; + +assign co[7] = + c[3]; + +assign co[8] = + c[4]; + +assign co[9] = + c[5]; + +assign co[10] = + c[6]; + +assign co[11] = + c[7] ^ c[28]; + +assign co[12] = + c[8] ^ c[29]; + +assign co[13] = + c[9] ^ c[30]; + +assign co[14] = + c[10] ^ c[31]; + +assign co[15] = + c[11]; + +assign co[16] = + c[12]; + +assign co[17] = + c[13]; + +assign co[18] = + c[14]; + +assign co[19] = + c[15]; + +assign co[20] = + c[16]; + +assign co[21] = + c[17] ^ c[28]; + +assign co[22] = + c[18] ^ c[29]; + +assign co[23] = + c[19] ^ c[28] ^ c[30]; + +assign co[24] = + c[20] ^ c[29] ^ c[31]; + +assign co[25] = + c[21] ^ c[30]; + +assign co[26] = + c[22] ^ c[31]; + +assign co[27] = + c[23]; + +assign co[28] = + c[24]; + +assign co[29] = + c[25]; + +assign co[30] = + c[26]; + +assign co[31] = + c[27]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_5.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_5.v new file mode 100644 index 0000000..ccc66bc --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_5.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 5 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDD +// 00000000001111111111222222222233 00000 +// 01234567890123456789012345678901 01234 +// C00 = ...........................#.... ..... +// C01 = ............................#... ..... +// C02 = ...........................#.#.. ..... +// C03 = ............................#.#. ..... +// C04 = .............................#.# ..... +// C05 = #.............................#. ..... +// C06 = .#.............................# ..... +// C07 = ..#............................. ..... +// C08 = ...#............................ ..... +// C09 = ....#........................... ..... +// C10 = .....#.......................... ..... +// C11 = ......#....................#.... ..... +// C12 = .......#....................#... ..... +// C13 = ........#....................#.. ..... +// C14 = .........#....................#. ..... +// C15 = ..........#....................# ..... +// C16 = ...........#.................... ..... +// C17 = ............#................... ..... +// C18 = .............#.................. ..... +// C19 = ..............#................. ..... +// C20 = ...............#................ ..... +// C21 = ................#..........#.... ..... +// C22 = .................#..........#... ..... +// C23 = ..................#........#.#.. ..... +// C24 = ...................#........#.#. ..... +// C25 = ....................#........#.# ..... +// C26 = .....................#........#. ..... +// C27 = ......................#........# ..... +// C28 = .......................#........ ..... +// C29 = ........................#....... ..... +// C30 = .........................#...... ..... +// C31 = ..........................#..... ..... +// +// Number of XORs used is 32 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 52 +// + +module fec_rot_5 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[27]; + +assign co[1] = + c[28]; + +assign co[2] = + c[27] ^ c[29]; + +assign co[3] = + c[28] ^ c[30]; + +assign co[4] = + c[29] ^ c[31]; + +assign co[5] = + c[0] ^ c[30]; + +assign co[6] = + c[1] ^ c[31]; + +assign co[7] = + c[2]; + +assign co[8] = + c[3]; + +assign co[9] = + c[4]; + +assign co[10] = + c[5]; + +assign co[11] = + c[6] ^ c[27]; + +assign co[12] = + c[7] ^ c[28]; + +assign co[13] = + c[8] ^ c[29]; + +assign co[14] = + c[9] ^ c[30]; + +assign co[15] = + c[10] ^ c[31]; + +assign co[16] = + c[11]; + +assign co[17] = + c[12]; + +assign co[18] = + c[13]; + +assign co[19] = + c[14]; + +assign co[20] = + c[15]; + +assign co[21] = + c[16] ^ c[27]; + +assign co[22] = + c[17] ^ c[28]; + +assign co[23] = + c[18] ^ c[27] ^ c[29]; + +assign co[24] = + c[19] ^ c[28] ^ c[30]; + +assign co[25] = + c[20] ^ c[29] ^ c[31]; + +assign co[26] = + c[21] ^ c[30]; + +assign co[27] = + c[22] ^ c[31]; + +assign co[28] = + c[23]; + +assign co[29] = + c[24]; + +assign co[30] = + c[25]; + +assign co[31] = + c[26]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_6.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_6.v new file mode 100644 index 0000000..a236071 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_6.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 6 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDD +// 00000000001111111111222222222233 000000 +// 01234567890123456789012345678901 012345 +// C00 = ..........................#..... ...... +// C01 = ...........................#.... ...... +// C02 = ..........................#.#... ...... +// C03 = ...........................#.#.. ...... +// C04 = ............................#.#. ...... +// C05 = .............................#.# ...... +// C06 = #.............................#. ...... +// C07 = .#.............................# ...... +// C08 = ..#............................. ...... +// C09 = ...#............................ ...... +// C10 = ....#........................... ...... +// C11 = .....#....................#..... ...... +// C12 = ......#....................#.... ...... +// C13 = .......#....................#... ...... +// C14 = ........#....................#.. ...... +// C15 = .........#....................#. ...... +// C16 = ..........#....................# ...... +// C17 = ...........#.................... ...... +// C18 = ............#................... ...... +// C19 = .............#.................. ...... +// C20 = ..............#................. ...... +// C21 = ...............#..........#..... ...... +// C22 = ................#..........#.... ...... +// C23 = .................#........#.#... ...... +// C24 = ..................#........#.#.. ...... +// C25 = ...................#........#.#. ...... +// C26 = ....................#........#.# ...... +// C27 = .....................#........#. ...... +// C28 = ......................#........# ...... +// C29 = .......................#........ ...... +// C30 = ........................#....... ...... +// C31 = .........................#...... ...... +// +// Number of XORs used is 32 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 56 +// + +module fec_rot_6 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[26]; + +assign co[1] = + c[27]; + +assign co[2] = + c[26] ^ c[28]; + +assign co[3] = + c[27] ^ c[29]; + +assign co[4] = + c[28] ^ c[30]; + +assign co[5] = + c[29] ^ c[31]; + +assign co[6] = + c[0] ^ c[30]; + +assign co[7] = + c[1] ^ c[31]; + +assign co[8] = + c[2]; + +assign co[9] = + c[3]; + +assign co[10] = + c[4]; + +assign co[11] = + c[5] ^ c[26]; + +assign co[12] = + c[6] ^ c[27]; + +assign co[13] = + c[7] ^ c[28]; + +assign co[14] = + c[8] ^ c[29]; + +assign co[15] = + c[9] ^ c[30]; + +assign co[16] = + c[10] ^ c[31]; + +assign co[17] = + c[11]; + +assign co[18] = + c[12]; + +assign co[19] = + c[13]; + +assign co[20] = + c[14]; + +assign co[21] = + c[15] ^ c[26]; + +assign co[22] = + c[16] ^ c[27]; + +assign co[23] = + c[17] ^ c[26] ^ c[28]; + +assign co[24] = + c[18] ^ c[27] ^ c[29]; + +assign co[25] = + c[19] ^ c[28] ^ c[30]; + +assign co[26] = + c[20] ^ c[29] ^ c[31]; + +assign co[27] = + c[21] ^ c[30]; + +assign co[28] = + c[22] ^ c[31]; + +assign co[29] = + c[23]; + +assign co[30] = + c[24]; + +assign co[31] = + c[25]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_7.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_7.v new file mode 100644 index 0000000..1ddd0cc --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_7.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 7 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDD +// 00000000001111111111222222222233 0000000 +// 01234567890123456789012345678901 0123456 +// C00 = .........................#...... ....... +// C01 = ..........................#..... ....... +// C02 = .........................#.#.... ....... +// C03 = ..........................#.#... ....... +// C04 = ...........................#.#.. ....... +// C05 = ............................#.#. ....... +// C06 = .............................#.# ....... +// C07 = #.............................#. ....... +// C08 = .#.............................# ....... +// C09 = ..#............................. ....... +// C10 = ...#............................ ....... +// C11 = ....#....................#...... ....... +// C12 = .....#....................#..... ....... +// C13 = ......#....................#.... ....... +// C14 = .......#....................#... ....... +// C15 = ........#....................#.. ....... +// C16 = .........#....................#. ....... +// C17 = ..........#....................# ....... +// C18 = ...........#.................... ....... +// C19 = ............#................... ....... +// C20 = .............#.................. ....... +// C21 = ..............#..........#...... ....... +// C22 = ...............#..........#..... ....... +// C23 = ................#........#.#.... ....... +// C24 = .................#........#.#... ....... +// C25 = ..................#........#.#.. ....... +// C26 = ...................#........#.#. ....... +// C27 = ....................#........#.# ....... +// C28 = .....................#........#. ....... +// C29 = ......................#........# ....... +// C30 = .......................#........ ....... +// C31 = ........................#....... ....... +// +// Number of XORs used is 32 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 60 +// + +module fec_rot_7 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[25]; + +assign co[1] = + c[26]; + +assign co[2] = + c[25] ^ c[27]; + +assign co[3] = + c[26] ^ c[28]; + +assign co[4] = + c[27] ^ c[29]; + +assign co[5] = + c[28] ^ c[30]; + +assign co[6] = + c[29] ^ c[31]; + +assign co[7] = + c[0] ^ c[30]; + +assign co[8] = + c[1] ^ c[31]; + +assign co[9] = + c[2]; + +assign co[10] = + c[3]; + +assign co[11] = + c[4] ^ c[25]; + +assign co[12] = + c[5] ^ c[26]; + +assign co[13] = + c[6] ^ c[27]; + +assign co[14] = + c[7] ^ c[28]; + +assign co[15] = + c[8] ^ c[29]; + +assign co[16] = + c[9] ^ c[30]; + +assign co[17] = + c[10] ^ c[31]; + +assign co[18] = + c[11]; + +assign co[19] = + c[12]; + +assign co[20] = + c[13]; + +assign co[21] = + c[14] ^ c[25]; + +assign co[22] = + c[15] ^ c[26]; + +assign co[23] = + c[16] ^ c[25] ^ c[27]; + +assign co[24] = + c[17] ^ c[26] ^ c[28]; + +assign co[25] = + c[18] ^ c[27] ^ c[29]; + +assign co[26] = + c[19] ^ c[28] ^ c[30]; + +assign co[27] = + c[20] ^ c[29] ^ c[31]; + +assign co[28] = + c[21] ^ c[30]; + +assign co[29] = + c[22] ^ c[31]; + +assign co[30] = + c[23]; + +assign co[31] = + c[24]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_8.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_8.v new file mode 100644 index 0000000..eedb6da --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_8.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 8 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDD +// 00000000001111111111222222222233 00000000 +// 01234567890123456789012345678901 01234567 +// C00 = ........................#....... ........ +// C01 = .........................#...... ........ +// C02 = ........................#.#..... ........ +// C03 = .........................#.#.... ........ +// C04 = ..........................#.#... ........ +// C05 = ...........................#.#.. ........ +// C06 = ............................#.#. ........ +// C07 = .............................#.# ........ +// C08 = #.............................#. ........ +// C09 = .#.............................# ........ +// C10 = ..#............................. ........ +// C11 = ...#....................#....... ........ +// C12 = ....#....................#...... ........ +// C13 = .....#....................#..... ........ +// C14 = ......#....................#.... ........ +// C15 = .......#....................#... ........ +// C16 = ........#....................#.. ........ +// C17 = .........#....................#. ........ +// C18 = ..........#....................# ........ +// C19 = ...........#.................... ........ +// C20 = ............#................... ........ +// C21 = .............#..........#....... ........ +// C22 = ..............#..........#...... ........ +// C23 = ...............#........#.#..... ........ +// C24 = ................#........#.#.... ........ +// C25 = .................#........#.#... ........ +// C26 = ..................#........#.#.. ........ +// C27 = ...................#........#.#. ........ +// C28 = ....................#........#.# ........ +// C29 = .....................#........#. ........ +// C30 = ......................#........# ........ +// C31 = .......................#........ ........ +// +// Number of XORs used is 32 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 64 +// + +module fec_rot_8 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[24]; + +assign co[1] = + c[25]; + +assign co[2] = + c[24] ^ c[26]; + +assign co[3] = + c[25] ^ c[27]; + +assign co[4] = + c[26] ^ c[28]; + +assign co[5] = + c[27] ^ c[29]; + +assign co[6] = + c[28] ^ c[30]; + +assign co[7] = + c[29] ^ c[31]; + +assign co[8] = + c[0] ^ c[30]; + +assign co[9] = + c[1] ^ c[31]; + +assign co[10] = + c[2]; + +assign co[11] = + c[3] ^ c[24]; + +assign co[12] = + c[4] ^ c[25]; + +assign co[13] = + c[5] ^ c[26]; + +assign co[14] = + c[6] ^ c[27]; + +assign co[15] = + c[7] ^ c[28]; + +assign co[16] = + c[8] ^ c[29]; + +assign co[17] = + c[9] ^ c[30]; + +assign co[18] = + c[10] ^ c[31]; + +assign co[19] = + c[11]; + +assign co[20] = + c[12]; + +assign co[21] = + c[13] ^ c[24]; + +assign co[22] = + c[14] ^ c[25]; + +assign co[23] = + c[15] ^ c[24] ^ c[26]; + +assign co[24] = + c[16] ^ c[25] ^ c[27]; + +assign co[25] = + c[17] ^ c[26] ^ c[28]; + +assign co[26] = + c[18] ^ c[27] ^ c[29]; + +assign co[27] = + c[19] ^ c[28] ^ c[30]; + +assign co[28] = + c[20] ^ c[29] ^ c[31]; + +assign co[29] = + c[21] ^ c[30]; + +assign co[30] = + c[22] ^ c[31]; + +assign co[31] = + c[23]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_9.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_9.v new file mode 100644 index 0000000..1c4098f --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_9.v @@ -0,0 +1,175 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 9 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC DDDDDDDDD +// 00000000001111111111222222222233 000000000 +// 01234567890123456789012345678901 012345678 +// C00 = .......................#........ ......... +// C01 = ........................#....... ......... +// C02 = .......................#.#...... ......... +// C03 = ........................#.#..... ......... +// C04 = .........................#.#.... ......... +// C05 = ..........................#.#... ......... +// C06 = ...........................#.#.. ......... +// C07 = ............................#.#. ......... +// C08 = .............................#.# ......... +// C09 = #.............................#. ......... +// C10 = .#.............................# ......... +// C11 = ..#....................#........ ......... +// C12 = ...#....................#....... ......... +// C13 = ....#....................#...... ......... +// C14 = .....#....................#..... ......... +// C15 = ......#....................#.... ......... +// C16 = .......#....................#... ......... +// C17 = ........#....................#.. ......... +// C18 = .........#....................#. ......... +// C19 = ..........#....................# ......... +// C20 = ...........#.................... ......... +// C21 = ............#..........#........ ......... +// C22 = .............#..........#....... ......... +// C23 = ..............#........#.#...... ......... +// C24 = ...............#........#.#..... ......... +// C25 = ................#........#.#.... ......... +// C26 = .................#........#.#... ......... +// C27 = ..................#........#.#.. ......... +// C28 = ...................#........#.#. ......... +// C29 = ....................#........#.# ......... +// C30 = .....................#........#. ......... +// C31 = ......................#........# ......... +// +// Number of XORs used is 32 +// Maximum XOR input count is 3 +// Best possible depth in 4 LUTs = 1 +// Best possible depth in 5 LUTs = 1 +// Best possible depth in 6 LUTs = 1 +// Total XOR inputs 68 +// + +module fec_rot_9 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[23]; + +assign co[1] = + c[24]; + +assign co[2] = + c[23] ^ c[25]; + +assign co[3] = + c[24] ^ c[26]; + +assign co[4] = + c[25] ^ c[27]; + +assign co[5] = + c[26] ^ c[28]; + +assign co[6] = + c[27] ^ c[29]; + +assign co[7] = + c[28] ^ c[30]; + +assign co[8] = + c[29] ^ c[31]; + +assign co[9] = + c[0] ^ c[30]; + +assign co[10] = + c[1] ^ c[31]; + +assign co[11] = + c[2] ^ c[23]; + +assign co[12] = + c[3] ^ c[24]; + +assign co[13] = + c[4] ^ c[25]; + +assign co[14] = + c[5] ^ c[26]; + +assign co[15] = + c[6] ^ c[27]; + +assign co[16] = + c[7] ^ c[28]; + +assign co[17] = + c[8] ^ c[29]; + +assign co[18] = + c[9] ^ c[30]; + +assign co[19] = + c[10] ^ c[31]; + +assign co[20] = + c[11]; + +assign co[21] = + c[12] ^ c[23]; + +assign co[22] = + c[13] ^ c[24]; + +assign co[23] = + c[14] ^ c[23] ^ c[25]; + +assign co[24] = + c[15] ^ c[24] ^ c[26]; + +assign co[25] = + c[16] ^ c[25] ^ c[27]; + +assign co[26] = + c[17] ^ c[26] ^ c[28]; + +assign co[27] = + c[18] ^ c[27] ^ c[29]; + +assign co[28] = + c[19] ^ c[28] ^ c[30]; + +assign co[29] = + c[20] ^ c[29] ^ c[31]; + +assign co[30] = + c[21] ^ c[30]; + +assign co[31] = + c[22] ^ c[31]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_n2112.v b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_n2112.v new file mode 100644 index 0000000..cf1ee32 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_rot_n2112.v @@ -0,0 +1,219 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// +// 32 bit CRC of 1 data bits (forward - LSB first) +// polynomial : 00a00805 +// x^23 + x^21 + x^11 + x^2 + x^0 +// +// CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC D +// 00000000001111111111222222222233 0 +// 01234567890123456789012345678901 0 +// C00 = .#...##.#...#.###..#.#.##.###... . +// C01 = #.#...##.#...#.###..#.#.##.###.. . +// C02 = ...#.###..#.#..#.###....##.#.##. . +// C03 = #...#.###..#.#..#.###....##.#.## . +// C04 = .#...#.###..#.#..#.###....##.#.# . +// C05 = #.#...#.###..#.#..#.###....##.#. . +// C06 = ##.#...#.###..#.#..#.###....##.# . +// C07 = .##.#...#.###..#.#..#.###....##. . +// C08 = ..##.#...#.###..#.#..#.###....## . +// C09 = #..##.#...#.###..#.#..#.###....# . +// C10 = ##..##.#...#.###..#.#..#.###.... . +// C11 = ..#....................#........ . +// C12 = ...#....................#....... . +// C13 = ....#....................#...... . +// C14 = .....#....................#..... . +// C15 = ......#....................#.... . +// C16 = .......#....................#... . +// C17 = ........#....................#.. . +// C18 = .........#....................#. . +// C19 = ..........#....................# . +// C20 = ...........#.................... . +// C21 = .#...##.#.....###..#.#.##.###... . +// C22 = #.#...##.#.....###..#.#.##.###.. . +// C23 = ...#.###..#.#.##.###....##.#.##. . +// C24 = #...#.###..#.#.##.###....##.#.## . +// C25 = .#...#.###..#.#.##.###....##.#.# . +// C26 = #.#...#.###..#.#.##.###....##.#. . +// C27 = ##.#...#.###..#.#.##.###....##.# . +// C28 = .##.#...#.###..#.#.##.###....##. . +// C29 = ..##.#...#.###..#.#.##.###....## . +// C30 = ...##.#...#.###..#.#.##.###....# . +// C31 = #...##.#...#.###..#.#.##.###.... . +// +// Number of XORs used is 32 +// Maximum XOR input count is 17 +// Best possible depth in 4 LUTs = 3 +// Best possible depth in 5 LUTs = 2 +// Best possible depth in 6 LUTs = 2 +// Total XOR inputs 360 +// + +module fec_rot_n2112 ( + input [31:0] c, + output [31:0] co +); + +assign co[0] = + c[1] ^ c[5] ^ c[6] ^ c[8] ^ c[12] ^ c[14] ^ + c[15] ^ c[16] ^ c[19] ^ c[21] ^ c[23] ^ c[24] ^ c[26] ^ + c[27] ^ c[28]; + +assign co[1] = + c[0] ^ c[2] ^ c[6] ^ c[7] ^ c[9] ^ c[13] ^ + c[15] ^ c[16] ^ c[17] ^ c[20] ^ c[22] ^ c[24] ^ c[25] ^ + c[27] ^ c[28] ^ c[29]; + +assign co[2] = + c[3] ^ c[5] ^ c[6] ^ c[7] ^ c[10] ^ c[12] ^ + c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[24] ^ c[25] ^ c[27] ^ + c[29] ^ c[30]; + +assign co[3] = + c[0] ^ c[4] ^ c[6] ^ c[7] ^ c[8] ^ c[11] ^ + c[13] ^ c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[25] ^ c[26] ^ + c[28] ^ c[30] ^ c[31]; + +assign co[4] = + c[1] ^ c[5] ^ c[7] ^ c[8] ^ c[9] ^ c[12] ^ + c[14] ^ c[17] ^ c[19] ^ c[20] ^ c[21] ^ c[26] ^ c[27] ^ + c[29] ^ c[31]; + +assign co[5] = + c[0] ^ c[2] ^ c[6] ^ c[8] ^ c[9] ^ c[10] ^ + c[13] ^ c[15] ^ c[18] ^ c[20] ^ c[21] ^ c[22] ^ c[27] ^ + c[28] ^ c[30]; + +assign co[6] = + c[0] ^ c[1] ^ c[3] ^ c[7] ^ c[9] ^ c[10] ^ + c[11] ^ c[14] ^ c[16] ^ c[19] ^ c[21] ^ c[22] ^ c[23] ^ + c[28] ^ c[29] ^ c[31]; + +assign co[7] = + c[1] ^ c[2] ^ c[4] ^ c[8] ^ c[10] ^ c[11] ^ + c[12] ^ c[15] ^ c[17] ^ c[20] ^ c[22] ^ c[23] ^ c[24] ^ + c[29] ^ c[30]; + +assign co[8] = + c[2] ^ c[3] ^ c[5] ^ c[9] ^ c[11] ^ c[12] ^ + c[13] ^ c[16] ^ c[18] ^ c[21] ^ c[23] ^ c[24] ^ c[25] ^ + c[30] ^ c[31]; + +assign co[9] = + c[0] ^ c[3] ^ c[4] ^ c[6] ^ c[10] ^ c[12] ^ + c[13] ^ c[14] ^ c[17] ^ c[19] ^ c[22] ^ c[24] ^ c[25] ^ + c[26] ^ c[31]; + +assign co[10] = + c[0] ^ c[1] ^ c[4] ^ c[5] ^ c[7] ^ c[11] ^ + c[13] ^ c[14] ^ c[15] ^ c[18] ^ c[20] ^ c[23] ^ c[25] ^ + c[26] ^ c[27]; + +assign co[11] = + c[2] ^ c[23]; + +assign co[12] = + c[3] ^ c[24]; + +assign co[13] = + c[4] ^ c[25]; + +assign co[14] = + c[5] ^ c[26]; + +assign co[15] = + c[6] ^ c[27]; + +assign co[16] = + c[7] ^ c[28]; + +assign co[17] = + c[8] ^ c[29]; + +assign co[18] = + c[9] ^ c[30]; + +assign co[19] = + c[10] ^ c[31]; + +assign co[20] = + c[11]; + +assign co[21] = + c[1] ^ c[5] ^ c[6] ^ c[8] ^ c[14] ^ c[15] ^ + c[16] ^ c[19] ^ c[21] ^ c[23] ^ c[24] ^ c[26] ^ c[27] ^ + c[28]; + +assign co[22] = + c[0] ^ c[2] ^ c[6] ^ c[7] ^ c[9] ^ c[15] ^ + c[16] ^ c[17] ^ c[20] ^ c[22] ^ c[24] ^ c[25] ^ c[27] ^ + c[28] ^ c[29]; + +assign co[23] = + c[3] ^ c[5] ^ c[6] ^ c[7] ^ c[10] ^ c[12] ^ + c[14] ^ c[15] ^ c[17] ^ c[18] ^ c[19] ^ c[24] ^ c[25] ^ + c[27] ^ c[29] ^ c[30]; + +assign co[24] = + c[0] ^ c[4] ^ c[6] ^ c[7] ^ c[8] ^ c[11] ^ + c[13] ^ c[15] ^ c[16] ^ c[18] ^ c[19] ^ c[20] ^ c[25] ^ + c[26] ^ c[28] ^ c[30] ^ c[31]; + +assign co[25] = + c[1] ^ c[5] ^ c[7] ^ c[8] ^ c[9] ^ c[12] ^ + c[14] ^ c[16] ^ c[17] ^ c[19] ^ c[20] ^ c[21] ^ c[26] ^ + c[27] ^ c[29] ^ c[31]; + +assign co[26] = + c[0] ^ c[2] ^ c[6] ^ c[8] ^ c[9] ^ c[10] ^ + c[13] ^ c[15] ^ c[17] ^ c[18] ^ c[20] ^ c[21] ^ c[22] ^ + c[27] ^ c[28] ^ c[30]; + +assign co[27] = + c[0] ^ c[1] ^ c[3] ^ c[7] ^ c[9] ^ c[10] ^ + c[11] ^ c[14] ^ c[16] ^ c[18] ^ c[19] ^ c[21] ^ c[22] ^ + c[23] ^ c[28] ^ c[29] ^ c[31]; + +assign co[28] = + c[1] ^ c[2] ^ c[4] ^ c[8] ^ c[10] ^ c[11] ^ + c[12] ^ c[15] ^ c[17] ^ c[19] ^ c[20] ^ c[22] ^ c[23] ^ + c[24] ^ c[29] ^ c[30]; + +assign co[29] = + c[2] ^ c[3] ^ c[5] ^ c[9] ^ c[11] ^ c[12] ^ + c[13] ^ c[16] ^ c[18] ^ c[20] ^ c[21] ^ c[23] ^ c[24] ^ + c[25] ^ c[30] ^ c[31]; + +assign co[30] = + c[3] ^ c[4] ^ c[6] ^ c[10] ^ c[12] ^ c[13] ^ + c[14] ^ c[17] ^ c[19] ^ c[21] ^ c[22] ^ c[24] ^ c[25] ^ + c[26] ^ c[31]; + +assign co[31] = + c[0] ^ c[4] ^ c[5] ^ c[7] ^ c[11] ^ c[13] ^ + c[14] ^ c[15] ^ c[18] ^ c[20] ^ c[22] ^ c[23] ^ c[25] ^ + c[26] ^ c[27]; + +endmodule + + diff --git a/Advanced Synthesis Cookbook/ethernet_fec/fec_tb.sv b/Advanced Synthesis Cookbook/ethernet_fec/fec_tb.sv new file mode 100644 index 0000000..0a660f5 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/fec_tb.sv @@ -0,0 +1,157 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler 12-04-2008 + +module fec_tb (); + +// quickie function to get the number of bits +// between the highest and lowest 1s in the +// noise, inclusive. + +function [6:0] burst_length; + input [63:0] din; + reg [63:0] tmp; + burst_length = 0; + if (din != 64'h0) begin + tmp = din; + while (!tmp[0]) tmp = tmp >> 1'b1; + while (tmp != 64'h0) begin + burst_length = burst_length + 1; + tmp = tmp >> 1'b1; + end + end +endfunction + +reg clk,arst; +reg [31:0] din; +wire [31:0] tx_data,recovered; +wire parity_match; +reg [6:0] frame_word; // a frame is 66 32 bit words = 2112 bits + +///////////////////////////////// +// generate some sample frame data + +always @(posedge clk or posedge arst) begin + if (arst) begin + din <= 0; + frame_word <= 0; + end + else begin + if (frame_word == 7'd65) frame_word <= 0; + else frame_word <= frame_word + 1'b1; + + if (frame_word != 7'd65) din <= din + 1'b1; + end +end + +///////////////////////////////// +// TX test unit + +fec_gen dutt +( + .clk, + .arst, + .din, + .parity_sel(frame_word == 7'd65), + .dout(tx_data) +); + +///////////////////////////////// +// Err inject + +wire [31:0] noisy_data; +reg [31:0] noise; +reg [63:0] full_noise; +integer noise_cntr, noise_burst_len = 0; +assign noisy_data = tx_data ^ noise; + +always @(posedge clk or posedge arst) begin + if (arst) begin + noise_cntr <= 0; + noise <= 0; + end + else begin + noise_cntr <= noise_cntr + 1'b1; + noise <= 0; + if ((noise_cntr % 217) == 200) begin + noise <= $random & $random & $random & $random & $random; + end + if ((noise_cntr % 217) == 201) begin + full_noise[63:32] <= noise; + noise <= $random & $random & $random & $random & $random; + end + if ((noise_cntr % 217) == 202) begin + full_noise[31:0] <= noise; + end + if ((noise_cntr % 217) == 203) begin + noise_burst_len <= burst_length(full_noise); + end + end +end + +///////////////////////////////// +// RX test unit + +fec_check dutr +( + .clk, + .arst, + .sof(frame_word == 7'd1), // lagged +1 from TX + .eof(frame_word == 7'd0), + .din(noisy_data), + .dout(recovered), + .parity_match +); + +///////////////////////////////// +// Inspect the recovered data + +localparam FRAME_STALL = 66 + 6; +reg [FRAME_STALL*32-1:0] frame_buffer; +wire [32-1:0] expected; +always @(posedge clk) begin + frame_buffer <= {frame_buffer [(FRAME_STALL-1)*32-1:0], + ((frame_word == 7'd65) ? 32'h0 : din)}; +end +assign expected = frame_buffer[FRAME_STALL*32-1:(FRAME_STALL-1)*32]; + +reg damaged; +always @(posedge clk) begin + damaged <= (expected != 32'h0) && (|(expected ^ recovered)); +end + +///////////////////////////////// +// clock driver + +always begin + #5 clk = ~clk; +end + +initial begin + clk = 0; + arst = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/ethernet_fec/pn2112_table.v b/Advanced Synthesis Cookbook/ethernet_fec/pn2112_table.v new file mode 100644 index 0000000..5ff8a38 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/pn2112_table.v @@ -0,0 +1,102 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-15-2008 + +module pn2112_table ( + input [6:0] din, + output reg [31:0] dout +); + +always @(*) begin + case (din) + 7'd 0 : dout = 32'hffffffff; + 7'd 1 : dout = 32'h02aaaaff; + 7'd 2 : dout = 32'haaaa8000; + 7'd 3 : dout = 32'h554aaaaa; + 7'd 4 : dout = 32'h0fffff55; + 7'd 5 : dout = 32'haaaa8000; + 7'd 6 : dout = 32'h557ffffa; + 7'd 7 : dout = 32'h55555755; + 7'd 8 : dout = 32'hfffe5555; + 7'd 9 : dout = 32'haa7ffff7; + 7'd 10 : dout = 32'he00002aa; + 7'd 11 : dout = 32'haaa8aaaa; + 7'd 12 : dout = 32'hffd5557a; + 7'd 13 : dout = 32'h00001fff; + 7'd 14 : dout = 32'hfff0aaaa; + 7'd 15 : dout = 32'h5055557f; + 7'd 16 : dout = 32'hd5557d55; + 7'd 17 : dout = 32'hfffffffd; + 7'd 18 : dout = 32'h08aaab1f; + 7'd 19 : dout = 32'h2aaa7000; + 7'd 20 : dout = 32'h551aaaa8; + 7'd 21 : dout = 32'h2dfffdd5; + 7'd 22 : dout = 32'haaaa8000; + 7'd 23 : dout = 32'h55f7ffe1; + 7'd 24 : dout = 32'h7d555ad5; + 7'd 25 : dout = 32'hfffab555; + 7'd 26 : dout = 32'ha8afffd5; + 7'd 27 : dout = 32'h0000002a; + 7'd 28 : dout = 32'haaa2aaab; + 7'd 29 : dout = 32'hfd555580; + 7'd 30 : dout = 32'ha8004aff; + 7'd 31 : dout = 32'hffd02aa8; + 7'd 32 : dout = 32'h4ab5557f; + 7'd 33 : dout = 32'ha555ff55; + 7'd 34 : dout = 32'hffd57ff0; + 7'd 35 : dout = 32'h282aafaf; + 7'd 36 : dout = 32'haaa88200; + 7'd 37 : dout = 32'h54e1aaaa; + 7'd 38 : dout = 32'hda7ff75d; + 7'd 39 : dout = 32'h4aa82800; + 7'd 40 : dout = 32'h577dffb0; + 7'd 41 : dout = 32'h7fd57885; + 7'd 42 : dout = 32'hffe1b555; + 7'd 43 : dout = 32'ha525ff5d; + 7'd 44 : dout = 32'he500282a; + 7'd 45 : dout = 32'h2a8082af; + 7'd 46 : dout = 32'hffd55752; + 7'd 47 : dout = 32'ha201ab1f; + 7'd 48 : dout = 32'h7f2adaa2; + 7'd 49 : dout = 32'h1fe557fd; + 7'd 50 : dout = 32'h075755d5; + 7'd 51 : dout = 32'hffd57fd0; + 7'd 52 : dout = 32'haaa2b554; + 7'd 53 : dout = 32'h02a5ff80; + 7'd 54 : dout = 32'h50554a80; + 7'd 55 : dout = 32'h2aafd7ff; + 7'd 56 : dout = 32'haaaaaa82; + 7'd 57 : dout = 32'h5dfffe4a; + 7'd 58 : dout = 32'hd5558fff; + 7'd 59 : dout = 32'h57b00057; + 7'd 60 : dout = 32'h87557dd5; + 7'd 61 : dout = 32'hffe02aaa; + 7'd 62 : dout = 32'h5a0800b4; + 7'd 63 : dout = 32'hd7ffdad5; + 7'd 64 : dout = 32'haa854aaf; + 7'd 65 : dout = 32'hfdfaa880; + default : dout = 32'h0; + endcase +end + +endmodule diff --git a/Advanced Synthesis Cookbook/ethernet_fec/pn2112_tb.sv b/Advanced Synthesis Cookbook/ethernet_fec/pn2112_tb.sv new file mode 100644 index 0000000..a23f5e9 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/pn2112_tb.sv @@ -0,0 +1,57 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-15-2008 +// Simultate the pn2112 sequence to build a little table + +module pn2112_tb (); + +`include "reverse_32.inc" + +reg [0:2111] seq; +reg clk = 0; + +reg [57:0] lfreg = 58'h2aaaaaaaaaaaaaa; +wire out; + +assign out = (lfreg[57] ^ lfreg[38]); +always @(posedge clk) begin + lfreg <= {lfreg[56:0],out}; + seq <= (seq << 1) | out; +end + +always begin + #5 clk = ~clk; +end + +integer n = 0; +initial begin + #21120 + for (n=0; n<66; n=n+1) + begin + $display ("7'd%d : seq = 32'h%x;",n[6:0],reverse_32(seq[32*n+:32])); + end + #5 $stop(); +end + +endmodule + \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/ethernet_fec/reverse_32.inc b/Advanced Synthesis Cookbook/ethernet_fec/reverse_32.inc new file mode 100644 index 0000000..ec9d453 --- /dev/null +++ b/Advanced Synthesis Cookbook/ethernet_fec/reverse_32.inc @@ -0,0 +1,29 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +function [31:0] reverse_32; + input [31:0] din; + integer n; + for (n=0; n<32; n=n+1) begin : foo + reverse_32[n] = din[31-n]; + end +endfunction diff --git a/Advanced Synthesis Cookbook/float/approx_fp_div.v b/Advanced Synthesis Cookbook/float/approx_fp_div.v new file mode 100644 index 0000000..12456ed --- /dev/null +++ b/Advanced Synthesis Cookbook/float/approx_fp_div.v @@ -0,0 +1,109 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// liu - 07-16-2007 + +module approx_fp_div (a, b, q, clk); + + input [31:0] a, b; + input clk; + output [31:0] q; + + reg a_sign, b_sign, q_sign; + reg [7:0] a_exp, b_exp, q_exp; + reg [22:0] a_frac, q_frac; + reg [5:0] b_frac /*synthesis keep*/; + + //input, output interface + always @ (posedge clk) + begin + a_sign <= a[31]; + a_exp <= a[30:23]; + a_frac <= a[22:0]; + + b_sign <= b[31]; + b_exp <= b[30:23]; + b_frac <= b[22:17]; + end + + //stage 1 + + //sign bit and exp + reg q_sign_reg1; + reg [7:0] q_exp_reg1; + always @ (posedge clk) + begin + q_sign_reg1 <= a_sign ^ b_sign; + q_exp_reg1 <= {1'b0, a_exp} + 9'h7e - {1'b0, b_exp}; + end + + //frac bits + wire [23:0] a0_tmp; + assign a0_tmp = {1'b1, a_frac}; + + //Get estimation e0 + reg [6:0] e0_reg1; + wire [6:0] e0 /*synthesis keep*/; + reg [23:0] a0_reg1; + always @ (posedge clk) + begin + e0_reg1 <= e0; + a0_reg1 <= a0_tmp; + end + approx_fp_div_lut tbl1(.in(b_frac), .out(e0)); + + //Stage 2 + //Product + wire [31:0] product; + reg q_sign_reg2, q_sign_reg3, q_sign_reg4; + reg [7:0] q_exp_reg2, q_exp_reg3, q_exp_reg4, q_exp_plus; + always @ (posedge clk) + begin + q_sign_reg2 <= q_sign_reg1; + q_sign_reg3 <= q_sign_reg2; + q_sign_reg4 <= q_sign_reg3; + q_exp_reg2 <= q_exp_reg1; + q_exp_reg3 <= q_exp_reg2; + q_exp_reg4 <= q_exp_reg3; + q_exp_plus <= q_exp_reg3 + 1'b1; + end + + mult_3tick mult1( + .clk(clk), + .a_in({12'b0,a0_reg1}), + .b_in({29'b1,e0_reg1}), + .o(product)); + + //Stage3 + wire [22:0] q_frac_tmp; + assign q_frac_tmp = (product[31])? product[30:8] : product[29:7]; + + always @(posedge clk) + begin + q_sign <= q_sign_reg4; + q_exp <= (product[31])? q_exp_plus : q_exp_reg4; + q_frac <= q_frac_tmp; + end + assign q = {q_sign, q_exp, q_frac}; + +endmodule + diff --git a/Advanced Synthesis Cookbook/float/approx_fp_div_lut.v b/Advanced Synthesis Cookbook/float/approx_fp_div_lut.v new file mode 100644 index 0000000..9032a84 --- /dev/null +++ b/Advanced Synthesis Cookbook/float/approx_fp_div_lut.v @@ -0,0 +1,99 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// Look up table for approximate floating point +// division - total area is seven 6-LUTs. + +module approx_fp_div_lut (in, out); +input [5:0] in; +output [6:0] out; +reg [6:0] out; + +always @(in) begin + case (in) + 6'h0 : out <= 7'h7c; + 6'h1 : out <= 7'h78; + 6'h2 : out <= 7'h74; + 6'h3 : out <= 7'h70; + 6'h4 : out <= 7'h6d; + 6'h5 : out <= 7'h6a; + 6'h6 : out <= 7'h66; + 6'h7 : out <= 7'h63; + 6'h8 : out <= 7'h60; + 6'h9 : out <= 7'h5d; + 6'ha : out <= 7'h5a; + 6'hb : out <= 7'h57; + 6'hc : out <= 7'h54; + 6'hd : out <= 7'h52; + 6'he : out <= 7'h4f; + 6'hf : out <= 7'h4c; + 6'h10 : out <= 7'h4a; + 6'h11 : out <= 7'h47; + 6'h12 : out <= 7'h45; + 6'h13 : out <= 7'h43; + 6'h14 : out <= 7'h40; + 6'h15 : out <= 7'h3e; + 6'h16 : out <= 7'h3c; + 6'h17 : out <= 7'h3a; + 6'h18 : out <= 7'h38; + 6'h19 : out <= 7'h36; + 6'h1a : out <= 7'h34; + 6'h1b : out <= 7'h32; + 6'h1c : out <= 7'h30; + 6'h1d : out <= 7'h2e; + 6'h1e : out <= 7'h2c; + 6'h1f : out <= 7'h2a; + 6'h20 : out <= 7'h28; + 6'h21 : out <= 7'h27; + 6'h22 : out <= 7'h25; + 6'h23 : out <= 7'h23; + 6'h24 : out <= 7'h22; + 6'h25 : out <= 7'h20; + 6'h26 : out <= 7'h1f; + 6'h27 : out <= 7'h1d; + 6'h28 : out <= 7'h1c; + 6'h29 : out <= 7'h1a; + 6'h2a : out <= 7'h19; + 6'h2b : out <= 7'h17; + 6'h2c : out <= 7'h16; + 6'h2d : out <= 7'h14; + 6'h2e : out <= 7'h13; + 6'h2f : out <= 7'h12; + 6'h30 : out <= 7'h10; + 6'h31 : out <= 7'hf; + 6'h32 : out <= 7'he; + 6'h33 : out <= 7'hd; + 6'h34 : out <= 7'hc; + 6'h35 : out <= 7'ha; + 6'h36 : out <= 7'h9; + 6'h37 : out <= 7'h8; + 6'h38 : out <= 7'h7; + 6'h39 : out <= 7'h6; + 6'h3a : out <= 7'h5; + 6'h3b : out <= 7'h4; + 6'h3c : out <= 7'h3; + 6'h3d : out <= 7'h2; + 6'h3e : out <= 7'h1; + 6'h3f : out <= 7'h0; + endcase +end +endmodule diff --git a/Advanced Synthesis Cookbook/float/approx_fp_div_tb.v b/Advanced Synthesis Cookbook/float/approx_fp_div_tb.v new file mode 100644 index 0000000..3485693 --- /dev/null +++ b/Advanced Synthesis Cookbook/float/approx_fp_div_tb.v @@ -0,0 +1,102 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 06-18-2007 +// +// simulate with "vsim -pli float_vpi.dll" +// +// To build the float_vpi Modelsim plug in see +// Coconut utility directory - file build_float_vpi.sh +// + +module approx_fp_div_tb (); + +//////////////////////// +// define the latency here +//////////////////////// +parameter LATENCY = 5; + +wire [31:0] q; +reg clk = 0; +reg [31:0] nf,df; +reg [31:0] qf[LATENCY:0]; + +//////////////////////// +// test unit +//////////////////////// + +approx_fp_div dut (.a(nf), .b(df), .q(q), .clk(clk)); + +//////////////////////// +// C based model +//////////////////////// +always @(posedge clk) begin + qf[0] <= $float_div (nf,df); +end + +genvar i; +generate +for (i=1; i<=LATENCY; i=i+1) +begin: output_latency + always @(posedge clk) begin + qf[i] <= qf[i-1]; + end +end +endgenerate + +//////////////////////// +// stimulus +//////////////////////// +initial begin + nf = $rand_float; + df = $rand_float; +end + +always @(negedge clk) begin + nf = $rand_float; + df = $rand_float; +end + +always begin + #10 clk = ~clk; +end + +//////////////////////// +// check +//////////////////////// +integer err_bar; +reg fail = 0; + +always @(posedge clk) begin + #5 err_bar = $float_err_bar (q,qf[LATENCY]); + if (err_bar > 200) begin + $display ("Mismatch - Error greater than 2.00 pct at time %d",$time); + fail = 1'b1; + end +end + +initial begin + #2000000 if (!fail) $display ("PASS"); + $stop(); +end + +endmodule diff --git a/Advanced Synthesis Cookbook/float/approx_fp_invsqrt.v b/Advanced Synthesis Cookbook/float/approx_fp_invsqrt.v new file mode 100644 index 0000000..72053ce --- /dev/null +++ b/Advanced Synthesis Cookbook/float/approx_fp_invsqrt.v @@ -0,0 +1,155 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 05-01-2007 + +module approx_fp_invsqrt ( + clk, + in, + out +); + +parameter CORRECTION_ROUND = 1'b1; + +input clk; +input [31:0] in; +output [31:0] out; +wire [31:0] out; + +// Magic courtesy of Quake 3 / Well known Internet trick +// first order approximation of 1 / sqrt(in) +// +reg [31:0] app; +always @(posedge clk) begin + app <= 32'h5F3759DF - {1'b0, in[31:1]}; +end + +generate + +if (!CORRECTION_ROUND) begin + // output the approx directly + assign out = app; +end + +else begin + // add a Newton improvement round + reg [31:0] in_r; + + always @(posedge clk) begin + in_r <= in; + end + + wire [22:0] in_mant = in_r [22:0]; + wire [7:0] in_exp = in_r [30:23]; + wire [22:0] app_mant = app [22:0]; + wire [7:0] app_exp = app [30:23]; + + reg [35:0] app_sqr_m, app_hlf_m; + reg [8:0] app_sqr_e, app_hlf_e; + reg [24:0] op5_m; + reg [8:0] op5_e; + + // pipe layer 1 + always @(posedge clk) begin + + // app * app + app_sqr_m <= {1'b1,app_mant[22:6]} * {1'b1,app_mant[22:6]}; + app_sqr_e <= {app_exp,1'b0} - 8'h7f; + + // app * in/2 + app_hlf_m <= {1'b1,app_mant[22:6]} * {1'b1,in_mant[22:6]}; + app_hlf_e <= in_exp + app_exp - 8'h7f - 8'h1; + + // 1.5 * app + op5_m <= {1'b1,app_mant} + {1'b0,1'b1,app_mant[22:1]}; + op5_e <= app_exp; + end + + + reg [35:0] chunk_m; + reg [8:0] chunk_e; + reg [24:0] op5_m_r; + reg [8:0] op5_e_r; + + // pipe layer 2 + always @(posedge clk) begin + + // app^3 * in/2 + chunk_m <= app_sqr_m[35:18] * app_hlf_m[35:18]; + chunk_e <= app_sqr_e[7:0] + app_hlf_e[7:0] - 8'h7f; + + op5_m_r <= op5_m; + op5_e_r <= op5_e; + end + + + // work on op5 - chunk + // ironically much harder to subtract than multiply FP's + // + + wire [3:0] exp_delta = op5_e_r[7:0] - chunk_e[7:0]; + wire [24:0] scaled_chunk = (chunk_m[35:13] >> exp_delta) << 4; + reg [24:0] rough_m; + reg [7:0] rough_e; + + // pipe layer 3 + always @(posedge clk) begin + rough_m <= op5_m_r - scaled_chunk; + rough_e <= op5_e_r; + end + + wire [31:0] scaled_m; + wire [4:0] distance; + + scale_up sc (.in({rough_m[24:0],7'b0}),.out(scaled_m),.distance(distance)); + defparam sc .WIDTH = 32; + defparam sc .WIDTH_DIST = 5; + + reg [22:0] scaled_m_r; + reg [7:0] distance_r; + reg [7:0] rough_e_r; + + // pipe_layer 4 + always @(posedge clk) begin + scaled_m_r <= scaled_m[30:8]; + distance_r <= distance; + rough_e_r <= rough_e; + end + + reg [22:0] out_m; + reg [7:0] out_e; + + // pipe layer 5 + always @(posedge clk) begin + out_m <= scaled_m_r; + out_e <= rough_e_r - distance_r + 1; + end + + assign out = {1'b0,out_e,out_m}; +end + +endgenerate + +endmodule + + + diff --git a/Advanced Synthesis Cookbook/float/approx_fp_invsqrt_tb.v b/Advanced Synthesis Cookbook/float/approx_fp_invsqrt_tb.v new file mode 100644 index 0000000..a8ecd81 --- /dev/null +++ b/Advanced Synthesis Cookbook/float/approx_fp_invsqrt_tb.v @@ -0,0 +1,120 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 05-02-2007 + +module approx_fp_invsqrt_tb (); + +// number of stimuli in table +parameter NUM_STIM = 50000; + +// 1st order approximation only v.s. additional Newton refinement round +parameter CORRECTION_ROUND = 1'b1; + +// the correction round increases the pipeline latency +parameter LAG = CORRECTION_ROUND ? 6 : 1; + +reg [32*NUM_STIM*7-1:0] test_stim = +{ + +`include "inv_sqrt.tbl" + +}; + +reg clk = 0; + +////////////////////////// +// handle the stimulus and +// expected result latency +////////////////////////// + +wire [31:0] in, invsqrt_in, min_err2, max_err2, + min_err5, max_err5,min_err10, max_err10; +wire [6*32-1:0] err_bars; + +assign {in,err_bars} = test_stim [7*32-1:0]; + +reg [32*6*LAG-1:0] history; + +always @(posedge clk) begin + history <= (history << 6*32) | err_bars; +end + +assign {min_err2,max_err2,min_err5,max_err5,min_err10,max_err10} = + history [LAG*6*32-1:(LAG-1)*6*32]; + +////////////////////////// +// DUT +////////////////////////// +approx_fp_invsqrt ais (.clk(clk),.in(in),.out(invsqrt_in)); + defparam ais .CORRECTION_ROUND = CORRECTION_ROUND; + +integer n; +integer fail10 = 0, fail5 = 0, fail2 = 0; + +initial begin + for (n=0; n max_err10) + begin + $display ("10pct error bar failed"); + fail10 = fail10 + 1'b1; + end + else if (invsqrt_in < min_err5 || + invsqrt_in > max_err5) + begin + $display ("5pct error bar failed"); + fail5 = fail5 + 1'b1; + end + else if (invsqrt_in < min_err2 || + invsqrt_in > max_err2) + begin + $display ("2pct error bar failed"); + fail2 = fail2 + 1'b1; + end + end + + $display ("Total trials %d",NUM_STIM); + $display (" 2 to 5 pct err %d",fail2); + $display (" 5 to 10 pct err %d",fail5); + $display (" over 10 pct err %d",fail10); + + if (fail10 == 0) $display ("PASS"); + $stop(); +end + +always @(posedge clk) begin + test_stim <= test_stim >> (7*32); +end + +always begin + #100 clk = ~clk; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/float/approx_fp_sqrt.v b/Advanced Synthesis Cookbook/float/approx_fp_sqrt.v new file mode 100644 index 0000000..7f34bf8 --- /dev/null +++ b/Advanced Synthesis Cookbook/float/approx_fp_sqrt.v @@ -0,0 +1,31 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module approx_fp_sqrt (in,out); + +input [31:0] in; +output [31:0] out; + +wire [31:0] tmp = in - 32'h3f800000; +assign out = {tmp[31],tmp[31:1]} + 32'h3f800000; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/float/approx_fp_sqrt_tb.v b/Advanced Synthesis Cookbook/float/approx_fp_sqrt_tb.v new file mode 100644 index 0000000..1e88e07 --- /dev/null +++ b/Advanced Synthesis Cookbook/float/approx_fp_sqrt_tb.v @@ -0,0 +1,158 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module approx_fp_sqrt_tb(); + +reg [32*100*3-1:0] test_stim = +{ + 32'h3cbd2e88,32'h3e10b83c,32'h3e268164, // sqrt(0.0231) = 0.1520 + 32'h3fe16596,32'h3f9df721,32'h3fb5bebd, // sqrt(1.7609) = 1.3270 + 32'h411e97f4,32'h403b63c3,32'h40579951, // sqrt(9.9121) = 3.1483 + 32'h3f70e360,32'h3f66f235,32'h3f84db2a, // sqrt(0.9410) = 0.9700 + 32'h3eb20fc3,32'h3f0c66e4,32'h3f2189a6, // sqrt(0.3478) = 0.5897 + 32'h3f9471b8,32'h3f8031ce,32'h3f937e1e, // sqrt(1.1597) = 1.0769 + 32'h3fd18fc5,32'h3f9850bd,32'h3faf3e9d, // sqrt(1.6372) = 1.2795 + 32'h3f7937da,32'h3f6ae7c1,32'h3f872239, // sqrt(0.9735) = 0.9867 + 32'h3fa40d0e,32'h3f86c3dc,32'h3f9b0d64, // sqrt(1.2816) = 1.1321 + 32'h40bbf643,32'h4010409a,32'h4025f7c0, // sqrt(5.8738) = 2.4236 + 32'h3e3582c7,32'h3ec878e4,32'h3ee6a69e, // sqrt(0.1773) = 0.4210 + 32'h3f2f7ae8,32'h3f451d1d,32'h3f62c969, // sqrt(0.6855) = 0.8279 + 32'h3f73128b,32'h3f67fda6,32'h3f857503, // sqrt(0.9495) = 0.9744 + 32'h3fcd1249,32'h3f96acbd,32'h3fad5b63, // sqrt(1.6021) = 1.2657 + 32'h3f726959,32'h3f67acda,32'h3f854689, // sqrt(0.9469) = 0.9731 + 32'h403c595c,32'h3fcc36ae,32'h3feaf497, // sqrt(2.9430) = 1.7155 + 32'h3dcfe73f,32'h3e97b628,32'h3eae8cc2, // sqrt(0.1015) = 0.3186 + 32'h3f1749ff,32'h3f3705ff,32'h3f529349, // sqrt(0.5910) = 0.7687 + 32'h3f1fb0f5,32'h3f3c097d,32'h3f5857fe, // sqrt(0.6238) = 0.7898 + 32'h3f831dec,32'h3f70f616,32'h3f8a9e15, // sqrt(1.0244) = 1.0121 + 32'h3fee0570,32'h3fa25431,32'h3fbac3f7, // sqrt(1.8595) = 1.3636 + 32'h3f4e0272,32'h3f5592b9,32'h3f75b953, // sqrt(0.8047) = 0.8971 + 32'h40500233,32'h3fd69b5a,32'h3ff6e9ca, // sqrt(3.2501) = 1.8028 + 32'h405dda8d,32'h3fdda24a,32'h3ffeff8a, // sqrt(3.4665) = 1.8618 + 32'h3fd83c51,32'h3f9ab8c9,32'h3fb20365, // sqrt(1.6893) = 1.2997 + 32'h3fa7ebef,32'h3f88587b,32'h3f9cdeec, // sqrt(1.3119) = 1.1454 + 32'h3eeb19e5,32'h3f21547f,32'h3f399dc7, // sqrt(0.4592) = 0.6776 + 32'h3f7e083d,32'h3f6d29c7,32'h3f886ebe, // sqrt(0.9923) = 0.9961 + 32'h4055a1b7,32'h3fd97cfd,32'h3ffa3a79, // sqrt(3.3380) = 1.8270 + 32'h3f96360b,32'h3f80f48a,32'h3f945e2b, // sqrt(1.1735) = 1.0833 + 32'h3d399097,32'h3e4ab2d7,32'h3e69365d, // sqrt(0.0453) = 0.2128 + 32'h3f269d81,32'h3f401202,32'h3f5cfbf2, // sqrt(0.6508) = 0.8067 + 32'h3ec23238,32'h3f12a005,32'h3f28b29b, // sqrt(0.3793) = 0.6159 + 32'h3f195ac3,32'h3f3844c0,32'h3f540207, // sqrt(0.5990) = 0.7740 + 32'h405638c2,32'h3fd9c9d2,32'h3ffa92de, // sqrt(3.3472) = 1.8295 + 32'h4041433a,32'h3fcedc33,32'h3fee0019, // sqrt(3.0197) = 1.7377 + 32'h4020f41d,32'h3fbcc760,32'h3fd93276, // sqrt(2.5149) = 1.5858 + 32'h3dfa860b,32'h3ea6899f,32'h3ebf9b96, // sqrt(0.1223) = 0.3498 + 32'h3e820099,32'h3eefef59,32'h3f0a06f0, // sqrt(0.2539) = 0.5039 + 32'h3f6f12f7,32'h3f66132a,32'h3f845adb, // sqrt(0.9339) = 0.9664 + 32'h3f82de7e,32'h3f70bbc6,32'h3f8a7c89, // sqrt(1.0224) = 1.0111 + 32'h3f184a26,32'h3f37a0af,32'h3f534542, // sqrt(0.5949) = 0.7713 + 32'h3e59ca9a,32'h3edb986e,32'h3efca71f, // sqrt(0.2127) = 0.4612 + 32'h3e06eca3,32'h3eacd76f,32'h3ec6dc57, // sqrt(0.1318) = 0.3630 + 32'h3fa9a393,32'h3f890a83,32'h3f9dabc1, // sqrt(1.3253) = 1.1512 + 32'h3ff83871,32'h3fa5c533,32'h3fbeb998, // sqrt(1.9392) = 1.3926 + 32'h3d5a96f9,32'h3e5bff5e,32'h3e7d1d8e, // sqrt(0.0534) = 0.2310 + 32'h3eb3746d,32'h3f0cf33b,32'h3f222b1d, // sqrt(0.3505) = 0.5920 + 32'h3fa8b1f8,32'h3f88a8ca,32'h3f9d3b50, // sqrt(1.3179) = 1.1480 + 32'h3f2fa554,32'h3f4534ef,32'h3f62e4d1, // sqrt(0.6861) = 0.8283 + 32'h3e55a3bd,32'h3ed97e05,32'h3efa3ba9, // sqrt(0.2086) = 0.4568 + 32'h3e1254d8,32'h3eb3ffeb,32'h3ecf18ad, // sqrt(0.1429) = 0.3780 + 32'h3fe9eadd,32'h3fa0ec65,32'h3fb92600, // sqrt(1.8275) = 1.3518 + 32'h3fb3a76e,32'h3f8d0742,32'h3fa24228, // sqrt(1.4035) = 1.1847 + 32'h3efd47ee,32'h3f27739a,32'h3f40a8ca, // sqrt(0.4947) = 0.7033 + 32'h3f7d85eb,32'h3f6cecea,32'h3f884bbb, // sqrt(0.9903) = 0.9952 + 32'h3f92a333,32'h3f7ed2f6,32'h3f9297a3, // sqrt(1.1456) = 1.0703 + 32'h3fa3542a,32'h3f8677d6,32'h3f9ab5eb, // sqrt(1.2760) = 1.1296 + 32'h3ed7285e,32'h3f1a55f0,32'h3f3191ab, // sqrt(0.4202) = 0.6483 + 32'h3fb2ec1b,32'h3f8cbda8,32'h3fa1ed7a, // sqrt(1.3978) = 1.1823 + 32'h3ed19371,32'h3f185213,32'h3f2f4027, // sqrt(0.4093) = 0.6398 + 32'h3daf3735,32'h3e8b4680,32'h3ea03dd8, // sqrt(0.0856) = 0.2925 + 32'h3ccc2177,32'h3e16542b,32'h3e2cf57b, // sqrt(0.0249) = 0.1579 + 32'h3fab1701,32'h3f89a039,32'h3f9e57ff, // sqrt(1.3366) = 1.1561 + 32'h3e9a49b7,32'h3f02b188,32'h3f165e26, // sqrt(0.3013) = 0.5489 + 32'h3f95f7bc,32'h3f80d9c8,32'h3f943f62, // sqrt(1.1716) = 1.0824 + 32'h3f82c01e,32'h3f709fd4,32'h3f8a6c76, // sqrt(1.0215) = 1.0107 + 32'h3f2bce3e,32'h3f430a01,32'h3f606659, // sqrt(0.6711) = 0.8192 + 32'h3d64e9aa,32'h3e6121e2,32'h3e8182fa, // sqrt(0.0559) = 0.2364 + 32'h3f18f355,32'h3f380692,32'h3f53ba7c, // sqrt(0.5975) = 0.7730 + 32'h3f06741a,32'h3f2c8a29,32'h3f46836f, // sqrt(0.5252) = 0.7247 + 32'h3fe0c84f,32'h3f9dbffb,32'h3fb57f4a, // sqrt(1.7561) = 1.3252 + 32'h3fa80f68,32'h3f8866e1,32'h3f9cef7d, // sqrt(1.3130) = 1.1458 + 32'h3ebe8d4b,32'h3f113e28,32'h3f271b78, // sqrt(0.3722) = 0.6101 + 32'h3e2868be,32'h3ec11a00,32'h3ede2bad, // sqrt(0.1645) = 0.4055 + 32'h3f9639a4,32'h3f80f615,32'h3f945ff1, // sqrt(1.1736) = 1.0833 + 32'h409d04bc,32'h4003d84b,32'h4017b149, // sqrt(4.9068) = 2.2151 + 32'h3f6752a8,32'h3f62507e,32'h3f82310e, // sqrt(0.9036) = 0.9506 + 32'h3cc685de,32'h3e143fe0,32'h3e2a910f, // sqrt(0.0242) = 0.1557 + 32'h400cf678,32'h3fb0aab9,32'h3fcb430b, // sqrt(2.2025) = 1.4841 + 32'h40adcbe1,32'h400ab5ce,32'h401f975e, // sqrt(5.4311) = 2.3305 + 32'h4102eca1,32'h402a429c,32'h4043e408, // sqrt(8.1828) = 2.8606 + 32'h3f92ed70,32'h3f7f136f,32'h3f92bcba, // sqrt(1.1479) = 1.0714 + 32'h3f086231,32'h3f2dc60e,32'h3f47eee2, // sqrt(0.5327) = 0.7299 + 32'h3ed2099f,32'h3f187cff,32'h3f2f7189, // sqrt(0.4102) = 0.6405 + 32'h3e8fd399,32'h3efc5eae,32'h3f112e35, // sqrt(0.2809) = 0.5300 + 32'h3f169b28,32'h3f369c1f,32'h3f521979, // sqrt(0.5883) = 0.7670 + 32'h3f6c912e,32'h3f64dd8a,32'h3f83a8bc, // sqrt(0.9241) = 0.9613 + 32'h3fa6f39d,32'h3f87f386,32'h3f9c6ac4, // sqrt(1.3043) = 1.1421 + 32'h3ea8d32c,32'h3f08b63c,32'h3f1d4aca, // sqrt(0.3297) = 0.5742 + 32'h3f21f90f,32'h3f3d6029,32'h3f59e240, // sqrt(0.6327) = 0.7954 + 32'h3f294a40,32'h3f419b1e,32'h3f5ec03b, // sqrt(0.6613) = 0.8132 + 32'h3fba9322,32'h3f8fb814,32'h3fa55aac, // sqrt(1.4576) = 1.2073 + 32'h3fa7bf44,32'h3f884658,32'h3f9cca0d, // sqrt(1.3105) = 1.1448 + 32'h3f81d4a9,32'h3f6fc6c9,32'h3f89ef9b, // sqrt(1.0143) = 1.0071 + 32'h3fc8eede,32'h3f95258e,32'h3fab9951, // sqrt(1.5698) = 1.2529 + 32'h3fc8dec7,32'h3f951f95,32'h3fab9272, // sqrt(1.5693) = 1.2527 + 32'h402c699a,32'h3fc3621c,32'h3fe0cbb8, // sqrt(2.6939) = 1.6413 + 32'h41d9e8ca,32'h409b51c8,32'h40b2b36c, // sqrt(27.2387) = 5.2191 + 32'h3ed3a355,32'h3f191171,32'h3f301c53 // sqrt(0.4134) = 0.6429 + +}; + +wire [31:0] in, sqrt_in, min_err, max_err; +assign {in,min_err,max_err} = test_stim [3*32-1:0]; + +// DUT +approx_fp_sqrt asq (.in(in),.out(sqrt_in)); + +integer n; +reg fail; + +initial begin + fail = 0; + for (n=0; n<100; n=n+1) + begin : tst + #5 if (sqrt_in < min_err) begin + $display ("Mismatch - less than lowest acceptable value"); + fail = 1'b1; + end + if (sqrt_in > max_err) begin + $display ("Mismatch - more than highest acceptable value"); + fail = 1'b1; + end + #100 + test_stim = test_stim >> (3*32); + end + if (!fail) $display ("PASS"); + $stop(); +end + +endmodule diff --git a/Advanced Synthesis Cookbook/float/div_tbl_gen.cpp b/Advanced Synthesis Cookbook/float/div_tbl_gen.cpp new file mode 100644 index 0000000..00aa89d --- /dev/null +++ b/Advanced Synthesis Cookbook/float/div_tbl_gen.cpp @@ -0,0 +1,88 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +/* +LIU - 07-16-2007 +tbl_gen is used to generate a table for 1/x estimation. + -The inputs are address bitwidth and data bitwidth. + -Note that both address-in and data-out have a hidden leading 1 + -The estimation error is always positive (under-estimated) + -The table may be automatically mapped to RAM. To force luts, add "synthesis keep" +*/ + +/////////////////////////////////// +#include +#include + + +void gen_tbl (int addr_width, int data_width) +/* addr input has one hidden leading 1 + * data output has one hidden leading 1 + */ +{ + int i; + int e0; + + fprintf(stdout,"module div_tbl(clk, in, out);\n"); + fprintf(stdout,"input clk;\n", (addr_width-1)); + fprintf(stdout,"input [%d:0] in;\n", (addr_width-1)); + fprintf(stdout,"output [%d:0] out;\n", (data_width-1)); + fprintf(stdout,"reg [%d:0] out;\n\n", (data_width-1)); + + fprintf(stdout,"always @(posedge clk) begin\n"); + fprintf(stdout," case (in)\n"); + + for (i = 0; i < (1<> (data_width+1)) != 0 ) + { + return; + } + + fprintf(stdout," %d'h%x : out <= %d'h%x;\n", + addr_width, i, data_width,e0 & ((1< 32 +parameter FIXED_FRACTIONAL = 4; + +input fixed_sign; +input [FIXED_WIDTH-1:0] fixed_mag; +output [31:0] float_out; + + wire [7:0] exponent; + wire [31:0] unscaled_mantissa = fixed_mag << (32-FIXED_WIDTH); + wire [31:0] scaled_mantissa; + wire [4:0] scale_distance; + + scale_up sc (.in(unscaled_mantissa), + .out(scaled_mantissa), + .distance(scale_distance)); + defparam sc .WIDTH = 32; + defparam sc .WIDTH_DIST = 5; + + assign exponent = 8'd127 + (FIXED_WIDTH-FIXED_FRACTIONAL) - 1 + - scale_distance; + + // Zero is special and gets an exponent of 0, not "something very small" + assign float_out = &scale_distance ? {fixed_sign,31'h0} : + {fixed_sign, exponent, scaled_mantissa[30:8]}; + +endmodule diff --git a/Advanced Synthesis Cookbook/float/fixed_to_float_tb.v b/Advanced Synthesis Cookbook/float/fixed_to_float_tb.v new file mode 100644 index 0000000..50c62a3 --- /dev/null +++ b/Advanced Synthesis Cookbook/float/fixed_to_float_tb.v @@ -0,0 +1,159 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module fixed_to_float_tb (); + +parameter FIXED_WIDTH = 12; // must not be > 32 +parameter FIXED_FRACTIONAL = 4; + +wire [31:0] float_out; +reg [FIXED_WIDTH-1:0] mag; +wire [FIXED_WIDTH-1:0] recovered_mag; +reg sign_in; +wire sign_out; + +////////////////////////////////////// +// test units - requested width +////////////////////////////////////// +fixed_to_float tof +( + .fixed_sign (sign_in), + .fixed_mag (mag), + .float_out (float_out) +); + +defparam tof .FIXED_WIDTH = FIXED_WIDTH; +defparam tof .FIXED_FRACTIONAL = FIXED_FRACTIONAL; + +float_to_fixed fromf +( + .float_in(float_out), + .fixed_mag(recovered_mag), + .fixed_sign(sign_out) +); + +defparam fromf .FIXED_WIDTH = FIXED_WIDTH; +defparam fromf .FIXED_FRACTIONAL = FIXED_FRACTIONAL; + +////////////////////////////////////// +// test units - +// additional unused fraction bits +////////////////////////////////////// +wire [31:0] float_out_b; +wire [FIXED_WIDTH+4-1:0] recovered_mag_b; + +fixed_to_float tof_b +( + .fixed_sign (sign_in), + .fixed_mag ({mag,4'h0}), + .float_out (float_out_b) +); + +defparam tof_b .FIXED_WIDTH = FIXED_WIDTH + 4; +defparam tof_b .FIXED_FRACTIONAL = FIXED_FRACTIONAL + 4; + +float_to_fixed fromf_b +( + .float_in(float_out_b), + .fixed_mag(recovered_mag_b), + .fixed_sign() +); + +defparam fromf_b .FIXED_WIDTH = FIXED_WIDTH + 4; +defparam fromf_b .FIXED_FRACTIONAL = FIXED_FRACTIONAL + 4; + +////////////////////////////////////// +// test units - +// additional unused ones bits +////////////////////////////////////// +wire [31:0] float_out_c; +wire [FIXED_WIDTH+4-1:0] recovered_mag_c; + +fixed_to_float tof_c +( + .fixed_sign (sign_in), + .fixed_mag ({4'h0,mag}), + .float_out (float_out_c) +); + +defparam tof_c .FIXED_WIDTH = FIXED_WIDTH + 4; +defparam tof_c .FIXED_FRACTIONAL = FIXED_FRACTIONAL; + +float_to_fixed fromf_c +( + .float_in(float_out_c), + .fixed_mag(recovered_mag_c), + .fixed_sign() +); + +defparam fromf_c .FIXED_WIDTH = FIXED_WIDTH + 4; +defparam fromf_c .FIXED_FRACTIONAL = FIXED_FRACTIONAL; + + +////////////////////////////////////// +// stim and check +////////////////////////////////////// +reg fail; +initial begin + fail = 1'b0; + mag = 0; + sign_in = 1'b0; + + #10000000 if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #5 + + // + // Verify to_fixed(to_float(x)) == x + // + if ((sign_out !== sign_in) || + (recovered_mag !== mag)) + begin + $display ("Mismatch at time %d - transitivity",$time); + fail = 1'b1; + #200 + $stop(); + end + + // + // Verify some different fixed pt versions + // of the same number have the same floating pt + // + if ((float_out !== float_out_b) || + (float_out !== float_out_c)) + begin + $display ("Mismatch at time %d - B and C comparison",$time); + fail = 1'b1; + #200 + $stop(); + end + + #100 + mag = $random; + sign_in = $random; + +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/float/float_to_fixed.v b/Advanced Synthesis Cookbook/float/float_to_fixed.v new file mode 100644 index 0000000..3b4f43c --- /dev/null +++ b/Advanced Synthesis Cookbook/float/float_to_fixed.v @@ -0,0 +1,50 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module float_to_fixed ( + float_in, + fixed_sign, + fixed_mag +); + +parameter FIXED_WIDTH = 8; // must not be > 32 +parameter FIXED_FRACTIONAL = 4; + +input [31:0] float_in; +output fixed_sign; +output [FIXED_WIDTH-1:0] fixed_mag; + + wire [7:0] float_exp; + wire [22:0] float_mantissa; + assign {fixed_sign, float_exp, float_mantissa} = float_in; + + wire [31:0] working_out = {1'b1,float_mantissa,8'h0}; + + wire [7:0] shift_dist = 8'd127 + (FIXED_WIDTH-FIXED_FRACTIONAL) - 1 + - float_exp; + wire [4:0] trunc_shift_dist = (|shift_dist[7:5]) ? 5'b11111 : shift_dist[4:0]; + + wire [31:0] shifted_out = working_out >> trunc_shift_dist; + + assign fixed_mag = shifted_out[31:32-FIXED_WIDTH]; + +endmodule diff --git a/Advanced Synthesis Cookbook/float/inv_sqrt.tbl b/Advanced Synthesis Cookbook/float/inv_sqrt.tbl new file mode 100644 index 0000000..f4f69be --- /dev/null +++ b/Advanced Synthesis Cookbook/float/inv_sqrt.tbl @@ -0,0 +1,50000 @@ +32'h3cbd2e88,32'h40ce5ce0,32'h40d6c928, 32'h40c80baa,32'h40dd1a5e, 32'h40bd8450,32'h40e7a1b8,// invsqrt(0.0231) = 6.5804 +32'h3fe16596,32'h3f3d0f14,32'h3f44c68e, 32'h3f37457a,32'h3f4a9028, 32'h3f2da022,32'h3f543580,// invsqrt(1.7609) = 0.7536 +32'h411e97f4,32'h3e9f5f59,32'h3ea5e0a1, 32'h3e9a7e64,32'h3eaac196, 32'h3e925cca,32'h3eb2e330,// invsqrt(9.9121) = 0.3176 +32'h3f70e360,32'h3f815098,32'h3f8697cd, 32'h3f7ab65f,32'h3f8a8d34, 32'h3f6d845a,32'h3f912637,// invsqrt(0.9410) = 1.0309 +32'h3eb20fc3,32'h3fd4b583,32'h3fdd641b, 32'h3fce3291,32'h3fe3e70d, 32'h3fc35854,32'h3feec14a,// invsqrt(0.3478) = 1.6957 +32'h41d9e8ca,32'h3e404791,32'h3e4820b1, 32'h3e3a64b9,32'h3e4e0389, 32'h3e309551,32'h3e57d2f1,// invsqrt(27.2387) = 0.1916 +32'h3f9471b8,32'h3f68f6dd,32'h3f72791b, 32'h3f61d52f,32'h3f799ac9, 32'h3f55f263,32'h3f82becb,// invsqrt(1.1597) = 0.9286 +32'h3fd18fc5,32'h3f441263,32'h3f4c1323, 32'h3f3e11d3,32'h3f5213b3, 32'h3f3410e3,32'h3f5c14a3,// invsqrt(1.6372) = 0.7815 +32'h3f7937da,32'h3f7e4547,32'h3f845313, 32'h3f767ca0,32'h3f883766, 32'h3f69838a,32'h3f8eb3f1,// invsqrt(0.9735) = 1.0135 +32'h3fa40d0e,32'h3f5d9b25,32'h3f66a6b4, 32'h3f56d27b,32'h3f6d6f5f, 32'h3f4b8408,32'h3f78bdd2,// invsqrt(1.2816) = 0.8833 +32'h40bbf643,32'h3ecf0804,32'h3ed77b48, 32'h3ec8b191,32'h3eddd1bb, 32'h3ebe217c,32'h3ee861d0,// invsqrt(5.8738) = 0.4126 +32'h3e3582c7,32'h4014f8d7,32'h401b0d72, 32'h40106962,32'h401f9ce6, 32'h4008cfa0,32'h402736a8,// invsqrt(0.1773) = 2.3752 +32'h3f2f7ae8,32'h3f9782a1,32'h3f9db1c2, 32'h3f92df49,32'h3fa2551b, 32'h3f8b2460,32'h3faa1004,// invsqrt(0.6855) = 1.2078 +32'h3f73128b,32'h3f80bb85,32'h3f85fca4, 32'h3f799559,32'h3f89ed7b, 32'h3f6c728a,32'h3f907ee3,// invsqrt(0.9495) = 1.0262 +32'h3fcd1249,32'h3f4634ee,32'h3f4e4bfc, 32'h3f4023a2,32'h3f545d48, 32'h3f3606d0,32'h3f5e7a1a,// invsqrt(1.6021) = 0.7900 +32'h3f726959,32'h3f80e86a,32'h3f862b5e, 32'h3f79ec64,32'h3f8a1d96, 32'h3f6cc501,32'h3f90b148,// invsqrt(0.9469) = 1.0276 +32'h403c595c,32'h3f123e24,32'h3f18363a, 32'h3f0dc413,32'h3f1cb04b, 32'h3f064df7,32'h3f242667,// invsqrt(2.9430) = 0.5829 +32'h3dcfe73f,32'h4044da2b,32'h404ce313, 32'h403ed37d,32'h4052e9c1, 32'h4034c85c,32'h405cf4e2,// invsqrt(0.1015) = 3.1386 +32'h3f1749ff,32'h3fa32cac,32'h3fa9d5ae, 32'h3f9e2deb,32'h3faed46f, 32'h3f95daa8,32'h3fb727b2,// invsqrt(0.5910) = 1.3008 +32'h3f1fb0f5,32'h3f9ed2e2,32'h3fa54e6e, 32'h3f99f639,32'h3faa2b17, 32'h3f91dbca,32'h3fb24586,// invsqrt(0.6238) = 1.2661 +32'h3f831dec,32'h3f77e150,32'h3f80ffb4, 32'h3f704abe,32'h3f84cafd, 32'h3f63a520,32'h3f8b1dcc,// invsqrt(1.0244) = 0.9880 +32'h3fee0570,32'h3f37fa13,32'h3f3f7c71, 32'h3f32584c,32'h3f451e38, 32'h3f28f555,32'h3f4e812f,// invsqrt(1.8595) = 0.7333 +32'h3f4e0272,32'h3f8bd578,32'h3f918a96, 32'h3f878da0,32'h3f95d26e, 32'h3f806b3a,32'h3f9cf4d4,// invsqrt(0.8047) = 1.1147 +32'h40500233,32'h3f0b290a,32'h3f10d720, 32'h3f06e67a,32'h3f1519b0, 32'h3eff99bf,32'h3f1c334a,// invsqrt(3.2501) = 0.5547 +32'h405dda8d,32'h3f06bf84,32'h3f0c3f7e, 32'h3f029f87,32'h3f105f7b, 32'h3ef77f1b,32'h3f173f74,// invsqrt(3.4665) = 0.5371 +32'h3fd83c51,32'h3f4105b3,32'h3f48e696, 32'h3f3b1d0a,32'h3f4ecf40, 32'h3f3143ee,32'h3f58a85c,// invsqrt(1.6893) = 0.7694 +32'h3fa7ebef,32'h3f5b0981,32'h3f63fa37, 32'h3f5454f7,32'h3f6aaec1, 32'h3f492813,32'h3f75dba5,// invsqrt(1.3119) = 0.8731 +32'h3eeb19e5,32'h3fb91daa,32'h3fc0abef, 32'h3fb372f5,32'h3fc656a3, 32'h3faa011e,32'h3fcfc87a,// invsqrt(0.4592) = 1.4757 +32'h3f7e083d,32'h3f7bd98e,32'h3f831091, 32'h3f7423e0,32'h3f86eb68, 32'h3f674a69,32'h3f8d5824,// invsqrt(0.9923) = 1.0039 +32'h4055a1b7,32'h3f095110,32'h3f0eebe1, 32'h3f051cf2,32'h3f131ffe, 32'h3efc36d8,32'h3f1a2184,// invsqrt(3.3380) = 0.5473 +32'h3f96360b,32'h3f679711,32'h3f710af3, 32'h3f608028,32'h3f7821dc, 32'h3f54af4f,32'h3f81f95b,// invsqrt(1.1735) = 0.9231 +32'h3d399097,32'h409355f6,32'h40995978, 32'h408ed354,32'h409ddc1a, 32'h40874ef2,32'h40a5607c,// invsqrt(0.0453) = 4.6982 +32'h3f269d81,32'h3f9b7d17,32'h3fa1d5ca, 32'h3f96ba92,32'h3fa69850, 32'h3f8ecbb2,32'h3fae8730,// invsqrt(0.6508) = 1.2395 +32'h3ec23238,32'h3fcbae5c,32'h3fd3fe9e, 32'h3fc5722a,32'h3fda3ad0, 32'h3fbb0dd7,32'h3fe49f23,// invsqrt(0.3793) = 1.6237 +32'h3f195ac3,32'h3fa21268,32'h3fa8afe4, 32'h3f9d1c4a,32'h3fada602, 32'h3f94d76f,32'h3fb5eadd,// invsqrt(0.5990) = 1.2920 +32'h405638c2,32'h3f09209e,32'h3f0eb976, 32'h3f04edfd,32'h3f12ec17, 32'h3efbdddf,32'h3f19eb25,// invsqrt(3.3472) = 0.5466 +32'h4041433a,32'h3f105f29,32'h3f1643b2, 32'h3f0bf3c1,32'h3f1aaf19, 32'h3f049615,32'h3f220cc5,// invsqrt(3.0197) = 0.5755 +32'h4020f41d,32'h3f1e3321,32'h3f24a827, 32'h3f195b5c,32'h3f297fec, 32'h3f114914,32'h3f319234,// invsqrt(2.5149) = 0.6306 +32'h3dfa860b,32'h403353d2,32'h403aa59c, 32'h402dd67b,32'h404022f3, 32'h4024b03e,32'h40494930,// invsqrt(0.1223) = 2.8592 +32'h3e820099,32'h3ff8f0c1,32'h40018cf7, 32'h3ff151df,32'h40055c67, 32'h3fe49e68,32'h400bb623,// invsqrt(0.2539) = 1.9845 +32'h3f6f12f7,32'h3f81cdf4,32'h3f871a48, 32'h3f7ba96c,32'h3f8b1386, 32'h3f6e6a9c,32'h3f91b2ee,// invsqrt(0.9339) = 1.0348 +32'h3f82de7e,32'h3f781d5b,32'h3f811ef3, 32'h3f7084f3,32'h3f84eb28, 32'h3f63dc44,32'h3f8b3f7f,// invsqrt(1.0224) = 0.9890 +32'h3f184a26,32'h3fa2a337,32'h3fa9469d, 32'h3f9da8ab,32'h3fae4129, 32'h3f955c6c,32'h3fb68d68,// invsqrt(0.5949) = 1.2965 +32'h3e59ca9a,32'h4007ffbd,32'h400d8cca, 32'h4003d5f4,32'h4011b694, 32'h3ff9cb47,32'h4018a6e4,// invsqrt(0.2127) = 2.1684 +32'h3e06eca3,32'h402cc973,32'h4033d6e5, 32'h40277f5d,32'h403920fb, 32'h401eae8e,32'h4041f1ca,// invsqrt(0.1318) = 2.7549 +32'h3fa9a393,32'h3f59ecf3,32'h3f62d20d, 32'h3f534120,32'h3f697de0, 32'h3f4822c0,32'h3f749c40,// invsqrt(1.3253) = 0.8686 +32'h3ff83871,32'h3f34284f,32'h3f3b82c5, 32'h3f2ea476,32'h3f41069e, 32'h3f257363,32'h3f4a37b1,// invsqrt(1.9392) = 0.7181 +32'h3d5a96f9,32'h4087c01b,32'h408d4a8f, 32'h40839844,32'h40917266, 32'h40795666,32'h40985f77,// invsqrt(0.0534) = 4.3288 +32'h3eb3746d,32'h3fd3e1b9,32'h3fdc87ab, 32'h3fcd6543,32'h3fe30421, 32'h3fc295d3,32'h3fedd391,// invsqrt(0.3505) = 1.6891 +32'h3fa8b1f8,32'h3f5a88ca,32'h3f637440, 32'h3f53d832,32'h3f6a24d8, 32'h3f48b1de,32'h3f754b2c,// invsqrt(1.3179) = 0.8711 +32'h3f2fa554,32'h3f977054,32'h3f9d9eb6, 32'h3f92cd8b,32'h3fa2417f, 32'h3f8b1391,32'h3fa9fb79,// invsqrt(0.6861) = 1.2073 +32'h3e55a3bd,32'h40095069,32'h400eeb34, 32'h40051c51,32'h40131f4d, 32'h3ffc35a8,32'h401a20ca,// invsqrt(0.2086) = 2.1893 +32'h3e1254d8,32'h4025ea65,32'h402cb00b, 32'h4020d628,32'h4031c448, 32'h40185f19,32'h403a3b57,// invsqrt(0.1429) = 2.6453 +32'h3fe9eadd,32'h3f39956b,32'h3f412893, 32'h3f33e70c,32'h3f46d6f2, 32'h3f2a6f19,32'h3f504ee5,// invsqrt(1.8275) = 0.7397 +32'h3fb3a76e,32'h3f53c3a3,32'h3f5c685b, 32'h3f4d4819,32'h3f62e3e5, 32'h3f427a32,32'h3f6db1cc,// invsqrt(1.4035) = 0.8441 +32'h3efd47ee,32'h3fb2593f,32'h3fb9a0cf, 32'h3face393,32'h3fbf167b, 32'h3fa3ca20,32'h3fc82fee,// invsqrt(0.4947) = 1.4218 +32'h3f7d85eb,32'h3f7c1a41,32'h3f83323c, 32'h3f746297,32'h3f870e10, 32'h3f6785d3,32'h3f8d7c73,// invsqrt(0.9903) = 1.0049 +32'h3f92a333,32'h3f6a6524,32'h3f73f655, 32'h3f633840,32'h3f7b233a, 32'h3f5742c4,32'h3f838c5b,// invsqrt(1.1456) = 0.9343 +32'h3fa3542a,32'h3f5e1870,32'h3f67291c, 32'h3f574bef,32'h3f6df59d, 32'h3f4bf719,32'h3f794a73,// invsqrt(1.2760) = 0.8853 +32'h3ed7285e,32'h3fc18153,32'h3fc96742, 32'h3fbb94e1,32'h3fcf53b5, 32'h3fb1b577,32'h3fd9331f,// invsqrt(0.4202) = 1.5426 +32'h3fb2ec1b,32'h3f543261,32'h3f5cdb9e, 32'h3f4db372,32'h3f635a8c, 32'h3f42dfe6,32'h3f6e2e19,// invsqrt(1.3978) = 0.8458 +32'h3ed19371,32'h3fc410ab,32'h3fcc1159, 32'h3fbe1028,32'h3fd211dc, 32'h3fb40f4f,32'h3fdc12b5,// invsqrt(0.4093) = 1.5630 +32'h3daf3735,32'h40566df5,32'h405f2e87, 32'h404fdd88,32'h4065bef4, 32'h4044ecd1,32'h4070afab,// invsqrt(0.0856) = 3.4188 +32'h3ccc2177,32'h40c6a9b6,32'h40cec589, 32'h40c094d7,32'h40d4da67, 32'h40b6720f,32'h40defd2f,// invsqrt(0.0249) = 6.3349 +32'h3fab1701,32'h3f58ffe4,32'h3f61db51, 32'h3f525b53,32'h3f687fe3, 32'h3f47490c,32'h3f73922b,// invsqrt(1.3366) = 0.8650 +32'h3e9a49b7,32'h3fe48289,32'h3fedd63b, 32'h3fdd83c4,32'h3ff4d500, 32'h3fd1db25,32'h40003ed0,// invsqrt(0.3013) = 1.8217 +32'h3f95f7bc,32'h3f67c729,32'h3f713d01, 32'h3f60aec7,32'h3f785563, 32'h3f54db79,32'h3f821458,// invsqrt(1.1716) = 0.9239 +32'h3f82c01e,32'h3f783a2c,32'h3f812df2, 32'h3f70a0e1,32'h3f84fa97, 32'h3f63f6ba,32'h3f8b4fab,// invsqrt(1.0215) = 0.9894 +32'h3f2bce3e,32'h3f991f35,32'h3f9f5f2d, 32'h3f946f3b,32'h3fa40f27, 32'h3f8c9f46,32'h3fabdf1c,// invsqrt(0.6711) = 1.2207 +32'h3d64e9aa,32'h4084a77a,32'h408a1194, 32'h408097e7,32'h408e2127, 32'h4073a68d,32'h4094e5c8,// invsqrt(0.0559) = 4.2300 +32'h3f18f355,32'h3fa2492b,32'h3fa8e8e3, 32'h3f9d5160,32'h3fade0ae, 32'h3f9509ba,32'h3fb62855,// invsqrt(0.5975) = 1.2937 +32'h3f06741a,32'h3fad16d5,32'h3fb4276f, 32'h3fa7ca60,32'h3fb973e4, 32'h3f9ef59f,32'h3fc248a5,// invsqrt(0.5252) = 1.3799 +32'h3fe0c84f,32'h3f3d512d,32'h3f450b59, 32'h3f37858c,32'h3f4ad6fa, 32'h3f2ddcd6,32'h3f547fb0,// invsqrt(1.7561) = 0.7546 +32'h3fa80f68,32'h3f5af262,32'h3f63e227, 32'h3f543e8d,32'h3f6a95fb, 32'h3f4912d7,32'h3f75c1b1,// invsqrt(1.3130) = 0.8727 +32'h3ebe8d4b,32'h3fcd9e99,32'h3fd6031d, 32'h3fc75336,32'h3fdc4e80, 32'h3fbcd592,32'h3fe6cc24,// invsqrt(0.3722) = 1.6392 +32'h3e2868be,32'h401aa885,32'h4020f88b, 32'h4015ec81,32'h4025b48f, 32'h400e087a,32'h402d9896,// invsqrt(0.1645) = 2.4659 +32'h3f9639a4,32'h3f67944b,32'h3f710810, 32'h3f607d78,32'h3f781ee4, 32'h3f54acc3,32'h3f81f7cc,// invsqrt(1.1736) = 0.9231 +32'h409d04bc,32'h3ee283a9,32'h3eebc281, 32'h3edb9487,32'h3ef2b1a3, 32'h3ed005f9,32'h3efe4031,// invsqrt(4.9068) = 0.4514 +32'h3f6752a8,32'h3f83f61b,32'h3f8958f7, 32'h3f7fd7eb,32'h3f8d631d, 32'h3f7260c3,32'h3f941eb0,// invsqrt(0.9036) = 1.0520 +32'h3cc685de,32'h40c97303,32'h40d1abf4, 32'h40c3484f,32'h40d7d6a9, 32'h40b90123,32'h40e21dd5,// invsqrt(0.0242) = 6.4238 +32'h400cf678,32'h3f290bab,32'h3f2ff205, 32'h3f23dee7,32'h3f351ec9, 32'h3f1b3ef6,32'h3f3dbeba,// invsqrt(2.2025) = 0.6738 +32'h40adcbe1,32'h3ed74da3,32'h3ee01757, 32'h3ed0b65d,32'h3ee6ae9d, 32'h3ec5ba3d,32'h3ef1aabd,// invsqrt(5.4311) = 0.4291 +32'h4102eca1,32'h3eaf6815,32'h3eb690e7, 32'h3eaa0978,32'h3ebbef84, 32'h3ea11671,32'h3ec4e28b,// invsqrt(8.1828) = 0.3496 +32'h3f92ed70,32'h3f6a29e6,32'h3f73b8ab, 32'h3f62fed1,32'h3f7ae3bf, 32'h3f570c5a,32'h3f836b1b,// invsqrt(1.1479) = 0.9334 +32'h3f086231,32'h3fabdc2e,32'h3fb2dff2, 32'h3fa6995c,32'h3fb822c4, 32'h3f9dd4a8,32'h3fc0e778,// invsqrt(0.5327) = 1.3701 +32'h3ed2099f,32'h3fc3d97a,32'h3fcbd7e8, 32'h3fbddaa8,32'h3fd1d6ba, 32'h3fb3dc9f,32'h3fdbd4c3,// invsqrt(0.4102) = 1.5613 +32'h3e8fd399,32'h3fecacad,32'h3ff655af, 32'h3fe56dec,32'h3ffd9470, 32'h3fd95aa9,32'h4004d3d9,// invsqrt(0.2809) = 1.8868 +32'h3f169b28,32'h3fa38b48,32'h3faa3826, 32'h3f9e89a1,32'h3faf39cd, 32'h3f96318b,32'h3fb791e3,// invsqrt(0.5883) = 1.3038 +32'h3f6c912e,32'h3f827d90,32'h3f87d10e, 32'h3f7cfde3,32'h3f8bcfad, 32'h3f6fad28,32'h3f92780a,// invsqrt(0.9241) = 1.0403 +32'h3fa6f39d,32'h3f5bac2a,32'h3f64a384, 32'h3f54f2a6,32'h3f6b5d08, 32'h3f49bd75,32'h3f769239,// invsqrt(1.3043) = 0.8756 +32'h3ea8d32c,32'h3fda734c,32'h3fe35de1, 32'h3fd3c35b,32'h3fea0dd1, 32'h3fc89e21,32'h3ff5330b,// invsqrt(0.3297) = 1.7415 +32'h3f21f90f,32'h3f9db37f,32'h3fa4234f, 32'h3f98dfa2,32'h3fa8f72c, 32'h3f90d3dd,32'h3fb102f1,// invsqrt(0.6327) = 1.2572 +32'h3f294a40,32'h3f9a4161,32'h3fa08d31, 32'h3f958885,32'h3fa5460d, 32'h3f8da9c2,32'h3fad24d0,// invsqrt(0.6613) = 1.2297 +32'h3fba9322,32'h3f4fccaf,32'h3f5847fb, 32'h3f497037,32'h3f5ea473, 32'h3f3ed619,32'h3f693e91,// invsqrt(1.4576) = 0.8283 +32'h3fa7bf44,32'h3f5b26a9,32'h3f641890, 32'h3f54713b,32'h3f6acdfd, 32'h3f4942d9,32'h3f75fc5f,// invsqrt(1.3105) = 0.8735 +32'h3f81d4a9,32'h3f791add,32'h3f81a2e1, 32'h3f717ab1,32'h3f8572f6, 32'h3f64c514,32'h3f8bcdc5,// invsqrt(1.0143) = 0.9929 +32'h3fc8eede,32'h3f483cca,32'h3f506910, 32'h3f421b94,32'h3f568a46, 32'h3f37e43c,32'h3f60c19e,// invsqrt(1.5698) = 0.7981 +32'h3fc8dec7,32'h3f4844cf,32'h3f507169, 32'h3f42235b,32'h3f5692dd, 32'h3f37eb99,32'h3f60ca9f,// invsqrt(1.5693) = 0.7983 +32'h402c699a,32'h3f18da29,32'h3f1f174f, 32'h3f142c4c,32'h3f23c52c, 32'h3f0c5fdc,32'h3f2b919c,// invsqrt(2.6939) = 0.6093 +32'h3ed3a355,32'h3fc31b8c,32'h3fcb1238, 32'h3fbd228a,32'h3fd10b3a, 32'h3fb32e32,32'h3fdaff92,// invsqrt(0.4134) = 1.5554 +32'h3f3d1e34,32'h3f91f1f4,32'h3f97e6ee, 32'h3f8d7a38,32'h3f9c5eaa, 32'h3f8607ff,32'h3fa3d0e3,// invsqrt(0.7387) = 1.1635 +32'h3fb0994a,32'h3f559692,32'h3f5e4e59, 32'h3f4f0cbc,32'h3f64d82e, 32'h3f442703,32'h3f6fbde7,// invsqrt(1.3797) = 0.8514 +32'h3ead7522,32'h3fd78373,32'h3fe04f59, 32'h3fd0ea87,32'h3fe6e845, 32'h3fc5eba9,32'h3ff1e723,// invsqrt(0.3388) = 1.7181 +32'h3f1a1494,32'h3fa1b090,32'h3fa84a0e, 32'h3f9cbd71,32'h3fad3d2d, 32'h3f947d94,32'h3fb57d0a,// invsqrt(0.6019) = 1.2890 +32'h3edf293f,32'h3fbe00ea,32'h3fc5c242, 32'h3fb82fe8,32'h3fcb9344, 32'h3fae7e3a,32'h3fd544f2,// invsqrt(0.4359) = 1.5147 +32'h401f3b6e,32'h3f1f0d74,32'h3f258b64, 32'h3f1a2f00,32'h3f2a69d8, 32'h3f121194,32'h3f328744,// invsqrt(2.4880) = 0.6340 +32'h3f53e97c,32'h3f89df68,32'h3f8f8008, 32'h3f85a6ef,32'h3f93b881, 32'h3f7d3c4b,32'h3f9ac14a,// invsqrt(0.8278) = 1.0991 +32'h41005e60,32'h3eb124e0,32'h3eb85fd9, 32'h3eabb8a4,32'h3ebdcc14, 32'h3ea2aeec,32'h3ec6d5cc,// invsqrt(8.0230) = 0.3530 +32'h3f7e01e1,32'h3f7bdcb5,32'h3f831235, 32'h3f7426ef,32'h3f86ed18, 32'h3f674d4e,32'h3f8d59e9,// invsqrt(0.9922) = 1.0039 +32'h3f8ee716,32'h3f6d7037,32'h3f772134, 32'h3f662b79,32'h3f7e65f1, 32'h3f5a0e3c,32'h3f854197,// invsqrt(1.1164) = 0.9464 +32'h3f268312,32'h3f9b896e,32'h3fa1e2a2, 32'h3f96c688,32'h3fa6a588, 32'h3f8ed707,32'h3fae9509,// invsqrt(0.6504) = 1.2399 +32'h3efcf836,32'h3fb27557,32'h3fb9be0c, 32'h3facfecf,32'h3fbf3493, 32'h3fa3e3ec,32'h3fc84f76,// invsqrt(0.4941) = 1.4227 +32'h3fd13e54,32'h3f443887,32'h3f4c3ad6, 32'h3f3e36cd,32'h3f523c91, 32'h3f3433ea,32'h3f5c3f74,// invsqrt(1.6347) = 0.7821 +32'h3d81295f,32'h4079bfd3,32'h4081f8ba, 32'h40721a9b,32'h4085cb56, 32'h40655c93,32'h408c2a5a,// invsqrt(0.0631) = 3.9820 +32'h3f2c4a5a,32'h3f98e805,32'h3f9f25bc, 32'h3f9439bb,32'h3fa3d405, 32'h3f8c6c96,32'h3faba12a,// invsqrt(0.6730) = 1.2190 +32'h4064aeeb,32'h3f04b883,32'h3f0a234f, 32'h3f00a86a,32'h3f0e3368, 32'h3ef3c5d7,32'h3f14f8e7,// invsqrt(3.5732) = 0.5290 +32'h4147da63,32'h3e8df8a8,32'h3e93c41d, 32'h3e89a011,32'h3e981cb5, 32'h3e8261c0,32'h3e9f5b06,// invsqrt(12.4908) = 0.2829 +32'h3fc2ac8e,32'h3f4b6e52,32'h3f53bbf8, 32'h3f453416,32'h3f59f634, 32'h3f3ad308,32'h3f645742,// invsqrt(1.5209) = 0.8109 +32'h3ff1d203,32'h3f3686a4,32'h3f3df9da, 32'h3f30f03c,32'h3f439042, 32'h3f27a039,32'h3f4ce045,// invsqrt(1.8892) = 0.7275 +32'h3f8901df,32'h3f727e33,32'h3f7c6401, 32'h3f6b11d9,32'h3f81e82e, 32'h3f5eb297,32'h3f8817ce,// invsqrt(1.0704) = 0.9666 +32'h40239ca2,32'h3f1ce8c7,32'h3f235052, 32'h3f181b20,32'h3f281dfa, 32'h3f1019b2,32'h3f301f68,// invsqrt(2.5564) = 0.6254 +32'h40350578,32'h3f152c5e,32'h3f1b4313, 32'h3f109b55,32'h3f1fd41b, 32'h3f08fef2,32'h3f27707e,// invsqrt(2.8285) = 0.5946 +32'h3e4882a0,32'h400dbd0c,32'h40138612, 32'h40096648,32'h4017dcd6, 32'h40022b01,32'h401f181d,// invsqrt(0.1958) = 2.2599 +32'h403588a9,32'h3f14f66d,32'h3f1b0aef, 32'h3f10670c,32'h3f1f9a50, 32'h3f08cd69,32'h3f2733f3,// invsqrt(2.8365) = 0.5938 +32'h3e25dfb8,32'h401bd5f1,32'h40223244, 32'h401710b3,32'h4026f783, 32'h400f1d4c,32'h402eeaea,// invsqrt(0.1620) = 2.4846 +32'h3e8918bd,32'h3ff269f9,32'h3ffc4ef4, 32'h3feafe3e,32'h4001dd58, 32'h3fdea005,32'h40080c75,// invsqrt(0.2678) = 1.9325 +32'h3d5e5049,32'h40869bd1,32'h408c1a57, 32'h40827ced,32'h4090393b, 32'h40773d8a,32'h40971763,// invsqrt(0.0543) = 4.2924 +32'h3f858319,32'h3f75a586,32'h3f7fac48, 32'h3f6e2075,32'h3f8398ac, 32'h3f619803,32'h3f89dce5,// invsqrt(1.0431) = 0.9791 +32'h3e6fbf59,32'h40019f41,32'h4006e9ad, 32'h3ffb4ee2,32'h400ae17d, 32'h3fee14d6,32'h40117e83,// invsqrt(0.2341) = 2.0667 +32'h3f8f8261,32'h3f6cef9c,32'h3f769b5a, 32'h3f65aece,32'h3f7ddc28, 32'h3f599822,32'h3f84f96a,// invsqrt(1.1212) = 0.9444 +32'h3fcd2153,32'h3f462daa,32'h3f4e446c, 32'h3f401c97,32'h3f54557f, 32'h3f360024,32'h3f5e71f3,// invsqrt(1.6026) = 0.7899 +32'h3ed38e96,32'h3fc3251c,32'h3fcb1c2d, 32'h3fbd2bd0,32'h3fd1157a, 32'h3fb336fb,32'h3fdb0a4f,// invsqrt(0.4132) = 1.5557 +32'h406a3066,32'h3f0326be,32'h3f088124, 32'h3efe45e4,32'h3f0c84f0, 32'h3ef0e3e5,32'h3f1335f0,// invsqrt(3.6592) = 0.5228 +32'h3f33d512,32'h3f95aa69,32'h3f9bc643, 32'h3f911585,32'h3fa05b27, 32'h3f8972b4,32'h3fa7fdf8,// invsqrt(0.7025) = 1.1931 +32'h3f5aeac4,32'h3f87a61d,32'h3f8d2f82, 32'h3f837f12,32'h3f91568e, 32'h3f7926aa,32'h3f98424b,// invsqrt(0.8551) = 1.0814 +32'h3f23cd51,32'h3f9cd174,32'h3fa3380c, 32'h3f980483,32'h3fa804fd, 32'h3f900446,32'h3fb0053a,// invsqrt(0.6399) = 1.2501 +32'h401bd336,32'h3f20c831,32'h3f275833, 32'h3f1bdc30,32'h3f2c4434, 32'h3f13a82d,32'h3f347837,// invsqrt(2.4348) = 0.6409 +32'h3d3e1d02,32'h40919006,32'h40978100, 32'h408d1b49,32'h409bf5bd, 32'h4085ae10,32'h40a362f6,// invsqrt(0.0464) = 4.6417 +32'h3f67a4e6,32'h3f83deac,32'h3f894094, 32'h3f7faa7d,32'h3f8d4a02, 32'h3f7235ba,32'h3f940463,// invsqrt(0.9049) = 1.0513 +32'h401d56b3,32'h3f2001ba,32'h3f2689a2, 32'h3f1b1bcc,32'h3f2b6f90, 32'h3f12f1e9,32'h3f339973,// invsqrt(2.4584) = 0.6378 +32'h3e901f24,32'h3fec6e9e,32'h3ff61517, 32'h3fe531c2,32'h3ffd51f2, 32'h3fd921ab,32'h4004b105,// invsqrt(0.2815) = 1.8848 +32'h40400503,32'h3f10d698,32'h3f16c001, 32'h3f0c6788,32'h3f1b2f10, 32'h3f0503c4,32'h3f2292d4,// invsqrt(3.0003) = 0.5773 +32'h3f1e73c6,32'h3f9f718a,32'h3fa5f38f, 32'h3f9a9005,32'h3faad513, 32'h3f926d7e,32'h3fb2f79a,// invsqrt(0.6190) = 1.2711 +32'h3f2911cf,32'h3f9a5b1e,32'h3fa0a7fa, 32'h3f95a178,32'h3fa561a0, 32'h3f8dc164,32'h3fad41b4,// invsqrt(0.6604) = 1.2305 +32'h3e276233,32'h401b21a1,32'h40217697, 32'h401661e7,32'h40263651, 32'h400e77b3,32'h402e2085,// invsqrt(0.1635) = 2.4734 +32'h3f26f0c8,32'h3f9b564a,32'h3fa1ad68, 32'h3f9694f5,32'h3fa66ebd, 32'h3f8ea810,32'h3fae5ba2,// invsqrt(0.6521) = 1.2383 +32'h40c03e53,32'h3eccb682,32'h3ed5118c, 32'h3ec6723a,32'h3edb55d4, 32'h3ebc006d,32'h3ee5c7a1,// invsqrt(6.0076) = 0.4080 +32'h3fa06431,32'h3f601eb5,32'h3f694489, 32'h3f594257,32'h3f7020e7, 32'h3f4dd30f,32'h3f7b902f,// invsqrt(1.2531) = 0.8933 +32'h3f8d04f7,32'h3f6f04c0,32'h3f78c640, 32'h3f67b3a0,32'h3f800bb0, 32'h3f5b81c0,32'h3f8624a0,// invsqrt(1.1017) = 0.9527 +32'h3f750fd7,32'h3f80357a,32'h3f857121, 32'h3f78917b,32'h3f895ddf, 32'h3f6b7c59,32'h3f8fe86f,// invsqrt(0.9573) = 1.0221 +32'h3fb3e66b,32'h3f539e8d,32'h3f5c41c2, 32'h3f4d2426,32'h3f62bc2a, 32'h3f425824,32'h3f6d882c,// invsqrt(1.4055) = 0.8435 +32'h3fb05f84,32'h3f55b98a,32'h3f5e72be, 32'h3f4f2ea2,32'h3f64fda6, 32'h3f444720,32'h3f6fe528,// invsqrt(1.3779) = 0.8519 +32'h3fa55ddc,32'h3f5cb905,32'h3f65bb59, 32'h3f55f746,32'h3f6c7d18, 32'h3f4ab45e,32'h3f77c001,// invsqrt(1.2919) = 0.8798 +32'h3f687c1e,32'h3f83a194,32'h3f8900fd, 32'h3f7f3409,32'h3f8d088c, 32'h3f71c582,32'h3f93bfcf,// invsqrt(0.9081) = 1.0494 +32'h3ebf2181,32'h3fcd4ed1,32'h3fd5b013, 32'h3fc705e0,32'h3fdbf904, 32'h3fbc8c4d,32'h3fe67297,// invsqrt(0.3733) = 1.6367 +32'h407a7731,32'h3efda2fb,32'h3f03fe9d, 32'h3ef5df4c,32'h3f07e074, 32'h3ee8ee7e,32'h3f0e58db,// invsqrt(3.9135) = 0.5055 +32'h3fa21043,32'h3f5ef5f3,32'h3f680fa9, 32'h3f5822aa,32'h3f6ee2f2, 32'h3f4cc286,32'h3f7a4316,// invsqrt(1.2661) = 0.8887 +32'h3ee43f85,32'h3fbbdfd3,32'h3fc38aeb, 32'h3fb61f81,32'h3fc94b3d, 32'h3fac89a2,32'h3fd2e11c,// invsqrt(0.4458) = 1.4977 +32'h3f9f53b7,32'h3f60de08,32'h3f6a0baa, 32'h3f59fbce,32'h3f70ede4, 32'h3f4e82c3,32'h3f7c66ef,// invsqrt(1.2447) = 0.8963 +32'h408ef2da,32'h3eed6671,32'h3ef71708, 32'h3ee62200,32'h3efe5b7a, 32'h3eda0544,32'h3f053c1b,// invsqrt(4.4671) = 0.4731 +32'h418c3558,32'h3e6fb577,32'h3e797e2d, 32'h3e685eee,32'h3e806a5b, 32'h3e5c240a,32'h3e8687cd,// invsqrt(17.5260) = 0.2389 +32'h3e8cf98e,32'h3fef0e6c,32'h3ff8d051, 32'h3fe7bd00,32'h400010de, 32'h3fdb8aa1,32'h40062a0d,// invsqrt(0.2753) = 1.9057 +32'h3ef87065,32'h3fb41404,32'h3fbb6da6, 32'h3fae90ca,32'h3fc0f0e0, 32'h3fa560c0,32'h3fca20ea,// invsqrt(0.4852) = 1.4356 +32'h40fe44e7,32'h3eb20070,32'h3eb94460, 32'h3eac8d7c,32'h3ebeb754, 32'h3ea37891,32'h3ec7cc3f,// invsqrt(7.9459) = 0.3548 +32'h3f5e1a4a,32'h3f86ac2d,32'h3f8c2b5d, 32'h3f828cc8,32'h3f904ac2, 32'h3f775b96,32'h3f9729bf,// invsqrt(0.8676) = 1.0736 +32'h3f4bc464,32'h3f8c99e6,32'h3f92570a, 32'h3f884c0c,32'h3f96a4e4, 32'h3f811f9f,32'h3f9dd151,// invsqrt(0.7960) = 1.1209 +32'h404ba3dd,32'h3f0ca521,32'h3f1262b9, 32'h3f0856ee,32'h3f16b0ec, 32'h3f0129ef,32'h3f1dddeb,// invsqrt(3.1819) = 0.5606 +32'h3f5a4631,32'h3f87d937,32'h3f8d64b1, 32'h3f83b09b,32'h3f918d4d, 32'h3f798484,32'h3f987ba6,// invsqrt(0.8526) = 1.0830 +32'h3ed7652d,32'h3fc16601,32'h3fc94ad3, 32'h3fbb7a65,32'h3fcf366f, 32'h3fb19c5f,32'h3fd91475,// invsqrt(0.4207) = 1.5418 +32'h40ac14a8,32'h3ed85fbb,32'h3ee1349e, 32'h3ed1c010,32'h3ee7d448, 32'h3ec6b5f4,32'h3ef2de64,// invsqrt(5.3775) = 0.4312 +32'h40799604,32'h3efe154b,32'h3f043a1a, 32'h3ef64e1d,32'h3f081db2, 32'h3ee9577a,32'h3f0e9903,// invsqrt(3.8998) = 0.5064 +32'h402bf6d6,32'h3f190d22,32'h3f1f4c5c, 32'h3f145db5,32'h3f23fbc9, 32'h3f0c8eac,32'h3f2bcad2,// invsqrt(2.6869) = 0.6101 +32'h3ffa8c4a,32'h3f335196,32'h3f3aa348, 32'h3f2dd450,32'h3f40208e, 32'h3f24ae31,32'h3f4946ad,// invsqrt(1.9574) = 0.7148 +32'h3fec2eae,32'h3f38b111,32'h3f403ae8, 32'h3f3309b0,32'h3f45e24a, 32'h3f299d64,32'h3f4f4e96,// invsqrt(1.8452) = 0.7362 +32'h40baf80b,32'h3ecf9494,32'h3ed80d95, 32'h3ec939d4,32'h3ede6856, 32'h3ebea293,32'h3ee8ff97,// invsqrt(5.8428) = 0.4137 +32'h40861322,32'h3ef52170,32'h3eff22cd, 32'h3eeda069,32'h3f0351e9, 32'h3ee11eb5,32'h3f0992c4,// invsqrt(4.1898) = 0.4885 +32'h3f9403c8,32'h3f694d51,32'h3f72d315, 32'h3f6228fd,32'h3f79f769, 32'h3f5641c8,32'h3f82ef4f,// invsqrt(1.1564) = 0.9299 +32'h3f285189,32'h3f9ab32e,32'h3fa103a3, 32'h3f95f6d7,32'h3fa5bffb, 32'h3f8e1245,32'h3fada48d,// invsqrt(0.6575) = 1.2333 +32'h40cfa99b,32'h3ec4f761,32'h3ecd0179, 32'h3ebeefce,32'h3ed3090c, 32'h3eb4e32f,32'h3edd15ab,// invsqrt(6.4895) = 0.3926 +32'h3fb7f4da,32'h3f5145ed,32'h3f59d09e, 32'h3f4adde9,32'h3f6038a3, 32'h3f40308c,32'h3f6ae600,// invsqrt(1.4372) = 0.8342 +32'h408c6cd3,32'h3eef8617,32'h3ef94cdf, 32'h3ee83102,32'h3f0050fa, 32'h3edbf888,32'h3f066d37,// invsqrt(4.3883) = 0.4774 +32'h3f0b22a3,32'h3faa26f1,32'h3fb118dc, 32'h3fa4f182,32'h3fb64e4c, 32'h3f9c431d,32'h3fbefcb1,// invsqrt(0.5435) = 1.3564 +32'h3d8495bb,32'h40768108,32'h4080485f, 32'h406ef53e,32'h40840e44, 32'h40626199,32'h408a5816,// invsqrt(0.0647) = 3.9302 +32'h3f3dfa8b,32'h3f919d39,32'h3f978ebe, 32'h3f8d2816,32'h3f9c03e2, 32'h3f85ba30,32'h3fa371c8,// invsqrt(0.7421) = 1.1608 +32'h3e9df03c,32'h3fe1da8a,32'h3feb127a, 32'h3fdaf095,32'h3ff1fc6f, 32'h3fcf6aa8,32'h3ffd825c,// invsqrt(0.3085) = 1.8005 +32'h40101d41,32'h3f272fe0,32'h3f2e02ce, 32'h3f2211ac,32'h3f332102, 32'h3f198a02,32'h3f3ba8ad,// invsqrt(2.2518) = 0.6664 +32'h3ecab0e1,32'h3fc75e05,32'h3fcf8135, 32'h3fc143a2,32'h3fd59b98, 32'h3fb717a7,32'h3fdfc793,// invsqrt(0.3959) = 1.5893 +32'h3e7a5663,32'h3ffdb399,32'h40040742, 32'h3ff5ef67,32'h4007e95b, 32'h3fe8fdc0,32'h400e622e,// invsqrt(0.2445) = 2.0225 +32'h402b4370,32'h3f195d36,32'h3f1f9fb5, 32'h3f14ab56,32'h3f245194, 32'h3f0cd836,32'h3f2c24b4,// invsqrt(2.6760) = 0.6113 +32'h41ba0891,32'h3e501a05,32'h3e589878, 32'h3e49bb2e,32'h3e5ef74e, 32'h3e3f1d1e,32'h3e69955e,// invsqrt(23.2542) = 0.2074 +32'h4198c0b5,32'h3e65a7c2,32'h3e6f076c, 32'h3e5ea003,32'h3e760f2b, 32'h3e52e86e,32'h3e80e360,// invsqrt(19.0941) = 0.2288 +32'h3fb7c0cd,32'h3f51638f,32'h3f59ef75, 32'h3f4afaa2,32'h3f605862, 32'h3f404bc2,32'h3f6b0742,// invsqrt(1.4356) = 0.8346 +32'h3d59ab0f,32'h40880997,32'h408d970b, 32'h4083df80,32'h4091c122, 32'h4079dd5f,32'h4098b1f3,// invsqrt(0.0531) = 4.3379 +32'h3fbb9bf9,32'h3f4f39d0,32'h3f57af1c, 32'h3f48e1d6,32'h3f5e0716, 32'h3f3e4f37,32'h3f6899b5,// invsqrt(1.4657) = 0.8260 +32'h401920d5,32'h3f22310d,32'h3f28cfc9, 32'h3f1d39ff,32'h3f2dc6d7, 32'h3f14f394,32'h3f360d43,// invsqrt(2.3926) = 0.6465 +32'h3c9d4098,32'h40e25888,32'h40eb959e, 32'h40db6ab8,32'h40f2836e, 32'h40cfde5e,32'h40fe0fc8,// invsqrt(0.0192) = 7.2177 +32'h3f88c4cc,32'h3f72b452,32'h3f7c9c56, 32'h3f6b4650,32'h3f82052c, 32'h3f5ee44c,32'h3f88362e,// invsqrt(1.0685) = 0.9674 +32'h3ea55b2c,32'h3fdcbad1,32'h3fe5bd37, 32'h3fd5f904,32'h3fec7f04, 32'h3fcab604,32'h3ff7c204,// invsqrt(0.3230) = 1.7596 +32'h3e9c0d70,32'h3fe336dd,32'h3fec7d05, 32'h3fdc423f,32'h3ff371a3, 32'h3fd0aa8c,32'h3fff0956,// invsqrt(0.3048) = 1.8113 +32'h3f7a53f6,32'h3f7db4d3,32'h3f8407e6, 32'h3f75f099,32'h3f87ea04, 32'h3f68fee2,32'h3f8e62df,// invsqrt(0.9778) = 1.0113 +32'h3c89b6f7,32'h40f1de8f,32'h40fbbdd9, 32'h40ea7718,32'h410192a8, 32'h40de1ffc,32'h4107be36,// invsqrt(0.0168) = 7.7127 +32'h403547b9,32'h3f151119,32'h3f1b26b1, 32'h3f1080e6,32'h3f1fb6e4, 32'h3f08e5e8,32'h3f2751e2,// invsqrt(2.8325) = 0.5942 +32'h3f5106de,32'h3f8ad22a,32'h3f907cb4, 32'h3f869243,32'h3f94bc9b, 32'h3f7efa2e,32'h3f9bd1c7,// invsqrt(0.8165) = 1.1067 +32'h4015c25b,32'h3f24017e,32'h3f2ab32f, 32'h3f1efc38,32'h3f2fb874, 32'h3f169e1a,32'h3f381692,// invsqrt(2.3400) = 0.6537 +32'h3fee4761,32'h3f37e09c,32'h3f3f61f0, 32'h3f323f9c,32'h3f4502f0, 32'h3f28ddf2,32'h3f4e649a,// invsqrt(1.8616) = 0.7329 +32'h4052fcb3,32'h3f0a2cb0,32'h3f0fd078, 32'h3f05f1d9,32'h3f140b4f, 32'h3efdca3e,32'h3f1b1809,// invsqrt(3.2967) = 0.5508 +32'h3fe3a23e,32'h3f3c20af,32'h3f43ce6d, 32'h3f365e60,32'h3f4990bc, 32'h3f2cc533,32'h3f5329e9,// invsqrt(1.7784) = 0.7499 +32'h3fab593b,32'h3f58d5f1,32'h3f61afa7, 32'h3f5232a8,32'h3f6852f0, 32'h3f472284,32'h3f736314,// invsqrt(1.3387) = 0.8643 +32'h3e99f857,32'h3fe4bee4,32'h3fee150c, 32'h3fddbe45,32'h3ff515ab, 32'h3fd21292,32'h400060af,// invsqrt(0.3007) = 1.8235 +32'h3f2a378b,32'h3f99d5b6,32'h3fa01d20, 32'h3f952026,32'h3fa4d2b0, 32'h3f8d46e0,32'h3facabf6,// invsqrt(0.6649) = 1.2264 +32'h404b6b9f,32'h3f0cb891,32'h3f1276f5, 32'h3f0869c6,32'h3f16c5c0, 32'h3f013bc9,32'h3f1df3bd,// invsqrt(3.1784) = 0.5609 +32'h3f5d843c,32'h3f86d9c2,32'h3f8c5ad0, 32'h3f82b8f9,32'h3f907b99, 32'h3f77af50,32'h3f975cea,// invsqrt(0.8653) = 1.0750 +32'h3f128dcb,32'h3fa5ca25,32'h3fac8e7b, 32'h3fa0b6e6,32'h3fb1a1ba, 32'h3f98417b,32'h3fba1725,// invsqrt(0.5725) = 1.3217 +32'h3fcf3ec5,32'h3f452a1f,32'h3f4d364a, 32'h3f3f20fe,32'h3f533f6a, 32'h3f3511c8,32'h3f5d4ea0,// invsqrt(1.6191) = 0.7859 +32'h3fc295ec,32'h3f4b7a26,32'h3f53c847, 32'h3f453f8e,32'h3f5a02e0, 32'h3f3adde5,32'h3f646489,// invsqrt(1.5202) = 0.8111 +32'h4145048b,32'h3e8efd3f,32'h3e94d356, 32'h3e8a9cad,32'h3e9933e7, 32'h3e83510f,32'h3ea07f85,// invsqrt(12.3136) = 0.2850 +32'h3f738d2d,32'h3f809b18,32'h3f85dae4, 32'h3f79567c,32'h3f89cabe, 32'h3f6c36fc,32'h3f905a7e,// invsqrt(0.9514) = 1.0252 +32'h4013cb1f,32'h3f2517ca,32'h3f2bd4d7, 32'h3f2009ff,32'h3f30e2a1, 32'h3f179dae,32'h3f394ef2,// invsqrt(2.3093) = 0.6581 +32'h3fc3e5b0,32'h3f4acb7a,32'h3f53127a, 32'h3f44963a,32'h3f5947ba, 32'h3f3a3d7b,32'h3f63a079,// invsqrt(1.5304) = 0.8083 +32'h3f8eb881,32'h3f6d96f3,32'h3f774985, 32'h3f665106,32'h3f7e8f72, 32'h3f5a31d0,32'h3f855754,// invsqrt(1.1150) = 0.9470 +32'h40b59f68,32'h3ed29d07,32'h3edb35b9, 32'h3ecc2a82,32'h3ee1a83e, 32'h3ec16ba3,32'h3eec671d,// invsqrt(5.6757) = 0.4197 +32'h3f47b26d,32'h3f8e06dc,32'h3f93d2e4, 32'h3f89add5,32'h3f982beb, 32'h3f826eca,32'h3f9f6af6,// invsqrt(0.7801) = 1.1322 +32'h3ff7fcb2,32'h3f343e01,32'h3f3b9959, 32'h3f2eb97e,32'h3f411ddc, 32'h3f25874f,32'h3f4a500b,// invsqrt(1.9374) = 0.7184 +32'h3fb76262,32'h3f51996f,32'h3f5a2789, 32'h3f4b2edc,32'h3f60921c, 32'h3f407d3c,32'h3f6b43bc,// invsqrt(1.4327) = 0.8355 +32'h3dce2779,32'h4045af81,32'h404dc11d, 32'h403fa24b,32'h4053ce53, 32'h40358c47,32'h405de457,// invsqrt(0.1007) = 3.1519 +32'h3d7c6a67,32'h407ca7ae,32'h40837bd5, 32'h4074ebb0,32'h408759d4, 32'h406807b4,32'h408dcbd2,// invsqrt(0.0616) = 4.0283 +32'h3f8f79c8,32'h3f6cf6b6,32'h3f76a2bd, 32'h3f65b5b0,32'h3f7de3c2, 32'h3f599ea6,32'h3f84fd66,// invsqrt(1.1209) = 0.9445 +32'h3ed6e537,32'h3fc19f8d,32'h3fc986b7, 32'h3fbbb22d,32'h3fcf7417, 32'h3fb1d138,32'h3fd9550c,// invsqrt(0.4197) = 1.5436 +32'h3e05c7c3,32'h402d862e,32'h40349b55, 32'h40283652,32'h4039eb32, 32'h401f5be2,32'h4042c5a2,// invsqrt(0.1306) = 2.7666 +32'h3fcbc0a0,32'h3f46d8e6,32'h3f4ef6a6, 32'h3f40c296,32'h3f550cf6, 32'h3f369d65,32'h3f5f3227,// invsqrt(1.5918) = 0.7926 +32'h3f63afed,32'h3f8502c0,32'h3f8a7094, 32'h3f80f062,32'h3f8e82f2, 32'h3f744e32,32'h3f954c3b,// invsqrt(0.8894) = 1.0604 +32'h3f205d75,32'h3f9e7d5f,32'h3fa4f56e, 32'h3f99a355,32'h3fa9cf79, 32'h3f918d43,32'h3fb1e58b,// invsqrt(0.6264) = 1.2635 +32'h3e89f57f,32'h3ff1a7b8,32'h3ffb84c4, 32'h3fea41ee,32'h40017547, 32'h3fdded9e,32'h40079f6f,// invsqrt(0.2695) = 1.9265 +32'h3f89990d,32'h3f71f8d8,32'h3f7bd934, 32'h3f6a9092,32'h3f81a0bd, 32'h3f5e381f,32'h3f87ccf6,// invsqrt(1.0750) = 0.9645 +32'h3f6cafe2,32'h3f82751a,32'h3f87c83f, 32'h3f7ced7a,32'h3f8bc69b, 32'h3f6f9d9c,32'h3f926e8a,// invsqrt(0.9246) = 1.0400 +32'h404d03ab,32'h3f0c2c40,32'h3f11e4ea, 32'h3f07e1c1,32'h3f162f69, 32'h3f00baec,32'h3f1d563e,// invsqrt(3.2033) = 0.5587 +32'h4008b318,32'h3f2ba94c,32'h3f32aafc, 32'h3f266809,32'h3f37ec3f, 32'h3f1da5ed,32'h3f40ae5b,// invsqrt(2.1359) = 0.6842 +32'h3f8c5cbf,32'h3f6f93cf,32'h3f795b25, 32'h3f683e4e,32'h3f805853, 32'h3f5c0521,32'h3f8674ea,// invsqrt(1.0966) = 0.9549 +32'h3d7f4ea9,32'h407b385a,32'h4082bcad, 32'h4073879c,32'h4086950c, 32'h4066b65e,32'h408cfdab,// invsqrt(0.0623) = 4.0054 +32'h409a6c12,32'h3ee4691c,32'h3eedbbc4, 32'h3edd6b1e,32'h3ef4b9c2, 32'h3ed1c3cb,32'h3f00308a,// invsqrt(4.8257) = 0.4552 +32'h3ebd44ef,32'h3fce50a9,32'h3fd6bc71, 32'h3fc7ffd3,32'h3fdd0d47, 32'h3fbd7918,32'h3fe79402,// invsqrt(0.3697) = 1.6447 +32'h3fa6fd9f,32'h3f5ba594,32'h3f649caa, 32'h3f54ec44,32'h3f6b55fa, 32'h3f49b769,32'h3f768ad5,// invsqrt(1.3046) = 0.8755 +32'h40150bfc,32'h3f2465b5,32'h3f2b1b7e, 32'h3f1f5d5f,32'h3f3023d5, 32'h3f16fa24,32'h3f388710,// invsqrt(2.3289) = 0.6553 +32'h3f8afc9a,32'h3f70c290,32'h3f7a9643, 32'h3f6963cb,32'h3f80fa84, 32'h3f5d1b2c,32'h3f871ed4,// invsqrt(1.0858) = 0.9597 +32'h3f2fbf59,32'h3f97651e,32'h3f9d930a, 32'h3f92c2ad,32'h3fa2357b, 32'h3f8b0945,32'h3fa9eee3,// invsqrt(0.6865) = 1.2069 +32'h3fce3d52,32'h3f45a508,32'h3f4db638, 32'h3f3f9825,32'h3f53c31b, 32'h3f3582aa,32'h3f5dd896,// invsqrt(1.6112) = 0.7878 +32'h3e9e2a24,32'h3fe1b12e,32'h3feae76e, 32'h3fdac87d,32'h3ff1d01f, 32'h3fcf44ad,32'h3ffd53ef,// invsqrt(0.3089) = 1.7992 +32'h3f67d95c,32'h3f83cfc0,32'h3f89310b, 32'h3f7f8d8d,32'h3f8d3a04, 32'h3f721a4f,32'h3f93f3a2,// invsqrt(0.9057) = 1.0508 +32'h3f43ac9d,32'h3f8f7ab2,32'h3f9555e8, 32'h3f8b1649,32'h3f99ba51, 32'h3f83c445,32'h3fa10c55,// invsqrt(0.7644) = 1.1438 +32'h3fc4a161,32'h3f4a6a9a,32'h3f52ada5, 32'h3f443851,32'h3f58dfed, 32'h3f39e482,32'h3f6333bc,// invsqrt(1.5362) = 0.8068 +32'h3f8d5181,32'h3f6ec3fd,32'h3f7882d8, 32'h3f6774d8,32'h3f7fd1fc, 32'h3f5b4646,32'h3f860047,// invsqrt(1.1040) = 0.9517 +32'h4076d8d2,32'h3eff7d2c,32'h3f04f563, 32'h3ef7aafa,32'h3f08de7d, 32'h3eeaa1fb,32'h3f0f62fd,// invsqrt(3.8570) = 0.5092 +32'h3e144959,32'h4024d176,32'h402b8ba5, 32'h401fc5d4,32'h40309748, 32'h40175d19,32'h40390003,// invsqrt(0.1448) = 2.6278 +32'h3fbef741,32'h3f4d6586,32'h3f55c7b5, 32'h3f471be2,32'h3f5c1158, 32'h3f3ca127,32'h3f668c13,// invsqrt(1.4919) = 0.8187 +32'h3f0e293c,32'h3fa854e5,32'h3faf33c9, 32'h3fa32db9,32'h3fb45af5, 32'h3f9a971b,32'h3fbcf193,// invsqrt(0.5553) = 1.3419 +32'h3f2db0a3,32'h3f9849fe,32'h3f9e8142, 32'h3f93a08b,32'h3fa32ab5, 32'h3f8bdb76,32'h3faaefca,// invsqrt(0.6785) = 1.2140 +32'h3f249fb8,32'h3f9c6d1e,32'h3fa2cf9c, 32'h3f97a33f,32'h3fa7997b, 32'h3f8fa820,32'h3faf949a,// invsqrt(0.6431) = 1.2470 +32'h410ef1ae,32'h3ea7deb5,32'h3eaeb8c7, 32'h3ea2bb28,32'h3eb3dc54, 32'h3e9a2a91,32'h3ebc6ceb,// invsqrt(8.9340) = 0.3346 +32'h3f23b1d4,32'h3f9cde9e,32'h3fa345bf, 32'h3f981146,32'h3fa81318, 32'h3f90105e,32'h3fb01401,// invsqrt(0.6394) = 1.2506 +32'h402faaab,32'h3f176e07,32'h3f1d9c51, 32'h3f12cb50,32'h3f223f08, 32'h3f0b1174,32'h3f29f8e4,// invsqrt(2.7448) = 0.6036 +32'h3faf1ea7,32'h3f567cfd,32'h3f5f3e2c, 32'h3f4fec1a,32'h3f65cf10, 32'h3f44faa0,32'h3f70c08a,// invsqrt(1.3681) = 0.8549 +32'h3cd5b3a6,32'h40c229c9,32'h40ca1698, 32'h40bc382f,32'h40d00833, 32'h40b2502c,32'h40d9f036,// invsqrt(0.0261) = 6.1914 +32'h401972fa,32'h3f22059e,32'h3f28a294, 32'h3f1d0fe5,32'h3f2d984d, 32'h3f14cbb0,32'h3f35dc82,// invsqrt(2.3976) = 0.6458 +32'h4002f6d3,32'h3f2f6141,32'h3f3689cb, 32'h3f2a02d9,32'h3f3be833, 32'h3f21102c,32'h3f44dae0,// invsqrt(2.0463) = 0.6991 +32'h3f16c084,32'h3fa37703,32'h3faa230d, 32'h3f9e75fb,32'h3faf2415, 32'h3f961eee,32'h3fb77b22,// invsqrt(0.5889) = 1.3031 +32'h3fd3c7da,32'h3f430ab8,32'h3f4b00b6, 32'h3f3d123b,32'h3f50f933, 32'h3f331ebe,32'h3f5aecb0,// invsqrt(1.6545) = 0.7774 +32'h3fbd6943,32'h3f4e3cdf,32'h3f56a7d9, 32'h3f47eca4,32'h3f5cf814, 32'h3f3d66ec,32'h3f677dcc,// invsqrt(1.4798) = 0.8221 +32'h3f969a52,32'h3f6749eb,32'h3f70baa6, 32'h3f60355e,32'h3f77cf32, 32'h3f546874,32'h3f81ce0e,// invsqrt(1.1766) = 0.9219 +32'h3f96e728,32'h3f670f01,32'h3f707d55, 32'h3f5ffc42,32'h3f779014, 32'h3f54325a,32'h3f81acfe,// invsqrt(1.1789) = 0.9210 +32'h4309c818,32'h3daafc66,32'h3db1f707, 32'h3da5c06e,32'h3db73300, 32'h3d9d0725,32'h3dbfec49,// invsqrt(137.7816) = 0.0852 +32'h3d0b86fe,32'h40a9e9b5,32'h40b0d920, 32'h40a4b626,32'h40b60cb0, 32'h409c0ae0,32'h40beb7f6,// invsqrt(0.0341) = 5.4181 +32'h3f895c28,32'h3f722e75,32'h3f7c1101, 32'h3f6ac48b,32'h3f81bd75, 32'h3f5e695c,32'h3f87eb0d,// invsqrt(1.0731) = 0.9653 +32'h3f83f0bc,32'h3f771af9,32'h3f80987c, 32'h3f6f8a79,32'h3f8460bc, 32'h3f62eefa,32'h3f8aae7c,// invsqrt(1.0308) = 0.9850 +32'h408f0662,32'h3eed563b,32'h3ef70629, 32'h3ee61249,32'h3efe4a1b, 32'h3ed9f660,32'h3f053302,// invsqrt(4.4695) = 0.4730 +32'h3f7aa4d4,32'h3f7d8be2,32'h3f83f297, 32'h3f75c8e8,32'h3f87d414, 32'h3f68d947,32'h3f8e4be4,// invsqrt(0.9791) = 1.0106 +32'h3e810486,32'h3ff9e37b,32'h40020b48, 32'h3ff23d2b,32'h4005de6f, 32'h3fe57d51,32'h400c3e5c,// invsqrt(0.2520) = 1.9921 +32'h3ebf7162,32'h3fcd23f7,32'h3fd58379, 32'h3fc6dc55,32'h3fdbcb1b, 32'h3fbc64f2,32'h3fe6427e,// invsqrt(0.3739) = 1.6354 +32'h3f50b9bf,32'h3f8aebcd,32'h3f909763, 32'h3f86ab1d,32'h3f94d813, 32'h3f7f2945,32'h3f9bee8e,// invsqrt(0.8153) = 1.1075 +32'h3f56500b,32'h3f89192b,32'h3f8eb1b5, 32'h3f84e6c4,32'h3f92e41c, 32'h3f7bd030,32'h3f99e2c8,// invsqrt(0.8372) = 1.0929 +32'h3f9df64c,32'h3f61d634,32'h3f6b0df8, 32'h3f5aec62,32'h3f71f7ca, 32'h3f4f66ad,32'h3f7d7d7f,// invsqrt(1.2341) = 0.9002 +32'h3f18a3d1,32'h3fa2736b,32'h3fa914dd, 32'h3f9d7a55,32'h3fae0df3, 32'h3f953087,32'h3fb657c1,// invsqrt(0.5962) = 1.2950 +32'h4068204f,32'h3f03bb99,32'h3f091c13, 32'h3eff667d,32'h3f0d246e, 32'h3ef1f54e,32'h3f13dd05,// invsqrt(3.6270) = 0.5251 +32'h3f99cc41,32'h3f64dfaa,32'h3f6e372a, 32'h3f5dde0b,32'h3f7538c9, 32'h3f5230ac,32'h3f807314,// invsqrt(1.2015) = 0.9123 +32'h3f90bf97,32'h3f6beb6f,32'h3f758c8e, 32'h3f64b299,32'h3f7cc565, 32'h3f58a932,32'h3f846766,// invsqrt(1.1308) = 0.9404 +32'h415648d5,32'h3e891b79,32'h3e8eb41b, 32'h3e84e900,32'h3e92e694, 32'h3e7bd46c,32'h3e99e55e,// invsqrt(13.3928) = 0.2733 +32'h41a1566f,32'h3e5f7635,32'h3e689527, 32'h3e589eff,32'h3e6f6c5d, 32'h3e4d3850,32'h3e7ad30c,// invsqrt(20.1672) = 0.2227 +32'h3f20f3d1,32'h3f9e3347,32'h3fa4a84f, 32'h3f995b81,32'h3fa98015, 32'h3f914937,32'h3fb1925f,// invsqrt(0.6287) = 1.2612 +32'h3f251be5,32'h3f9c3240,32'h3fa29258, 32'h3f976a2f,32'h3fa75a69, 32'h3f8f7211,32'h3faf5287,// invsqrt(0.6450) = 1.2452 +32'h3dc2ec82,32'h404b4cf0,32'h40539938, 32'h404513b9,32'h4059d26f, 32'h403ab45f,32'h406431c9,// invsqrt(0.0952) = 3.2414 +32'h3f2d9efe,32'h3f9851bb,32'h3f9e894f, 32'h3f93a80b,32'h3fa332ff, 32'h3f8be291,32'h3faaf879,// invsqrt(0.6782) = 1.2143 +32'h4030b8a3,32'h3f16fa30,32'h3f1d23c0, 32'h3f125b05,32'h3f21c2eb, 32'h3f0aa712,32'h3f2976de,// invsqrt(2.7613) = 0.6018 +32'h3e65b8fc,32'h40046b91,32'h4009d339, 32'h40005dd3,32'h400de0f7, 32'h3ff33883,32'h4014a289,// invsqrt(0.2243) = 2.1113 +32'h4080bf68,32'h3efa2685,32'h3f022e2b, 32'h3ef27e28,32'h3f06025a, 32'h3ee5bae3,32'h3f0c63fc,// invsqrt(4.0234) = 0.4985 +32'h3ec911dd,32'h3fc82b5c,32'h3fd056ec, 32'h3fc20aaf,32'h3fd67799, 32'h3fb7d43a,32'h3fe0ae0e,// invsqrt(0.3927) = 1.5957 +32'h3ff2b317,32'h3f3631ed,32'h3f3da1ae, 32'h3f309e1d,32'h3f43357f, 32'h3f27526d,32'h3f4c812f,// invsqrt(1.8961) = 0.7262 +32'h3fc62891,32'h3f49a26b,32'h3f51dd4b, 32'h3f437643,32'h3f580973, 32'h3f392cac,32'h3f62530b,// invsqrt(1.5481) = 0.8037 +32'h3f01d36b,32'h3fb025a7,32'h3fb75635, 32'h3faac13c,32'h3fbcbaa0, 32'h3fa1c489,32'h3fc5b753,// invsqrt(0.5071) = 1.4042 +32'h3ee11ba6,32'h3fbd2e1e,32'h3fc4e6dc, 32'h3fb76390,32'h3fcab16a, 32'h3fadbca4,32'h3fd45856,// invsqrt(0.4397) = 1.5081 +32'h3f77912d,32'h3f7f1dfa,32'h3f84c3d9, 32'h3f774eb2,32'h3f88ab7d, 32'h3f6a4a8d,32'h3f8f2d8f,// invsqrt(0.9671) = 1.0169 +32'h40007849,32'h3f311302,32'h3f384d40, 32'h3f2ba753,32'h3f3db8ef, 32'h3f229e84,32'h3f46c1be,// invsqrt(2.0073) = 0.7058 +32'h40395e59,32'h3f1369ec,32'h3f196e3e, 32'h3f0ee6ae,32'h3f1df17c, 32'h3f076146,32'h3f2576e4,// invsqrt(2.8964) = 0.5876 +32'h3fb596e6,32'h3f52a1f6,32'h3f5b3adc, 32'h3f4c2f4a,32'h3f61ad88, 32'h3f41702b,32'h3f6c6ca7,// invsqrt(1.4187) = 0.8396 +32'h40376feb,32'h3f143011,32'h3f1a3c7b, 32'h3f0fa6c2,32'h3f1ec5ca, 32'h3f08173f,32'h3f26554d,// invsqrt(2.8662) = 0.5907 +32'h3fefb92c,32'h3f375292,32'h3f3ece1b, 32'h3f31b5ec,32'h3f446ac2, 32'h3f285b82,32'h3f4dc52d,// invsqrt(1.8728) = 0.7307 +32'h3f57a1b0,32'h3f88adab,32'h3f8e41d1, 32'h3f847e8e,32'h3f9270ee, 32'h3f7b0abc,32'h3f996a1e,// invsqrt(0.8423) = 1.0896 +32'h3f32132e,32'h3f966701,32'h3f9c8a8f, 32'h3f91cc58,32'h3fa12538, 32'h3f8a1fe7,32'h3fa8d1a9,// invsqrt(0.6956) = 1.1990 +32'h40cf286c,32'h3ec534c1,32'h3ecd415b, 32'h3ebf2b4d,32'h3ed34acf, 32'h3eb51b8d,32'h3edd5a8f,// invsqrt(6.4737) = 0.3930 +32'h401e49d8,32'h3f1f86a6,32'h3f260989, 32'h3f1aa47d,32'h3f2aebb3, 32'h3f1280e2,32'h3f330f4e,// invsqrt(2.4733) = 0.6359 +32'h3feb6ae9,32'h3f38fdcd,32'h3f408ac5, 32'h3f335412,32'h3f463480, 32'h3f29e3db,32'h3f4fa4b7,// invsqrt(1.8392) = 0.7374 +32'h40d4b4fb,32'h3ec29de2,32'h3eca8f6e, 32'h3ebca8b9,32'h3ed08497, 32'h3eb2baca,32'h3eda7286,// invsqrt(6.6471) = 0.3879 +32'h3fb9dc29,32'h3f5032df,32'h3f58b256, 32'h3f49d346,32'h3f5f11f0, 32'h3f3f33f2,32'h3f69b144,// invsqrt(1.4520) = 0.8299 +32'h3ef4b5b3,32'h3fb571f6,32'h3fbcd9e0, 32'h3fafe406,32'h3fc267d0, 32'h3fa6a220,32'h3fcba9b6,// invsqrt(0.4779) = 1.4465 +32'h3f763ac5,32'h3f7fcf1f,32'h3f852008, 32'h3f77fa6a,32'h3f890a63, 32'h3f6aed3c,32'h3f8f90fa,// invsqrt(0.9618) = 1.0196 +32'h3f3bb7c2,32'h3f927d09,32'h3f9877b1, 32'h3f8e010c,32'h3f9cf3ae, 32'h3f8687ba,32'h3fa46d00,// invsqrt(0.7333) = 1.1678 +32'h3f4a5e6a,32'h3f8d160b,32'h3f92d83f, 32'h3f88c463,32'h3f9729e7, 32'h3f8191a1,32'h3f9e5ca9,// invsqrt(0.7905) = 1.1247 +32'h3f43e61b,32'h3f8f65a2,32'h3f953ffc, 32'h3f8b01de,32'h3f99a3c0, 32'h3f83b0ee,32'h3fa0f4b0,// invsqrt(0.7652) = 1.1432 +32'h3f67aba3,32'h3f83dcc1,32'h3f893e95, 32'h3f7fa6c5,32'h3f8d47f4, 32'h3f723234,32'h3f94023c,// invsqrt(0.9050) = 1.0512 +32'h3eed9f08,32'h3fb821b3,32'h3fbfa5b0, 32'h3fb27eb6,32'h3fc548ae, 32'h3fa919ba,32'h3fceadaa,// invsqrt(0.4641) = 1.4679 +32'h3f29c936,32'h3f9a07a9,32'h3fa0511e, 32'h3f955092,32'h3fa50836, 32'h3f8d74c0,32'h3face408,// invsqrt(0.6632) = 1.2279 +32'h3faaaaab,32'h3f5944b9,32'h3f6222f5, 32'h3f529e0c,32'h3f68c9a2, 32'h3f478842,32'h3f73df6d,// invsqrt(1.3333) = 0.8660 +32'h404e1439,32'h3f0bcf70,32'h3f118450, 32'h3f0787c8,32'h3f15cbf8, 32'h3f0065b0,32'h3f1cee10,// invsqrt(3.2200) = 0.5573 +32'h40df019e,32'h3ebe11cb,32'h3ec5d3d3, 32'h3eb84045,32'h3ecba559, 32'h3eae8dba,32'h3ed557e4,// invsqrt(6.9689) = 0.3788 +32'h3ea4eee3,32'h3fdd033a,32'h3fe60896, 32'h3fd63f36,32'h3feccc9a, 32'h3fcaf884,32'h3ff8134c,// invsqrt(0.3221) = 1.7619 +32'h3ec7d4e4,32'h3fc8c9de,32'h3fd0fbe8, 32'h3fc2a458,32'h3fd7216e, 32'h3fb865cc,32'h3fe15ffa,// invsqrt(0.3903) = 1.6007 +32'h404ea0d6,32'h3f0b9fd5,32'h3f1152c4, 32'h3f0759a3,32'h3f1598f7, 32'h3f0039f8,32'h3f1cb8a2,// invsqrt(3.2286) = 0.5565 +32'h3f05ef1a,32'h3fad6cb1,32'h3fb480cd, 32'h3fa81d9c,32'h3fb9cfe2, 32'h3f9f4479,32'h3fc2a905,// invsqrt(0.5232) = 1.3825 +32'h3fb71c42,32'h3f51c18e,32'h3f5a514a, 32'h3f4b55c0,32'h3f60bd18, 32'h3f40a214,32'h3f6b70c4,// invsqrt(1.4305) = 0.8361 +32'h3f2ce794,32'h3f98a270,32'h3f9edd50, 32'h3f93f648,32'h3fa38978, 32'h3f8c2cb0,32'h3fab5310,// invsqrt(0.6754) = 1.2168 +32'h412a0654,32'h3e99ebf8,32'h3ea0344b, 32'h3e9535b9,32'h3ea4ea89, 32'h3e8d5b51,32'h3eacc4f1,// invsqrt(10.6265) = 0.3068 +32'h3fed808a,32'h3f382d85,32'h3f3fb1fd, 32'h3f328a2b,32'h3f455557, 32'h3f292494,32'h3f4ebaee,// invsqrt(1.8555) = 0.7341 +32'h3fad59d2,32'h3f57946c,32'h3f606103, 32'h3f50fafc,32'h3f66fa74, 32'h3f45fb3f,32'h3f71fa31,// invsqrt(1.3543) = 0.8593 +32'h3f7126a6,32'h3f813e8d,32'h3f868505, 32'h3f7a9364,32'h3f8a79e0, 32'h3f6d6337,32'h3f9111f7,// invsqrt(0.9420) = 1.0303 +32'h3f91d327,32'h3f6b0c1d,32'h3f74a41f, 32'h3f63da1c,32'h3f7bd620, 32'h3f57dc1b,32'h3f83ea10,// invsqrt(1.1393) = 0.9369 +32'h3eff1f2d,32'h3fb1b43b,32'h3fb8f50e, 32'h3fac439c,32'h3fbe65ac, 32'h3fa33294,32'h3fc776b4,// invsqrt(0.4983) = 1.4166 +32'h3e2fb831,32'h40176833,32'h401d9640, 32'h4012c5aa,32'h402238ca, 32'h400b0c1b,32'h4029f259,// invsqrt(0.1716) = 2.4140 +32'h3f9f1ccc,32'h3f6104d3,32'h3f6a340b, 32'h3f5a2169,32'h3f711775, 32'h3f4ea664,32'h3f7c927a,// invsqrt(1.2431) = 0.8969 +32'h3e738152,32'h40009e39,32'h4005de27, 32'h3ff95c8e,32'h4009ce19, 32'h3fec3cbd,32'h40105e02,// invsqrt(0.2378) = 2.0507 +32'h402998ac,32'h3f1a1db3,32'h3f20680d, 32'h3f1565ee,32'h3f251fd2, 32'h3f0d88fd,32'h3f2cfcc3,// invsqrt(2.6499) = 0.6143 +32'h3f7018f4,32'h3f81870f,32'h3f86d07d, 32'h3f7b1ff8,32'h3f8ac790, 32'h3f6de864,32'h3f91635a,// invsqrt(0.9379) = 1.0326 +32'h3ecb9715,32'h3fc6ed2f,32'h3fcf0bc3, 32'h3fc0d640,32'h3fd522b2, 32'h3fb6b006,32'h3fdf48ec,// invsqrt(0.3976) = 1.5858 +32'h4004284d,32'h3f2e961a,32'h3f35b65a, 32'h3f293dea,32'h3f3b0e8a, 32'h3f20559b,32'h3f43f6d9,// invsqrt(2.0650) = 0.6959 +32'h3f579f63,32'h3f88ae65,32'h3f8e4293, 32'h3f847f43,32'h3f9271b5, 32'h3f7b0c12,32'h3f996aef,// invsqrt(0.8423) = 1.0896 +32'h4030ba73,32'h3f16f96a,32'h3f1d22f1, 32'h3f125a45,32'h3f21c217, 32'h3f0aa65d,32'h3f2975ff,// invsqrt(2.7614) = 0.6018 +32'h3f84ec70,32'h3f763095,32'h3f801e82, 32'h3f6ea742,32'h3f83e32b, 32'h3f6217b8,32'h3f8a2af0,// invsqrt(1.0385) = 0.9813 +32'h3fe7e86d,32'h3f3a62d0,32'h3f41fe5b, 32'h3f34ae27,32'h3f47b303, 32'h3f2b2bb9,32'h3f513571,// invsqrt(1.8118) = 0.7429 +32'h3ebf2a47,32'h3fcd4a1b,32'h3fd5ab2b, 32'h3fc7014e,32'h3fdbf3f8, 32'h3fbc87f9,32'h3fe66d4d,// invsqrt(0.3734) = 1.6366 +32'h3f8fa738,32'h3f6cd139,32'h3f767bb9, 32'h3f659159,32'h3f7dbb99, 32'h3f597c3a,32'h3f84e85c,// invsqrt(1.1223) = 0.9439 +32'h3ef45264,32'h3fb596d2,32'h3fbd003e, 32'h3fb007c1,32'h3fc28f4f, 32'h3fa6c3fa,32'h3fcbd316,// invsqrt(0.4772) = 1.4476 +32'h3e1298a7,32'h4025c401,32'h402c8816, 32'h4020b0f2,32'h40319b26, 32'h40183bd8,32'h403a1040,// invsqrt(0.1432) = 2.6429 +32'h3f667bea,32'h3f843386,32'h3f8998e4, 32'h3f80277f,32'h3f8da4eb, 32'h3f72d193,32'h3f9463a1,// invsqrt(0.9003) = 1.0539 +32'h3f0e8d66,32'h3fa819b7,32'h3faef631, 32'h3fa2f45b,32'h3fb41b8d, 32'h3f9a60c2,32'h3fbcaf26,// invsqrt(0.5568) = 1.3401 +32'h401daa3a,32'h3f1fd752,32'h3f265d80, 32'h3f1af2b1,32'h3f2b4221, 32'h3f12caf8,32'h3f3369da,// invsqrt(2.4635) = 0.6371 +32'h3fbdc88a,32'h3f4e0914,32'h3f5671f0, 32'h3f47ba6f,32'h3f5cc095, 32'h3f3d375b,32'h3f6743a9,// invsqrt(1.4827) = 0.8213 +32'h40730ffe,32'h3f00bc31,32'h3f05fd58, 32'h3ef996aa,32'h3f09ee35, 32'h3eec73c9,32'h3f107fa6,// invsqrt(3.7979) = 0.5131 +32'h3e66dd1d,32'h400417ae,32'h40097bea, 32'h40000c82,32'h400d8716, 32'h3ff29e6f,32'h40144460,// invsqrt(0.2255) = 2.1061 +32'h3e32e000,32'h401610cc,32'h401c30d5, 32'h401178c7,32'h4020c8db, 32'h4009d0bc,32'h402870e6,// invsqrt(0.1747) = 2.3926 +32'h3e43ebe0,32'h400f6386,32'h40153dca, 32'h400affd3,32'h4019a17d, 32'h4003aefe,32'h4020f252,// invsqrt(0.1913) = 2.2862 +32'h3f35cee7,32'h3f94d9a4,32'h3f9aecf9, 32'h3f904b24,32'h3f9f7b78, 32'h3f88b2f9,32'h3fa713a3,// invsqrt(0.7102) = 1.1866 +32'h3f00ff21,32'h3fb0b65d,32'h3fb7ecd3, 32'h3fab4d84,32'h3fbd55ac, 32'h3fa2496f,32'h3fc659c1,// invsqrt(0.5039) = 1.4087 +32'h3ecce13e,32'h3fc64ca5,32'h3fce64ac, 32'h3fc03aa1,32'h3fd476b1, 32'h3fb61c98,32'h3fde94ba,// invsqrt(0.4002) = 1.5808 +32'h3d09fadb,32'h40aadcf0,32'h40b1d648, 32'h40a5a1ee,32'h40b7114a, 32'h409cea40,32'h40bfc8f8,// invsqrt(0.0337) = 5.4484 +32'h3f8f3d4f,32'h3f6d28b6,32'h3f76d6c7, 32'h3f65e628,32'h3f7e1954, 32'h3f59cc91,32'h3f851975,// invsqrt(1.1191) = 0.9453 +32'h3f8dfc10,32'h3f6e3469,32'h3f77ed69, 32'h3f66e9aa,32'h3f7f3828, 32'h3f5ac26b,32'h3f85afb3,// invsqrt(1.1093) = 0.9495 +32'h40468df7,32'h3f0e6f4f,32'h3f143f9b, 32'h3f0a1316,32'h3f189bd4, 32'h3f02ceb6,32'h3f1fe034,// invsqrt(3.1024) = 0.5677 +32'h40866472,32'h3ef4d73c,32'h3efed592, 32'h3eed587b,32'h3f032a29, 32'h3ee0da90,32'h3f09691f,// invsqrt(4.1998) = 0.4880 +32'h3eec6f13,32'h3fb897e9,32'h3fc020b9, 32'h3fb2f14d,32'h3fc5c755, 32'h3fa98649,32'h3fcf3259,// invsqrt(0.4618) = 1.4716 +32'h3f84dfaa,32'h3f763c6a,32'h3f8024aa, 32'h3f6eb2ba,32'h3f83e982, 32'h3f622296,32'h3f8a3194,// invsqrt(1.0381) = 0.9815 +32'h3efea222,32'h3fb1dfd7,32'h3fb92273, 32'h3fac6de3,32'h3fbe9467, 32'h3fa35aa1,32'h3fc7a7a9,// invsqrt(0.4973) = 1.4180 +32'h3f8415e6,32'h3f76f834,32'h3f808664, 32'h3f6f68c4,32'h3f844e1c, 32'h3f62cf0b,32'h3f8a9af8,// invsqrt(1.0319) = 0.9844 +32'h3fae9e9d,32'h3f56cb92,32'h3f5f8ff6, 32'h3f503847,32'h3f662341, 32'h3f4542ca,32'h3f7118be,// invsqrt(1.3642) = 0.8562 +32'h4121c7ee,32'h3e9dcb6f,32'h3ea43c3a, 32'h3e98f6d6,32'h3ea910d2, 32'h3e90e9d8,32'h3eb11dd0,// invsqrt(10.1113) = 0.3145 +32'h3f3c0354,32'h3f925f96,32'h3f985909, 32'h3f8de47e,32'h3f9cd420, 32'h3f866cae,32'h3fa44bf0,// invsqrt(0.7344) = 1.1669 +32'h4007a693,32'h3f2c52df,32'h3f335b7a, 32'h3f270c6a,32'h3f38a1ee, 32'h3f1e41a8,32'h3f416cb0,// invsqrt(2.1195) = 0.6869 +32'h3f0e0ceb,32'h3fa865ab,32'h3faf453f, 32'h3fa33dfc,32'h3fb46cee, 32'h3f9aa683,32'h3fbd0467,// invsqrt(0.5549) = 1.3425 +32'h3f88a774,32'h3f72ce5f,32'h3f7cb773, 32'h3f6b5f91,32'h3f821321, 32'h3f5efc38,32'h3f8844cd,// invsqrt(1.0676) = 0.9678 +32'h400c871b,32'h3f294e99,32'h3f3037af, 32'h3f241fc9,32'h3f35667f, 32'h3f1b7c6d,32'h3f3e09db,// invsqrt(2.1957) = 0.6749 +32'h40d3ef61,32'h3ec2f887,32'h3ecaedc6, 32'h3ebd0098,32'h3ed0e5b6, 32'h3eb30e0a,32'h3edad845,// invsqrt(6.6230) = 0.3886 +32'h4004927a,32'h3f2e5022,32'h3f356d87, 32'h3f28fa17,32'h3f3ac393, 32'h3f201559,32'h3f43a851,// invsqrt(2.0714) = 0.6948 +32'h3f8eb223,32'h3f6d9c40,32'h3f774f0a, 32'h3f66562a,32'h3f7e9520, 32'h3f5a36ae,32'h3f855a4e,// invsqrt(1.1148) = 0.9471 +32'h3fd4032e,32'h3f42ef6c,32'h3f4ae44c, 32'h3f3cf7c4,32'h3f50dbf4, 32'h3f3305ac,32'h3f5ace0c,// invsqrt(1.6563) = 0.7770 +32'h3f2c6b99,32'h3f98d946,32'h3f9f1664, 32'h3f942b71,32'h3fa3c439, 32'h3f8c5f0c,32'h3fab909e,// invsqrt(0.6735) = 1.2185 +32'h3f15e1bd,32'h3fa3f051,32'h3faaa14f, 32'h3f9eeb92,32'h3fafa60e, 32'h3f968e55,32'h3fb8034b,// invsqrt(0.5855) = 1.3069 +32'h3f66b682,32'h3f8422bb,32'h3f89876a, 32'h3f801738,32'h3f8d92ee, 32'h3f72b2bc,32'h3f9450c8,// invsqrt(0.9012) = 1.0534 +32'h3f9b7819,32'h3f63a3e3,32'h3f6cee7f, 32'h3f5cabef,32'h3f73e673, 32'h3f510eac,32'h3f7f83b6,// invsqrt(1.2146) = 0.9074 +32'h3e8ed796,32'h3fed7d18,32'h3ff72e9c, 32'h3fe637f6,32'h3ffe73be, 32'h3fda1a11,32'h400548d2,// invsqrt(0.2790) = 1.8932 +32'h404b5687,32'h3f0cbfdd,32'h3f127e8d, 32'h3f0870d9,32'h3f16cd91, 32'h3f01427c,32'h3f1dfbee,// invsqrt(3.1772) = 0.5610 +32'h40880bf2,32'h3ef358fd,32'h3efd47b9, 32'h3eebe5f0,32'h3f025d63, 32'h3edf7b85,32'h3f089298,// invsqrt(4.2515) = 0.4850 +32'h3f53c5bd,32'h3f89eb0a,32'h3f8f8c24, 32'h3f85b236,32'h3f93c4f8, 32'h3f7d51a9,32'h3f9ace59,// invsqrt(0.8272) = 1.0995 +32'h3fb6ffec,32'h3f51d1cb,32'h3f5a6231, 32'h3f4b657e,32'h3f60ce7e, 32'h3f40b0fe,32'h3f6b82fe,// invsqrt(1.4297) = 0.8363 +32'h3f96134c,32'h3f67b1df,32'h3f7126d9, 32'h3f609a24,32'h3f783e94, 32'h3f54c7ec,32'h3f820866,// invsqrt(1.1725) = 0.9235 +32'h4017b1ef,32'h3f22f4bc,32'h3f299b75, 32'h3f1df7b0,32'h3f2e9880, 32'h3f15a749,32'h3f36e8e7,// invsqrt(2.3702) = 0.6495 +32'h40c7660c,32'h3ec901a5,32'h3ed135f5, 32'h3ec2da69,32'h3ed75d31, 32'h3eb89905,32'h3ee19e95,// invsqrt(6.2312) = 0.4006 +32'h408ead36,32'h3eeda05a,32'h3ef7534e, 32'h3ee65a23,32'h3efe9985, 32'h3eda3a72,32'h3f055c9b,// invsqrt(4.4586) = 0.4736 +32'h3fae9e83,32'h3f56cba2,32'h3f5f9006, 32'h3f503856,32'h3f662352, 32'h3f4542d8,32'h3f7118d0,// invsqrt(1.3642) = 0.8562 +32'h3ffe7400,32'h3f31eff7,32'h3f39333a, 32'h3f2c7d84,32'h3f3ea5ac, 32'h3f23696f,32'h3f47b9c1,// invsqrt(1.9879) = 0.7093 +32'h3fddbd56,32'h3f3e9c94,32'h3f466446, 32'h3f38c6ce,32'h3f4c3a0c, 32'h3f2f0d2f,32'h3f55f3ab,// invsqrt(1.7323) = 0.7598 +32'h3e839400,32'h3ff771fe,32'h4000c5c6, 32'h3fefded5,32'h40048f5b, 32'h3fe33ee4,32'h400adf53,// invsqrt(0.2570) = 1.9726 +32'h3f1c0d39,32'h3fa0aa4b,32'h3fa73915, 32'h3f9bbf34,32'h3fac242c, 32'h3f938cb8,32'h3fb456a8,// invsqrt(0.6096) = 1.2808 +32'h3d134577,32'h40a562a3,32'h40ac22bf, 32'h40a0528f,32'h40b132d3, 32'h4097e26c,32'h40b9a2f6,// invsqrt(0.0360) = 5.2738 +32'h40708686,32'h3f01698b,32'h3f06b1c5, 32'h3efae6bf,32'h3f0aa7f0, 32'h3eedb22e,32'h3f114239,// invsqrt(3.7582) = 0.5158 +32'h3fad2419,32'h3f57b5dc,32'h3f6083d0, 32'h3f511b65,32'h3f671e47, 32'h3f4619f4,32'h3f721fb8,// invsqrt(1.3527) = 0.8598 +32'h3f5b3c09,32'h3f878cf7,32'h3f8d1554, 32'h3f8366b0,32'h3f913b9a, 32'h3f78f876,32'h3f98260f,// invsqrt(0.8564) = 1.0806 +32'h3fcbf4ad,32'h3f46bf85,32'h3f4edc3c, 32'h3f40a9fb,32'h3f54f1c5, 32'h3f368616,32'h3f5f15aa,// invsqrt(1.5934) = 0.7922 +32'h3ecd8d08,32'h3fc5f9b7,32'h3fce0e5b, 32'h3fbfea3c,32'h3fd41dd6, 32'h3fb5d06e,32'h3fde37a4,// invsqrt(0.4015) = 1.5782 +32'h3f219156,32'h3f9de615,32'h3fa457f7, 32'h3f9910ac,32'h3fa92d60, 32'h3f910252,32'h3fb13bba,// invsqrt(0.6311) = 1.2588 +32'h3de9c7d7,32'h4039a351,32'h4041370b, 32'h4033f485,32'h4046e5d7, 32'h402a7bdd,32'h40505e7f,// invsqrt(0.1142) = 2.9598 +32'h3e847067,32'h3ff6a3c3,32'h40005a73, 32'h3fef16e9,32'h400420df, 32'h3fe2817f,32'h400a6b95,// invsqrt(0.2587) = 1.9662 +32'h3f60f852,32'h3f85cfc4,32'h3f8b45f6, 32'h3f81b71f,32'h3f8f5e9b, 32'h3f75c6c1,32'h3f96325a,// invsqrt(0.8788) = 1.0667 +32'h3f2ff8e9,32'h3f974c59,32'h3f9d7943, 32'h3f92aaaa,32'h3fa21af2, 32'h3f8af286,32'h3fa9d316,// invsqrt(0.6874) = 1.2061 +32'h405c2023,32'h3f0746a9,32'h3f0ccc29, 32'h3f03228a,32'h3f10f048, 32'h3ef87757,32'h3f17d727,// invsqrt(3.4395) = 0.5392 +32'h40210071,32'h3f1e2d13,32'h3f24a1da, 32'h3f19557d,32'h3f29796f, 32'h3f114384,32'h3f318b68,// invsqrt(2.5157) = 0.6305 +32'h3fb8ea50,32'h3f50bad9,32'h3f593fdd, 32'h3f4a5716,32'h3f5fa3a0, 32'h3f3fb0d2,32'h3f6a49e4,// invsqrt(1.4447) = 0.8320 +32'h3fdb0c4a,32'h3f3fc776,32'h3f479b5c, 32'h3f39e88a,32'h3f4d7a48, 32'h3f301fab,32'h3f574327,// invsqrt(1.7113) = 0.7644 +32'h3f5ee629,32'h3f866e88,32'h3f8beb35, 32'h3f825107,32'h3f9008b7, 32'h3f76ea5e,32'h3f96e48f,// invsqrt(0.8707) = 1.0717 +32'h4025eda7,32'h3f1bcf66,32'h3f222b75, 32'h3f170a5c,32'h3f26f080, 32'h3f0f1749,32'h3f2ee393,// invsqrt(2.5926) = 0.6211 +32'h4075695c,32'h3f001e16,32'h3f0558c8, 32'h3ef86420,32'h3f0944ce, 32'h3eeb5161,32'h3f0fce2d,// invsqrt(3.8346) = 0.5107 +32'h3f7cd2c1,32'h3f7c7385,32'h3f8360b0, 32'h3f74b920,32'h3f873de2, 32'h3f67d7cd,32'h3f8dae8c,// invsqrt(0.9876) = 1.0063 +32'h3fb6635d,32'h3f522bc5,32'h3f5abfd7, 32'h3f4bbcb7,32'h3f612ee5, 32'h3f4103a0,32'h3f6be7fc,// invsqrt(1.4249) = 0.8377 +32'h3fca5e93,32'h3f47868c,32'h3f4fab62, 32'h3f416aeb,32'h3f55c703, 32'h3f373cde,32'h3f5ff510,// invsqrt(1.5810) = 0.7953 +32'h42aad9fb,32'h3dd926a2,32'h3de203a3, 32'h3dd280e0,32'h3de8a964, 32'h3dc76c9f,32'h3df3bda5,// invsqrt(85.4257) = 0.1082 +32'h3f229a7b,32'h3f9d6524,32'h3fa3d1c2, 32'h3f9893ad,32'h3fa8a339, 32'h3f908be8,32'h3fb0aaff,// invsqrt(0.6352) = 1.2547 +32'h3f4bb376,32'h3f8c9fbe,32'h3f925d1e, 32'h3f8851b5,32'h3f96ab27, 32'h3f8124fd,32'h3f9dd7df,// invsqrt(0.7957) = 1.1210 +32'h3f631d2e,32'h3f852db2,32'h3f8a9d46, 32'h3f811a03,32'h3f8eb0f5, 32'h3f749d12,32'h3f957c6f,// invsqrt(0.8872) = 1.0617 +32'h40236fea,32'h3f1cfe3d,32'h3f2366a8, 32'h3f182fed,32'h3f2834f9, 32'h3f102d68,32'h3f30377f,// invsqrt(2.5537) = 0.6258 +32'h40c398ea,32'h3ecaf343,32'h3ed33be3, 32'h3ec4bccc,32'h3ed9725a, 32'h3eba6204,32'h3ee3cd22,// invsqrt(6.1124) = 0.4045 +32'h3e8a6674,32'h3ff14506,32'h3ffb1e0c, 32'h3fe9e242,32'h40014068, 32'h3fdd92fb,32'h4007680b,// invsqrt(0.2703) = 1.9234 +32'h3f567438,32'h3f890d9a,32'h3f8ea5ab, 32'h3f84db8e,32'h3f92d7b8, 32'h3f7bbaf2,32'h3f99d5cd,// invsqrt(0.8377) = 1.0926 +32'h3eb53307,32'h3fd2dbfa,32'h3fdb773e, 32'h3fcc6787,32'h3fe1ebb1, 32'h3fc1a573,32'h3fecadc5,// invsqrt(0.3539) = 1.6810 +32'h3f8aa29c,32'h3f7110a8,32'h3f7ae78a, 32'h3f69af7e,32'h3f81245a, 32'h3f5d62e3,32'h3f874aa7,// invsqrt(1.0831) = 0.9609 +32'h3fe084b5,32'h3f3d6dab,32'h3f452901, 32'h3f37a12b,32'h3f4af581, 32'h3f2df701,32'h3f549fab,// invsqrt(1.7540) = 0.7551 +32'h41ca913d,32'h3e476d97,32'h3e4f9169, 32'h3e4152ba,32'h3e55ac46, 32'h3e3725f3,32'h3e5fd90d,// invsqrt(25.3209) = 0.1987 +32'h3e5b453d,32'h40078a1e,32'h400d125e, 32'h400363ee,32'h4011388e, 32'h3ff8f33c,32'h401822de,// invsqrt(0.2141) = 2.1610 +32'h3ed50b30,32'h3fc2767e,32'h3fca666e, 32'h3fbc828a,32'h3fd05a62, 32'h3fb2969d,32'h3fda464f,// invsqrt(0.4161) = 1.5502 +32'h3ff4728e,32'h3f358adf,32'h3f3cf3ce, 32'h3f2ffc2c,32'h3f428282, 32'h3f26b902,32'h3f4bc5ad,// invsqrt(1.9097) = 0.7236 +32'h3f60207e,32'h3f861022,32'h3f8b88f5, 32'h3f81f585,32'h3f8fa393, 32'h3f763cfc,32'h3f967a9a,// invsqrt(0.8755) = 1.0687 +32'h3f4ead7d,32'h3f8b9b8f,32'h3f914e51, 32'h3f87557e,32'h3f959462, 32'h3f80360b,32'h3f9cb3d5,// invsqrt(0.8073) = 1.1129 +32'h3d543d94,32'h4089c415,32'h408f6398, 32'h40858c72,32'h40939b3a, 32'h407d0a1b,32'h409aa29f,// invsqrt(0.0518) = 4.3930 +32'h3f51688f,32'h3f8ab1c5,32'h3f905afc, 32'h3f8672db,32'h3f9499e5, 32'h3f7ebead,32'h3f9bad6a,// invsqrt(0.8180) = 1.1057 +32'h3ef4324b,32'h3fb5a2c1,32'h3fbd0ca9, 32'h3fb01352,32'h3fc29c18, 32'h3fa6cef0,32'h3fcbe07a,// invsqrt(0.4769) = 1.4480 +32'h3f8d6046,32'h3f6eb784,32'h3f7875dc, 32'h3f6768c1,32'h3f7fc49f, 32'h3f5b3ad2,32'h3f85f947,// invsqrt(1.1045) = 0.9515 +32'h3f94f3ae,32'h3f689125,32'h3f720f3b, 32'h3f617294,32'h3f792dcc, 32'h3f5594f8,32'h3f8285b4,// invsqrt(1.1637) = 0.9270 +32'h41194a53,32'h3ea21b18,32'h3ea8b8f0, 32'h3e9d24b7,32'h3eadaf51, 32'h3e94df6a,32'h3eb5f49e,// invsqrt(9.5806) = 0.3231 +32'h3f6dd593,32'h3f822474,32'h3f87744f, 32'h3f7c5121,32'h3f8b7034, 32'h3f6f097d,32'h3f921405,// invsqrt(0.9290) = 1.0375 +32'h3f39024f,32'h3f938e92,32'h3f999464, 32'h3f8f0a35,32'h3f9e18c1, 32'h3f8782ef,32'h3fa5a007,// invsqrt(0.7227) = 1.1763 +32'h3fb21d99,32'h3f54ad40,32'h3f5d5b82, 32'h3f4e2a8f,32'h3f63de33, 32'h3f4350be,32'h3f6eb804,// invsqrt(1.3915) = 0.8477 +32'h3c5d808f,32'h4106dae1,32'h410c5bf9, 32'h4102ba0e,32'h41107ccc, 32'h40f7b15e,32'h41175e2b,// invsqrt(0.0135) = 8.6004 +32'h3e41dd00,32'h401025db,32'h4016080e, 32'h400bbc36,32'h401a71b4, 32'h40046176,32'h4021cc74,// invsqrt(0.1893) = 2.2983 +32'h3ea138e0,32'h3fdf8ab0,32'h3fe8aa78, 32'h3fd8b2d9,32'h3fef824f, 32'h3fcd4b1f,32'h3ffaea09,// invsqrt(0.3149) = 1.7821 +32'h3f5d4642,32'h3f86eca4,32'h3f8c6e76, 32'h3f82cb46,32'h3f908fd4, 32'h3f77d1fe,32'h3f97721b,// invsqrt(0.8644) = 1.0756 +32'h3f5c2c6f,32'h3f8742e2,32'h3f8cc83a, 32'h3f831ee0,32'h3f90ec3c, 32'h3f787066,32'h3f97d2e9,// invsqrt(0.8601) = 1.0783 +32'h3e45b301,32'h400ebe1a,32'h4014919d, 32'h400a5f76,32'h4018f040, 32'h40031712,32'h402038a4,// invsqrt(0.1931) = 2.2759 +32'h436e616f,32'h3d81fe41,32'h3d874c8d, 32'h3d7c0710,32'h3d8b4746, 32'h3d6ec353,32'h3d91e924,// invsqrt(238.3806) = 0.0648 +32'h3fc235a1,32'h3f4bac92,32'h3f53fcc2, 32'h3f45706e,32'h3f5a38e6, 32'h3f3b0c33,32'h3f649d21,// invsqrt(1.5173) = 0.8118 +32'h40fc2a0b,32'h3eb2be3c,32'h3eba09ea, 32'h3ead4578,32'h3ebf82ae, 32'h3ea426de,32'h3ec8a148,// invsqrt(7.8801) = 0.3562 +32'h40beb89b,32'h3ecd873f,32'h3ed5eacf, 32'h3ec73c93,32'h3edc357b, 32'h3ebcc020,32'h3ee6b1ee,// invsqrt(5.9600) = 0.4096 +32'h3e4d2c3f,32'h400c1e63,32'h4011d67c, 32'h4007d451,32'h4016208f, 32'h4000ae32,32'h401d46ae,// invsqrt(0.2004) = 2.2340 +32'h3e9bbe40,32'h3fe37099,32'h3fecb91d, 32'h3fdc7a36,32'h3ff3af80, 32'h3fd0df92,32'h3fff4a24,// invsqrt(0.3042) = 1.8131 +32'h3fbe70f4,32'h3f4dade5,32'h3f561309, 32'h3f47620b,32'h3f5c5ee3, 32'h3f3ce39e,32'h3f66dd50,// invsqrt(1.4878) = 0.8198 +32'h3e821617,32'h3ff8dc2f,32'h40018242, 32'h3ff13def,32'h40055162, 32'h3fe48b84,32'h400baa98,// invsqrt(0.2541) = 1.9839 +32'h3fdb1944,32'h3f3fc1c8,32'h3f479572, 32'h3f39e308,32'h3f4d7432, 32'h3f301a74,32'h3f573cc6,// invsqrt(1.7117) = 0.7643 +32'h3dbb400b,32'h404f6ca8,32'h4057e408, 32'h40491320,32'h405e3d90, 32'h403e7de9,32'h4068d2c7,// invsqrt(0.0914) = 3.3071 +32'h3fce7d12,32'h3f458683,32'h3f4d9673, 32'h3f3f7a8e,32'h3f53a268, 32'h3f3566a2,32'h3f5db654,// invsqrt(1.6132) = 0.7873 +32'h3f1797bc,32'h3fa302d0,32'h3fa9aa1c, 32'h3f9e0556,32'h3faea796, 32'h3f95b437,32'h3fb6f8b5,// invsqrt(0.5922) = 1.2995 +32'h408c6a97,32'h3eef87ff,32'h3ef94edb, 32'h3ee832db,32'h3f005200, 32'h3edbfa48,32'h3f066e49,// invsqrt(4.3880) = 0.4774 +32'h3fa761cb,32'h3f5b63d1,32'h3f645837, 32'h3f54ac84,32'h3f6b0f84, 32'h3f497b04,32'h3f764104,// invsqrt(1.3077) = 0.8745 +32'h3fbb78d0,32'h3f4f4d3e,32'h3f57c355, 32'h3f48f4ac,32'h3f5e1be6, 32'h3f3e610e,32'h3f68af84,// invsqrt(1.4646) = 0.8263 +32'h3f342d1b,32'h3f9585d4,32'h3f9ba030, 32'h3f90f20f,32'h3fa033f5, 32'h3f89511b,32'h3fa7d4e9,// invsqrt(0.7038) = 1.1920 +32'h3f0a823d,32'h3faa895a,32'h3fb17f49, 32'h3fa550e8,32'h3fb6b7bc, 32'h3f9c9d7d,32'h3fbf6b27,// invsqrt(0.5410) = 1.3595 +32'h40114c0f,32'h3f26814e,32'h3f2d4d1d, 32'h3f216873,32'h3f3265f9, 32'h3f18e9b1,32'h3f3ae4bb,// invsqrt(2.2703) = 0.6637 +32'h3ed13e94,32'h3fc43869,32'h3fcc3ab7, 32'h3fbe36af,32'h3fd23c71, 32'h3fb433ce,32'h3fdc3f52,// invsqrt(0.4087) = 1.5643 +32'h3e988dc1,32'h3fe5ce19,32'h3fef2f54, 32'h3fdec52e,32'h3ff63840, 32'h3fd30ba5,32'h4000f8e5,// invsqrt(0.2980) = 1.8320 +32'h3f50697a,32'h3f8b068b,32'h3f90b337, 32'h3f86c509,32'h3f94f4b9, 32'h3f7f5a62,32'h3f9c0c91,// invsqrt(0.8141) = 1.1083 +32'h40199881,32'h3f21f1d1,32'h3f288df9, 32'h3f1cfcb3,32'h3f2d8317, 32'h3f14b981,32'h3f35c649,// invsqrt(2.3999) = 0.6455 +32'h4053740d,32'h3f0a05ab,32'h3f0fa7dc, 32'h3f05cc07,32'h3f13e181, 32'h3efd8294,32'h3f1aec3e,// invsqrt(3.3040) = 0.5502 +32'h3f731369,32'h3f80bb4a,32'h3f85fc67, 32'h3f7994e7,32'h3f89ed3c, 32'h3f6c721e,32'h3f907ea1,// invsqrt(0.9495) = 1.0262 +32'h3e988ea7,32'h3fe5cd6c,32'h3fef2ea0, 32'h3fdec486,32'h3ff63786, 32'h3fd30b05,32'h4000f883,// invsqrt(0.2980) = 1.8320 +32'h3e92c03b,32'h3fea4df4,32'h3ff3de32, 32'h3fe321c5,32'h3ffb0a61, 32'h3fd72d78,32'h40037f57,// invsqrt(0.2866) = 1.8679 +32'h3f0b0b3f,32'h3faa3541,32'h3fb127c1, 32'h3fa4ff61,32'h3fb65da1, 32'h3f9c5041,32'h3fbf0cc1,// invsqrt(0.5431) = 1.3569 +32'h3fd99eb3,32'h3f406849,32'h3f4842bf, 32'h3f3a8471,32'h3f4e2697, 32'h3f30b35d,32'h3f57f7ab,// invsqrt(1.7002) = 0.7669 +32'h3fd96a34,32'h3f407f83,32'h3f485aeb, 32'h3f3a9af4,32'h3f4e3f7a, 32'h3f30c8b2,32'h3f5811bd,// invsqrt(1.6986) = 0.7673 +32'h3f1f6a0b,32'h3f9ef632,32'h3fa5732e, 32'h3f9a1874,32'h3faa50ec, 32'h3f91fc38,32'h3fb26d28,// invsqrt(0.6227) = 1.2672 +32'h3f125768,32'h3fa5e8f1,32'h3facae88, 32'h3fa0d4c0,32'h3fb1c2ba, 32'h3f985dc4,32'h3fba39b6,// invsqrt(0.5716) = 1.3226 +32'h4058dfe6,32'h3f084940,32'h3f0dd94e, 32'h3f041d37,32'h3f120557, 32'h3efa524d,32'h3f18f968,// invsqrt(3.3887) = 0.5432 +32'h3f4f859f,32'h3f8b52c9,32'h3f910293, 32'h3f870ef2,32'h3f95466a, 32'h3f7fe66c,32'h3f9c6226,// invsqrt(0.8106) = 1.1107 +32'h3f515683,32'h3f8ab7bf,32'h3f906135, 32'h3f8678a7,32'h3f94a04d, 32'h3f7ec9a8,32'h3f9bb420,// invsqrt(0.8177) = 1.1058 +32'h3fcf58d1,32'h3f451dbc,32'h3f4d2966, 32'h3f3f14fd,32'h3f533225, 32'h3f350669,32'h3f5d40b9,// invsqrt(1.6199) = 0.7857 +32'h3f802d18,32'h3f7ab522,32'h3f827863, 32'h3f730868,32'h3f864ec0, 32'h3f663ddc,32'h3f8cb406,// invsqrt(1.0014) = 0.9993 +32'h4009f7c0,32'h3f2adedc,32'h3f31d848, 32'h3f25a3cb,32'h3f371359, 32'h3f1cec03,32'h3f3fcb21,// invsqrt(2.1557) = 0.6811 +32'h3f7e6b98,32'h3f7ba85c,32'h3f82f6f6, 32'h3f73f42f,32'h3f86d10c, 32'h3f671d3a,32'h3f8d3c87,// invsqrt(0.9938) = 1.0031 +32'h40e3c881,32'h3ebc10e2,32'h3ec3bdfa, 32'h3eb64f0f,32'h3ec97fcd, 32'h3eacb6b0,32'h3ed3182c,// invsqrt(7.1182) = 0.3748 +32'h410c4c2a,32'h3ea97226,32'h3eb05caf, 32'h3ea4423e,32'h3eb58c96, 32'h3e9b9d13,32'h3ebe31c1,// invsqrt(8.7686) = 0.3377 +32'h3f2ab063,32'h3f999f38,32'h3f9fe469, 32'h3f94eb52,32'h3fa4984e, 32'h3f8d14d5,32'h3fac6ecb,// invsqrt(0.6668) = 1.2247 +32'h3eb3270d,32'h3fd40f75,32'h3fdcb745, 32'h3fcd9198,32'h3fe33522, 32'h3fc2bfd4,32'h3fee06e6,// invsqrt(0.3499) = 1.6905 +32'h3f054bda,32'h3fadd6c3,32'h3fb4ef33, 32'h3fa8846e,32'h3fba4188, 32'h3f9fa5e2,32'h3fc32014,// invsqrt(0.5207) = 1.3858 +32'h4014c889,32'h3f248af5,32'h3f2b4243, 32'h3f1f817b,32'h3f304bbd, 32'h3f171c59,32'h3f38b0df,// invsqrt(2.3247) = 0.6559 +32'h3f8c8b73,32'h3f6f6bfd,32'h3f7931b4, 32'h3f6817b5,32'h3f8042ff, 32'h3f5be090,32'h3f865e91,// invsqrt(1.0980) = 0.9543 +32'h3f1a5281,32'h3fa1901c,32'h3fa82846, 32'h3f9c9dfb,32'h3fad1a67, 32'h3f945fc6,32'h3fb5589c,// invsqrt(0.6028) = 1.2880 +32'h3f819044,32'h3f795c94,32'h3f81c513, 32'h3f71ba65,32'h3f85962a, 32'h3f65016d,32'h3f8bf2a6,// invsqrt(1.0122) = 0.9939 +32'h3e6b60ba,32'h4002d1da,32'h400828c8, 32'h3ffda14d,32'h400c29fb, 32'h3ff047f8,32'h4012d6a6,// invsqrt(0.2299) = 2.0858 +32'h3fc0e22b,32'h3f4c5f7d,32'h3f54b6fb, 32'h3f461ddf,32'h3f5af899, 32'h3f3bb083,32'h3f6565f5,// invsqrt(1.5069) = 0.8146 +32'h3fbbe1c1,32'h3f4f1351,32'h3f57870b, 32'h3f48bc85,32'h3f5dddd7, 32'h3f3e2bdd,32'h3f686e7f,// invsqrt(1.4678) = 0.8254 +32'h40a6f433,32'h3edbabc7,32'h3ee4a31d, 32'h3ed4f246,32'h3eeb5c9e, 32'h3ec9bd1a,32'h3ef691ca,// invsqrt(5.2173) = 0.4378 +32'h3f5bded3,32'h3f875abf,32'h3f8ce110, 32'h3f833603,32'h3f9105cd, 32'h3f789c3b,32'h3f97edb2,// invsqrt(0.8589) = 1.0790 +32'h3f9c1ff0,32'h3f632966,32'h3f6c6f02, 32'h3f5c3531,32'h3f736337, 32'h3f509e2f,32'h3f7efa39,// invsqrt(1.2197) = 0.9055 +32'h3ff4d2cc,32'h3f35672d,32'h3f3ccea7, 32'h3f2fd992,32'h3f425c42, 32'h3f269839,32'h3f4b9d9b,// invsqrt(1.9127) = 0.7231 +32'h3fc91658,32'h3f482921,32'h3f50549b, 32'h3f420886,32'h3f567536, 32'h3f37d22e,32'h3f60ab8e,// invsqrt(1.5710) = 0.7978 +32'h3fc5d1c5,32'h3f49cea2,32'h3f520b50, 32'h3f43a120,32'h3f5838d2, 32'h3f395546,32'h3f6284ac,// invsqrt(1.5455) = 0.8044 +32'h3f59c845,32'h3f880078,32'h3f8d8d8c, 32'h3f83d6a8,32'h3f91b75c, 32'h3f79cc9d,32'h3f98a7b5,// invsqrt(0.8507) = 1.0842 +32'h3f7b5099,32'h3f7d352e,32'h3f83c579, 32'h3f7574dc,32'h3f87a5a2, 32'h3f6889a8,32'h3f8e1b3c,// invsqrt(0.9817) = 1.0093 +32'h3d1e6c28,32'h409f755f,32'h40a5f78d, 32'h409a93bd,32'h40aad92f, 32'h40927104,32'h40b2fbe8,// invsqrt(0.0387) = 5.0848 +32'h3f328605,32'h3f963699,32'h3f9c582d, 32'h3f919d6b,32'h3fa0f15b, 32'h3f89f373,32'h3fa89b53,// invsqrt(0.6974) = 1.1975 +32'h3e96fa42,32'h3fe70063,32'h3ff06e1d, 32'h3fdfee16,32'h3ff7806a, 32'h3fd424ed,32'h4001a4ca,// invsqrt(0.2949) = 1.8415 +32'h3f3268e8,32'h3f9642da,32'h3f9c64ee, 32'h3f91a94c,32'h3fa0fe7c, 32'h3f89feb4,32'h3fa8a914,// invsqrt(0.6969) = 1.1979 +32'h3fbb929c,32'h3f4f3efc,32'h3f57b47e, 32'h3f48e6da,32'h3f5e0ca0, 32'h3f3e53f7,32'h3f689f83,// invsqrt(1.4654) = 0.8261 +32'h403ca91e,32'h3f121f37,32'h3f18160b, 32'h3f0da619,32'h3f1c8f29, 32'h3f063191,32'h3f2403b1,// invsqrt(2.9478) = 0.5824 +32'h3f43e88f,32'h3f8f64bc,32'h3f953f0d, 32'h3f8b0100,32'h3f99a2ca, 32'h3f83b01b,32'h3fa0f3af,// invsqrt(0.7653) = 1.1431 +32'h3d7e4f87,32'h407bb63f,32'h4082fe30, 32'h407401a6,32'h4086d87d, 32'h406729fb,32'h408d4452,// invsqrt(0.0621) = 4.0133 +32'h3f9e5a4c,32'h3f618eda,32'h3f6ac3b4, 32'h3f5aa737,32'h3f71ab57, 32'h3f4f2526,32'h3f7d2d68,// invsqrt(1.2371) = 0.8991 +32'h3fcbf281,32'h3f46c094,32'h3f4edd56, 32'h3f40ab02,32'h3f54f2e8, 32'h3f368710,32'h3f5f16da,// invsqrt(1.5933) = 0.7922 +32'h3f5da28f,32'h3f86d088,32'h3f8c5135, 32'h3f82b007,32'h3f9071b7, 32'h3f779e5e,32'h3f97528f,// invsqrt(0.8658) = 1.0747 +32'h3f7677b7,32'h3f7faf7c,32'h3f850f92, 32'h3f77dbbf,32'h3f88f970, 32'h3f6ad02e,32'h3f8f7f39,// invsqrt(0.9628) = 1.0192 +32'h3f6d30df,32'h3f82519c,32'h3f87a34e, 32'h3f7ca8ab,32'h3f8ba094, 32'h3f6f5c6c,32'h3f9246b4,// invsqrt(0.9265) = 1.0389 +32'h4016a2ca,32'h3f238723,32'h3f2a33d6, 32'h3f1e859c,32'h3f2f355c, 32'h3f162dbc,32'h3f378d3c,// invsqrt(2.3537) = 0.6518 +32'h3f541c04,32'h3f89cefa,32'h3f8f6ef0, 32'h3f859702,32'h3f93a6e8, 32'h3f7d1e1f,32'h3f9aaeda,// invsqrt(0.8286) = 1.0986 +32'h42d5b908,32'h3dc22757,32'h3dca140d, 32'h3dbc35d0,32'h3dd00594, 32'h3db24ded,32'h3dd9ed77,// invsqrt(106.8614) = 0.0967 +32'h3e02dfd6,32'h402f70a7,32'h403699d3, 32'h402a11c7,32'h403bf8b3, 32'h40211e50,32'h4044ec2a,// invsqrt(0.1278) = 2.7972 +32'h3f21fa8d,32'h3f9db2c5,32'h3fa4228e, 32'h3f98deed,32'h3fa8f665, 32'h3f90d332,32'h3fb10220,// invsqrt(0.6327) = 1.2572 +32'h3d95e6ef,32'h4067d425,32'h40714a85, 32'h4060bb5e,32'h4078634c, 32'h4054e766,32'h40821ba2,// invsqrt(0.0732) = 3.6962 +32'h3eb305b2,32'h3fd42336,32'h3fdccbd4, 32'h3fcda4be,32'h3fe34a4c, 32'h3fc2d1f8,32'h3fee1d12,// invsqrt(0.3497) = 1.6911 +32'h3ec30b8b,32'h3fcb3cc3,32'h3fd38862, 32'h3fc5040b,32'h3fd9c119, 32'h3fbaa583,32'h3fe41fa1,// invsqrt(0.3809) = 1.6202 +32'h40015739,32'h3f307a24,32'h3f37ae26, 32'h3f2b1323,32'h3f3d1527, 32'h3f221221,32'h3f461629,// invsqrt(2.0209) = 0.7034 +32'h3f5317ba,32'h3f8a23d7,32'h3f8fc743, 32'h3f85e946,32'h3f9401d4, 32'h3f7db9fe,32'h3f9b0e1b,// invsqrt(0.8246) = 1.1012 +32'h400ba1e2,32'h3f29d958,32'h3f30c818, 32'h3f24a648,32'h3f35fb28, 32'h3f1bfbd9,32'h3f3ea597,// invsqrt(2.1818) = 0.6770 +32'h3fcb9607,32'h3f46edb3,32'h3f4f0c4c, 32'h3f40d6bf,32'h3f55233f, 32'h3f36b07f,32'h3f5f497f,// invsqrt(1.5905) = 0.7929 +32'h3e679191,32'h4003e42d,32'h4009464e, 32'h3fffb527,32'h400d4fe6, 32'h3ff23fd4,32'h40140a90,// invsqrt(0.2261) = 2.1029 +32'h3f4a35f3,32'h3f8d2428,32'h3f92e6f0, 32'h3f88d212,32'h3f973906, 32'h3f819e98,32'h3f9e6c80,// invsqrt(0.7899) = 1.1252 +32'h3f71e97f,32'h3f810a76,32'h3f864ece, 32'h3f7a2e67,32'h3f8a4210, 32'h3f6d038a,32'h3f90d77f,// invsqrt(0.9450) = 1.0287 +32'h3e84d89e,32'h3ff642f2,32'h40002810, 32'h3feeb90f,32'h4003ed02, 32'h3fe22895,32'h400a353f,// invsqrt(0.2595) = 1.9632 +32'h3fc26306,32'h3f4b94c8,32'h3f53e400, 32'h3f45595f,32'h3f5a1f69, 32'h3f3af65a,32'h3f64826e,// invsqrt(1.5186) = 0.8115 +32'h3e9884b1,32'h3fe5d4ed,32'h3fef366f, 32'h3fdecbcc,32'h3ff63f90, 32'h3fd311e9,32'h4000fcb9,// invsqrt(0.2979) = 1.8322 +32'h3e47f26b,32'h400df020,32'h4013bb3c, 32'h400997cc,32'h40181390, 32'h400259e9,32'h401f5173,// invsqrt(0.1953) = 2.2630 +32'h3f95e236,32'h3f67d7cc,32'h3f714e52, 32'h3f60bee8,32'h3f786736, 32'h3f54eac1,32'h3f821daf,// invsqrt(1.1710) = 0.9241 +32'h3f809de7,32'h3f7a4718,32'h3f823f1f, 32'h3f729dbc,32'h3f8613cd, 32'h3f65d8cd,32'h3f8c7644,// invsqrt(1.0048) = 0.9976 +32'h3ed8c70d,32'h3fc0c7e6,32'h3fc8a642, 32'h3fbae120,32'h3fce8d08, 32'h3fb10b2c,32'h3fd862fc,// invsqrt(0.4234) = 1.5368 +32'h3f66287d,32'h3f844b79,32'h3f89b1d2, 32'h3f803eb7,32'h3f8dbe95, 32'h3f72fd91,32'h3f947e83,// invsqrt(0.8991) = 1.0546 +32'h408747bf,32'h3ef40934,32'h3efdff22, 32'h3eec90c2,32'h3f02bbca, 32'h3ee01d5a,32'h3f08f57e,// invsqrt(4.2275) = 0.4864 +32'h401a02b4,32'h3f21b9f2,32'h3f2853d2, 32'h3f1cc68a,32'h3f2d473a, 32'h3f148632,32'h3f358792,// invsqrt(2.4064) = 0.6446 +32'h40236e49,32'h3f1cff05,32'h3f236779, 32'h3f1830af,32'h3f2835cf, 32'h3f102e1f,32'h3f30385f,// invsqrt(2.5536) = 0.6258 +32'h3efb8d45,32'h3fb2f5e6,32'h3fba43da, 32'h3fad7b6e,32'h3fbfbe52, 32'h3fa459fd,32'h3fc8dfc3,// invsqrt(0.4913) = 1.4267 +32'h3eb9c9d7,32'h3fd03d23,32'h3fd8bd05, 32'h3fc9dd39,32'h3fdf1cef, 32'h3fbf3d5f,32'h3fe9bcc9,// invsqrt(0.3629) = 1.6601 +32'h3fca1aaf,32'h3f47a80c,32'h3f4fce41, 32'h3f418b65,32'h3f55eae9, 32'h3f375ba3,32'h3f601aab,// invsqrt(1.5789) = 0.7958 +32'h3f991144,32'h3f656b4b,32'h3f6ec87e, 32'h3f5e6566,32'h3f75ce64, 32'h3f52b0e8,32'h3f80c171,// invsqrt(1.1958) = 0.9145 +32'h3ffbd8bd,32'h3f32db14,32'h3f3a27f0, 32'h3f2d616f,32'h3f3fa195, 32'h3f24415b,32'h3f48c1a9,// invsqrt(1.9676) = 0.7129 +32'h3ff75411,32'h3f347b68,32'h3f3bd943, 32'h3f2ef505,32'h3f415fa7, 32'h3f25bfb4,32'h3f4a94f8,// invsqrt(1.9323) = 0.7194 +32'h3ec53dcc,32'h3fca1a47,32'h3fd25a0b, 32'h3fc3ea74,32'h3fd889de, 32'h3fb99abe,32'h3fe2d994,// invsqrt(0.3852) = 1.6111 +32'h3f49af6f,32'h3f8d5332,32'h3f9317e5, 32'h3f88ffaa,32'h3f976b6c, 32'h3f81c9ca,32'h3f9ea14c,// invsqrt(0.7878) = 1.1266 +32'h3fc52569,32'h3f4a26c6,32'h3f52670d, 32'h3f43f692,32'h3f589742, 32'h3f39a639,32'h3f62e79b,// invsqrt(1.5402) = 0.8058 +32'h3f84a5ee,32'h3f7671fa,32'h3f80408a, 32'h3f6ee6a7,32'h3f840634, 32'h3f6253c6,32'h3f8a4fa4,// invsqrt(1.0363) = 0.9823 +32'h3f6a396f,32'h3f832437,32'h3f887e82, 32'h3f7e40fc,32'h3f8c823a, 32'h3f70df3f,32'h3f933318,// invsqrt(0.9149) = 1.0455 +32'h40887fe3,32'h3ef2f18e,32'h3efcdc11, 32'h3eeb81ab,32'h3f0225f9, 32'h3edf1c87,32'h3f08588b,// invsqrt(4.2656) = 0.4842 +32'h4002f2ea,32'h3f2f63df,32'h3f368c85, 32'h3f2a0563,32'h3f3beb01, 32'h3f211293,32'h3f44ddd1,// invsqrt(2.0461) = 0.6991 +32'h3f0ab274,32'h3faa6bb4,32'h3fb1606c, 32'h3fa53429,32'h3fb697f7, 32'h3f9c8242,32'h3fbf49de,// invsqrt(0.5418) = 1.3586 +32'h3e8392bd,32'h3ff7732e,32'h4000c663, 32'h3fefdffa,32'h40048ffd, 32'h3fe33ffb,32'h400adffd,// invsqrt(0.2570) = 1.9727 +32'h3fa380c6,32'h3f5dfa22,32'h3f670991, 32'h3f572e8e,32'h3f6dd524, 32'h3f4bdb43,32'h3f79286f,// invsqrt(1.2774) = 0.8848 +32'h3fe15bc4,32'h3f3d1333,32'h3f44cad7, 32'h3f374978,32'h3f4a9492, 32'h3f2da3eb,32'h3f543a1f,// invsqrt(1.7606) = 0.7536 +32'h4043379f,32'h3f0fa5a9,32'h3f1582a1, 32'h3f0b3ff0,32'h3f19e85a, 32'h3f03ebbb,32'h3f213c8f,// invsqrt(3.0503) = 0.5726 +32'h3f81eab2,32'h3f7905bc,32'h3f8197e1, 32'h3f716635,32'h3f8567a4, 32'h3f64b1ac,32'h3f8bc1e9,// invsqrt(1.0150) = 0.9926 +32'h3f6b9396,32'h3f82c3ba,32'h3f881a15, 32'h3f7d85ea,32'h3f8c1ad9, 32'h3f702e06,32'h3f92c6cb,// invsqrt(0.9202) = 1.0424 +32'h3ea07b39,32'h3fe00ea0,32'h3fe933cb, 32'h3fd932bf,32'h3ff00fab, 32'h3fcdc449,32'h3ffb7e21,// invsqrt(0.3134) = 1.7862 +32'h3f635372,32'h3f851dcb,32'h3f8a8cb9, 32'h3f810a98,32'h3f8e9fec, 32'h3f747fdd,32'h3f956a95,// invsqrt(0.8880) = 1.0612 +32'h40e186da,32'h3ebd0122,32'h3ec4b80a, 32'h3eb737f5,32'h3eca8137, 32'h3ead9354,32'h3ed425d8,// invsqrt(7.0477) = 0.3767 +32'h402203b5,32'h3f1dae50,32'h3f241dea, 32'h3f18da9c,32'h3f28f19e, 32'h3f10cf1a,32'h3f30fd20,// invsqrt(2.5315) = 0.6285 +32'h40a182b7,32'h3edf5791,32'h3ee87543, 32'h3ed8814b,32'h3eef4b89, 32'h3ecd1c2c,32'h3efab0a8,// invsqrt(5.0472) = 0.4451 +32'h4213cfc0,32'h3e251534,32'h3e2bd226, 32'h3e20077e,32'h3e30dfdc, 32'h3e179b4f,32'h3e394c0b,// invsqrt(36.9529) = 0.1645 +32'h3f060c44,32'h3fad59d2,32'h3fb46d28, 32'h3fa80b50,32'h3fb9bbaa, 32'h3f9f3324,32'h3fc293d6,// invsqrt(0.5236) = 1.3819 +32'h4148cf4f,32'h3e8da1fa,32'h3e9369e4, 32'h3e894c09,32'h3e97bfd5, 32'h3e821224,32'h3e9ef9ba,// invsqrt(12.5506) = 0.2823 +32'h400bb539,32'h3f29cd96,32'h3f30bbdb, 32'h3f249ae3,32'h3f35ee8f, 32'h3f1bf10d,32'h3f3e9865,// invsqrt(2.1829) = 0.6768 +32'h3fd2afbb,32'h3f438c36,32'h3f4b877d, 32'h3f3d8fc2,32'h3f5183f2, 32'h3f3395ab,32'h3f5b7e09,// invsqrt(1.6460) = 0.7794 +32'h3f02ddd1,32'h3faf7202,32'h3fb69b3b, 32'h3faa1316,32'h3fbbfa26, 32'h3fa11f8e,32'h3fc4edae,// invsqrt(0.5112) = 1.3986 +32'h3fde6025,32'h3f3e56c0,32'h3f461b99, 32'h3f38831e,32'h3f4bef3c, 32'h3f2ecd0f,32'h3f55a54b,// invsqrt(1.7373) = 0.7587 +32'h3fb05f41,32'h3f55b9b3,32'h3f5e72e9, 32'h3f4f2eca,32'h3f64fdd2, 32'h3f444746,32'h3f6fe556,// invsqrt(1.3779) = 0.8519 +32'h3f018296,32'h3fb05c97,32'h3fb78f63, 32'h3faaf67d,32'h3fbcf57d, 32'h3fa1f6fd,32'h3fc5f4fd,// invsqrt(0.5059) = 1.4059 +32'h3df58372,32'h403525df,32'h403c8aaf, 32'h402f9a43,32'h4042164b, 32'h40265c40,32'h404b544e,// invsqrt(0.1199) = 2.8882 +32'h40aa0828,32'h3ed9ac75,32'h3ee28eed, 32'h3ed3029b,32'h3ee938c7, 32'h3ec7e786,32'h3ef453dc,// invsqrt(5.3135) = 0.4338 +32'h3e7981e6,32'h3ffe1f89,32'h40043f6f, 32'h3ff6580b,32'h4008232e, 32'h3fe960e2,32'h400e9ec3,// invsqrt(0.2437) = 2.0259 +32'h3f61cd16,32'h3f8590aa,32'h3f8b0448, 32'h3f8179f3,32'h3f8f1aff, 32'h3f7552da,32'h3f95eb85,// invsqrt(0.8820) = 1.0648 +32'h400e700b,32'h3f282b08,32'h3f2f0838, 32'h3f230525,32'h3f342e1b, 32'h3f1a70aa,32'h3f3cc296,// invsqrt(2.2256) = 0.6703 +32'h3f8b8789,32'h3f704a95,32'h3f7a1961, 32'h3f68ef7b,32'h3f80ba3d, 32'h3f5cacfc,32'h3f86db7d,// invsqrt(1.0901) = 0.9578 +32'h3e9555ac,32'h3fe844cb,32'h3ff1bfc3, 32'h3fe12890,32'h3ff8dbfe, 32'h3fd54eda,32'h40025ada,// invsqrt(0.2917) = 1.8516 +32'h3f6ebd7b,32'h3f81e52f,32'h3f873275, 32'h3f7bd675,32'h3f8b2c69, 32'h3f6e9547,32'h3f91cd01,// invsqrt(0.9326) = 1.0355 +32'h3f146697,32'h3fa4c138,32'h3fab7abd, 32'h3f9fb615,32'h3fb085e1, 32'h3f974e2f,32'h3fb8edc7,// invsqrt(0.5797) = 1.3134 +32'h3f452504,32'h3f8ef178,32'h3f94c714, 32'h3f8a9142,32'h3f99274a, 32'h3f83463f,32'h3fa0724d,// invsqrt(0.7701) = 1.1395 +32'h404788e9,32'h3f0e15a2,32'h3f13e245, 32'h3f09bc27,32'h3f183bbf, 32'h3f027c5b,32'h3f1f7b8b,// invsqrt(3.1177) = 0.5663 +32'h3eca18d3,32'h3fc7a8f8,32'h3fcfcf36, 32'h3fc18c49,32'h3fd5ebe5, 32'h3fb75c7b,32'h3fe01bb3,// invsqrt(0.3947) = 1.5917 +32'h3e0dde0f,32'h40288178,32'h402f622e, 32'h402358ef,32'h40348ab7, 32'h401ac00b,32'h403d239b,// invsqrt(0.1385) = 2.6866 +32'h3f719761,32'h3f812062,32'h3f8665a0, 32'h3f7a58e8,32'h3f8a598e, 32'h3f6d2bcf,32'h3f90f01b,// invsqrt(0.9437) = 1.0294 +32'h3f15fc6a,32'h3fa3e1bc,32'h3faa9222, 32'h3f9edd70,32'h3faf966e, 32'h3f9680f1,32'h3fb7f2ed,// invsqrt(0.5859) = 1.3065 +32'h3fdb235d,32'h3f3fbd5d,32'h3f4790d9, 32'h3f39dec0,32'h3f4d6f76, 32'h3f301665,32'h3f5737d1,// invsqrt(1.7120) = 0.7643 +32'h3fcd58a7,32'h3f4612f5,32'h3f4e28a1, 32'h3f4002b4,32'h3f5438e2, 32'h3f35e79d,32'h3f5e53f9,// invsqrt(1.6043) = 0.7895 +32'h3fa92db6,32'h3f5a38d0,32'h3f632102, 32'h3f538aaa,32'h3f69cf28, 32'h3f48686b,32'h3f74f167,// invsqrt(1.3217) = 0.8698 +32'h41417559,32'h3e904c74,32'h3e96303a, 32'h3e8be19f,32'h3e9a9b0f, 32'h3e8484e8,32'h3ea1f7c6,// invsqrt(12.0911) = 0.2876 +32'h3f39bb6d,32'h3f9344f7,32'h3f9947c7, 32'h3f8ec2da,32'h3f9dc9e4, 32'h3f873f56,32'h3fa54d68,// invsqrt(0.7255) = 1.1740 +32'h4007537f,32'h3f2c87bc,32'h3f339280, 32'h3f273fa9,32'h3f38da93, 32'h3f1e7235,32'h3f41a807,// invsqrt(2.1145) = 0.6877 +32'h40a9e18e,32'h3ed9c52e,32'h3ee2a8a8, 32'h3ed31a92,32'h3ee95344, 32'h3ec7fe3a,32'h3ef46f9c,// invsqrt(5.3088) = 0.4340 +32'h3e49e68d,32'h400d3fe6,32'h401303d0, 32'h4008ecf6,32'h401756c0, 32'h4001b812,32'h401e8ba4,// invsqrt(0.1972) = 2.2521 +32'h402e6234,32'h3f17fc62,32'h3f1e307a, 32'h3f13554f,32'h3f22d78d, 32'h3f0b9430,32'h3f2a98ac,// invsqrt(2.7247) = 0.6058 +32'h3dab15bc,32'h405900b3,32'h4061dc28, 32'h40525c1b,32'h406880bf, 32'h404749c8,32'h40739312,// invsqrt(0.0835) = 3.4599 +32'h3f89fb97,32'h3f71a262,32'h3f7b7f36, 32'h3f6a3cc2,32'h3f81726b, 32'h3f5de8b8,32'h3f879c70,// invsqrt(1.0780) = 0.9631 +32'h3fc35e17,32'h3f4b11cf,32'h3f535bad, 32'h3f44da68,32'h3f599314, 32'h3f3a7e11,32'h3f63ef6b,// invsqrt(1.5263) = 0.8094 +32'h3ec52e43,32'h3fca223d,32'h3fd26255, 32'h3fc3f22c,32'h3fd89266, 32'h3fb9a20e,32'h3fe2e284,// invsqrt(0.3851) = 1.6114 +32'h400f1d6c,32'h3f27c50c,32'h3f2e9e12, 32'h3f22a248,32'h3f33c0d6, 32'h3f1a1301,32'h3f3c501d,// invsqrt(2.2362) = 0.6687 +32'h3d8ba914,32'h40702db8,32'h4079fb58, 32'h4068d381,32'h4080aac7, 32'h405c927a,32'h4086cb4b,// invsqrt(0.0682) = 3.8294 +32'h3ee573fb,32'h3fbb6160,32'h3fc30750, 32'h3fb5a4ed,32'h3fc8c3c3, 32'h3fac1582,32'h3fd2532e,// invsqrt(0.4482) = 1.4938 +32'h3f962649,32'h3f67a338,32'h3f711798, 32'h3f608bf0,32'h3f782ee0, 32'h3f54ba77,32'h3f82002c,// invsqrt(1.1730) = 0.9233 +32'h4072f5b6,32'h3f00c328,32'h3f060497, 32'h3ef9a428,32'h3f09f5aa, 32'h3eec8091,32'h3f108775,// invsqrt(3.7962) = 0.5132 +32'h3f2a4a52,32'h3f99cd3a,32'h3fa0144c, 32'h3f9517ec,32'h3fa4c99a, 32'h3f8d3f16,32'h3faca270,// invsqrt(0.6652) = 1.2261 +32'h4091efd5,32'h3eeaf504,32'h3ef48c14, 32'h3ee3c3b8,32'h3efbbd60, 32'h3ed7c6e4,32'h3f03dd1a,// invsqrt(4.5605) = 0.4683 +32'h3f214b6e,32'h3f9e0849,32'h3fa47b90, 32'h3f9931d4,32'h3fa95206, 32'h3f9121bc,32'h3fb1621e,// invsqrt(0.6301) = 1.2598 +32'h3e60a819,32'h4005e7a6,32'h400b5ed2, 32'h4001ce46,32'h400f7832, 32'h3ff5f29f,32'h40164d28,// invsqrt(0.2194) = 2.1350 +32'h3e9964ee,32'h3fe52cb2,32'h3fee8756, 32'h3fde28b7,32'h3ff58b51, 32'h3fd2776a,32'h40009e4f,// invsqrt(0.2996) = 1.8270 +32'h400219ee,32'h3f2ff5e4,32'h3f372480, 32'h3f2a92f0,32'h3f3c8774, 32'h3f2198ad,32'h3f4581b7,// invsqrt(2.0328) = 0.7014 +32'h3f40aee6,32'h3f9096b0,32'h3f967d7e, 32'h3f8c2996,32'h3f9aea98, 32'h3f84c915,32'h3fa24b19,// invsqrt(0.7527) = 1.1527 +32'h3f89e7d4,32'h3f71b3b1,32'h3f7b913b, 32'h3f6a4d8a,32'h3f817bb1, 32'h3f5df89d,32'h3f87a627,// invsqrt(1.0774) = 0.9634 +32'h41006fc2,32'h3eb118e2,32'h3eb8535e, 32'h3eabad05,32'h3ebdbf3b, 32'h3ea2a3ea,32'h3ec6c856,// invsqrt(8.0273) = 0.3530 +32'h3f86129b,32'h3f7521eb,32'h3f7f234d, 32'h3f6da0e1,32'h3f83522c, 32'h3f611f26,32'h3f899309,// invsqrt(1.0474) = 0.9771 +32'h3f938bd6,32'h3f69ac12,32'h3f7335b5, 32'h3f6284d9,32'h3f7a5cef, 32'h3f5698cd,32'h3f83247d,// invsqrt(1.1527) = 0.9314 +32'h4061437a,32'h3f05b970,32'h3f0b2eb8, 32'h3f01a179,32'h3f0f46af, 32'h3ef59dbe,32'h3f161949,// invsqrt(3.5197) = 0.5330 +32'h3f16430b,32'h3fa3bb34,32'h3faa6a08, 32'h3f9eb816,32'h3faf6d26, 32'h3f965d8e,32'h3fb7c7ae,// invsqrt(0.5870) = 1.3053 +32'h3ecabc58,32'h3fc75862,32'h3fcf7b56, 32'h3fc13e2b,32'h3fd5958d, 32'h3fb71279,32'h3fdfc13f,// invsqrt(0.3960) = 1.5892 +32'h418e22b8,32'h3e6e1402,32'h3e77cbaf, 32'h3e66ca41,32'h3e7f1571, 32'h3e5aa4aa,32'h3e859d84,// invsqrt(17.7670) = 0.2372 +32'h3edbcba8,32'h3fbf73e7,32'h3fc74463, 32'h3fb9978a,32'h3fcd20c0, 32'h3fafd2ee,32'h3fd6e55c,// invsqrt(0.4293) = 1.5262 +32'h3e532499,32'h400a1fa1,32'h400fc2e1, 32'h4005e531,32'h4013fd51, 32'h3ffdb242,32'h401b0961,// invsqrt(0.2062) = 2.2022 +32'h428959d3,32'h3df23083,32'h3dfc1325, 32'h3deac689,32'h3e01be8f, 32'h3dde6b3f,32'h3e07ec35,// invsqrt(68.6754) = 0.1207 +32'h3ef8e9bb,32'h3fb3e81b,32'h3fbb3ff2, 32'h3fae6639,32'h3fc0c1d3, 32'h3fa5386c,32'h3fc9efa0,// invsqrt(0.4862) = 1.4342 +32'h3f5b5f92,32'h3f8781fc,32'h3f8d09e6, 32'h3f835c0b,32'h3f912fd7, 32'h3f78e44b,32'h3f9819bc,// invsqrt(0.8569) = 1.0803 +32'h3fc5ee36,32'h3f49c022,32'h3f51fc38, 32'h3f439311,32'h3f582949, 32'h3f3947f5,32'h3f627465,// invsqrt(1.5463) = 0.8042 +32'h41299478,32'h3e9a1f9c,32'h3ea06a0a, 32'h3e9567c8,32'h3ea521de, 32'h3e8d8abe,32'h3eacfee8,// invsqrt(10.5987) = 0.3072 +32'h3d90c46d,32'h406be77e,32'h40758874, 32'h4064aec6,32'h407cc12c, 32'h4058a593,32'h4084652f,// invsqrt(0.0707) = 3.7612 +32'h3ef09d8a,32'h3fb6fb7f,32'h3fbe7379, 32'h3fb16183,32'h3fc40d75, 32'h3fa80b89,32'h3fcd636f,// invsqrt(0.4700) = 1.4587 +32'h3ebb443e,32'h3fcf6a54,32'h3fd7e19c, 32'h3fc910df,32'h3fde3b11, 32'h3fbe7bc6,32'h3fe8d02a,// invsqrt(0.3658) = 1.6535 +32'h3ecd4d2b,32'h3fc6187f,32'h3fce2e65, 32'h3fc00813,32'h3fd43ed1, 32'h3fb5ecb3,32'h3fde5a31,// invsqrt(0.4010) = 1.5792 +32'h3fec230b,32'h3f38b59e,32'h3f403fa5, 32'h3f330e1a,32'h3f45e72a, 32'h3f29a192,32'h3f4f53b2,// invsqrt(1.8448) = 0.7362 +32'h3fa3425f,32'h3f5e248a,32'h3f6735b4, 32'h3f5757aa,32'h3f6e0294, 32'h3f4c0236,32'h3f795809,// invsqrt(1.2755) = 0.8855 +32'h3f025e9c,32'h3fafc785,32'h3fb6f43d, 32'h3faa65fc,32'h3fbc55c6, 32'h3fa16e17,32'h3fc54dab,// invsqrt(0.5093) = 1.4013 +32'h3feaa23f,32'h3f394cd6,32'h3f40dd09, 32'h3f33a0b1,32'h3f46892f, 32'h3f2a2c72,32'h3f4ffd6e,// invsqrt(1.8331) = 0.7386 +32'h40f33e2a,32'h3eb5fdd0,32'h3ebd6b70, 32'h3eb06b98,32'h3ec2fda8, 32'h3ea72290,32'h3ecc46b0,// invsqrt(7.6013) = 0.3627 +32'h406f9bd9,32'h3f01a8db,32'h3f06f3ab, 32'h3efb617f,32'h3f0aebc6, 32'h3eee2679,32'h3f11894a,// invsqrt(3.7439) = 0.5168 +32'h3f69f53b,32'h3f833753,32'h3f889266, 32'h3f7e6608,32'h3f8c96b4, 32'h3f710259,32'h3f93488c,// invsqrt(0.9139) = 1.0460 +32'h400526b3,32'h3f2def02,32'h3f350870, 32'h3f289bf0,32'h3f3a5b82, 32'h3f1fbc26,32'h3f433b4c,// invsqrt(2.0805) = 0.6933 +32'h3f7a9e3a,32'h3f7d8f39,32'h3f83f455, 32'h3f75cc26,32'h3f87d5df, 32'h3f68dc5a,32'h3f8e4dc5,// invsqrt(0.9790) = 1.0107 +32'h3f1f9134,32'h3f9ee2af,32'h3fa55edf, 32'h3f9a058a,32'h3faa3c04, 32'h3f91ea4d,32'h3fb25741,// invsqrt(0.6233) = 1.2666 +32'h3f853581,32'h3f75ed07,32'h3f7ff6b3, 32'h3f6e65c5,32'h3f83befa, 32'h3f61d9ad,32'h3f8a0506,// invsqrt(1.0407) = 0.9803 +32'h3f1d89d9,32'h3f9fe7be,32'h3fa66e97, 32'h3f9b029c,32'h3fab53ba, 32'h3f92da0d,32'h3fb37c49,// invsqrt(0.6154) = 1.2748 +32'h401b77cf,32'h3f20f76d,32'h3f27895d, 32'h3f1c09fa,32'h3f2c76d0, 32'h3f13d38e,32'h3f34ad3c,// invsqrt(2.4292) = 0.6416 +32'h3ef380eb,32'h3fb5e4dc,32'h3fbd5178, 32'h3fb05368,32'h3fc2e2ec, 32'h3fa70ba6,32'h3fcc2aae,// invsqrt(0.4756) = 1.4500 +32'h411614cb,32'h3ea3d46c,32'h3eaa8447, 32'h3e9ed089,32'h3eaf882b, 32'h3e9674b7,32'h3eb7e3fd,// invsqrt(9.3801) = 0.3265 +32'h3f3b0b6c,32'h3f92c076,32'h3f98bdde, 32'h3f8e4268,32'h3f9d3bec, 32'h3f86c5a6,32'h3fa4b8ae,// invsqrt(0.7306) = 1.1699 +32'h40034d06,32'h3f2f27a6,32'h3f364dd6, 32'h3f29cb01,32'h3f3baa7b, 32'h3f20db45,32'h3f449a37,// invsqrt(2.0516) = 0.6982 +32'h3f2d973d,32'h3f985522,32'h3f9e8cda, 32'h3f93ab58,32'h3fa336a4, 32'h3f8be5b1,32'h3faafc4b,// invsqrt(0.6781) = 1.2144 +32'h3f9a7325,32'h3f6463e1,32'h3f6db653, 32'h3f5d660c,32'h3f74b428, 32'h3f51befe,32'h3f802d9b,// invsqrt(1.2066) = 0.9104 +32'h40b23715,32'h3ed49e0b,32'h3edd4bad, 32'h3ece1bd1,32'h3ee3cde7, 32'h3ec342c6,32'h3eeea6f2,// invsqrt(5.5692) = 0.4237 +32'h3dc46d55,32'h404a8569,32'h4052c98d, 32'h4044524e,32'h4058fca8, 32'h4039fd22,32'h406351d4,// invsqrt(0.0959) = 3.2290 +32'h3f910a2b,32'h3f6baec0,32'h3f754d64, 32'h3f6477c4,32'h3f7c8460, 32'h3f587177,32'h3f844557,// invsqrt(1.1331) = 0.9394 +32'h403d5443,32'h3f11dd1d,32'h3f17d13d, 32'h3f0d6604,32'h3f1c4856, 32'h3f05f4dc,32'h3f23b97e,// invsqrt(2.9583) = 0.5814 +32'h3ec3cd02,32'h3fcad842,32'h3fd31fc7, 32'h3fc4a29d,32'h3fd9556b, 32'h3fba4937,32'h3fe3aed1,// invsqrt(0.3824) = 1.6171 +32'h3f81b3e8,32'h3f793a4f,32'h3f81b33e, 32'h3f71992d,32'h3f8583cf, 32'h3f64e1f4,32'h3f8bdf6b,// invsqrt(1.0133) = 0.9934 +32'h3e8967b3,32'h3ff22448,32'h3ffc066a, 32'h3feabaae,32'h4001b802, 32'h3fde6003,32'h4007e557,// invsqrt(0.2684) = 1.9303 +32'h3ef28128,32'h3fb644ae,32'h3fbdb533, 32'h3fb0b04b,32'h3fc34997, 32'h3fa763a6,32'h3fcc963c,// invsqrt(0.4736) = 1.4530 +32'h3f430e92,32'h3f8fb4c6,32'h3f95925c, 32'h3f8b4e97,32'h3f99f88b, 32'h3f83f99c,32'h3fa14d86,// invsqrt(0.7619) = 1.1456 +32'h3f6e9336,32'h3f81f0b1,32'h3f873e6f, 32'h3f7becc5,32'h3f8b38be, 32'h3f6eaa6a,32'h3f91d9eb,// invsqrt(0.9319) = 1.0359 +32'h3efd9ff9,32'h3fb23a47,32'h3fb98093, 32'h3facc58e,32'h3fbef54c, 32'h3fa3adaf,32'h3fc80d2b,// invsqrt(0.4954) = 1.4208 +32'h3f556cbf,32'h3f896219,32'h3f8efd9d, 32'h3f852d76,32'h3f933240, 32'h3f7c5624,32'h3f9a34a4,// invsqrt(0.8337) = 1.0952 +32'h3dd26285,32'h4043b015,32'h404bacd2, 32'h403db288,32'h4051aa60, 32'h4033b69c,32'h405ba64c,// invsqrt(0.1027) = 3.1200 +32'h3e874c9a,32'h3ff404d3,32'h3ffdfa93, 32'h3fec8c84,32'h4002b971, 32'h3fe01954,32'h4008f309,// invsqrt(0.2643) = 1.9453 +32'h3f344edb,32'h3f9577d5,32'h3f9b919f, 32'h3f90e47e,32'h3fa024f6, 32'h3f894441,32'h3fa7c533,// invsqrt(0.7043) = 1.1916 +32'h4057df29,32'h3f089a33,32'h3f0e2d8e, 32'h3f046baf,32'h3f125c13, 32'h3efae6fb,32'h3f195444,// invsqrt(3.3730) = 0.5445 +32'h4038999a,32'h3f13b865,32'h3f19bfec, 32'h3f0f32c1,32'h3f1e4591, 32'h3f07a958,32'h3f25cefa,// invsqrt(2.8844) = 0.5888 +32'h3f5df864,32'h3f86b675,32'h3f8c3611, 32'h3f8296c0,32'h3f9055c6, 32'h3f776e79,32'h3f97354a,// invsqrt(0.8671) = 1.0739 +32'h3fa3f05c,32'h3f5dae8a,32'h3f66bae3, 32'h3f56e546,32'h3f6d8426, 32'h3f4b95d7,32'h3f78d395,// invsqrt(1.2808) = 0.8836 +32'h42d7d260,32'h3dc1350e,32'h3dc917df, 32'h3dbb4af0,32'h3dcf01fc, 32'h3db16f6a,32'h3dd8dd82,// invsqrt(107.9109) = 0.0963 +32'h3fc198ee,32'h3f4bfeef,32'h3f54527b, 32'h3f45c046,32'h3f5a9124, 32'h3f3b57d6,32'h3f64f994,// invsqrt(1.5125) = 0.8131 +32'h4016fbd6,32'h3f2356e3,32'h3f2a019d, 32'h3f1e56d6,32'h3f2f01aa, 32'h3f16016d,32'h3f375713,// invsqrt(2.3591) = 0.6511 +32'h3f051a83,32'h3fadf6f8,32'h3fb510ba, 32'h3fa8a3a8,32'h3fba640a, 32'h3f9fc376,32'h3fc3443c,// invsqrt(0.5199) = 1.3868 +32'h3d2207e9,32'h409dac44,32'h40a41bca, 32'h4098d8a0,32'h40a8ef6e, 32'h4090cd3a,32'h40b0fad5,// invsqrt(0.0396) = 5.0278 +32'h3ee7275d,32'h3fbab095,32'h3fc24f4d, 32'h3fb4f98b,32'h3fc80657, 32'h3fab7326,32'h3fd18cbc,// invsqrt(0.4515) = 1.4883 +32'h3fe4cf3b,32'h3f3ba4ca,32'h3f434d7a, 32'h3f35e647,32'h3f490bfd, 32'h3f2c536b,32'h3f529ed9,// invsqrt(1.7876) = 0.7479 +32'h3cf7b3e5,32'h40b4587c,32'h40bbb4ea, 32'h40aed32a,32'h40c13a3c, 32'h40a59fa1,32'h40ca6dc5,// invsqrt(0.0302) = 5.7508 +32'h3fb34748,32'h3f53fc64,32'h3f5ca36e, 32'h3f4d7f1d,32'h3f6320b5, 32'h3f42ae52,32'h3f6df180,// invsqrt(1.4006) = 0.8450 +32'h3c824138,32'h40f8b2f9,32'h41016cd0, 32'h40f115fb,32'h41053b4e, 32'h40e465ab,32'h410b9377,// invsqrt(0.0159) = 7.9305 +32'h3f009f53,32'h3fb0f820,32'h3fb83146, 32'h3fab8d44,32'h3fbd9c22, 32'h3fa285d4,32'h3fc6a392,// invsqrt(0.5024) = 1.4108 +32'h3ebe71a1,32'h3fcdad88,32'h3fd612a8, 32'h3fc761b0,32'h3fdc5e80, 32'h3fbce349,32'h3fe6dce7,// invsqrt(0.3720) = 1.6397 +32'h3f4cf2e9,32'h3f8c31fb,32'h3f91eae1, 32'h3f87e74f,32'h3f96358d, 32'h3f80c030,32'h3f9d5cac,// invsqrt(0.8006) = 1.1176 +32'h3e6139c4,32'h4005bc52,32'h400b31b8, 32'h4001a445,32'h400f49c5, 32'h3ff5a309,32'h40161c86,// invsqrt(0.2199) = 2.1323 +32'h3fa3611c,32'h3f5e0fa4,32'h3f671ff3, 32'h3f574367,32'h3f6dec2f, 32'h3f4bef04,32'h3f794093,// invsqrt(1.2764) = 0.8851 +32'h3ff7b21a,32'h3f345923,32'h3f3bb597, 32'h3f2ed3cc,32'h3f413aee, 32'h3f25a03a,32'h3f4a6e80,// invsqrt(1.9351) = 0.7189 +32'h3f1d03a6,32'h3fa02c06,32'h3fa6b5a8, 32'h3f9b44cc,32'h3fab9ce2, 32'h3f9318c2,32'h3fb3c8ed,// invsqrt(0.6133) = 1.2769 +32'h3e7d60e9,32'h3ffc2ca9,32'h40033bd0, 32'h3ff4746f,32'h400717ec, 32'h3fe796ba,32'h400d86c7,// invsqrt(0.2474) = 2.0103 +32'h4014307e,32'h3f24df48,32'h3f2b9a08, 32'h3f1fd339,32'h3f30a617, 32'h3f1769ca,32'h3f390f86,// invsqrt(2.3155) = 0.6572 +32'h408fbbfd,32'h3eecc01c,32'h3ef669e8, 32'h3ee580c2,32'h3efda942, 32'h3ed96c82,32'h3f04dec1,// invsqrt(4.4917) = 0.4718 +32'h3f11accb,32'h3fa649fd,32'h3fad1389, 32'h3fa132d3,32'h3fb22ab3, 32'h3f98b6e3,32'h3fbaa6a3,// invsqrt(0.5690) = 1.3256 +32'h3f55f043,32'h3f8937d8,32'h3f8ed1a2, 32'h3f850480,32'h3f9304fa, 32'h3f7c0887,32'h3f9a0536,// invsqrt(0.8357) = 1.0939 +32'h3de0741b,32'h403d74ac,32'h4045304b, 32'h4037a7f6,32'h404afd02, 32'h402dfd70,32'h4054a788,// invsqrt(0.1096) = 3.0207 +32'h3d60ff70,32'h4085cda6,32'h408b43c2, 32'h4081b511,32'h408f5c57, 32'h4075c2de,32'h40962ff9,// invsqrt(0.0549) = 4.2667 +32'h3fcac91d,32'h3f47521b,32'h3f4f74ce, 32'h3f413816,32'h3f558ed4, 32'h3f370cb6,32'h3f5fba34,// invsqrt(1.5843) = 0.7945 +32'h3f7d9f99,32'h3f7c0d7d,32'h3f832b97, 32'h3f745638,32'h3f87073a, 32'h3f677a1a,32'h3f8d7549,// invsqrt(0.9907) = 1.0047 +32'h3da454ec,32'h405d6aab,32'h4066743f, 32'h4056a37c,32'h406d3b6e, 32'h404b5783,32'h40788767,// invsqrt(0.0802) = 3.5302 +32'h41217a96,32'h3e9df134,32'h3ea4638a, 32'h3e991b74,32'h3ea9394a, 32'h3e910c89,32'h3eb14835,// invsqrt(10.0924) = 0.3148 +32'h40072d43,32'h3f2ca021,32'h3f33abe3, 32'h3f27574f,32'h3f38f4b5, 32'h3f1e889b,32'h3f41c369,// invsqrt(2.1121) = 0.6881 +32'h3f22745c,32'h3f9d779b,32'h3fa3e4fa, 32'h3f98a593,32'h3fa8b701, 32'h3f909cdc,32'h3fb0bfb8,// invsqrt(0.6346) = 1.2553 +32'h3eea0c25,32'h3fb98838,32'h3fc11ad8, 32'h3fb3da41,32'h3fc6c8cf, 32'h3faa62fa,32'h3fd04016,// invsqrt(0.4571) = 1.4791 +32'h3ea4c6e7,32'h3fdd1e09,32'h3fe6247d, 32'h3fd65933,32'h3fece953, 32'h3fcb1123,32'h3ff83163,// invsqrt(0.3218) = 1.7627 +32'h3f3a7a6f,32'h3f92f977,32'h3f98f933, 32'h3f8e79aa,32'h3f9d7900, 32'h3f86fa00,32'h3fa4f8aa,// invsqrt(0.7284) = 1.1717 +32'h3ffc4925,32'h3f32b337,32'h3f39fe73, 32'h3f2d3aca,32'h3f3f76e0, 32'h3f241cc0,32'h3f4894ea,// invsqrt(1.9710) = 0.7123 +32'h3fda74d2,32'h3f4009e7,32'h3f47e083, 32'h3f3a28f2,32'h3f4dc178, 32'h3f305cb0,32'h3f578dba,// invsqrt(1.7067) = 0.7655 +32'h3e86f0d1,32'h3ff457c2,32'h3ffe50e4, 32'h3fecdce8,32'h4002e5df, 32'h3fe0657e,32'h40092194,// invsqrt(0.2636) = 1.9479 +32'h3f3aea5c,32'h3f92cd70,32'h3f98cb60, 32'h3f8e4efc,32'h3f9d49d4, 32'h3f86d191,32'h3fa4c73f,// invsqrt(0.7301) = 1.1703 +32'h3ecfd466,32'h3fc4e318,32'h3fccec5d, 32'h3fbedc25,32'h3fd2f351, 32'h3fb4d08f,32'h3fdcfee7,// invsqrt(0.4059) = 1.5696 +32'h416633b6,32'h3e844840,32'h3e89ae76, 32'h3e803b96,32'h3e8dbb20, 32'h3e72f7a4,32'h3e947ae4,// invsqrt(14.3876) = 0.2636 +32'h3f03a371,32'h3faeee1e,32'h3fb611f6, 32'h3fa9933d,32'h3fbb6cd7, 32'h3fa0a66f,32'h3fc459a5,// invsqrt(0.5142) = 1.3945 +32'h4019375e,32'h3f22251f,32'h3f28c35f, 32'h3f1d2e6f,32'h3f2dba0f, 32'h3f14e89f,32'h3f35ffdf,// invsqrt(2.3940) = 0.6463 +32'h3e428bfa,32'h400fe4fa,32'h4015c486, 32'h400b7d50,32'h401a2c30, 32'h400425e0,32'h402183a0,// invsqrt(0.1900) = 2.2942 +32'h3fd24925,32'h3f43bbe3,32'h3f4bb91c, 32'h3f3dbdfa,32'h3f51b706, 32'h3f33c173,32'h3f5bb38d,// invsqrt(1.6429) = 0.7802 +32'h3ce87472,32'h40ba2aa5,32'h40c1c3e5, 32'h40b477b5,32'h40c776d5, 32'h40aaf824,32'h40d0f666,// invsqrt(0.0284) = 5.9364 +32'h3eb5652b,32'h3fd2bed4,32'h3fdb58e7, 32'h3fcc4b45,32'h3fe1cc75, 32'h3fc18aad,32'h3fec8d0d,// invsqrt(0.3543) = 1.6800 +32'h3e4e1ad9,32'h400bcd30,32'h401181f9, 32'h4007859a,32'h4015c990, 32'h400063a0,32'h401ceb8a,// invsqrt(0.2013) = 2.2290 +32'h4124c041,32'h3e9c5dab,32'h3ea2bf88, 32'h3e979445,32'h3ea788ed, 32'h3e8f99f0,32'h3eaf8342,// invsqrt(10.2969) = 0.3116 +32'h3fb5c8b7,32'h3f528518,32'h3f5b1cd0, 32'h3f4c134e,32'h3f618e9a, 32'h3f4155a8,32'h3f6c4c40,// invsqrt(1.4202) = 0.8391 +32'h3ea60af3,32'h3fdc45dc,32'h3fe5437c, 32'h3fd587a3,32'h3fec01b5, 32'h3fca4a9b,32'h3ff73ebd,// invsqrt(0.3243) = 1.7560 +32'h3d28a08d,32'h409a8eeb,32'h40a0dde5, 32'h4095d3b0,32'h40a59920, 32'h408df0f7,32'h40ad7bd9,// invsqrt(0.0412) = 4.9285 +32'h4017b9e2,32'h3f22f077,32'h3f299703, 32'h3f1df38d,32'h3f2e93ed, 32'h3f15a35d,32'h3f36e41d,// invsqrt(2.3707) = 0.6495 +32'h40c186cd,32'h3ecc087c,32'h3ed45c6d, 32'h3ec5c989,32'h3eda9b61, 32'h3ebb609c,32'h3ee5044e,// invsqrt(6.0477) = 0.4066 +32'h40ac482e,32'h3ed83f5d,32'h3ee112ee, 32'h3ed1a0b1,32'h3ee7b19b, 32'h3ec6983c,32'h3ef2ba10,// invsqrt(5.3838) = 0.4310 +32'h3fca12f7,32'h3f47abdd,32'h3f4fd239, 32'h3f418f17,32'h3f55eeff, 32'h3f375f24,32'h3f601ef3,// invsqrt(1.5787) = 0.7959 +32'h3f396d20,32'h3f93640c,32'h3f996822, 32'h3f8ee0fc,32'h3f9deb32, 32'h3f875be2,32'h3fa5704c,// invsqrt(0.7243) = 1.1750 +32'h3ed151c3,32'h3fc42f6b,32'h3fcc315b, 32'h3fbe2df8,32'h3fd232ce, 32'h3fb42b8c,32'h3fdc353a,// invsqrt(0.4088) = 1.5640 +32'h3db8b2e4,32'h4050da28,32'h40596072, 32'h404a7570,32'h405fc52a, 32'h403fcd92,32'h406a6d08,// invsqrt(0.0902) = 3.3299 +32'h402099aa,32'h3f1e5fa7,32'h3f24d67f, 32'h3f198685,32'h3f29afa1, 32'h3f1171f8,32'h3f31c42f,// invsqrt(2.5094) = 0.6313 +32'h405133d5,32'h3f0ac33e,32'h3f106d2c, 32'h3f0683cc,32'h3f14ac9e, 32'h3efedec6,32'h3f1bc107,// invsqrt(3.2688) = 0.5531 +32'h4173add6,32'h3e809279,32'h3e85d1eb, 32'h3e7945c5,32'h3e89c181, 32'h3e6c2727,32'h3e9050d1,// invsqrt(15.2299) = 0.2562 +32'h40540568,32'h3f09d653,32'h3f0f7695, 32'h3f059e21,32'h3f13aec7, 32'h3efd2b9e,32'h3f1ab719,// invsqrt(3.3128) = 0.5494 +32'h3f51932e,32'h3f8aa3a9,32'h3f904c4d, 32'h3f86652e,32'h3f948ac8, 32'h3f7ea4c4,32'h3f9b9d94,// invsqrt(0.8187) = 1.1052 +32'h3f773bd7,32'h3f7f49fe,32'h3f84dac0, 32'h3f77795b,32'h3f88c311, 32'h3f6a72f8,32'h3f8f4642,// invsqrt(0.9658) = 1.0176 +32'h3f369d6e,32'h3f948560,32'h3f9a9544, 32'h3f8ff974,32'h3f9f2130, 32'h3f886597,32'h3fa6b50d,// invsqrt(0.7133) = 1.1840 +32'h3f9ddec7,32'h3f61e706,32'h3f6b1f7a, 32'h3f5afcb0,32'h3f7209d0, 32'h3f4f7620,32'h3f7d9060,// invsqrt(1.2334) = 0.9004 +32'h3dc69b5d,32'h4049681c,32'h4051a09b, 32'h40433dbe,32'h4057cafa, 32'h4038f720,32'h40621198,// invsqrt(0.0970) = 3.2112 +32'h400e01f8,32'h3f286c29,32'h3f2f4c01, 32'h3f234447,32'h3f3473e3, 32'h3f1aac79,32'h3f3d0bb1,// invsqrt(2.2189) = 0.6713 +32'h3f639162,32'h3f850bad,32'h3f8a79dd, 32'h3f80f908,32'h3f8e8c82, 32'h3f745e96,32'h3f95563f,// invsqrt(0.8889) = 1.0606 +32'h3f9efa7c,32'h3f611d1a,32'h3f6a4d50, 32'h3f5a38f2,32'h3f713178, 32'h3f4ebcb0,32'h3f7cadba,// invsqrt(1.2420) = 0.8973 +32'h3fd7bb6a,32'h3f413f55,32'h3f492292, 32'h3f3b54e8,32'h3f4f0d00, 32'h3f3178dc,32'h3f58e90c,// invsqrt(1.6854) = 0.7703 +32'h40bb757d,32'h3ecf4f14,32'h3ed7c53e, 32'h3ec8f674,32'h3ede1dde, 32'h3ebe62be,32'h3ee8b194,// invsqrt(5.8581) = 0.4132 +32'h3eb58f05,32'h3fd2a688,32'h3fdb3f9e, 32'h3fcc33b8,32'h3fe1b26e, 32'h3fc1745e,32'h3fec71c8,// invsqrt(0.3546) = 1.6793 +32'h3f48f69e,32'h3f8d941f,32'h3f935b79, 32'h3f893e9b,32'h3f97b0fd, 32'h3f82056b,32'h3f9eea2d,// invsqrt(0.7850) = 1.1287 +32'h4012841c,32'h3f25cfa0,32'h3f2c942e, 32'h3f20bc35,32'h3f31a799, 32'h3f184683,32'h3f3a1d4b,// invsqrt(2.2893) = 0.6609 +32'h3f6e5027,32'h3f8202f8,32'h3f875175, 32'h3f7c1033,32'h3f8b4c52, 32'h3f6ecbfb,32'h3f91ee6f,// invsqrt(0.9309) = 1.0364 +32'h3f2da56a,32'h3f984eea,32'h3f9e8662, 32'h3f93a551,32'h3fa32ffb, 32'h3f8bdffc,32'h3faaf550,// invsqrt(0.6783) = 1.2142 +32'h3f122fa5,32'h3fa5ff80,32'h3facc602, 32'h3fa0ea9e,32'h3fb1dae4, 32'h3f98727a,32'h3fba5308,// invsqrt(0.5710) = 1.3233 +32'h400e2ef5,32'h3f285181,32'h3f2f3043, 32'h3f232a70,32'h3f345754, 32'h3f1a93ff,32'h3f3cedc5,// invsqrt(2.2216) = 0.6709 +32'h3f2213af,32'h3f9da68a,32'h3fa415d4, 32'h3f98d313,32'h3fa8e94b, 32'h3f90c7f7,32'h3fb0f467,// invsqrt(0.6331) = 1.2568 +32'h40189b7d,32'h3f2277d9,32'h3f29197a, 32'h3f1d7ea2,32'h3f2e12b2, 32'h3f153499,32'h3f365cbb,// invsqrt(2.3845) = 0.6476 +32'h40fefecf,32'h3eb1bf82,32'h3eb900cb, 32'h3eac4e8a,32'h3ebe71c2, 32'h3ea33cef,32'h3ec7835d,// invsqrt(7.9686) = 0.3542 +32'h40a93b3f,32'h3eda3015,32'h3ee317ed, 32'h3ed38234,32'h3ee9c5ce, 32'h3ec86067,32'h3ef4e79b,// invsqrt(5.2885) = 0.4348 +32'h3e9b0646,32'h3fe3f766,32'h3fed456a, 32'h3fdcfce3,32'h3ff43fed, 32'h3fd15b5e,32'h3fffe172,// invsqrt(0.3028) = 1.8173 +32'h3f16bd95,32'h3fa3789a,32'h3faa24b5, 32'h3f9e7785,32'h3faf25c9, 32'h3f962063,32'h3fb77ceb,// invsqrt(0.5888) = 1.3032 +32'h413a10a5,32'h3e93233a,32'h3e9924aa, 32'h3e8ea226,32'h3e9da5be, 32'h3e87205a,32'h3ea5278a,// invsqrt(11.6291) = 0.2932 +32'h4092366c,32'h3eeabc45,32'h3ef45104, 32'h3ee38cb6,32'h3efb8094, 32'h3ed792c8,32'h3f03bd41,// invsqrt(4.5691) = 0.4678 +32'h3f1e8fe5,32'h3f9f6365,32'h3fa5e4d7, 32'h3f9a8250,32'h3faac5ec, 32'h3f926081,32'h3fb2e7bb,// invsqrt(0.6194) = 1.2706 +32'h3f87e68f,32'h3f737a74,32'h3f7d6a8d, 32'h3f6c0660,32'h3f826f50, 32'h3f5f9a40,32'h3f88a560,// invsqrt(1.0617) = 0.9705 +32'h3f8c88f7,32'h3f6f6e1b,32'h3f7933e7, 32'h3f6819c1,32'h3f804421, 32'h3f5be281,32'h3f865fc1,// invsqrt(1.0979) = 0.9544 +32'h401c78d3,32'h3f207304,32'h3f26ff8c, 32'h3f1b899e,32'h3f2be8f2, 32'h3f1359f4,32'h3f34189c,// invsqrt(2.4449) = 0.6395 +32'h3f966241,32'h3f677504,32'h3f70e782, 32'h3f605f26,32'h3f77fd60, 32'h3f549009,32'h3f81e63e,// invsqrt(1.1749) = 0.9226 +32'h3fdbc108,32'h3f3f7888,32'h3f474934, 32'h3f399c06,32'h3f4d25b6, 32'h3f2fd72e,32'h3f56ea8e,// invsqrt(1.7168) = 0.7632 +32'h3e98d948,32'h3fe5954b,32'h3feef434, 32'h3fde8e1d,32'h3ff5fb63, 32'h3fd2d77a,32'h4000d903,// invsqrt(0.2985) = 1.8302 +32'h3ffadb34,32'h3f33355f,32'h3f3a85eb, 32'h3f2db8f6,32'h3f400254, 32'h3f249448,32'h3f492702,// invsqrt(1.9598) = 0.7143 +32'h411d5791,32'h3ea00149,32'h3ea6892d, 32'h3e9b1b5e,32'h3eab6f18, 32'h3e92f182,32'h3eb398f4,// invsqrt(9.8339) = 0.3189 +32'h3febd0fd,32'h3f38d5be,32'h3f406114, 32'h3f332d3d,32'h3f460995, 32'h3f29bf12,32'h3f4f77c0,// invsqrt(1.8423) = 0.7367 +32'h3f979288,32'h3f668c3d,32'h3f6ff53a, 32'h3f5f7d7e,32'h3f7703f8, 32'h3f53ba42,32'h3f81639a,// invsqrt(1.1842) = 0.9190 +32'h3f8d7c64,32'h3f6e9fca,32'h3f785d2c, 32'h3f6751c2,32'h3f7fab34, 32'h3f5b2508,32'h3f85ebf7,// invsqrt(1.1054) = 0.9511 +32'h3f66ece0,32'h3f84132c,32'h3f897738, 32'h3f800823,32'h3f8d8241, 32'h3f729627,32'h3f943f51,// invsqrt(0.9021) = 1.0529 +32'h3f104de0,32'h3fa713b3,32'h3fade57b, 32'h3fa1f65c,32'h3fb302d2, 32'h3f997022,32'h3fbb890d,// invsqrt(0.5637) = 1.3319 +32'h40b1a90e,32'h3ed4f2f6,32'h3edda410, 32'h3ece6e23,32'h3ee428e3, 32'h3ec390c3,32'h3eef0643,// invsqrt(5.5519) = 0.4244 +32'h3eca133f,32'h3fc7abb9,32'h3fcfd215, 32'h3fc18ef5,32'h3fd5eed9, 32'h3fb75f03,32'h3fe01ecb,// invsqrt(0.3947) = 1.5918 +32'h3e5147c9,32'h400abca0,32'h40106649, 32'h40067d62,32'h4014a588, 32'h3ffed29f,32'h401bb99a,// invsqrt(0.2044) = 2.2120 +32'h3dbabd56,32'h404fb533,32'h40582f89, 32'h40495973,32'h405e8b49, 32'h403ec088,32'h40692434,// invsqrt(0.0912) = 3.3117 +32'h3de65b43,32'h403b0338,32'h4042a54f, 32'h403549a6,32'h40485ee0, 32'h402bbf09,32'h4051e97d,// invsqrt(0.1125) = 2.9817 +32'h3df2822c,32'h4036444d,32'h403db4cd, 32'h4030afec,32'h4043492e, 32'h4027634c,32'h404c95ce,// invsqrt(0.1184) = 2.9060 +32'h402631da,32'h3f1baf6b,32'h3f220a2b, 32'h3f16eb5a,32'h3f26ce3c, 32'h3f0ef9ea,32'h3f2ebfac,// invsqrt(2.5968) = 0.6206 +32'h3ffcbd68,32'h3f328a18,32'h3f39d3a6, 32'h3f2d12ed,32'h3f3f4ad1, 32'h3f23f6fc,32'h3f4866c2,// invsqrt(1.9745) = 0.7117 +32'h3cc0d643,32'h40cc65cc,32'h40d4bd8c, 32'h40c623fd,32'h40daff5b, 32'h40bbb64e,32'h40e56d0a,// invsqrt(0.0235) = 6.5178 +32'h3fe93d68,32'h3f39da60,32'h3f41705a, 32'h3f3429e5,32'h3f4720d5, 32'h3f2aae6d,32'h3f509c4d,// invsqrt(1.8222) = 0.7408 +32'h42000b00,32'h3e315e83,32'h3e389bd6, 32'h3e2bf083,32'h3e3e09d5, 32'h3e22e3db,32'h3e47167d,// invsqrt(32.0107) = 0.1767 +32'h3e37713e,32'h40142f88,32'h401a3bec, 32'h400fa63e,32'h401ec536, 32'h400816c1,32'h402654b3,// invsqrt(0.1791) = 2.3627 +32'h3ef49d67,32'h3fb57af8,32'h3fbce341, 32'h3fafecc2,32'h3fc27178, 32'h3fa6aa67,32'h3fcbb3d3,// invsqrt(0.4778) = 1.4468 +32'h3f9037b4,32'h3f6c5a7a,32'h3f760021, 32'h3f651e3d,32'h3f7d3c5f, 32'h3f590f2d,32'h3f84a5b8,// invsqrt(1.1267) = 0.9421 +32'h40263ce2,32'h3f1baa40,32'h3f2204cb, 32'h3f16e659,32'h3f26c8b3, 32'h3f0ef52c,32'h3f2eb9e0,// invsqrt(2.5975) = 0.6205 +32'h3f7eb91e,32'h3f7b820e,32'h3f82e307, 32'h3f73cf0d,32'h3f86bc87, 32'h3f66fa0d,32'h3f8d2708,// invsqrt(0.9950) = 1.0025 +32'h3fa95911,32'h3f5a1cdf,32'h3f6303ed, 32'h3f536f94,32'h3f69b138, 32'h3f484ec2,32'h3f74d20a,// invsqrt(1.3230) = 0.8694 +32'h3ed218fb,32'h3fc3d252,32'h3fcbd074, 32'h3fbdd3b8,32'h3fd1cf0e, 32'h3fb3d60c,32'h3fdbccba,// invsqrt(0.4103) = 1.5611 +32'h3f7854cf,32'h3f7eb969,32'h3f848f82, 32'h3f76ed33,32'h3f88759c, 32'h3f69ee31,32'h3f8ef51e,// invsqrt(0.9700) = 1.0153 +32'h3f37d8ef,32'h3f9405b9,32'h3f9a1067, 32'h3f8f7db6,32'h3f9e986a, 32'h3f87f05b,32'h3fa625c5,// invsqrt(0.7182) = 1.1800 +32'h3fcd05b2,32'h3f463b04,32'h3f4e5252, 32'h3f402989,32'h3f5463cd, 32'h3f360c67,32'h3f5e80ef,// invsqrt(1.6017) = 0.7901 +32'h3fa3a7dc,32'h3f5ddf9e,32'h3f66edf8, 32'h3f5714da,32'h3f6db8bc, 32'h3f4bc2ea,32'h3f790aac,// invsqrt(1.2786) = 0.8844 +32'h3ef5dd69,32'h3fb504b7,32'h3fbc682d, 32'h3faf7a20,32'h3fc1f2c4, 32'h3fa63dcd,32'h3fcb2f17,// invsqrt(0.4802) = 1.4431 +32'h3f1a7ca8,32'h3fa17a10,32'h3fa81154, 32'h3f9c889c,32'h3fad02c8, 32'h3f944b87,32'h3fb53fdd,// invsqrt(0.6035) = 1.2873 +32'h3e863941,32'h3ff4fe9e,32'h3ffefe90, 32'h3fed7ea9,32'h40033f42, 32'h3fe0febb,32'h40097f39,// invsqrt(0.2622) = 1.9531 +32'h3fd20591,32'h3f43db5e,32'h3f4bd9e0, 32'h3f3ddc7d,32'h3f51d8c1, 32'h3f33de5c,32'h3f5bd6e2,// invsqrt(1.6408) = 0.7807 +32'h40a8a3d1,32'h3eda91f5,32'h3ee37dcb, 32'h3ed3e115,32'h3eea2eab, 32'h3ec8ba4a,32'h3ef55576,// invsqrt(5.2700) = 0.4356 +32'h41ed57fc,32'h3e383d40,32'h3e3fc25d, 32'h3e32996b,32'h3e456633, 32'h3e293307,32'h3e4ecc97,// invsqrt(29.6680) = 0.1836 +32'h3ebf6fc2,32'h3fcd24d6,32'h3fd58462, 32'h3fc6dd2e,32'h3fdbcc0a, 32'h3fbc65c0,32'h3fe64378,// invsqrt(0.3739) = 1.6354 +32'h3e07f383,32'h402c2215,32'h403328b3, 32'h4026dd1f,32'h40386da9, 32'h401e14da,32'h404135ee,// invsqrt(0.1328) = 2.7445 +32'h3eff3ab3,32'h3fb1aaa6,32'h3fb8eb15, 32'h3fac3a52,32'h3fbe5b68, 32'h3fa329c7,32'h3fc76bf3,// invsqrt(0.4985) = 1.4163 +32'h4012ceb7,32'h3f25a579,32'h3f2c684f, 32'h3f209359,32'h3f317a6f, 32'h3f181fcd,32'h3f39edfb,// invsqrt(2.2939) = 0.6603 +32'h40511111,32'h3f0acec7,32'h3f10792d, 32'h3f068efa,32'h3f14b8fa, 32'h3efef3f5,32'h3f1bcdf9,// invsqrt(3.2667) = 0.5533 +32'h3e936613,32'h3fe9c9ff,32'h3ff354db, 32'h3fe2a1db,32'h3ffa7cff, 32'h3fd6b448,32'h40033549,// invsqrt(0.2879) = 1.8638 +32'h3f60ad4d,32'h3f85e619,32'h3f8b5d35, 32'h3f81ccc5,32'h3f8f7689, 32'h3f75efc6,32'h3f964b6b,// invsqrt(0.8776) = 1.0674 +32'h4067ba72,32'h3f03d88a,32'h3f093a32, 32'h3eff9e99,32'h3f0d4370, 32'h3ef22a76,32'h3f13fd81,// invsqrt(3.6208) = 0.5255 +32'h3f3afb0c,32'h3f92c6e3,32'h3f98c48e, 32'h3f8e48a2,32'h3f9d42ce, 32'h3f86cb8c,32'h3fa4bfe4,// invsqrt(0.7304) = 1.1701 +32'h3e817740,32'h3ff974aa,32'h4001d19c, 32'h3ff1d1bf,32'h4005a312, 32'h3fe5178c,32'h400c002b,// invsqrt(0.2529) = 1.9886 +32'h402e6ac5,32'h3f17f8a6,32'h3f1e2c98, 32'h3f1351b1,32'h3f22d38d, 32'h3f0b90c2,32'h3f2a947c,// invsqrt(2.7253) = 0.6058 +32'h3e11d4ae,32'h4026333d,32'h402cfbdd, 32'h40211cc6,32'h40321254, 32'h4018a1ff,32'h403a8d1b,// invsqrt(0.1424) = 2.6499 +32'h3eaaac30,32'h3fd943c2,32'h3fe221f3, 32'h3fd29d1c,32'h3fe8c898, 32'h3fc7875e,32'h3ff3de56,// invsqrt(0.3333) = 1.7320 +32'h3f41c09f,32'h3f903069,32'h3f96130b, 32'h3f8bc671,32'h3f9a7d03, 32'h3f846b27,32'h3fa1d84d,// invsqrt(0.7568) = 1.1495 +32'h3f880119,32'h3f7362b1,32'h3f7d51d3, 32'h3f6bef58,32'h3f826296, 32'h3f5f846f,32'h3f88980b,// invsqrt(1.0625) = 0.9701 +32'h3d6a28c7,32'h408328e1,32'h4088835d, 32'h407e4a08,32'h408c873a, 32'h4070e7d1,32'h40933855,// invsqrt(0.0572) = 4.1824 +32'h41a1c3f0,32'h3e5f2a86,32'h3e684662, 32'h3e5855a1,32'h3e6f1b47, 32'h3e4cf2cf,32'h3e7a7e19,// invsqrt(20.2207) = 0.2224 +32'h3fc0f662,32'h3f4c54c8,32'h3f54abd6, 32'h3f46137e,32'h3f5aed20, 32'h3f3ba6ae,32'h3f6559f1,// invsqrt(1.5075) = 0.8145 +32'h3fb31f03,32'h3f541437,32'h3f5cbc39, 32'h3f4d9635,32'h3f633a3b, 32'h3f42c432,32'h3f6e0c3e,// invsqrt(1.3994) = 0.8453 +32'h3f90bbe7,32'h3f6bee71,32'h3f758faf, 32'h3f64b582,32'h3f7cc89e, 32'h3f58abf5,32'h3f846916,// invsqrt(1.1307) = 0.9404 +32'h3e400363,32'h4010d735,32'h4016c0a4, 32'h400c6820,32'h401b2fb8, 32'h40050454,32'h40229384,// invsqrt(0.1875) = 2.3093 +32'h3edc293d,32'h3fbf4b32,32'h3fc71a06, 32'h3fb97014,32'h3fccf524, 32'h3fafad8c,32'h3fd6b7ac,// invsqrt(0.4300) = 1.5250 +32'h3ff6c827,32'h3f34ae8b,32'h3f3c0e7b, 32'h3f2f2696,32'h3f419670, 32'h3f25eea9,32'h3f4ace5d,// invsqrt(1.9280) = 0.7202 +32'h3ed6eefb,32'h3fc19b27,32'h3fc98223, 32'h3fbbadea,32'h3fcf6f60, 32'h3fb1cd2e,32'h3fd9501c,// invsqrt(0.4198) = 1.5434 +32'h3f512cbb,32'h3f8ac599,32'h3f906f9f, 32'h3f868614,32'h3f94af24, 32'h3f7ee319,32'h3f9bc3ac,// invsqrt(0.8171) = 1.1063 +32'h3ee24ac5,32'h3fbcaf3f,32'h3fc462cf, 32'h3fb6e893,32'h3fca297b, 32'h3fad4820,32'h3fd3c9ee,// invsqrt(0.4420) = 1.5042 +32'h3f929d52,32'h3f6a69d7,32'h3f73fb39, 32'h3f633cce,32'h3f7b2842, 32'h3f574714,32'h3f838efe,// invsqrt(1.1454) = 0.9344 +32'h3fc46fe1,32'h3f4a8419,32'h3f52c82f, 32'h3f445109,32'h3f58fb3f, 32'h3f39fbed,32'h3f63505b,// invsqrt(1.5347) = 0.8072 +32'h3f704a86,32'h3f8179b2,32'h3f86c295, 32'h3f7b0611,32'h3f8ab93f, 32'h3f6dcfda,32'h3f91545b,// invsqrt(0.9386) = 1.0322 +32'h4206c057,32'h3e2ce5d7,32'h3e33f473, 32'h3e279ae3,32'h3e393f67, 32'h3e1ec8a1,32'h3e4211a9,// invsqrt(33.6878) = 0.1723 +32'h40489642,32'h3f0db61c,32'h3f137eda, 32'h3f095f8e,32'h3f17d568, 32'h3f0224a2,32'h3f1f1054,// invsqrt(3.1342) = 0.5649 +32'h3da79f0f,32'h405b3bb5,32'h40642e79, 32'h405485a3,32'h406ae48b, 32'h4049562e,32'h40761400,// invsqrt(0.0818) = 3.4954 +32'h3fa72926,32'h3f5b88fa,32'h3f647ee4, 32'h3f54d089,32'h3f6b3755, 32'h3f499d24,32'h3f766aba,// invsqrt(1.3059) = 0.8751 +32'h3f79a56f,32'h3f7e0d73,32'h3f843605, 32'h3f764681,32'h3f88197d, 32'h3f695045,32'h3f8e949c,// invsqrt(0.9752) = 1.0126 +32'h3efccf4a,32'h3fb283c8,32'h3fb9cd14, 32'h3fad0ccf,32'h3fbf440d, 32'h3fa3f130,32'h3fc85fac,// invsqrt(0.4938) = 1.4231 +32'h3fca9791,32'h3f476a7a,32'h3f4f8e2b, 32'h3f414fb4,32'h3f55a8f0, 32'h3f372317,32'h3f5fd58d,// invsqrt(1.5828) = 0.7949 +32'h3f6261b9,32'h3f8564ca,32'h3f8ad69e, 32'h3f814f6b,32'h3f8eebfd, 32'h3f750244,32'h3f95ba46,// invsqrt(0.8843) = 1.0634 +32'h3e5a857d,32'h4007c589,32'h400d5035, 32'h40039d87,32'h40117837, 32'h3ff9605e,32'h4018658f,// invsqrt(0.2134) = 2.1647 +32'h419e957c,32'h3e6164be,32'h3e6a97e0, 32'h3e5a7e65,32'h3e717e39, 32'h3e4efe7a,32'h3e7cfe24,// invsqrt(19.8230) = 0.2246 +32'h40947f84,32'h3ee8ec0a,32'h3ef26dd6, 32'h3ee1cab1,32'h3ef98f2f, 32'h3ed5e872,32'h3f02b8b7,// invsqrt(4.6406) = 0.4642 +32'h3fc92110,32'h3f4823cc,32'h3f504f0d, 32'h3f42035a,32'h3f566f7e, 32'h3f37cd48,32'h3f60a590,// invsqrt(1.5713) = 0.7978 +32'h3fd2f003,32'h3f436e69,32'h3f4b6877, 32'h3f3d72de,32'h3f516402, 32'h3f337a4b,32'h3f5b5c95,// invsqrt(1.6479) = 0.7790 +32'h4009ded4,32'h3f2aee4d,32'h3f31e85b, 32'h3f25b2c3,32'h3f3723e5, 32'h3f1cfa32,32'h3f3fdc76,// invsqrt(2.1542) = 0.6813 +32'h3fcea12d,32'h3f457540,32'h3f4d847c, 32'h3f3f69d3,32'h3f538fe9, 32'h3f3556c8,32'h3f5da2f4,// invsqrt(1.6143) = 0.7871 +32'h3fa109a1,32'h3f5fab78,32'h3f68cc98, 32'h3f58d2a1,32'h3f6fa56f, 32'h3f4d693a,32'h3f7b0ed6,// invsqrt(1.2581) = 0.8915 +32'h3ec5bed6,32'h3fc9d84b,32'h3fd2155e, 32'h3fc3aa7e,32'h3fd8432c, 32'h3fb95e26,32'h3fe28f84,// invsqrt(0.3862) = 1.6091 +32'h408ac057,32'h3ef0f6d3,32'h3efacca7, 32'h3ee99674,32'h3f011683, 32'h3edd4b2a,32'h3f073c28,// invsqrt(4.3360) = 0.4802 +32'h40b0c347,32'h3ed57d32,32'h3ede33f0, 32'h3ecef423,32'h3ee4bcff, 32'h3ec40fb6,32'h3eefa16c,// invsqrt(5.5238) = 0.4255 +32'h3d8b8952,32'h4070490b,32'h407a17c8, 32'h4068edfe,32'h4080b96b, 32'h405cab93,32'h4086daa1,// invsqrt(0.0681) = 3.8311 +32'h409740bb,32'h3ee6ca8c,32'h3ef03614, 32'h3edfb9e6,32'h3ef746ba, 32'h3ed3f37b,32'h3f018692,// invsqrt(4.7267) = 0.4600 +32'h41374a53,32'h3e943f43,32'h3e9a4c4b, 32'h3e8fb57d,32'h3e9ed611, 32'h3e882533,32'h3ea6665b,// invsqrt(11.4556) = 0.2955 +32'h3f0dc8fc,32'h3fa88dfe,32'h3faf6f37, 32'h3fa36512,32'h3fb49822, 32'h3f9acb8b,32'h3fbd31a9,// invsqrt(0.5538) = 1.3437 +32'h3fefee3e,32'h3f373e4b,32'h3f3eb8ff, 32'h3f31a243,32'h3f445507, 32'h3f2848e1,32'h3f4dae69,// invsqrt(1.8745) = 0.7304 +32'h3f9deaca,32'h3f61de6e,32'h3f6b1688, 32'h3f5af45b,32'h3f72009b, 32'h3f4f6e3c,32'h3f7d86bb,// invsqrt(1.2337) = 0.9003 +32'h3d4496b1,32'h408f252c,32'h4094fce5, 32'h408ac362,32'h40995eb0, 32'h408375bb,32'h40a0ac57,// invsqrt(0.0480) = 4.5646 +32'h3fa72f31,32'h3f5b8502,32'h3f647ac4, 32'h3f54ccb1,32'h3f6b3315, 32'h3f499980,32'h3f766647,// invsqrt(1.3061) = 0.8750 +32'h4016619a,32'h3f23aa90,32'h3f2a58b6, 32'h3f1ea7f4,32'h3f2f5b52, 32'h3f164e46,32'h3f37b500,// invsqrt(2.3497) = 0.6524 +32'h3fd46140,32'h3f42c43c,32'h3f4ab758, 32'h3f3ccde6,32'h3f50adae, 32'h3f32de03,32'h3f5a9d91,// invsqrt(1.6592) = 0.7763 +32'h40c7633f,32'h3ec9030f,32'h3ed1376d, 32'h3ec2dbc8,32'h3ed75eb4, 32'h3eb89a51,32'h3ee1a02b,// invsqrt(6.2309) = 0.4006 +32'h40399d51,32'h3f1350e8,32'h3f195436, 32'h3f0ece6e,32'h3f1dd6b0, 32'h3f074a4e,32'h3f255ad0,// invsqrt(2.9002) = 0.5872 +32'h3e170f75,32'h40234c47,32'h4029f693, 32'h401e4c8e,32'h402ef64c, 32'h4015f7af,32'h40374b2b,// invsqrt(0.1475) = 2.6036 +32'h3fb89da8,32'h3f50e62b,32'h3f596cf3, 32'h3f4a8115,32'h3f5fd209, 32'h3f3fd89a,32'h3f6a7a84,// invsqrt(1.4423) = 0.8327 +32'h4012bc35,32'h3f25afeb,32'h3f2c732e, 32'h3f209d78,32'h3f3185a0, 32'h3f182964,32'h3f39f9b4,// invsqrt(2.2927) = 0.6604 +32'h3fca89dd,32'h3f477138,32'h3f4f9530, 32'h3f41563e,32'h3f55b02a, 32'h3f372948,32'h3f5fdd20,// invsqrt(1.5823) = 0.7950 +32'h3f669cc7,32'h3f842a1a,32'h3f898f16, 32'h3f801e5d,32'h3f8d9ad3, 32'h3f72c045,32'h3f94590e,// invsqrt(0.9008) = 1.0536 +32'h40493cec,32'h3f0d7b62,32'h3f1341b9, 32'h3f0926a0,32'h3f17967a, 32'h3f01eeb2,32'h3f1ece68,// invsqrt(3.1443) = 0.5639 +32'h3de990f2,32'h4039b920,32'h40414dbe, 32'h403409a9,32'h4046fd35, 32'h402a8fe4,32'h405076fa,// invsqrt(0.1140) = 2.9611 +32'h401982a4,32'h3f21fd59,32'h3f2899f9, 32'h3f1d07e1,32'h3f2d8f71, 32'h3f14c418,32'h3f35d33a,// invsqrt(2.3986) = 0.6457 +32'h3eddf3cf,32'h3fbe852f,32'h3fc64bed, 32'h3fb8b020,32'h3fcc20fc, 32'h3faef7b3,32'h3fd5d969,// invsqrt(0.4335) = 1.5188 +32'h400910c8,32'h3f2b6e97,32'h3f326de1, 32'h3f262f1f,32'h3f37ad59, 32'h3f1d7003,32'h3f406c75,// invsqrt(2.1416) = 0.6833 +32'h4013f142,32'h3f250281,32'h3f2bbeb0, 32'h3f1ff55d,32'h3f30cbd3, 32'h3f178a22,32'h3f39370e,// invsqrt(2.3116) = 0.6577 +32'h3f1028a4,32'h3fa72945,32'h3fadfbef, 32'h3fa20b46,32'h3fb319ee, 32'h3f9983f1,32'h3fbba143,// invsqrt(0.5631) = 1.3326 +32'h3fb9576a,32'h3f507d61,32'h3f58ffe3, 32'h3f4a1b80,32'h3f5f61c4, 32'h3f3f785f,32'h3f6a04e5,// invsqrt(1.4480) = 0.8310 +32'h40191540,32'h3f223730,32'h3f28d62c, 32'h3f1d3ff2,32'h3f2dcd6a, 32'h3f14f936,32'h3f361426,// invsqrt(2.3919) = 0.6466 +32'h3fce9f36,32'h3f457631,32'h3f4d8577, 32'h3f3f6abd,32'h3f5390eb, 32'h3f3557a5,32'h3f5da403,// invsqrt(1.6142) = 0.7871 +32'h3f9ea41d,32'h3f615a5a,32'h3f6a8d0f, 32'h3f5a7451,32'h3f717317, 32'h3f4ef4ef,32'h3f7cf279,// invsqrt(1.2394) = 0.8982 +32'h3f5c2585,32'h3f874502,32'h3f8cca70, 32'h3f8320f0,32'h3f90ee82, 32'h3f78744d,32'h3f97d54c,// invsqrt(0.8599) = 1.0784 +32'h3f6d0158,32'h3f825eac,32'h3f87b0e8, 32'h3f7cc200,32'h3f8bae94, 32'h3f6f746c,32'h3f92555e,// invsqrt(0.9258) = 1.0393 +32'h403cb9ec,32'h3f1218b5,32'h3f180f45, 32'h3f0d9fca,32'h3f1c8830, 32'h3f062b97,32'h3f23fc63,// invsqrt(2.9488) = 0.5823 +32'h3f86d41f,32'h3f7471c1,32'h3f7e6bf3, 32'h3f6cf61c,32'h3f82f3cc, 32'h3f607d5e,32'h3f89302b,// invsqrt(1.0533) = 0.9743 +32'h3e33b62d,32'h4015b746,32'h401bd3a6, 32'h401121fd,32'h402068ef, 32'h40097e84,32'h40280c68,// invsqrt(0.1755) = 2.3871 +32'h3ea8fd81,32'h3fda57ed,32'h3fe34165, 32'h3fd3a8d4,32'h3fe9f07e, 32'h3fc884fe,32'h3ff51454,// invsqrt(0.3301) = 1.7406 +32'h3f670149,32'h3f840d57,32'h3f897126, 32'h3f80027b,32'h3f8d7c01, 32'h3f728b70,32'h3f9438c4,// invsqrt(0.9024) = 1.0527 +32'h3fc0e0de,32'h3f4c602d,32'h3f54b7b2, 32'h3f461e8a,32'h3f5af956, 32'h3f3bb125,32'h3f6566bb,// invsqrt(1.5069) = 0.8146 +32'h3f2fd49c,32'h3f975bf7,32'h3f9d8983, 32'h3f92b9cd,32'h3fa22bad, 32'h3f8b00dd,32'h3fa9e49d,// invsqrt(0.6868) = 1.2066 +32'h3f6b7b43,32'h3f82ca7b,32'h3f88211c, 32'h3f7d9302,32'h3f8c2215, 32'h3f703a6d,32'h3f92ce5f,// invsqrt(0.9198) = 1.0427 +32'h3eea28ce,32'h3fb97cdd,32'h3fc10f05, 32'h3fb3cf3f,32'h3fc6bca3, 32'h3faa588c,32'h3fd03356,// invsqrt(0.4573) = 1.4787 +32'h3e62bfa6,32'h40054926,32'h400ab9da, 32'h400134a0,32'h400ece60, 32'h3ff4cf80,32'h40159b40,// invsqrt(0.2214) = 2.1251 +32'h3ef1ff38,32'h3fb67597,32'h3fbde81b, 32'h3fb0dfb5,32'h3fc37dfd, 32'h3fa79090,32'h3fcccd22,// invsqrt(0.4727) = 1.4546 +32'h4153066c,32'h3e8a2981,32'h3e8fcd28, 32'h3e85eec3,32'h3e9407e5, 32'h3e7dc464,32'h3e9b1476,// invsqrt(13.1891) = 0.2754 +32'h3fc50841,32'h3f4a35bb,32'h3f52769e, 32'h3f440510,32'h3f58a748, 32'h3f39b3f4,32'h3f62f864,// invsqrt(1.5393) = 0.8060 +32'h40be0998,32'h3ecde5cd,32'h3ed64d38, 32'h3ec7983c,32'h3edc9ac8, 32'h3ebd16f5,32'h3ee71c0f,// invsqrt(5.9387) = 0.4104 +32'h3ff7f42a,32'h3f34411b,32'h3f3b9c94, 32'h3f2ebc7f,32'h3f41212f, 32'h3f258a28,32'h3f4a5386,// invsqrt(1.9371) = 0.7185 +32'h40247234,32'h3f1c82c2,32'h3f22e622, 32'h3f17b839,32'h3f27b0ab, 32'h3f0fbc00,32'h3f2face4,// invsqrt(2.5695) = 0.6238 +32'h3f2c66ba,32'h3f98db6f,32'h3f9f18a3, 32'h3f942d89,32'h3fa3c689, 32'h3f8c6108,32'h3fab930a,// invsqrt(0.6734) = 1.2186 +32'h4076f216,32'h3eff701a,32'h3f04ee95, 32'h3ef79e4d,32'h3f08d77b, 32'h3eea95f8,32'h3f0f5ba6,// invsqrt(3.8585) = 0.5091 +32'h3f75fa73,32'h3f7ff08e,32'h3f85316f, 32'h3f781ad3,32'h3f891c4c, 32'h3f6b0bf1,32'h3f8fa3be,// invsqrt(0.9609) = 1.0202 +32'h3f1a9fd0,32'h3fa167b3,32'h3fa7fe38, 32'h3f9c76d0,32'h3facef1c, 32'h3f943aaa,32'h3fb52b42,// invsqrt(0.6040) = 1.2867 +32'h3fa1cf6f,32'h3f5f2298,32'h3f683e21, 32'h3f584df2,32'h3f6f12c8, 32'h3f4ceb87,32'h3f7a7533,// invsqrt(1.2641) = 0.8894 +32'h3ea3677d,32'h3fde0b4e,32'h3fe71b70, 32'h3fd73f34,32'h3fede78a, 32'h3fcbeb09,32'h3ff93bb5,// invsqrt(0.3191) = 1.7701 +32'h4027d918,32'h3f1aeaa5,32'h3f213d5d, 32'h3f162c9b,32'h3f25fb67, 32'h3f0e4534,32'h3f2de2ce,// invsqrt(2.6226) = 0.6175 +32'h3fbdaed0,32'h3f4e170c,32'h3f56807a, 32'h3f47c7f9,32'h3f5ccf8d, 32'h3f3d4430,32'h3f675357,// invsqrt(1.4819) = 0.8215 +32'h408bef4d,32'h3eeff16d,32'h3ef9bc96, 32'h3ee8990e,32'h3f008a7a, 32'h3edc5b1a,32'h3f06a974,// invsqrt(4.3730) = 0.4782 +32'h3f4f52cb,32'h3f8b63dc,32'h3f911458, 32'h3f871f7f,32'h3f9558b5, 32'h3f8002e4,32'h3f9c7550,// invsqrt(0.8099) = 1.1112 +32'h3f0593ac,32'h3fada801,32'h3fb4be88, 32'h3fa8571a,32'h3fba0f6e, 32'h3f9f7af0,32'h3fc2eb98,// invsqrt(0.5218) = 1.3844 +32'h3cc18e1e,32'h40cc04a1,32'h40d45869, 32'h40c5c5cb,32'h40da973f, 32'h40bb5d11,32'h40e4fff9,// invsqrt(0.0236) = 6.5057 +32'h3ee7efb1,32'h3fba5fe4,32'h3fc1fb51, 32'h3fb4ab53,32'h3fc7afe3, 32'h3fab290c,32'h3fd1322b,// invsqrt(0.4530) = 1.4858 +32'h3fa9bb43,32'h3f59ddbe,32'h3f62c238, 32'h3f533262,32'h3f696d94, 32'h3f4814c8,32'h3f748b2e,// invsqrt(1.3260) = 0.8684 +32'h3da10e08,32'h405fa86a,32'h4068c969, 32'h4058cfaa,32'h406fa228, 32'h404d666b,32'h407b0b67,// invsqrt(0.0786) = 3.5660 +32'h3f843db1,32'h3f76d308,32'h3f80730c, 32'h3f6f44bc,32'h3f843a32, 32'h3f62ace8,32'h3f8a861c,// invsqrt(1.0331) = 0.9838 +32'h3f82cf85,32'h3f782b8e,32'h3f812657, 32'h3f7092b6,32'h3f84f2c3, 32'h3f63e94e,32'h3f8b4777,// invsqrt(1.0220) = 0.9892 +32'h40046534,32'h3f2e6dee,32'h3f358c8a, 32'h3f2916f9,32'h3f3ae37f, 32'h3f2030b6,32'h3f43c9c2,// invsqrt(2.0687) = 0.6953 +32'h3fa0195f,32'h3f60530e,32'h3f697b04, 32'h3f597515,32'h3f7058fd, 32'h3f4e0322,32'h3f7bcaf0,// invsqrt(1.2508) = 0.8942 +32'h3f91c55d,32'h3f6b173b,32'h3f74afb1, 32'h3f63e4e3,32'h3f7be209, 32'h3f57e651,32'h3f83f04e,// invsqrt(1.1388) = 0.9371 +32'h3f86eaaf,32'h3f745d50,32'h3f7e56ac, 32'h3f6ce24b,32'h3f82e8d9, 32'h3f606a98,32'h3f8924b2,// invsqrt(1.0540) = 0.9740 +32'h4039f966,32'h3f132c6c,32'h3f192e3c, 32'h3f0eab10,32'h3f1daf98, 32'h3f0728cc,32'h3f2531dc,// invsqrt(2.9058) = 0.5866 +32'h3f94b09e,32'h3f68c592,32'h3f7245cc, 32'h3f61a566,32'h3f7965f8, 32'h3f55c51e,32'h3f82a320,// invsqrt(1.1616) = 0.9278 +32'h3f8930ab,32'h3f7254d4,32'h3f7c38f2, 32'h3f6ae9be,32'h3f81d204, 32'h3f5e8c99,32'h3f880096,// invsqrt(1.0718) = 0.9659 +32'h421df500,32'h3e1fb179,32'h3e26361a, 32'h3e1acdff,32'h3e2b1993, 32'h3e12a835,32'h3e333f5d,// invsqrt(39.4893) = 0.1591 +32'h40459b29,32'h3f0ec6b6,32'h3f149a94, 32'h3f0a67d0,32'h3f18f97a, 32'h3f031efb,32'h3f20424f,// invsqrt(3.0876) = 0.5691 +32'h4198a39c,32'h3e65bda5,32'h3e6f1e33, 32'h3e5eb53a,32'h3e76269e, 32'h3e52fc88,32'h3e80efa8,// invsqrt(19.0799) = 0.2289 +32'h401c45f5,32'h3f208d1e,32'h3f271ab7, 32'h3f1ba2ec,32'h3f2c04ea, 32'h3f1371ed,32'h3f3435e9,// invsqrt(2.4418) = 0.6400 +32'h41714a0a,32'h3e813512,32'h3e867b28, 32'h3e7a8104,32'h3e8a6fb8, 32'h3e6d51ce,32'h3e910753,// invsqrt(15.0806) = 0.2575 +32'h3ecdb038,32'h3fc5e8c7,32'h3fcdfcbb, 32'h3fbfd9d1,32'h3fd40bb1, 32'h3fb5c0e1,32'h3fde24a1,// invsqrt(0.4017) = 1.5777 +32'h3f42841f,32'h3f8fe7e2,32'h3f95c78d, 32'h3f8b8021,32'h3f9a2f4d, 32'h3f84288b,32'h3fa186e3,// invsqrt(0.7598) = 1.1472 +32'h3dcec7e0,32'h404562c5,32'h404d7140, 32'h403f57e9,32'h40537c1d, 32'h403545d0,32'h405d8e37,// invsqrt(0.1010) = 3.1471 +32'h3c499ea4,32'h410d5914,32'h41131e06, 32'h41090560,32'h411771ba, 32'h4101cf32,32'h411ea7e8,// invsqrt(0.0123) = 9.0145 +32'h3f8d495c,32'h3f6ecade,32'h3f788a02, 32'h3f677b84,32'h3f7fd95c, 32'h3f5b4c98,32'h3f860424,// invsqrt(1.1038) = 0.9518 +32'h3fc71bea,32'h3f49270d,32'h3f515ce4, 32'h3f42fead,32'h3f578545, 32'h3f38bb60,32'h3f61c892,// invsqrt(1.5555) = 0.8018 +32'h3f498621,32'h3f8d61ad,32'h3f9326f7, 32'h3f890db4,32'h3f977af0, 32'h3f81d717,32'h3f9eb18d,// invsqrt(0.7872) = 1.1271 +32'h3fd6775a,32'h3f41d11f,32'h3f49ba4f, 32'h3f3be23b,32'h3f4fa933, 32'h3f31febe,32'h3f598cb0,// invsqrt(1.6755) = 0.7725 +32'h40508bc7,32'h3f0afb1c,32'h3f10a751, 32'h3f06b9f3,32'h3f14e879, 32'h3eff4561,32'h3f1bffbb,// invsqrt(3.2585) = 0.5540 +32'h3f57c357,32'h3f88a301,32'h3f8e36b8, 32'h3f847438,32'h3f926582, 32'h3f7af727,32'h3f995e26,// invsqrt(0.8428) = 1.0893 +32'h3f05817b,32'h3fadb3d5,32'h3fb4cad9, 32'h3fa86293,32'h3fba1c1b, 32'h3f9f85ce,32'h3fc2f8e0,// invsqrt(0.5215) = 1.3847 +32'h4003dd26,32'h3f2ec7d3,32'h3f35ea1b, 32'h3f296e1e,32'h3f3b43d0, 32'h3f208345,32'h3f442ea9,// invsqrt(2.0604) = 0.6967 +32'h3ed4efbb,32'h3fc28307,32'h3fca737b, 32'h3fbc8eb1,32'h3fd067d1, 32'h3fb2a221,32'h3fda5461,// invsqrt(0.4159) = 1.5506 +32'h3f2d00ed,32'h3f989741,32'h3f9ed1ac, 32'h3f93eb70,32'h3fa37d7c, 32'h3f8c226a,32'h3fab4682,// invsqrt(0.6758) = 1.2164 +32'h3fbea7ac,32'h3f4d905f,32'h3f55f44e, 32'h3f47456c,32'h3f5c3f42, 32'h3f3cc882,32'h3f66bc2d,// invsqrt(1.4895) = 0.8194 +32'h3f022f5d,32'h3fafe768,32'h3fb7156c, 32'h3faa84e5,32'h3fbc77ef, 32'h3fa18b5f,32'h3fc57175,// invsqrt(0.5085) = 1.4023 +32'h40aeb4da,32'h3ed6bde5,32'h3edf81bb, 32'h3ed02b06,32'h3ee6149a, 32'h3ec5363b,32'h3ef10965,// invsqrt(5.4596) = 0.4280 +32'h3fdb1bcf,32'h3f3fc0ab,32'h3f479449, 32'h3f39e1f4,32'h3f4d7300, 32'h3f30196e,32'h3f573b86,// invsqrt(1.7118) = 0.7643 +32'h40b0a3d7,32'h3ed59030,32'h3ede47b5, 32'h3ecf068d,32'h3ee4d159, 32'h3ec42128,32'h3eefb6bf,// invsqrt(5.5200) = 0.4256 +32'h404fc28f,32'h3f0b3e59,32'h3f10ed4d, 32'h3f06fb22,32'h3f153084, 32'h3effc0e2,32'h3f1c4b35,// invsqrt(3.2462) = 0.5550 +32'h404d08ef,32'h3f0c2a73,32'h3f11e30a, 32'h3f07e002,32'h3f162d7c, 32'h3f00b946,32'h3f1d5438,// invsqrt(3.2037) = 0.5587 +32'h3f1a4b02,32'h3fa19409,32'h3fa82c5d, 32'h3f9ca1ca,32'h3fad1e9c, 32'h3f946361,32'h3fb55d05,// invsqrt(0.6027) = 1.2881 +32'h3f4d4189,32'h3f8c171f,32'h3f91ceec, 32'h3f87cd45,32'h3f9618c5, 32'h3f80a784,32'h3f9d3e86,// invsqrt(0.8018) = 1.1168 +32'h403f52f8,32'h3f1119ec,32'h3f170616, 32'h3f0ca8ce,32'h3f1b7734, 32'h3f05419a,32'h3f22de68,// invsqrt(2.9894) = 0.5784 +32'h3f03f7a7,32'h3faeb645,32'h3fb5d7d5, 32'h3fa95d19,32'h3fbb3101, 32'h3fa07325,32'h3fc41af5,// invsqrt(0.5155) = 1.3928 +32'h3fc82b34,32'h3f489e8f,32'h3f50ced4, 32'h3f427a5c,32'h3f56f308, 32'h3f383e07,32'h3f612f5d,// invsqrt(1.5638) = 0.7997 +32'h3e2284e7,32'h401d6f97,32'h4023dca2, 32'h40189dce,32'h4028ae6a, 32'h40109580,32'h4030b6b8,// invsqrt(0.1587) = 2.5101 +32'h3d684a6f,32'h4083afa7,32'h40890fa3, 32'h407f4f53,32'h408d17a0, 32'h4071df5c,32'h4093cf9c,// invsqrt(0.0567) = 4.1992 +32'h3fce3817,32'h3f45a78a,32'h3f4db8d3, 32'h3f3f9a92,32'h3f53c5ca, 32'h3f3584f6,32'h3f5ddb66,// invsqrt(1.6111) = 0.7878 +32'h3eec429b,32'h3fb8a947,32'h3fc032cd, 32'h3fb30223,32'h3fc5d9f1, 32'h3fa9963c,32'h3fcf45d8,// invsqrt(0.4614) = 1.4721 +32'h3f2c622c,32'h3f98dd74,32'h3f9f1abc, 32'h3f942f7d,32'h3fa3c8b3, 32'h3f8c62e2,32'h3fab954e,// invsqrt(0.6734) = 1.2186 +32'h3f16b0bd,32'h3fa37f91,32'h3faa2bf5, 32'h3f9e7e46,32'h3faf2d40, 32'h3f9626c9,32'h3fb784bd,// invsqrt(0.5886) = 1.3034 +32'h3f7541c1,32'h3f80286e,32'h3f85638c, 32'h3f78782e,32'h3f894fe3, 32'h3f6b6461,32'h3f8fd9ca,// invsqrt(0.9580) = 1.0217 +32'h3f9b4a4e,32'h3f63c571,32'h3f6d116b, 32'h3f5ccc75,32'h3f740a67, 32'h3f512d7d,32'h3f7fa95f,// invsqrt(1.2132) = 0.9079 +32'h3fac757b,32'h3f5822f5,32'h3f60f55d, 32'h3f518527,32'h3f67932b, 32'h3f467e25,32'h3f729a2d,// invsqrt(1.3473) = 0.8615 +32'h3f081874,32'h3fac0ab7,32'h3fb31061, 32'h3fa6c678,32'h3fb854a0, 32'h3f9dff64,32'h3fc11bb4,// invsqrt(0.5316) = 1.3715 +32'h3f6bbd08,32'h3f82b83b,32'h3f880e1e, 32'h3f7d6fa0,32'h3f8c0e88, 32'h3f7018e9,32'h3f92b9e4,// invsqrt(0.9209) = 1.0421 +32'h3ede86f1,32'h3fbe4628,32'h3fc60a54, 32'h3fb87308,32'h3fcbdd74, 32'h3faebdd1,32'h3fd592ab,// invsqrt(0.4346) = 1.5169 +32'h3f35461c,32'h3f9511c3,32'h3f9b2762, 32'h3f90818b,32'h3f9fb799, 32'h3f88e683,32'h3fa752a1,// invsqrt(0.7081) = 1.1884 +32'h3f621d6d,32'h3f8578ee,32'h3f8aeb94, 32'h3f8162f1,32'h3f8f0191, 32'h3f752742,32'h3f95d0e1,// invsqrt(0.8833) = 1.0640 +32'h40a6e9de,32'h3edbb293,32'h3ee4aa31, 32'h3ed4f8dd,32'h3eeb63e7, 32'h3ec9c358,32'h3ef6996c,// invsqrt(5.2160) = 0.4379 +32'h3f6a27e2,32'h3f832921,32'h3f88839f, 32'h3f7e4a83,32'h3f8c877e, 32'h3f70e846,32'h3f93389d,// invsqrt(0.9147) = 1.0456 +32'h3fca31f7,32'h3f479c8d,32'h3f4fc24a, 32'h3f418040,32'h3f55de98, 32'h3f375114,32'h3f600dc4,// invsqrt(1.5796) = 0.7956 +32'h40243b99,32'h3f1c9cc5,32'h3f230135, 32'h3f17d170,32'h3f27cc8a, 32'h3f0fd3e4,32'h3f2fca16,// invsqrt(2.5661) = 0.6243 +32'h41d4aca5,32'h3e42a1b3,32'h3e4a9367, 32'h3e3cac6c,32'h3e5088ae, 32'h3e32be4c,32'h3e5a76ce,// invsqrt(26.5843) = 0.1939 +32'h3fe13458,32'h3f3d23be,32'h3f44dc0f, 32'h3f375982,32'h3f4aa64c, 32'h3f2db31d,32'h3f544cb1,// invsqrt(1.7594) = 0.7539 +32'h3f420416,32'h3f901755,32'h3f95f8f0, 32'h3f8bae21,32'h3f9a6225, 32'h3f845420,32'h3fa1bc26,// invsqrt(0.7579) = 1.1487 +32'h3f7663bc,32'h3f7fb9da,32'h3f8514f7, 32'h3f77e5cc,32'h3f88fefe, 32'h3f6ad9b4,32'h3f8f850a,// invsqrt(0.9625) = 1.0193 +32'h3fafd9bc,32'h3f560ac7,32'h3f5ec74c, 32'h3f4f7d62,32'h3f6554b0, 32'h3f4491bb,32'h3f704057,// invsqrt(1.3738) = 0.8532 +32'h3f95ee8b,32'h3f67ce43,32'h3f714465, 32'h3f60b5a9,32'h3f785cff, 32'h3f54e1ff,32'h3f821855,// invsqrt(1.1713) = 0.9240 +32'h406cb684,32'h3f027346,32'h3f07c658, 32'h3efce9f0,32'h3f0bc4a6, 32'h3eef9a41,32'h3f126c7d,// invsqrt(3.6986) = 0.5200 +32'h3e4aa556,32'h400cfd59,32'h4012be8b, 32'h4008ac73,32'h40170f71, 32'h40017af3,32'h401e40f1,// invsqrt(0.1979) = 2.2479 +32'h4048debc,32'h3f0d9c89,32'h3f13643b, 32'h3f0946c4,32'h3f17ba00, 32'h3f020d25,32'h3f1ef39f,// invsqrt(3.1386) = 0.5645 +32'h40ca30e0,32'h3ec79d17,32'h3ecfc2d9, 32'h3ec180c5,32'h3ed5df2b, 32'h3eb75192,32'h3ee00e5e,// invsqrt(6.3185) = 0.3978 +32'h3f223518,32'h3f9d964d,32'h3fa404ed, 32'h3f98c355,32'h3fa8d7e5, 32'h3f90b90d,32'h3fb0e22d,// invsqrt(0.6336) = 1.2563 +32'h3f94464c,32'h3f6918f7,32'h3f729c99, 32'h3f61f63e,32'h3f79bf52, 32'h3f5611b4,32'h3f82d1ee,// invsqrt(1.1584) = 0.9291 +32'h3ed9ce46,32'h3fc05345,32'h3fc82cdf, 32'h3fba7011,32'h3fce1013, 32'h3fb0a010,32'h3fd7e014,// invsqrt(0.4254) = 1.5332 +32'h3f8da813,32'h3f6e7afc,32'h3f7836dc, 32'h3f672e13,32'h3f7f83c5, 32'h3f5b033b,32'h3f85d74f,// invsqrt(1.1067) = 0.9506 +32'h3f8a62cc,32'h3f714836,32'h3f7b215d, 32'h3f69e55a,32'h3f81421d, 32'h3f5d95e9,32'h3f8769d6,// invsqrt(1.0811) = 0.9617 +32'h3e1aafc1,32'h40215f62,32'h4027f590, 32'h401c6ec0,32'h402ce632, 32'h40143306,32'h403521ec,// invsqrt(0.1511) = 2.5729 +32'h3fb37ca9,32'h3f53dcdc,32'h3f5c829c, 32'h3f4d608c,32'h3f62feec, 32'h3f42915c,32'h3f6dce1c,// invsqrt(1.4022) = 0.8445 +32'h3e18e911,32'h40224e9e,32'h4028ee8f, 32'h401d56a8,32'h402de684, 32'h40150eba,32'h40362e72,// invsqrt(0.1493) = 2.5878 +32'h3f5bda59,32'h3f875c20,32'h3f8ce280, 32'h3f833759,32'h3f910747, 32'h3f789ec3,32'h3f97ef3e,// invsqrt(0.8588) = 1.0791 +32'h3b70550d,32'h418176dc,32'h4186bfa2, 32'h417b0091,32'h418ab635, 32'h416dcaa5,32'h4191512c,// invsqrt(0.0037) = 16.5133 +32'h4083ad3f,32'h3ef75a44,32'h3f00b96d, 32'h3eefc7d5,32'h3f0482a5, 32'h3ee3291a,32'h3f0ad202,// invsqrt(4.1149) = 0.4930 +32'h3d5f43d7,32'h40865251,32'h408bcdd7, 32'h408235ad,32'h408fea7b, 32'h4076b68a,32'h4096c4e3,// invsqrt(0.0545) = 4.2832 +32'h3ff3aebe,32'h3f35d3c1,32'h3f3d3faa, 32'h3f3042d3,32'h3f42d099, 32'h3f26fbf1,32'h3f4c177b,// invsqrt(1.9038) = 0.7248 +32'h3efb0339,32'h3fb32715,32'h3fba770b, 32'h3fadab1c,32'h3fbff304, 32'h3fa48728,32'h3fc916f8,// invsqrt(0.4903) = 1.4282 +32'h40732560,32'h3f00b688,32'h3f05f774, 32'h3ef98baf,32'h3f09e824, 32'h3eec6963,32'h3f10794b,// invsqrt(3.7992) = 0.5130 +32'h4149d770,32'h3e8d4530,32'h3e930951, 32'h3e88f216,32'h3e975c6a, 32'h3e81bced,32'h3e9e9193,// invsqrt(12.6151) = 0.2815 +32'h4089d859,32'h3ef1c143,32'h3efb9f5b, 32'h3eea5ab1,32'h3f0182f6, 32'h3ede0514,32'h3f07adc5,// invsqrt(4.3077) = 0.4818 +32'h3f08c8c2,32'h3fab9bb3,32'h3fb29cd5, 32'h3fa65ada,32'h3fb7ddae, 32'h3f9d9970,32'h3fc09f18,// invsqrt(0.5343) = 1.3681 +32'h3d200ec8,32'h409ea44e,32'h40a51df4, 32'h4099c913,32'h40a9f92f, 32'h4091b104,32'h40b2113e,// invsqrt(0.0391) = 5.0587 +32'h3efecb9f,32'h3fb1d15b,32'h3fb9135f, 32'h3fac5fd8,32'h3fbe84e2, 32'h3fa34d54,32'h3fc79766,// invsqrt(0.4976) = 1.4176 +32'h3f28fcb5,32'h3f9a64c1,32'h3fa0b203, 32'h3f95aad0,32'h3fa56bf4, 32'h3f8dca3f,32'h3fad4c85,// invsqrt(0.6601) = 1.2308 +32'h405795ad,32'h3f08b179,32'h3f0e45c7, 32'h3f04823e,32'h3f127502, 32'h3efb11ba,32'h3f196e63,// invsqrt(3.3685) = 0.5449 +32'h3f757ef3,32'h3f801873,32'h3f8552eb, 32'h3f785933,32'h3f893ec5, 32'h3f6b4708,32'h3f8fc7da,// invsqrt(0.9590) = 1.0212 +32'h3e334880,32'h4015e50a,32'h401c0349, 32'h40114e5a,32'h402099f8, 32'h4009a88b,32'h40283fc7,// invsqrt(0.1751) = 2.3899 +32'h3e5f625d,32'h40064924,32'h400bc44a, 32'h40022cc7,32'h400fe0a7, 32'h3ff6a5b0,32'h4016ba96,// invsqrt(0.2181) = 2.1410 +32'h3f665cfd,32'h3f843c65,32'h3f89a220, 32'h3f803019,32'h3f8dae6d, 32'h3f72e1df,32'h3f946d96,// invsqrt(0.8999) = 1.0542 +32'h3d9effc3,32'h4061195e,32'h406a496c, 32'h405a3553,32'h40712d77, 32'h404eb941,32'h407ca989,// invsqrt(0.0776) = 3.5890 +32'h3f137047,32'h3fa54a9e,32'h3fac09be, 32'h3fa03b45,32'h3fb11917, 32'h3f97cc5d,32'h3fb987ff,// invsqrt(0.5759) = 1.3177 +32'h3f1d4e24,32'h3fa00614,32'h3fa68e2a, 32'h3f9b2004,32'h3fab743a, 32'h3f92f5e9,32'h3fb39e55,// invsqrt(0.6145) = 1.2757 +32'h3f8d0769,32'h3f6f02ad,32'h3f78c417, 32'h3f67b19d,32'h3f800a93, 32'h3f5b7fd8,32'h3f862376,// invsqrt(1.1018) = 0.9527 +32'h3f4eb1cf,32'h3f8b9a1a,32'h3f914ccc, 32'h3f875414,32'h3f9592d2, 32'h3f8034b4,32'h3f9cb232,// invsqrt(0.8074) = 1.1129 +32'h40504805,32'h3f0b11b5,32'h3f10bed7, 32'h3f06cfdc,32'h3f1500b0, 32'h3eff6ee4,32'h3f1c191a,// invsqrt(3.2544) = 0.5543 +32'h401a128a,32'h3f21b1a2,32'h3f284b2c, 32'h3f1cbe7b,32'h3f2d3e53, 32'h3f147e90,32'h3f357e3e,// invsqrt(2.4074) = 0.6445 +32'h3fadb8f5,32'h3f57595d,32'h3f60238b, 32'h3f50c1bb,32'h3f66bb2d, 32'h3f45c502,32'h3f71b7e6,// invsqrt(1.3572) = 0.8584 +32'h3f3f1ccc,32'h3f912e7c,32'h3f971b7b, 32'h3f8cbcbb,32'h3f9b8d3b, 32'h3f85547c,32'h3fa2f57a,// invsqrt(0.7465) = 1.1574 +32'h3f9948cc,32'h3f6541b9,32'h3f6e9d39, 32'h3f5e3d19,32'h3f75a1d9, 32'h3f528aba,32'h3f80aa1c,// invsqrt(1.1975) = 0.9138 +32'h3fbdc9fa,32'h3f4e084c,32'h3f567120, 32'h3f47b9ad,32'h3f5cbfbf, 32'h3f3d36a4,32'h3f6742c8,// invsqrt(1.4827) = 0.8212 +32'h3df15389,32'h4036b672,32'h403e2b9c, 32'h40311e93,32'h4043c37b, 32'h4027cc20,32'h404d15ee,// invsqrt(0.1178) = 2.9131 +32'h40359054,32'h3f14f348,32'h3f1b07a8, 32'h3f1063ff,32'h3f1f96f1, 32'h3f08ca86,32'h3f27306a,// invsqrt(2.8369) = 0.5937 +32'h3f519124,32'h3f8aa456,32'h3f904d01, 32'h3f8665d7,32'h3f948b81, 32'h3f7ea602,32'h3f9b9e57,// invsqrt(0.8186) = 1.1052 +32'h3f25c87b,32'h3f9be0dd,32'h3fa23da3, 32'h3f971b4a,32'h3fa70336, 32'h3f8f2753,32'h3faef72d,// invsqrt(0.6476) = 1.2427 +32'h40ce6fc4,32'h3ec58ce0,32'h3ecd9d13, 32'h3ebf80ba,32'h3ed3a93a, 32'h3eb56c7b,32'h3eddbd79,// invsqrt(6.4511) = 0.3937 +32'h3f8fbb85,32'h3f6cc07f,32'h3f766a4f, 32'h3f658122,32'h3f7da9ac, 32'h3f596cdd,32'h3f84def9,// invsqrt(1.1229) = 0.9437 +32'h3f62f637,32'h3f853920,32'h3f8aa92c, 32'h3f812517,32'h3f8ebd35, 32'h3f74b211,32'h3f958943,// invsqrt(0.8866) = 1.0620 +32'h4081f765,32'h3ef8f991,32'h3f01918d, 32'h3ef15a6a,32'h3f056120, 32'h3ee4a680,32'h3f0bbb15,// invsqrt(4.0614) = 0.4962 +32'h3f9060f2,32'h3f6c38b6,32'h3f75dcfc, 32'h3f64fd81,32'h3f7d1831, 32'h3f58f02a,32'h3f8492c4,// invsqrt(1.1280) = 0.9416 +32'h3fa247b8,32'h3f5ecfd7,32'h3f67e7ff, 32'h3f57fdb9,32'h3f6eba1d, 32'h3f4c9f87,32'h3f7a184f,// invsqrt(1.2678) = 0.8881 +32'h3f7b8480,32'h3f7d1b0c,32'h3f83b7e0, 32'h3f755b87,32'h3f8797a2, 32'h3f6871a9,32'h3f8e0c92,// invsqrt(0.9825) = 1.0089 +32'h3f4bf778,32'h3f8c884a,32'h3f9244b6, 32'h3f883afa,32'h3f969206, 32'h3f810f73,32'h3f9dbd8d,// invsqrt(0.7967) = 1.1203 +32'h3ff4ccb1,32'h3f356970,32'h3f3cd102, 32'h3f2fdbc3,32'h3f425eaf, 32'h3f269a4d,32'h3f4ba025,// invsqrt(1.9125) = 0.7231 +32'h3f2189e8,32'h3f9de9b7,32'h3fa45bbf, 32'h3f991432,32'h3fa93144, 32'h3f9105a8,32'h3fb13fce,// invsqrt(0.6310) = 1.2589 +32'h3f14aab5,32'h3fa49b76,32'h3fab5370, 32'h3f9f917a,32'h3fb05d6c, 32'h3f972b81,32'h3fb8c365,// invsqrt(0.5807) = 1.3122 +32'h40a9a722,32'h3ed9eaaa,32'h3ee2cfac, 32'h3ed33ee9,32'h3ee97b6d, 32'h3ec820a7,32'h3ef499af,// invsqrt(5.3017) = 0.4343 +32'h3ec33214,32'h3fcb28b2,32'h3fd37380, 32'h3fc4f098,32'h3fd9ab9a, 32'h3fba9316,32'h3fe4091c,// invsqrt(0.3812) = 1.6196 +32'h3ff203e7,32'h3f3673d3,32'h3f3de644, 32'h3f30ddfe,32'h3f437c18, 32'h3f278ef0,32'h3f4ccb26,// invsqrt(1.8907) = 0.7272 +32'h410362dc,32'h3eaf1917,32'h3eb63eaf, 32'h3ea9bce4,32'h3ebb9ae2, 32'h3ea0cde6,32'h3ec489e0,// invsqrt(8.2116) = 0.3490 +32'h3ed5ee40,32'h3fc20f30,32'h3fc9fae8, 32'h3fbc1e65,32'h3fcfebb3, 32'h3fb237be,32'h3fd9d25a,// invsqrt(0.4178) = 1.5470 +32'h3f2b4495,32'h3f995cb3,32'h3f9f9f2d, 32'h3f94aad7,32'h3fa45109, 32'h3f8cd7be,32'h3fac2422,// invsqrt(0.6690) = 1.2226 +32'h3f9a2f0a,32'h3f64964d,32'h3f6deacd, 32'h3f5d96ec,32'h3f74ea2e, 32'h3f51ed4c,32'h3f8049e7,// invsqrt(1.2046) = 0.9111 +32'h3e4a84f5,32'h400d089d,32'h4012ca46, 32'h4008b760,32'h40171b84, 32'h4001854d,32'h401e4d97,// invsqrt(0.1978) = 2.2486 +32'h3f804868,32'h3f7a9a70,32'h3f826a7f, 32'h3f72ee87,32'h3f864073, 32'h3f662558,32'h3f8ca50b,// invsqrt(1.0022) = 0.9989 +32'h3fbe53ad,32'h3f4dbdb7,32'h3f56237f, 32'h3f477160,32'h3f5c6fd6, 32'h3f3cf225,32'h3f66ef11,// invsqrt(1.4869) = 0.8201 +32'h4102bdfc,32'h3eaf875c,32'h3eb6b174, 32'h3eaa27c9,32'h3ebc1107, 32'h3ea1332a,32'h3ec505a6,// invsqrt(8.1714) = 0.3498 +32'h3f8dcc59,32'h3f6e5c7a,32'h3f78171b, 32'h3f671080,32'h3f7f6314, 32'h3f5ae736,32'h3f85c62f,// invsqrt(1.1078) = 0.9501 +32'h3fc63f30,32'h3f4996e9,32'h3f51d151, 32'h3f436b1c,32'h3f57fd1e, 32'h3f39221a,32'h3f624620,// invsqrt(1.5488) = 0.8035 +32'h406e321b,32'h3f020b2b,32'h3f0759fd, 32'h3efc2019,32'h3f0b551b, 32'h3eeedb0a,32'h3f11f7a3,// invsqrt(3.7218) = 0.5183 +32'h3e9108f2,32'h3febafbe,32'h3ff54e6d, 32'h3fe478bb,32'h3ffc8571, 32'h3fd87261,32'h400445e6,// invsqrt(0.2833) = 1.8789 +32'h3ed0a2b0,32'h3fc481aa,32'h3fcc86f5, 32'h3fbe7db2,32'h3fd28aee, 32'h3fb47715,32'h3fdc918b,// invsqrt(0.4075) = 1.5665 +32'h3f13a0d3,32'h3fa52f6e,32'h3fabed73, 32'h3fa020eb,32'h3fb0fbf7, 32'h3f97b366,32'h3fb9697c,// invsqrt(0.5767) = 1.3168 +32'h4119fba4,32'h3ea1bda7,32'h3ea857ae, 32'h3e9cca22,32'h3ead4b34, 32'h3e94899a,32'h3eb58bbc,// invsqrt(9.6239) = 0.3223 +32'h3fb6b94a,32'h3f51fa54,32'h3f5a8c62, 32'h3f4b8cca,32'h3f60f9ec, 32'h3f40d638,32'h3f6bb07e,// invsqrt(1.4275) = 0.8370 +32'h3f044041,32'h3fae864a,32'h3fb5a5e4, 32'h3fa92e96,32'h3fbafd98, 32'h3fa04715,32'h3fc3e519,// invsqrt(0.5166) = 1.3913 +32'h3dddd86f,32'h403e90ef,32'h40465828, 32'h4038bb85,32'h404c2d93, 32'h402f027e,32'h4055e69a,// invsqrt(0.1083) = 3.0384 +32'h3f9ecfe9,32'h3f613b45,32'h3f6a6cb5, 32'h3f5a5630,32'h3f7151ca, 32'h3f4ed864,32'h3f7ccf96,// invsqrt(1.2407) = 0.8978 +32'h41153f38,32'h3ea4497b,32'h3eaafe1d, 32'h3e9f4202,32'h3eb00596, 32'h3e96e038,32'h3eb86760,// invsqrt(9.3279) = 0.3274 +32'h3f30e3a8,32'h3f96e7d3,32'h3f9d10a3, 32'h3f924938,32'h3fa1af3e, 32'h3f8a9635,32'h3fa96241,// invsqrt(0.6910) = 1.2030 +32'h3f37aebb,32'h3f9416b9,32'h3f9a2219, 32'h3f8f8e31,32'h3f9eaaa1, 32'h3f87fff8,32'h3fa638da,// invsqrt(0.7175) = 1.1806 +32'h4118a238,32'h3ea27444,32'h3ea915bf, 32'h3e9d7b28,32'h3eae0edc, 32'h3e95314f,32'h3eb658b5,// invsqrt(9.5396) = 0.3238 +32'h3f95501c,32'h3f68491e,32'h3f71c444, 32'h3f612cc2,32'h3f78e0a0, 32'h3f5552d2,32'h3f825d48,// invsqrt(1.1665) = 0.9259 +32'h3f1ae2f2,32'h3fa144b5,32'h3fa7d9cc, 32'h3f9c54e3,32'h3facc99d, 32'h3f941a86,32'h3fb503fa,// invsqrt(0.6050) = 1.2856 +32'h40d9ce09,32'h3ec05360,32'h3ec82cfc, 32'h3eba702c,32'h3ece1030, 32'h3eb0a029,32'h3ed7e033,// invsqrt(6.8064) = 0.3833 +32'h3f6bc1e7,32'h3f82b6e1,32'h3f880cb5, 32'h3f7d6d02,32'h3f8c0d15, 32'h3f70166d,32'h3f92b85f,// invsqrt(0.9209) = 1.0420 +32'h3fef0830,32'h3f379664,32'h3f3f14b2, 32'h3f31f7aa,32'h3f44b36c, 32'h3f2899ca,32'h3f4e114c,// invsqrt(1.8674) = 0.7318 +32'h3ff19a61,32'h3f369ba7,32'h3f3e0fb8, 32'h3f310499,32'h3f43a6c5, 32'h3f27b384,32'h3f4cf7da,// invsqrt(1.8875) = 0.7279 +32'h3f31ec68,32'h3f967763,32'h3f9c9b9c, 32'h3f91dc3a,32'h3fa136c6, 32'h3f8a2ef3,32'h3fa8e40d,// invsqrt(0.6950) = 1.1995 +32'h3f401a42,32'h3f90ce95,32'h3f96b7ab, 32'h3f8c5fc5,32'h3f9b267b, 32'h3f84fc6a,32'h3fa289d6,// invsqrt(0.7504) = 1.1544 +32'h3f059c9f,32'h3fada230,32'h3fb4b87b, 32'h3fa85177,32'h3fba0933, 32'h3f9f7599,32'h3fc2e511,// invsqrt(0.5219) = 1.3842 +32'h4122c068,32'h3e9d52cc,32'h3ea3beab, 32'h3e9881e6,32'h3ea88f92, 32'h3e907b10,32'h3eb09668,// invsqrt(10.1720) = 0.3135 +32'h3e881a6a,32'h3ff34c0d,32'h3ffd3a42, 32'h3febd966,32'h40025675, 32'h3fdf6fa4,32'h40088b56,// invsqrt(0.2658) = 1.9395 +32'h3d3aa55e,32'h4092e88f,32'h4098e79b, 32'h408e6947,32'h409d66e3, 32'h4086ea79,32'h40a4e5b1,// invsqrt(0.0456) = 4.6846 +32'h3e1ab848,32'h40215af0,32'h4027f0ef, 32'h401c6a70,32'h402ce16e, 32'h40142ef1,32'h40351ced,// invsqrt(0.1511) = 2.5726 +32'h3f6d2a97,32'h3f825356,32'h3f87a51a, 32'h3f7cac04,32'h3f8ba26e, 32'h3f6f5f98,32'h3f9248a4,// invsqrt(0.9264) = 1.0389 +32'h3e6f66cf,32'h4001b737,32'h4007029d, 32'h3ffb7d56,32'h400afb29, 32'h3fee40d8,32'h40119968,// invsqrt(0.2338) = 2.0682 +32'h3ed067f2,32'h3fc49d5a,32'h3fcca3c6, 32'h3fbe9889,32'h3fd2a897, 32'h3fb49082,32'h3fdcb09e,// invsqrt(0.4070) = 1.5674 +32'h40c5c9e9,32'h3ec9d2a4,32'h3ed20f7c, 32'h3ec3a502,32'h3ed83d1e, 32'h3eb958f5,32'h3ee2892b,// invsqrt(6.1809) = 0.4022 +32'h3f02e651,32'h3faf6c4f,32'h3fb6954d, 32'h3faa0d90,32'h3fbbf40c, 32'h3fa11a53,32'h3fc4e749,// invsqrt(0.5113) = 1.3985 +32'h412e5f0b,32'h3e97fdc2,32'h3e9e31ea, 32'h3e9356a5,32'h3ea2d907, 32'h3e8b9574,32'h3eaa9a38,// invsqrt(10.8982) = 0.3029 +32'h3e1ca60f,32'h40205bd7,32'h4026e76d, 32'h401b7327,32'h402bd01d, 32'h401344ab,32'h4033fe99,// invsqrt(0.1530) = 2.5567 +32'h3e4a2ad3,32'h400d280a,32'h4012eafb, 32'h4008d5d6,32'h40173d30, 32'h4001a229,32'h401e70dd,// invsqrt(0.1974) = 2.2506 +32'h3c809f86,32'h40fa4584,32'h41023e4d, 32'h40f29c35,32'h410612f5, 32'h40e5d75a,32'h410c7562,// invsqrt(0.0157) = 7.9806 +32'h40090aee,32'h3f2b7240,32'h3f3271b0, 32'h3f2632ac,32'h3f37b144, 32'h3f1d735f,32'h3f407091,// invsqrt(2.1413) = 0.6834 +32'h3db4c6bb,32'h40531b1a,32'h405bb8f1, 32'h404ca4b8,32'h40622f52, 32'h4041df6b,32'h406cf49f,// invsqrt(0.0883) = 3.3658 +32'h3e871c86,32'h3ff4303a,32'h3ffe27be, 32'h3fecb696,32'h4002d0b1, 32'h3fe04130,32'h40090b64,// invsqrt(0.2639) = 1.9467 +32'h4018d621,32'h3f2258ab,32'h3f28f906, 32'h3f1d6068,32'h3f2df14a, 32'h3f1517f6,32'h3f3639bc,// invsqrt(2.3881) = 0.6471 +32'h3e9220dd,32'h3feacd95,32'h3ff46309, 32'h3fe39d7e,32'h3ffb9320, 32'h3fd7a2ae,32'h4003c6f8,// invsqrt(0.2854) = 1.8718 +32'h3fa67f20,32'h3f5bf8f5,32'h3f64f371, 32'h3f553d17,32'h3f6baf4f, 32'h3f4a03fb,32'h3f76e86b,// invsqrt(1.3008) = 0.8768 +32'h3ebd8a26,32'h3fce2afa,32'h3fd69538, 32'h3fc7db4b,32'h3fdce4e7, 32'h3fbd567d,32'h3fe769b5,// invsqrt(0.3702) = 1.6436 +32'h3fe1a24a,32'h3f3cf5a4,32'h3f44ac14, 32'h3f372cd1,32'h3f4a74e7, 32'h3f2d88c6,32'h3f5418f2,// invsqrt(1.7628) = 0.7532 +32'h3fd9aa5a,32'h3f406323,32'h3f483d63, 32'h3f3a7f73,32'h3f4e2113, 32'h3f30aea3,32'h3f57f1e3,// invsqrt(1.7005) = 0.7668 +32'h40ab54f4,32'h3ed8d8a6,32'h3ee1b278, 32'h3ed23548,32'h3ee855d6, 32'h3ec72501,32'h3ef3661d,// invsqrt(5.3541) = 0.4322 +32'h3e93193a,32'h3fea0708,32'h3ff39462, 32'h3fe2dd06,32'h3ffabe64, 32'h3fd6ec56,32'h4003578a,// invsqrt(0.2873) = 1.8657 +32'h41e305b0,32'h3e3c6182,32'h3e4411e6, 32'h3e369d38,32'h3e49d630, 32'h3e2d00bc,32'h3e5372ac,// invsqrt(28.3778) = 0.1877 +32'h3d32ae0c,32'h409625c5,32'h409c46a9, 32'h40918d1b,32'h40a0df53, 32'h4089e3fe,32'h40a88870,// invsqrt(0.0436) = 4.7879 +32'h3fc0efbc,32'h3f4c584d,32'h3f54af80, 32'h3f4616e8,32'h3f5af0e6, 32'h3f3ba9ea,32'h3f655de5,// invsqrt(1.5073) = 0.8145 +32'h3fb09d50,32'h3f559423,32'h3f5e4bd1, 32'h3f4f0a61,32'h3f64d593, 32'h3f4424c7,32'h3f6fbb2d,// invsqrt(1.3798) = 0.8513 +32'h3d919f23,32'h406b3614,32'h4074cfcc, 32'h406402ca,32'h407c0316, 32'h405802a5,32'h4084019e,// invsqrt(0.0711) = 3.7502 +32'h40b169a8,32'h3ed518ff,32'h3eddcba7, 32'h3ece9302,32'h3ee451a4, 32'h3ec3b3b1,32'h3eef30f5,// invsqrt(5.5441) = 0.4247 +32'h3ded11d0,32'h40385883,32'h403fdebc, 32'h4032b3d7,32'h40458367, 32'h40294c0f,32'h404eeb2f,// invsqrt(0.1158) = 2.9392 +32'h42191af3,32'h3e22342b,32'h3e28d308, 32'h3e1d3d05,32'h3e2dca2d, 32'h3e14f670,32'h3e3610c2,// invsqrt(38.2763) = 0.1616 +32'h4042fc96,32'h3f0fbb67,32'h3f159941, 32'h3f0b5503,32'h3f19ffa5, 32'h3f03ffb2,32'h3f2154f6,// invsqrt(3.0467) = 0.5729 +32'h40f03c70,32'h3eb72076,32'h3ebe99f2, 32'h3eb18558,32'h3ec43510, 32'h3ea82d7c,32'h3ecd8cec,// invsqrt(7.5074) = 0.3650 +32'h3ee25112,32'h3fbcac9f,32'h3fc46013, 32'h3fb6e608,32'h3fca26aa, 32'h3fad45b6,32'h3fd3c6fc,// invsqrt(0.4420) = 1.5041 +32'h3fc14c22,32'h3f4c2771,32'h3f547ca4, 32'h3f45e78a,32'h3f5abc8a, 32'h3f3b7d09,32'h3f65270b,// invsqrt(1.5101) = 0.8138 +32'h3fbadbe0,32'h3f4fa439,32'h3f581ddd, 32'h3f4948fe,32'h3f5e7918, 32'h3f3eb0f0,32'h3f691126,// invsqrt(1.4598) = 0.8277 +32'h3e3a2480,32'h40131b61,32'h40191c7f, 32'h400e9a8a,32'h401d9d56, 32'h40071925,32'h40251ebb,// invsqrt(0.1818) = 2.3455 +32'h3f9e2e68,32'h3f61ae23,32'h3f6ae443, 32'h3f5ac58a,32'h3f71ccdc, 32'h3f4f41e1,32'h3f7d5085,// invsqrt(1.2358) = 0.8996 +32'h40f27bba,32'h3eb646b9,32'h3ebdb753, 32'h3eb0b246,32'h3ec34bc6, 32'h3ea76585,32'h3ecc9887,// invsqrt(7.5776) = 0.3633 +32'h3f835b0b,32'h3f77a79e,32'h3f80e1ae, 32'h3f7012d0,32'h3f84ac15, 32'h3f637024,32'h3f8afd6b,// invsqrt(1.0262) = 0.9871 +32'h3f6e85d3,32'h3f81f456,32'h3f87423a, 32'h3f7bf3d6,32'h3f8b3ca5, 32'h3f6eb11b,32'h3f91de02,// invsqrt(0.9317) = 1.0360 +32'h3f5860cd,32'h3f887141,32'h3f8e02f1, 32'h3f8443fe,32'h3f923034, 32'h3f7a9bc7,32'h3f99264f,// invsqrt(0.8452) = 1.0877 +32'h3f7109f5,32'h3f81463e,32'h3f868d07, 32'h3f7aa24d,32'h3f8a821d, 32'h3f6d7157,32'h3f911a99,// invsqrt(0.9416) = 1.0306 +32'h3fb0f335,32'h3f556046,32'h3f5e15d6, 32'h3f4ed81a,32'h3f649e02, 32'h3f43f526,32'h3f6f80f6,// invsqrt(1.3824) = 0.8505 +32'h3feebd63,32'h3f37b324,32'h3f3f329e, 32'h3f321389,32'h3f44d239, 32'h3f28b431,32'h3f4e3191,// invsqrt(1.8652) = 0.7322 +32'h40120ff5,32'h3f261180,32'h3f2cd8bf, 32'h3f20fc12,32'h3f31ee2e, 32'h3f188303,32'h3f3a673d,// invsqrt(2.2822) = 0.6619 +32'h3e6c8afb,32'h40027f46,32'h4007d2d6, 32'h3ffd0134,32'h400bd182, 32'h3fefb04c,32'h401279f6,// invsqrt(0.2310) = 2.0806 +32'h3f911c68,32'h3f6b9ff0,32'h3f753dfa, 32'h3f646969,32'h3f7c7481, 32'h3f5863dc,32'h3f843d07,// invsqrt(1.1337) = 0.9392 +32'h3edf4bbb,32'h3fbdf23e,32'h3fc5b2fc, 32'h3fb821af,32'h3fcb838b, 32'h3fae70c0,32'h3fd5347a,// invsqrt(0.4361) = 1.5142 +32'h3ff289e5,32'h3f364166,32'h3f3db1c8, 32'h3f30ad1c,32'h3f434612, 32'h3f2760a2,32'h3f4c928d,// invsqrt(1.8948) = 0.7265 +32'h3f7f0f68,32'h3f7b577f,32'h3f82cce2, 32'h3f73a5cd,32'h3f86a5bb, 32'h3f66d2f8,32'h3f8d0f26,// invsqrt(0.9963) = 1.0018 +32'h3ebf46f4,32'h3fcd3ab7,32'h3fd59b27, 32'h3fc6f263,32'h3fdbe37b, 32'h3fbc79d7,32'h3fe65c07,// invsqrt(0.3736) = 1.6361 +32'h41681f49,32'h3e83bbe4,32'h3e891c60, 32'h3e7f670d,32'h3e8d24bd, 32'h3e71f5d7,32'h3e93dd59,// invsqrt(14.5076) = 0.2625 +32'h3fd57494,32'h3f424677,32'h3f4a3471, 32'h3f3c53fb,32'h3f5026ed, 32'h3f326a82,32'h3f5a1066,// invsqrt(1.6676) = 0.7744 +32'h3ec2b3bb,32'h3fcb6a92,32'h3fd3b810, 32'h3fc53073,32'h3fd9f22f, 32'h3fbacf96,32'h3fe4530c,// invsqrt(0.3803) = 1.6216 +32'h3e92a44d,32'h3fea6443,32'h3ff3f56b, 32'h3fe33766,32'h3ffb2248, 32'h3fd741f5,32'h40038bdd,// invsqrt(0.2864) = 1.8686 +32'h3e9491a3,32'h3fe8ddd5,32'h3ff25f0d, 32'h3fe1bceb,32'h3ff97ff7, 32'h3fd5db66,32'h4002b0be,// invsqrt(0.2902) = 1.8564 +32'h404a1e20,32'h3f0d2c79,32'h3f12ef99, 32'h3f08da22,32'h3f1741f0, 32'h3f01a63b,32'h3f1e75d7,// invsqrt(3.1581) = 0.5627 +32'h3e4f8af2,32'h400b50ff,32'h401100b6, 32'h40070d36,32'h40154480, 32'h3fffe324,32'h401c6024,// invsqrt(0.2027) = 2.2212 +32'h3eee2916,32'h3fb7ec4d,32'h3fbf6e1c, 32'h3fb24af2,32'h3fc50f78, 32'h3fa8e8b0,32'h3fce71ba,// invsqrt(0.4652) = 1.4662 +32'h3cddae93,32'h40bea2ed,32'h40c66ae1, 32'h40b8ccf5,32'h40cc40d9, 32'h40af1303,32'h40d5facb,// invsqrt(0.0271) = 6.0790 +32'h4087bb8a,32'h3ef3a106,32'h3efd92b2, 32'h3eec2bc4,32'h3f0283fa, 32'h3edfbdac,32'h3f08bb06,// invsqrt(4.2416) = 0.4855 +32'h3eae25f3,32'h3fd715ef,32'h3fdfdd5c, 32'h3fd0805d,32'h3fe672ed, 32'h3fc58714,32'h3ff16c36,// invsqrt(0.3401) = 1.7146 +32'h409584b0,32'h3ee82043,32'h3ef199be, 32'h3ee10527,32'h3ef8b4db, 32'h3ed52d4e,32'h3f02465a,// invsqrt(4.6724) = 0.4626 +32'h3f827667,32'h3f788042,32'h3f81526c, 32'h3f70e4d3,32'h3f852024, 32'h3f643718,32'h3f8b7701,// invsqrt(1.0192) = 0.9905 +32'h3f85bf63,32'h3f756e22,32'h3f7f72a1, 32'h3f6deac4,32'h3f837b00, 32'h3f616525,32'h3f89bdd0,// invsqrt(1.0449) = 0.9783 +32'h3f81c0af,32'h3f792e09,32'h3f81acdb, 32'h3f718d47,32'h3f857d3b, 32'h3f64d6af,32'h3f8bd887,// invsqrt(1.0137) = 0.9932 +32'h3e203748,32'h401e9040,32'h40250914, 32'h4019b5a2,32'h4029e3b2, 32'h40119e99,32'h4031fabb,// invsqrt(0.1565) = 2.5281 +32'h3f931e97,32'h3f6a02c4,32'h3f738ff1, 32'h3f62d8e3,32'h3f7ab9d3, 32'h3f56e86c,32'h3f835525,// invsqrt(1.1494) = 0.9328 +32'h3e549735,32'h4009a707,32'h400f455b, 32'h40057048,32'h40137c1a, 32'h3ffcd4bf,32'h401a8203,// invsqrt(0.2076) = 2.1947 +32'h3e39ed2d,32'h40133142,32'h40193344, 32'h400eafc0,32'h401db4c6, 32'h40072d3c,32'h4025374a,// invsqrt(0.1816) = 2.3468 +32'h3fe0c0ed,32'h3f3d5449,32'h3f450e95, 32'h3f378890,32'h3f4ada4e, 32'h3f2ddfb1,32'h3f54832d,// invsqrt(1.7559) = 0.7547 +32'h3f78aeb6,32'h3f7e8b5a,32'h3f84778b, 32'h3f76c08f,32'h3f885cf1, 32'h3f69c3e6,32'h3f8edb45,// invsqrt(0.9714) = 1.0146 +32'h4010810f,32'h3f26f61a,32'h3f2dc6ad, 32'h3f21d9ab,32'h3f32e31b, 32'h3f1954f3,32'h3f3b67d3,// invsqrt(2.2579) = 0.6655 +32'h3f8e62d9,32'h3f6dde5f,32'h3f7793db, 32'h3f669642,32'h3f7edbf8, 32'h3f5a7367,32'h3f857f6a,// invsqrt(1.1124) = 0.9481 +32'h3f353fcd,32'h3f95145b,32'h3f9b2a15, 32'h3f90840f,32'h3f9fba61, 32'h3f88e8e6,32'h3fa7558a,// invsqrt(0.7080) = 1.1885 +32'h400494dc,32'h3f2e4e91,32'h3f356be6, 32'h3f28f893,32'h3f3ac1e5, 32'h3f2013e9,32'h3f43a68f,// invsqrt(2.0716) = 0.6948 +32'h3f1c5ba3,32'h3fa081fd,32'h3fa70f21, 32'h3f9b9821,32'h3fabf8fd, 32'h3f9367b4,32'h3fb4296a,// invsqrt(0.6108) = 1.2796 +32'h3e2d3197,32'h401881cf,32'h401ebb5b, 32'h4013d6a7,32'h40236683, 32'h400c0eb9,32'h402b2e71,// invsqrt(0.1691) = 2.4316 +32'h3fd0c36d,32'h3f447241,32'h3f4c76eb, 32'h3f3e6ec2,32'h3f527a6a, 32'h3f3468ed,32'h3f5c803f,// invsqrt(1.6310) = 0.7830 +32'h3f4e7288,32'h3f8baf7d,32'h3f91630f, 32'h3f8768cf,32'h3f95a9bd, 32'h3f804859,32'h3f9cca33,// invsqrt(0.8064) = 1.1136 +32'h3ee655ab,32'h3fbb057d,32'h3fc2a7ac, 32'h3fb54bda,32'h3fc86150, 32'h3fabc120,32'h3fd1ec0a,// invsqrt(0.4499) = 1.4909 +32'h405f0ca1,32'h3f0662f0,32'h3f0bdf24, 32'h3f0245ca,32'h3f0ffc4a, 32'h3ef6d512,32'h3f16d78b,// invsqrt(3.4851) = 0.5357 +32'h40260679,32'h3f1bc3c0,32'h3f221f55, 32'h3f16ff11,32'h3f26e405, 32'h3f0f0c97,32'h3f2ed67f,// invsqrt(2.5941) = 0.6209 +32'h3e3f5ff8,32'h401114ff,32'h401700f4, 32'h400ca406,32'h401b71ec, 32'h40053d13,32'h4022d8df,// invsqrt(0.1869) = 2.3132 +32'h3f89a107,32'h3f71f1d5,32'h3f7bd1e8, 32'h3f6a89c6,32'h3f819cfb, 32'h3f5e31ae,32'h3f87c907,// invsqrt(1.0752) = 0.9644 +32'h40104f6a,32'h3f2712cf,32'h3f2de48f, 32'h3f21f580,32'h3f3301de, 32'h3f196f51,32'h3f3b880d,// invsqrt(2.2548) = 0.6659 +32'h404c497a,32'h3f0c6c13,32'h3f122757, 32'h3f081f9f,32'h3f1673cb, 32'h3f00f589,32'h3f1d9de1,// invsqrt(3.1920) = 0.5597 +32'h3f355e0b,32'h3f9507ec,32'h3f9b1d25, 32'h3f907802,32'h3f9fad10, 32'h3f88dd7b,32'h3fa74797,// invsqrt(0.7085) = 1.1881 +32'h3ee61ce3,32'h3fbb1c8f,32'h3fc2bfaf, 32'h3fb56237,32'h3fc87a07, 32'h3fabd64f,32'h3fd205ef,// invsqrt(0.4494) = 1.4916 +32'h3e644b9d,32'h4004d55e,32'h400a4157, 32'h4000c462,32'h400e5252, 32'h3ff3fad5,32'h40151949,// invsqrt(0.2229) = 2.1179 +32'h3eab190f,32'h3fd8fe97,32'h3fe1d9f5, 32'h3fd25a0f,32'h3fe87e7d, 32'h3fc747d9,32'h3ff390b3,// invsqrt(0.3342) = 1.7299 +32'h3f74dc3c,32'h3f8042fc,32'h3f857f30, 32'h3f78abaa,32'h3f896c57, 32'h3f6b9528,32'h3f8ff798,// invsqrt(0.9565) = 1.0225 +32'h3f9c380f,32'h3f6317dc,32'h3f6c5cc0, 32'h3f5c2430,32'h3f73506c, 32'h3f508e13,32'h3f7ee689,// invsqrt(1.2205) = 0.9052 +32'h3ec5e3ab,32'h3fc9c582,32'h3fd201d0, 32'h3fc39847,32'h3fd82f0b, 32'h3fb94ce5,32'h3fe27a6d,// invsqrt(0.3865) = 1.6085 +32'h3f8d5da4,32'h3f6eb9bd,32'h3f78782d, 32'h3f676ae9,32'h3f7fc701, 32'h3f5b3cdc,32'h3f85fa87,// invsqrt(1.1044) = 0.9516 +32'h3ebdfd26,32'h3fcdec8b,32'h3fd6543d, 32'h3fc79ec5,32'h3fdca203, 32'h3fbd1d27,32'h3fe723a1,// invsqrt(0.3711) = 1.6416 +32'h3ff96290,32'h3f33bc80,32'h3f3b1290, 32'h3f2e3bf4,32'h3f40931c, 32'h3f251061,32'h3f49beaf,// invsqrt(1.9483) = 0.7164 +32'h3ea41e58,32'h3fdd8f79,32'h3fe69a8d, 32'h3fd6c729,32'h3fed62dd, 32'h3fcb7950,32'h3ff8b0b7,// invsqrt(0.3205) = 1.7663 +32'h41c040de,32'h3e4cb527,32'h3e551023, 32'h3e4670ea,32'h3e5b5460, 32'h3e3bff2e,32'h3e65c61c,// invsqrt(24.0317) = 0.2040 +32'h40bb97cc,32'h3ecf3c1e,32'h3ed7b182, 32'h3ec8e412,32'h3ede098e, 32'h3ebe5155,32'h3ee89c4b,// invsqrt(5.8623) = 0.4130 +32'h4046bbf3,32'h3f0e5ed3,32'h3f142e74, 32'h3f0a031c,32'h3f188a2c, 32'h3f02bf94,32'h3f1fcdb4,// invsqrt(3.1052) = 0.5675 +32'h408bbe2b,32'h3ef01b98,32'h3ef9e879, 32'h3ee8c1ee,32'h3f00a111, 32'h3edc81d4,32'h3f06c11e,// invsqrt(4.3670) = 0.4785 +32'h406f6ed7,32'h3f01b50a,32'h3f070059, 32'h3efb791f,32'h3f0af8d4, 32'h3eee3cda,32'h3f1196f7,// invsqrt(3.7411) = 0.5170 +32'h3ec1fb2e,32'h3fcbcb3f,32'h3fd41caf, 32'h3fc58e2b,32'h3fda59c3, 32'h3fbb285e,32'h3fe4bf90,// invsqrt(0.3789) = 1.6246 +32'h3f3f58d8,32'h3f9117b2,32'h3f9703c4, 32'h3f8ca6a5,32'h3f9b74d1, 32'h3f853f8f,32'h3fa2dbe7,// invsqrt(0.7474) = 1.1567 +32'h3fca467a,32'h3f47926e,32'h3f4fb7c1, 32'h3f417670,32'h3f55d3c0, 32'h3f3747c9,32'h3f600267,// invsqrt(1.5803) = 0.7955 +32'h3e36c969,32'h40147381,32'h401a82ab, 32'h400fe822,32'h401f0e0a, 32'h4008552d,32'h4026a0ff,// invsqrt(0.1785) = 2.3669 +32'h3f006d98,32'h3fb11a60,32'h3fb854ec, 32'h3fabae77,32'h3fbdc0d5, 32'h3fa2a549,32'h3fc6ca03,// invsqrt(0.5017) = 1.4119 +32'h3fe27d4a,32'h3f3c9a33,32'h3f444ce7, 32'h3f36d42c,32'h3f4a12ee, 32'h3f2d34cc,32'h3f53b24e,// invsqrt(1.7694) = 0.7518 +32'h3e466c6a,32'h400e7b59,32'h40144c23, 32'h400a1ec1,32'h4018a8bb, 32'h4002d9c5,32'h401fedb7,// invsqrt(0.1938) = 2.2717 +32'h40c474a9,32'h3eca81a2,32'h3ed2c59e, 32'h3ec44ea5,32'h3ed8f89b, 32'h3eb9f9aa,32'h3ee34d96,// invsqrt(6.1392) = 0.4036 +32'h423bad2a,32'h3e12812b,32'h3e187bfe, 32'h3e0e050e,32'h3e1cf81c, 32'h3e068b86,32'h3e2471a4,// invsqrt(46.9191) = 0.1460 +32'h3f4c2ff4,32'h3f8c74d9,32'h3f923079, 32'h3f882821,32'h3f967d31, 32'h3f80fd98,32'h3f9da7ba,// invsqrt(0.7976) = 1.1197 +32'h4013540f,32'h3f255a72,32'h3f2c1a38, 32'h3f204a9e,32'h3f312a0c, 32'h3f17dae6,32'h3f3999c4,// invsqrt(2.3020) = 0.6591 +32'h3f87e875,32'h3f7378c0,32'h3f7d68c8, 32'h3f6c04ba,32'h3f826e67, 32'h3f5f98b0,32'h3f88a46c,// invsqrt(1.0618) = 0.9705 +32'h3f472cdb,32'h3f8e3673,32'h3f94046e, 32'h3f89dbf8,32'h3f985eea, 32'h3f829a7f,32'h3f9fa063,// invsqrt(0.7780) = 1.1337 +32'h3e5e48c1,32'h40069e19,32'h400c1cb7, 32'h40027f23,32'h40103bad, 32'h3ff741bb,32'h401719f2,// invsqrt(0.2171) = 2.1463 +32'h3e1a6a65,32'h4021839c,32'h40281b44, 32'h401c91de,32'h402d0d02, 32'h4014544b,32'h40354a95,// invsqrt(0.1508) = 2.5752 +32'h3fb8eeb0,32'h3f50b861,32'h3f593d4b, 32'h3f4a54b2,32'h3f5fa0fa, 32'h3f3fae8d,32'h3f6a471f,// invsqrt(1.4448) = 0.8320 +32'h3f2fa04c,32'h3f977280,32'h3f9da0f8, 32'h3f92cfa6,32'h3fa243d2, 32'h3f8b1590,32'h3fa9fde8,// invsqrt(0.6860) = 1.2073 +32'h3fb3db49,32'h3f53a51a,32'h3f5c4893, 32'h3f4d2a7e,32'h3f62c32e, 32'h3f425e27,32'h3f6d8f85,// invsqrt(1.4051) = 0.8436 +32'h3ec0f831,32'h3fcc53d3,32'h3fd4aad7, 32'h3fc61291,32'h3fdaec19, 32'h3fbba5cc,32'h3fe558de,// invsqrt(0.3769) = 1.6289 +32'h3f2b924e,32'h3f9939f2,32'h3f9f7b01, 32'h3f948926,32'h3fa42bcc, 32'h3f8cb7d3,32'h3fabfd1f,// invsqrt(0.6702) = 1.2215 +32'h3f4107dd,32'h3f90755a,32'h3f965acc, 32'h3f8c0945,32'h3f9ac6e1, 32'h3f84aa78,32'h3fa225ae,// invsqrt(0.7540) = 1.1516 +32'h41528ca0,32'h3e8a5171,32'h3e8ff6b9, 32'h3e86157a,32'h3e9432b0, 32'h3e7e0dbf,32'h3e9b414a,// invsqrt(13.1593) = 0.2757 +32'h3f24321c,32'h3f9ca14b,32'h3fa305eb, 32'h3f97d5d3,32'h3fa7d163, 32'h3f8fd80c,32'h3fafcf2a,// invsqrt(0.6414) = 1.2486 +32'h404a3545,32'h3f0d2465,32'h3f12e72f, 32'h3f08d24d,32'h3f173947, 32'h3f019ecf,32'h3f1e6cc5,// invsqrt(3.1595) = 0.5626 +32'h3e8442b3,32'h3ff6ce5c,32'h4000709e, 32'h3fef4035,32'h400437b2, 32'h3fe2a89e,32'h400a837d,// invsqrt(0.2583) = 1.9675 +32'h4003dccf,32'h3f2ec80d,32'h3f35ea56, 32'h3f296e55,32'h3f3b440d, 32'h3f208379,32'h3f442ee9,// invsqrt(2.0604) = 0.6967 +32'h3f51a996,32'h3f8a9c41,32'h3f904497, 32'h3f865e00,32'h3f9482d8, 32'h3f7e9729,32'h3f9b9544,// invsqrt(0.8190) = 1.1050 +32'h3f66ba9c,32'h3f84218f,32'h3f898631, 32'h3f801615,32'h3f8d91ab, 32'h3f72b093,32'h3f944f76,// invsqrt(0.9013) = 1.0533 +32'h3fef918c,32'h3f3761bb,32'h3f3edde1, 32'h3f31c49d,32'h3f447aff, 32'h3f28696d,32'h3f4dd62f,// invsqrt(1.8716) = 0.7310 +32'h3fb2178d,32'h3f54b0dc,32'h3f5d5f44, 32'h3f4e2e0f,32'h3f63e211, 32'h3f43540e,32'h3f6ebc12,// invsqrt(1.3913) = 0.8478 +32'h3ef61291,32'h3fb4f129,32'h3fbc53d1, 32'h3faf672a,32'h3fc1ddd0, 32'h3fa62bd7,32'h3fcb1923,// invsqrt(0.4806) = 1.4425 +32'h3f7e0cb7,32'h3f7bd756,32'h3f830f69, 32'h3f7421b9,32'h3f86ea37, 32'h3f67485f,32'h3f8d56e5,// invsqrt(0.9924) = 1.0038 +32'h3f877bef,32'h3f73da30,32'h3f7dce32, 32'h3f6c632f,32'h3f82a29a, 32'h3f5ff22c,32'h3f88db1b,// invsqrt(1.0585) = 0.9720 +32'h3fc1aba1,32'h3f4bf515,32'h3f54483b, 32'h3f45b6b9,32'h3f5a8697, 32'h3f3b4eca,32'h3f64ee86,// invsqrt(1.5131) = 0.8130 +32'h407147f2,32'h3f0135a2,32'h3f067bbd, 32'h3efa8219,32'h3f0a7051, 32'h3eed52d5,32'h3f1107f4,// invsqrt(3.7700) = 0.5150 +32'h3f3ee294,32'h3f91449e,32'h3f973285, 32'h3f8cd230,32'h3f9ba4f2, 32'h3f8568cf,32'h3fa30e53,// invsqrt(0.7456) = 1.1581 +32'h40b5f5ea,32'h3ed26af1,32'h3edb0197, 32'h3ecbf9f4,32'h3ee17294, 32'h3ec13da4,32'h3eec2ee4,// invsqrt(5.6863) = 0.4194 +32'h426daef5,32'h3e022f06,32'h3e077f50, 32'h3dfc659e,32'h3e0b7b87, 32'h3def1ce7,32'h3e121fe2,// invsqrt(59.4209) = 0.1297 +32'h3c822b07,32'h40f8c82b,32'h410177d8, 32'h40f12a88,32'h410546a9, 32'h40e47922,32'h410b9f5c,// invsqrt(0.0159) = 7.9331 +32'h3e023b53,32'h402fdf54,32'h40370d04, 32'h402a7d10,32'h403c6f48, 32'h402183f4,32'h40456864,// invsqrt(0.1272) = 2.8041 +32'h3f76021d,32'h3f7fec92,32'h3f852f5b, 32'h3f7816f5,32'h3f891a29, 32'h3f6b0847,32'h3f8fa181,// invsqrt(0.9610) = 1.0201 +32'h3fff349f,32'h3f31acc3,32'h3f38ed49, 32'h3f2c3c5f,32'h3f3e5dad, 32'h3f232bb9,32'h3f476e53,// invsqrt(1.9938) = 0.7082 +32'h3f90c835,32'h3f6be46a,32'h3f75853f, 32'h3f64abc9,32'h3f7cbddf, 32'h3f58a2bf,32'h3f846375,// invsqrt(1.1311) = 0.9403 +32'h40027cf0,32'h3f2fb316,32'h3f36def8, 32'h3f2a522d,32'h3f3c3fe1, 32'h3f215b53,32'h3f4536bb,// invsqrt(2.0389) = 0.7003 +32'h3f6ade7f,32'h3f82f619,32'h3f884e83, 32'h3f7de794,32'h3f8c50d2, 32'h3f708a8c,32'h3f92ff56,// invsqrt(0.9175) = 1.0440 +32'h407722d7,32'h3eff56e7,32'h3f04e178, 32'h3ef785e0,32'h3f08c9fc, 32'h3eea7ed4,32'h3f0f4d82,// invsqrt(3.8615) = 0.5089 +32'h402e2ee1,32'h3f1812c4,32'h3f1e47c7, 32'h3f136b02,32'h3f22ef8a, 32'h3f0ba8bf,32'h3f2ab1cd,// invsqrt(2.7216) = 0.6062 +32'h3f830d82,32'h3f77f0d6,32'h3f8107c8, 32'h3f7059ca,32'h3f84d34e, 32'h3f63b361,32'h3f8b2682,// invsqrt(1.0238) = 0.9883 +32'h3f802f2c,32'h3f7ab31a,32'h3f827754, 32'h3f73066f,32'h3f864da9, 32'h3f663bfe,32'h3f8cb2e2,// invsqrt(1.0014) = 0.9993 +32'h405bd9a3,32'h3f075c58,32'h3f0ce2ba, 32'h3f03378f,32'h3f110783, 32'h3ef89f2a,32'h3f17ef7d,// invsqrt(3.4352) = 0.5395 +32'h3f0ebaf2,32'h3fa7fee2,32'h3faeda44, 32'h3fa2da58,32'h3fb3fece, 32'h3f9a481e,32'h3fbc9108,// invsqrt(0.5575) = 1.3393 +32'h3f39ab07,32'h3f934b78,32'h3f994e8c, 32'h3f8ec928,32'h3f9dd0dc, 32'h3f87454f,32'h3fa554b5,// invsqrt(0.7253) = 1.1742 +32'h3faf9cc3,32'h3f562fec,32'h3f5eedf6, 32'h3f4fa165,32'h3f657c7d, 32'h3f44b3d9,32'h3f706a09,// invsqrt(1.3720) = 0.8537 +32'h42477c33,32'h3e0e1a28,32'h3e13e6fb, 32'h3e09c08b,32'h3e184099, 32'h3e028083,32'h3e1f80a1,// invsqrt(49.8713) = 0.1416 +32'h3f6fe8d2,32'h3f81940d,32'h3f86de03, 32'h3f7b3929,32'h3f8ad57c, 32'h3f6e0042,32'h3f9171ef,// invsqrt(0.9371) = 1.0330 +32'h3f01923f,32'h3fb051ee,32'h3fb7844b, 32'h3faaec27,32'h3fbcea11, 32'h3fa1ed33,32'h3fc5e905,// invsqrt(0.5061) = 1.4056 +32'h3f371d23,32'h3f94518d,32'h3f9a5f54, 32'h3f8fc737,32'h3f9ee9a9, 32'h3f8835fe,32'h3fa67ae2,// invsqrt(0.7153) = 1.1824 +32'h3ffda042,32'h3f323a2e,32'h3f398078, 32'h3f2cc575,32'h3f3ef531, 32'h3f23ad98,32'h3f480d0f,// invsqrt(1.9815) = 0.7104 +32'h3fbecd90,32'h3f4d7bf5,32'h3f55df0f, 32'h3f4731a2,32'h3f5c2962, 32'h3f3cb5c2,32'h3f66a542,// invsqrt(1.4906) = 0.8191 +32'h3ce4b351,32'h40bbb03d,32'h40c35965, 32'h40b5f160,32'h40c91842, 32'h40ac5def,32'h40d2abb3,// invsqrt(0.0279) = 5.9850 +32'h3ffa401e,32'h3f336cde,32'h3f3abfae, 32'h3f2deec2,32'h3f403dca, 32'h3f24c73f,32'h3f49654d,// invsqrt(1.9551) = 0.7152 +32'h402a7de4,32'h3f19b5f6,32'h3f1ffc14, 32'h3f15015e,32'h3f24b0ac, 32'h3f0d29b8,32'h3f2c8852,// invsqrt(2.6639) = 0.6127 +32'h3f3534d2,32'h3f9518df,32'h3f9b2ec9, 32'h3f908870,32'h3f9fbf38, 32'h3f88ed0c,32'h3fa75a9c,// invsqrt(0.7078) = 1.1886 +32'h3f9ed8bb,32'h3f613504,32'h3f6a6633, 32'h3f5a5020,32'h3f714b16, 32'h3f4ed2a5,32'h3f7cc891,// invsqrt(1.2410) = 0.8977 +32'h3f6564d7,32'h3f8483d8,32'h3f89ec7e, 32'h3f80755c,32'h3f8dfafa, 32'h3f73651a,32'h3f94bdc9,// invsqrt(0.8961) = 1.0564 +32'h3eab72ce,32'h3fd8c5c4,32'h3fe19ed2, 32'h3fd222fa,32'h3fe8419c, 32'h3fc713aa,32'h3ff350ec,// invsqrt(0.3349) = 1.7281 +32'h3fd5b5aa,32'h3f4228df,32'h3f4a15a5, 32'h3f3c374c,32'h3f500738, 32'h3f324f55,32'h3f59ef2f,// invsqrt(1.6696) = 0.7739 +32'h3fd371da,32'h3f43325e,32'h3f4b29fa, 32'h3f3d38aa,32'h3f5123ae, 32'h3f334328,32'h3f5b1930,// invsqrt(1.6519) = 0.7780 +32'h40c7d7d8,32'h3ec8c862,32'h3ed0fa5c, 32'h3ec2a2e7,32'h3ed71fd7, 32'h3eb8646f,32'h3ee15e4f,// invsqrt(6.2451) = 0.4002 +32'h414f6b62,32'h3e8b5b99,32'h3e910bbe, 32'h3e87177c,32'h3e954fda, 32'h3e7ff69a,32'h3e9c6c09,// invsqrt(12.9637) = 0.2777 +32'h406a568c,32'h3f031c11,32'h3f087607, 32'h3efe3130,32'h3f0c7980, 32'h3ef0d049,32'h3f1329f4,// invsqrt(3.6615) = 0.5226 +32'h3fe522bd,32'h3f3b8295,32'h3f4329df, 32'h3f35c51e,32'h3f48e756, 32'h3f2c3401,32'h3f527873,// invsqrt(1.7901) = 0.7474 +32'h3f2614e6,32'h3f9bbcfc,32'h3fa2184a, 32'h3f96f881,32'h3fa6dcc5, 32'h3f8f0660,32'h3faecee6,// invsqrt(0.6488) = 1.2415 +32'h44b44e8c,32'h3cd36169,32'h3cdc021f, 32'h3ccce8e1,32'h3ce27aa7, 32'h3cc21ffd,32'h3ced438b,// invsqrt(1442.4546) = 0.0263 +32'h3fa34beb,32'h3f5e1e0c,32'h3f672ef2, 32'h3f57515f,32'h3f6dfb9f, 32'h3f4bfc3f,32'h3f7950bf,// invsqrt(1.2758) = 0.8854 +32'h3e84610f,32'h3ff6b20d,32'h400061e2, 32'h3fef24c4,32'h40042887, 32'h3fe28e9e,32'h400a739a,// invsqrt(0.2586) = 1.9666 +32'h41461121,32'h3e8e9c2b,32'h3e946e4c, 32'h3e8a3e92,32'h3e98cbe4, 32'h3e82f7e8,32'h3ea0128e,// invsqrt(12.3792) = 0.2842 +32'h3fa99467,32'h3f59f6b3,32'h3f62dc32, 32'h3f534a93,32'h3f698851, 32'h3f482bb3,32'h3f74a731,// invsqrt(1.3248) = 0.8688 +32'h3fe23cb0,32'h3f3cb51e,32'h3f4468ec, 32'h3f36ee45,32'h3f4a2fc5, 32'h3f2d4d84,32'h3f53d086,// invsqrt(1.7675) = 0.7522 +32'h3f4c858e,32'h3f8c5771,32'h3f9211df, 32'h3f880ba0,32'h3f965db0, 32'h3f80e297,32'h3f9d86b9,// invsqrt(0.7989) = 1.1188 +32'h40d61f7a,32'h3ec1f8e0,32'h3ec9e3b0, 32'h3ebc08c4,32'h3ecfd3cc, 32'h3eb22341,32'h3ed9b94f,// invsqrt(6.6913) = 0.3866 +32'h3fd52c54,32'h3f426760,32'h3f4a56b2, 32'h3f3c73e2,32'h3f504a30, 32'h3f3288bb,32'h3f5a3557,// invsqrt(1.6654) = 0.7749 +32'h3f0643e8,32'h3fad35e3,32'h3fb447c3, 32'h3fa7e87c,32'h3fb9952a, 32'h3f9f1224,32'h3fc26b82,// invsqrt(0.5245) = 1.3808 +32'h41e823a9,32'h3e3a4b06,32'h3e41e599, 32'h3e349719,32'h3e479987, 32'h3e2b15e2,32'h3e511abe,// invsqrt(29.0174) = 0.1856 +32'h3fb09242,32'h3f559ad2,32'h3f5e52c6, 32'h3f4f10db,32'h3f64dcbd, 32'h3f442aeb,32'h3f6fc2ad,// invsqrt(1.3795) = 0.8514 +32'h3fe1786c,32'h3f3d072e,32'h3f44be55, 32'h3f373dd2,32'h3f4a87b2, 32'h3f2d98e2,32'h3f542ca2,// invsqrt(1.7615) = 0.7535 +32'h3f80665b,32'h3f7a7d35,32'h3f825b48, 32'h3f72d230,32'h3f8630ca, 32'h3f660a7f,32'h3f8c94a3,// invsqrt(1.0031) = 0.9984 +32'h45b8c000,32'h3c50d2bf,32'h3c5958bd, 32'h3c4a6e41,32'h3c5fbd3b, 32'h3c3fc6c5,32'h3c6a64b7,// invsqrt(5912.0000) = 0.0130 +32'h40bf9d58,32'h3ecd0c6e,32'h3ed56afa, 32'h3ec6c585,32'h3edbb1e3, 32'h3ebc4f55,32'h3ee62813,// invsqrt(5.9880) = 0.4087 +32'h3f65da50,32'h3f8461f7,32'h3f89c93b, 32'h3f805485,32'h3f8dd6ad, 32'h3f7326e0,32'h3f9497c2,// invsqrt(0.8979) = 1.0553 +32'h3ee5adeb,32'h3fbb49bd,32'h3fc2eeb5, 32'h3fb58e03,32'h3fc8aa6f, 32'h3fabffcd,32'h3fd238a5,// invsqrt(0.4486) = 1.4930 +32'h3f2990ce,32'h3f9a2146,32'h3fa06bc6, 32'h3f956966,32'h3fa523a6, 32'h3f8d8c45,32'h3fad00c7,// invsqrt(0.6624) = 1.2287 +32'h3f3613d0,32'h3f94bd76,32'h3f9acfa4, 32'h3f902fd3,32'h3f9f5d47, 32'h3f889918,32'h3fa6f402,// invsqrt(0.7112) = 1.1857 +32'h3dea2270,32'h40397f63,32'h404111a5, 32'h4033d1b1,32'h4046bf57, 32'h402a5add,32'h4050362b,// invsqrt(0.1143) = 2.9576 +32'h3fc3247a,32'h3f4b2fc6,32'h3f537ade, 32'h3f44f774,32'h3f59b330, 32'h3f3a9997,32'h3f64110d,// invsqrt(1.5246) = 0.8099 +32'h3f889034,32'h3f72e30a,32'h3f7cccf6, 32'h3f6b739a,32'h3f821e33, 32'h3f5f0f33,32'h3f885066,// invsqrt(1.0669) = 0.9681 +32'h4028e6dd,32'h3f1a6ebc,32'h3f20bc66, 32'h3f15b47d,32'h3f2576a5, 32'h3f0dd369,32'h3f2d57b9,// invsqrt(2.6391) = 0.6156 +32'h3efcfa5b,32'h3fb27495,32'h3fb9bd43, 32'h3facfe13,32'h3fbf33c5, 32'h3fa3e33b,32'h3fc84e9d,// invsqrt(0.4941) = 1.4226 +32'h3fce2a37,32'h3f45ae30,32'h3f4dbfc0, 32'h3f3fa105,32'h3f53cceb, 32'h3f358b12,32'h3f5de2de,// invsqrt(1.6107) = 0.7879 +32'h3e29bb16,32'h401a0e12,32'h402057ca, 32'h401556c8,32'h40250f14, 32'h400d7aa3,32'h402ceb39,// invsqrt(0.1658) = 2.4562 +32'h41bc5702,32'h3e4ed2d1,32'h3e5743e9, 32'h3e487dff,32'h3e5d98bb, 32'h3e3df0a0,32'h3e68261a,// invsqrt(23.5425) = 0.2061 +32'h3f8d592d,32'h3f6ebd82,32'h3f787c1a, 32'h3f676e90,32'h3f7fcb0c, 32'h3f5b4053,32'h3f85fca4,// invsqrt(1.1043) = 0.9516 +32'h3f066b88,32'h3fad1c59,32'h3fb42d2e, 32'h3fa7cfba,32'h3fb979ce, 32'h3f9efab0,32'h3fc24ed8,// invsqrt(0.5251) = 1.3800 +32'h3fad7fa8,32'h3f577cea,32'h3f60488b, 32'h3f50e431,32'h3f66e143, 32'h3f45e5a7,32'h3f71dfcd,// invsqrt(1.3555) = 0.8589 +32'h408e34fb,32'h3eee04b9,32'h3ef7bbc5, 32'h3ee6bb6f,32'h3eff050f, 32'h3eda969f,32'h3f0594ef,// invsqrt(4.4440) = 0.4744 +32'h3e0ef3ee,32'h4027dd63,32'h402eb767, 32'h4022b9e0,32'h4033daea, 32'h401a295b,32'h403c6b6f,// invsqrt(0.1396) = 2.6764 +32'h4268633a,32'h3e03a8a0,32'h3e090853, 32'h3dff41b5,32'h3e0d101a, 32'h3df1d275,32'h3e13c7b9,// invsqrt(58.0969) = 0.1312 +32'h3f4ca41b,32'h3f8c4cf7,32'h3f9206f7, 32'h3f880177,32'h3f965277, 32'h3f80d8f8,32'h3f9d7af6,// invsqrt(0.7994) = 1.1185 +32'h3f276070,32'h3f9b2272,32'h3fa17771, 32'h3f9662b2,32'h3fa63730, 32'h3f8e7872,32'h3fae2170,// invsqrt(0.6538) = 1.2367 +32'h3f89facf,32'h3f71a311,32'h3f7b7fed, 32'h3f6a3d6c,32'h3f8172c9, 32'h3f5de959,32'h3f879cd3,// invsqrt(1.0780) = 0.9632 +32'h3e8962a9,32'h3ff228b9,32'h3ffc0b09, 32'h3feabefc,32'h4001ba63, 32'h3fde6417,32'h4007e7d5,// invsqrt(0.2683) = 1.9305 +32'h3e9b9f05,32'h3fe3876a,32'h3fecd0dc, 32'h3fdc9054,32'h3ff3c7f2, 32'h3fd0f486,32'h3fff63c0,// invsqrt(0.3039) = 1.8138 +32'h402308fc,32'h3f1d2fc4,32'h3f239a34, 32'h3f185fef,32'h3f286a09, 32'h3f105ae3,32'h3f306f15,// invsqrt(2.5474) = 0.6265 +32'h3faa3059,32'h3f5992bf,32'h3f62742b, 32'h3f52e9af,32'h3f691d3b, 32'h3f47cfe9,32'h3f743701,// invsqrt(1.3296) = 0.8672 +32'h3fec6445,32'h3f389c21,32'h3f40251d, 32'h3f32f564,32'h3f45cbda, 32'h3f298a29,32'h3f4f3715,// invsqrt(1.8468) = 0.7358 +32'h401334d0,32'h3f256bfd,32'h3f2c2c7b, 32'h3f205b9f,32'h3f313cd9, 32'h3f17eb03,32'h3f39ad75,// invsqrt(2.3001) = 0.6594 +32'h3f72ab45,32'h3f80d6e6,32'h3f861924, 32'h3f79ca70,32'h3f8a0ad2, 32'h3f6ca4d6,32'h3f909d9f,// invsqrt(0.9479) = 1.0271 +32'h42051d3b,32'h3e2df531,32'h3e350edf, 32'h3e28a1ee,32'h3e3a6222, 32'h3e1fc1d4,32'h3e43423c,// invsqrt(33.2785) = 0.1733 +32'h4095fdcd,32'h3ee7c279,32'h3ef1381f, 32'h3ee0aa3b,32'h3ef8505d, 32'h3ed4d72b,32'h3f0211b7,// invsqrt(4.6872) = 0.4619 +32'h3f67eb35,32'h3f83caad,32'h3f892bc3, 32'h3f7f83b7,32'h3f8d3494, 32'h3f7210fe,32'h3f93edf1,// invsqrt(0.9059) = 1.0506 +32'h402fa3b1,32'h3f177109,32'h3f1d9f72, 32'h3f12ce3b,32'h3f224241, 32'h3f0b1438,32'h3f29fc44,// invsqrt(2.7444) = 0.6036 +32'h407c9f62,32'h3efc8d2e,32'h3f036e0b, 32'h3ef4d200,32'h3f074ba2, 32'h3ee7ef5f,32'h3f0dbcf3,// invsqrt(3.9472) = 0.5033 +32'h3f5c0ab1,32'h3f874d41,32'h3f8cd305, 32'h3f8328ee,32'h3f90f758, 32'h3f788372,32'h3f97de8d,// invsqrt(0.8595) = 1.0786 +32'h3e2c33c2,32'h4018f20c,32'h401f302c, 32'h40144374,32'h4023dec4, 32'h400c75cc,32'h402bac6c,// invsqrt(0.1682) = 2.4385 +32'h3f7f0f80,32'h3f7b5773,32'h3f82ccdb, 32'h3f73a5c0,32'h3f86a5b4, 32'h3f66d2ec,32'h3f8d0f1e,// invsqrt(0.9963) = 1.0018 +32'h3e8380c3,32'h3ff78417,32'h4000cf31, 32'h3feff05f,32'h4004990c, 32'h3fe34f83,32'h400ae97b,// invsqrt(0.2568) = 1.9732 +32'h400cd435,32'h3f29203a,32'h3f30076c, 32'h3f23f2d5,32'h3f3534d1, 32'h3f1b51d8,32'h3f3dd5cf,// invsqrt(2.2005) = 0.6741 +32'h41df9d2e,32'h3e3dcfa3,32'h3e458ef8, 32'h3e380023,32'h3e4b5e77, 32'h3e2e50f8,32'h3e550da2,// invsqrt(27.9517) = 0.1891 +32'h400bb18c,32'h3f29cfd2,32'h3f30be2e, 32'h3f249d0d,32'h3f35f0f3, 32'h3f1bf31a,32'h3f3e9ae6,// invsqrt(2.1827) = 0.6769 +32'h3f1a46e5,32'h3fa19630,32'h3fa82e9a, 32'h3f9ca3e0,32'h3fad20ea, 32'h3f94655b,32'h3fb55f6f,// invsqrt(0.6026) = 1.2882 +32'h3f3d71ed,32'h3f91d1b1,32'h3f97c55a, 32'h3f8d5af2,32'h3f9c3c18, 32'h3f85ea5e,32'h3fa3acac,// invsqrt(0.7400) = 1.1625 +32'h3e2b53c4,32'h401955e7,32'h401f981a, 32'h4014a440,32'h402449c0, 32'h400cd180,32'h402c1c80,// invsqrt(0.1673) = 2.4448 +32'h4007bb95,32'h3f2c4588,32'h3f334d98, 32'h3f26ff7c,32'h3f3893a4, 32'h3f1e3568,32'h3f415db8,// invsqrt(2.1208) = 0.6867 +32'h3f693984,32'h3f836c16,32'h3f88c951, 32'h3f7ecc56,32'h3f8ccf3d, 32'h3f716344,32'h3f9383c6,// invsqrt(0.9110) = 1.0477 +32'h3f7b3d66,32'h3f7d3eda,32'h3f83ca81, 32'h3f757e3c,32'h3f87aad0, 32'h3f689289,32'h3f8e20a9,// invsqrt(0.9814) = 1.0094 +32'h3ff37391,32'h3f35e9d9,32'h3f3d56a9, 32'h3f30583e,32'h3f42e844, 32'h3f27103a,32'h3f4c3048,// invsqrt(1.9020) = 0.7251 +32'h3f2d3933,32'h3f987e76,32'h3f9eb7de, 32'h3f93d368,32'h3fa362ec, 32'h3f8c0ba6,32'h3fab2aae,// invsqrt(0.6767) = 1.2157 +32'h3f0986fc,32'h3fab24db,32'h3fb22123, 32'h3fa5e7a5,32'h3fb75e59, 32'h3f9d2c4c,32'h3fc019b2,// invsqrt(0.5372) = 1.3643 +32'h3f9ba07c,32'h3f638658,32'h3f6ccfbe, 32'h3f5c8f4a,32'h3f73c6cc, 32'h3f50f38a,32'h3f7f628c,// invsqrt(1.2158) = 0.9069 +32'h3f8ebc1d,32'h3f6d93f2,32'h3f774664, 32'h3f664e1c,32'h3f7e8c3a, 32'h3f5a2f0d,32'h3f8555a4,// invsqrt(1.1151) = 0.9470 +32'h3f18d55b,32'h3fa25915,32'h3fa8f973, 32'h3f9d60cd,32'h3fadf1bb, 32'h3f951857,32'h3fb63a31,// invsqrt(0.5970) = 1.2942 +32'h3eb7a31f,32'h3fd1747a,32'h3fda0112, 32'h3fcb0b09,32'h3fe06a83, 32'h3fc05b4c,32'h3feb1a40,// invsqrt(0.3587) = 1.6698 +32'h3d456565,32'h408eda26,32'h4094aecf, 32'h408a7aa8,32'h40990e4e, 32'h408330d5,32'h40a05821,// invsqrt(0.0482) = 4.5552 +32'h3f74f9c8,32'h3f803b40,32'h3f857723, 32'h3f789caa,32'h3f89640d, 32'h3f6b86f2,32'h3f8feee9,// invsqrt(0.9569) = 1.0223 +32'h40275b41,32'h3f1b24d9,32'h3f2179f1, 32'h3f166506,32'h3f2639c4, 32'h3f0e7aa8,32'h3f2e2422,// invsqrt(2.6149) = 0.6184 +32'h3fa7a18b,32'h3f5b3a15,32'h3f642cc8, 32'h3f548410,32'h3f6ae2ce, 32'h3f4954b1,32'h3f76122d,// invsqrt(1.3096) = 0.8738 +32'h4048d777,32'h3f0d9f19,32'h3f1366e6, 32'h3f094940,32'h3f17bcc0, 32'h3f020f80,32'h3f1ef680,// invsqrt(3.1382) = 0.5645 +32'h3eda3315,32'h3fc026d2,32'h3fc7fe9c, 32'h3fba44fb,32'h3fcde073, 32'h3fb0773e,32'h3fd7ae30,// invsqrt(0.4262) = 1.5318 +32'h3f349bf9,32'h3f9557e9,32'h3f9b7065, 32'h3f90c58b,32'h3fa002c3, 32'h3f8926f0,32'h3fa7a15e,// invsqrt(0.7055) = 1.1906 +32'h3f4e01b7,32'h3f8bd5b7,32'h3f918ad9, 32'h3f878dde,32'h3f95d2b2, 32'h3f806b74,32'h3f9cf51c,// invsqrt(0.8047) = 1.1148 +32'h3f1c6524,32'h3fa07d1c,32'h3fa70a0e, 32'h3f9b9367,32'h3fabf3c3, 32'h3f936339,32'h3fb423f1,// invsqrt(0.6109) = 1.2794 +32'h41245ebb,32'h3e9c8c07,32'h3ea2efc9, 32'h3e97c136,32'h3ea7ba9a, 32'h3e8fc484,32'h3eafb74c,// invsqrt(10.2731) = 0.3120 +32'h3f82f1d7,32'h3f780b06,32'h3f811569, 32'h3f70732d,32'h3f84e156, 32'h3f63cb6e,32'h3f8b3535,// invsqrt(1.0230) = 0.9887 +32'h3fc65a97,32'h3f4988fc,32'h3f51c2d2, 32'h3f435d9b,32'h3f57ee33, 32'h3f391550,32'h3f62367e,// invsqrt(1.5496) = 0.8033 +32'h3ecd8971,32'h3fc5fb71,32'h3fce1028, 32'h3fbfebe9,32'h3fd41fb1, 32'h3fb5d205,32'h3fde3995,// invsqrt(0.4014) = 1.5783 +32'h4139ae8e,32'h3e934a12,32'h3e994d18, 32'h3e8ec7ce,32'h3e9dcf5c, 32'h3e874406,32'h3ea55324,// invsqrt(11.6051) = 0.2935 +32'h3f3f683b,32'h3f9111dd,32'h3f96fdb1, 32'h3f8ca0fd,32'h3f9b6e91, 32'h3f853a33,32'h3fa2d55b,// invsqrt(0.7477) = 1.1565 +32'h3d9a373c,32'h4064903a,32'h406de47b, 32'h405d9109,32'h4074e3ab, 32'h4051e7b7,32'h4080467e,// invsqrt(0.0753) = 3.6442 +32'h3e54b988,32'h40099bec,32'h400f39cb, 32'h40056583,32'h40137033, 32'h3ffcc057,32'h401a758a,// invsqrt(0.2077) = 2.1940 +32'h3ff264f8,32'h3f364f47,32'h3f3dc03b, 32'h3f30ba91,32'h3f4354f1, 32'h3f276d61,32'h3f4ca221,// invsqrt(1.8937) = 0.7267 +32'h401d7af3,32'h3f1fef4f,32'h3f267676, 32'h3f1b09f0,32'h3f2b5bd4, 32'h3f12e0ff,32'h3f3384c5,// invsqrt(2.4606) = 0.6375 +32'h3f09808f,32'h3fab28db,32'h3fb2254c, 32'h3fa5eb85,32'h3fb762a1, 32'h3f9d2ff8,32'h3fc01e2f,// invsqrt(0.5371) = 1.3645 +32'h3fbc1c93,32'h3f4ef2ee,32'h3f576556, 32'h3f489d20,32'h3f5dbb24, 32'h3f3e0e1f,32'h3f684a25,// invsqrt(1.4696) = 0.8249 +32'h3f97c909,32'h3f6662d4,32'h3f6fca20, 32'h3f5f555a,32'h3f76d79a, 32'h3f53943b,32'h3f814c5d,// invsqrt(1.1858) = 0.9183 +32'h3f84c95e,32'h3f765115,32'h3f802f6c, 32'h3f6ec6c4,32'h3f83f495, 32'h3f623592,32'h3f8a3d2e,// invsqrt(1.0374) = 0.9818 +32'h3f91b009,32'h3f6b2870,32'h3f74c199, 32'h3f63f591,32'h3f7bf477, 32'h3f57f61d,32'h3f83f9f5,// invsqrt(1.1382) = 0.9373 +32'h3ff9ef0e,32'h3f3389f4,32'h3f3addf4, 32'h3f2e0af5,32'h3f405cf3, 32'h3f24e1f5,32'h3f4985f3,// invsqrt(1.9526) = 0.7156 +32'h409266fa,32'h3eea9555,32'h3ef4287d, 32'h3ee366f7,32'h3efb56db, 32'h3ed76f05,32'h3f03a767,// invsqrt(4.5751) = 0.4675 +32'h3d2de41a,32'h40983373,32'h409e69cc, 32'h40938ab2,32'h40a3128e, 32'h408bc6c3,32'h40aad67d,// invsqrt(0.0425) = 4.8533 +32'h3ed964e7,32'h3fc081db,32'h3fc85d5d, 32'h3fba9d3b,32'h3fce41fd, 32'h3fb0cad9,32'h3fd8145f,// invsqrt(0.4246) = 1.5347 +32'h3fc4a67d,32'h3f4a67f8,32'h3f52aae8, 32'h3f4435c4,32'h3f58dd1c, 32'h3f39e218,32'h3f6330c8,// invsqrt(1.5363) = 0.8068 +32'h414d1591,32'h3e8c2622,32'h3e91de8c, 32'h3e87dbd3,32'h3e9628db, 32'h3e80b54e,32'h3e9d4f60,// invsqrt(12.8178) = 0.2793 +32'h3f035bd4,32'h3faf1dc7,32'h3fb64390, 32'h3fa9c16f,32'h3fbb9fe7, 32'h3fa0d234,32'h3fc48f23,// invsqrt(0.5131) = 1.3960 +32'h41266666,32'h3e9b96d4,32'h3ea1f094, 32'h3e96d385,32'h3ea6b3e3, 32'h3e8ee355,32'h3eaea413,// invsqrt(10.4000) = 0.3101 +32'h3f17f84d,32'h3fa2cefd,32'h3fa9742b, 32'h3f9dd319,32'h3fae700f, 32'h3f95849f,32'h3fb6be89,// invsqrt(0.5936) = 1.2979 +32'h3fb408ce,32'h3f538a57,32'h3f5c2cb8, 32'h3f4d108d,32'h3f62a681, 32'h3f424593,32'h3f6d717b,// invsqrt(1.4065) = 0.8432 +32'h3e41cbe3,32'h40102c38,32'h40160eae, 32'h400bc260,32'h401a7886, 32'h4004674e,32'h4021d398,// invsqrt(0.1893) = 2.2987 +32'h3f87f235,32'h3f737005,32'h3f7d5fb1, 32'h3f6bfc43,32'h3f8269b9, 32'h3f5f90ac,32'h3f889f85,// invsqrt(1.0621) = 0.9703 +32'h4095adca,32'h3ee80062,32'h3ef17890, 32'h3ee0e640,32'h3ef892b2, 32'h3ed51006,32'h3f023476,// invsqrt(4.6775) = 0.4624 +32'h405e1703,32'h3f06ad2b,32'h3f0c2c67, 32'h3f028dbf,32'h3f104bd3, 32'h3ef75d6a,32'h3f172add,// invsqrt(3.4702) = 0.5368 +32'h3f101183,32'h3fa736b0,32'h3fae09e6, 32'h3fa21847,32'h3fb3284f, 32'h3f999044,32'h3fbbb053,// invsqrt(0.5628) = 1.3330 +32'h3fa4f6d7,32'h3f5cfde6,32'h3f66030a, 32'h3f563a0c,32'h3f6cc6e4, 32'h3f4af39f,32'h3f780d51,// invsqrt(1.2888) = 0.8809 +32'h427a0aab,32'h3dfdd9ff,32'h3e041b3e, 32'h3df614a1,32'h3e07fded, 32'h3de92105,32'h3e0e77bc,// invsqrt(62.5104) = 0.1265 +32'h3ffdd971,32'h3f32261a,32'h3f396b93, 32'h3f2cb1fe,32'h3f3edfae, 32'h3f239b27,32'h3f47f685,// invsqrt(1.9832) = 0.7101 +32'h3d9a950f,32'h40644ad2,32'h406d9c3e, 32'h405d4dc1,32'h4074994f, 32'h4051a7fa,32'h40801f8b,// invsqrt(0.0755) = 3.6399 +32'h3dcbf9a4,32'h4046bd1a,32'h404ed9b8, 32'h4040a7a4,32'h4054ef2e, 32'h403683de,32'h405f12f4,// invsqrt(0.0996) = 3.1687 +32'h3fef855e,32'h3f376664,32'h3f3ee2bc, 32'h3f31c922,32'h3f447ffe, 32'h3f286db5,32'h3f4ddb6b,// invsqrt(1.8713) = 0.7310 +32'h3f0f35f2,32'h3fa7b6ae,32'h3fae8f1e, 32'h3fa2945a,32'h3fb3b172, 32'h3f9a05cf,32'h3fbc3ffd,// invsqrt(0.5594) = 1.3370 +32'h3f7f21f5,32'h3f7b4e5c,32'h3f82c820, 32'h3f739cf0,32'h3f86a0d6, 32'h3f66ca93,32'h3f8d0a04,// invsqrt(0.9966) = 1.0017 +32'h407e76b5,32'h3efba2de,32'h3f02f41b, 32'h3ef3eedd,32'h3f06ce1c, 32'h3ee7182f,32'h3f0d3972,// invsqrt(3.9760) = 0.5015 +32'h3e385aef,32'h4013d17f,32'h4019da0c, 32'h400f4b15,32'h401e6075, 32'h4007c064,32'h4025eb26,// invsqrt(0.1800) = 2.3568 +32'h40e1012a,32'h3ebd3940,32'h3ec4f272, 32'h3eb76e5b,32'h3ecabd57, 32'h3eadc6dd,32'h3ed464d5,// invsqrt(7.0314) = 0.3771 +32'h3f93a808,32'h3f6995c2,32'h3f731e7c, 32'h3f626f37,32'h3f7a4507, 32'h3f56844f,32'h3f8317f7,// invsqrt(1.1536) = 0.9311 +32'h4209b23a,32'h3e2b09f9,32'h3e320528, 32'h3e25cd97,32'h3e37418b, 32'h3e1d139c,32'h3e3ffb86,// invsqrt(34.4240) = 0.1704 +32'h3fbc8ef2,32'h3f4eb421,32'h3f5723f9, 32'h3f486040,32'h3f5d77da, 32'h3f3dd472,32'h3f6803a8,// invsqrt(1.4731) = 0.8239 +32'h3f190b08,32'h3fa23c9a,32'h3fa8dbcf, 32'h3f9d4531,32'h3fadd337, 32'h3f94fe2f,32'h3fb61a39,// invsqrt(0.5978) = 1.2933 +32'h3f9bfa51,32'h3f6344ca,32'h3f6c8b84, 32'h3f5c4fbf,32'h3f73808f, 32'h3f50b756,32'h3f7f18f8,// invsqrt(1.2186) = 0.9059 +32'h3fe69f49,32'h3f3ae7a1,32'h3f428898, 32'h3f352ee8,32'h3f484152, 32'h3f2ba5b4,32'h3f51ca86,// invsqrt(1.8017) = 0.7450 +32'h3f618080,32'h3f85a757,32'h3f8b1be2, 32'h3f818fee,32'h3f8f334a, 32'h3f757c7f,32'h3f9604f8,// invsqrt(0.8809) = 1.0655 +32'h3f192b8d,32'h3fa22b60,32'h3fa8c9e2, 32'h3f9d347f,32'h3fadc0c3, 32'h3f94ee5e,32'h3fb606e4,// invsqrt(0.5983) = 1.2928 +32'h3f633c18,32'h3f8524a2,32'h3f8a93d8, 32'h3f81113a,32'h3f8ea740, 32'h3f748c6e,32'h3f957243,// invsqrt(0.8876) = 1.0614 +32'h40d4ced1,32'h3ec29212,32'h3eca8322, 32'h3ebc9d46,32'h3ed077ee, 32'h3eb2aff1,32'h3eda6543,// invsqrt(6.6502) = 0.3878 +32'h3f7c6d01,32'h3f7ca661,32'h3f837b28, 32'h3f74ea6d,32'h3f875921, 32'h3f680682,32'h3f8dcb17,// invsqrt(0.9860) = 1.0071 +32'h4307ade3,32'h3dac4e3a,32'h3db356a4, 32'h3da707e9,32'h3db89cf5, 32'h3d9e3d64,32'h3dc1677a,// invsqrt(135.6792) = 0.0859 +32'h410e6f23,32'h3ea82b91,32'h3eaf08c6, 32'h3ea305aa,32'h3eb42eae, 32'h3e9a7128,32'h3ebcc330,// invsqrt(8.9021) = 0.3352 +32'h3fbbd036,32'h3f4f1cfc,32'h3f57911c, 32'h3f48c5e5,32'h3f5de833, 32'h3f3e34be,32'h3f68795a,// invsqrt(1.4673) = 0.8255 +32'h403a5f6f,32'h3f13041c,32'h3f190447, 32'h3f0e83fc,32'h3f1d8468, 32'h3f0703c7,32'h3f25049d,// invsqrt(2.9121) = 0.5860 +32'h3f45a579,32'h3f8ec2fc,32'h3f9496b3, 32'h3f8a6434,32'h3f98f57c, 32'h3f831b8f,32'h3fa03e21,// invsqrt(0.7721) = 1.1381 +32'h40236039,32'h3f1d05c7,32'h3f236e81, 32'h3f18373c,32'h3f283d0c, 32'h3f103454,32'h3f303ff4,// invsqrt(2.5527) = 0.6259 +32'h3fa864a2,32'h3f5abaf3,32'h3f63a875, 32'h3f5408d1,32'h3f6a5a97, 32'h3f48dfef,32'h3f758379,// invsqrt(1.3156) = 0.8719 +32'h400cca57,32'h3f292627,32'h3f300d97, 32'h3f23f894,32'h3f353b2a, 32'h3f1b5749,32'h3f3ddc75,// invsqrt(2.1998) = 0.6742 +32'h3fadb7ea,32'h3f575a02,32'h3f602436, 32'h3f50c25b,32'h3f66bbdd, 32'h3f45c599,32'h3f71b89f,// invsqrt(1.3572) = 0.8584 +32'h3f8c6d0c,32'h3f6f85e7,32'h3f794cad, 32'h3f6830d3,32'h3f8050e0, 32'h3f5bf85c,32'h3f866d1c,// invsqrt(1.0971) = 0.9547 +32'h3f2c9b4d,32'h3f98c426,32'h3f9f0066, 32'h3f9416f6,32'h3fa3ad96, 32'h3f8c4ba5,32'h3fab78e7,// invsqrt(0.6742) = 1.2178 +32'h3f724843,32'h3f80f137,32'h3f863487, 32'h3f79fd74,32'h3f8a2704, 32'h3f6cd52b,32'h3f90bb28,// invsqrt(0.9464) = 1.0279 +32'h40ad109b,32'h3ed7c201,32'h3ee09074, 32'h3ed1272b,32'h3ee72b4b, 32'h3ec6251c,32'h3ef22d5b,// invsqrt(5.4083) = 0.4300 +32'h3f88f63b,32'h3f728881,32'h3f7c6ebb, 32'h3f6b1bd6,32'h3f81edb3, 32'h3f5ebc0e,32'h3f881d97,// invsqrt(1.0700) = 0.9667 +32'h407cc9cb,32'h3efc77fe,32'h3f036305, 32'h3ef4bd77,32'h3f074048, 32'h3ee7dbea,32'h3f0db10f,// invsqrt(3.9498) = 0.5032 +32'h3fd40462,32'h3f42eedf,32'h3f4ae3b9, 32'h3f3cf73b,32'h3f50db5d, 32'h3f33052b,32'h3f5acd6d,// invsqrt(1.6564) = 0.7770 +32'h3fabc003,32'h3f589506,32'h3f616c16, 32'h3f51f3ba,32'h3f680d62, 32'h3f46e6e6,32'h3f731a36,// invsqrt(1.3418) = 0.8633 +32'h3f9b7080,32'h3f63a974,32'h3f6cf44a, 32'h3f5cb154,32'h3f73ec6a, 32'h3f5113c9,32'h3f7f89f5,// invsqrt(1.2144) = 0.9075 +32'h4059057a,32'h3f083d73,32'h3f0dcd05, 32'h3f0411c6,32'h3f11f8b2, 32'h3efa3c9f,32'h3f18ec28,// invsqrt(3.3910) = 0.5430 +32'h3f1202e2,32'h3fa618f0,32'h3face07c, 32'h3fa10346,32'h3fb1f626, 32'h3f9889d7,32'h3fba6f95,// invsqrt(0.5704) = 1.3241 +32'h3dbfc1e7,32'h404cf8e1,32'h405556a1, 32'h4046b291,32'h405b9cf1, 32'h403c3d61,32'h40661221,// invsqrt(0.0936) = 3.2681 +32'h3f858c9b,32'h3f759cc7,32'h3f7fa32d, 32'h3f6e17fa,32'h3f8393fd, 32'h3f618ffb,32'h3f89d7fd,// invsqrt(1.0434) = 0.9790 +32'h3fa89505,32'h3f5a9b8d,32'h3f6387c7, 32'h3f53ea62,32'h3f6a38f2, 32'h3f48c319,32'h3f75603b,// invsqrt(1.3170) = 0.8714 +32'h3ff02ee0,32'h3f3725a1,32'h3f3e9f54, 32'h3f318a5b,32'h3f443a9b, 32'h3f28323c,32'h3f4d92bb,// invsqrt(1.8764) = 0.7300 +32'h401a8069,32'h3f21781a,32'h3f280f4a, 32'h3f1c86b6,32'h3f2d00ae, 32'h3f1449ba,32'h3f353daa,// invsqrt(2.4141) = 0.6436 +32'h41ba0834,32'h3e501a39,32'h3e5898ae, 32'h3e49bb60,32'h3e5ef786, 32'h3e3f1d4e,32'h3e699598,// invsqrt(23.2540) = 0.2074 +32'h3fa5dc0f,32'h3f5c64fd,32'h3f6563e3, 32'h3f55a5d1,32'h3f6c230f, 32'h3f4a6732,32'h3f7761ae,// invsqrt(1.2958) = 0.8785 +32'h3fb80aef,32'h3f51395f,32'h3f59c38d, 32'h3f4ad1bd,32'h3f602b2f, 32'h3f402504,32'h3f6ad7e8,// invsqrt(1.4378) = 0.8340 +32'h3e62716f,32'h40056029,32'h400ad1cd, 32'h40014aee,32'h400ee708, 32'h3ff4f9c4,32'h4015b514,// invsqrt(0.2211) = 2.1265 +32'h4020bce4,32'h3f1e4e4c,32'h3f24c46e, 32'h3f1975b2,32'h3f299d08, 32'h3f116207,32'h3f31b0b3,// invsqrt(2.5115) = 0.6310 +32'h3fc55781,32'h3f4a0d1d,32'h3f524c57, 32'h3f43ddb1,32'h3f587bc3, 32'h3f398ea7,32'h3f62cacd,// invsqrt(1.5417) = 0.8054 +32'h3fa6e83a,32'h3f5bb3a8,32'h3f64ab50, 32'h3f54f9e9,32'h3f6b650f, 32'h3f49c456,32'h3f769aa2,// invsqrt(1.3040) = 0.8757 +32'h3e8b8499,32'h3ff04d1c,32'h3ffa1c04, 32'h3fe8f1ef,32'h4000bb98, 32'h3fdcaf4e,32'h4006dce9,// invsqrt(0.2725) = 1.9157 +32'h3f899a17,32'h3f71f7ee,32'h3f7bd840, 32'h3f6a8faf,32'h3f81a03f, 32'h3f5e3748,32'h3f87cc73,// invsqrt(1.0750) = 0.9645 +32'h3f0e3660,32'h3fa84d1d,32'h3faf2bb1, 32'h3fa3262f,32'h3fb4529f, 32'h3f9a8ff6,32'h3fbce8d8,// invsqrt(0.5555) = 1.3417 +32'h3f207dbb,32'h3f9e6d6f,32'h3fa4e4d7, 32'h3f9993e1,32'h3fa9be65, 32'h3f917ea0,32'h3fb1d3a7,// invsqrt(0.6269) = 1.2630 +32'h3f6b5489,32'h3f82d53d,32'h3f882c4f, 32'h3f7da7df,32'h3f8c2d9d, 32'h3f704e31,32'h3f92da73,// invsqrt(0.9193) = 1.0430 +32'h3f06ad62,32'h3facf202,32'h3fb4011c, 32'h3fa7a6ae,32'h3fb94c70, 32'h3f9ed3ce,32'h3fc21f51,// invsqrt(0.5261) = 1.3787 +32'h41342ffb,32'h3e9584a3,32'h3e9b9ef3, 32'h3e90f0e7,32'h3ea032af, 32'h3e895004,32'h3ea7d392,// invsqrt(11.2617) = 0.2980 +32'h3fd0fef8,32'h3f445643,32'h3f4c59c9, 32'h3f3e539f,32'h3f525c6d, 32'h3f344f39,32'h3f5c60d3,// invsqrt(1.6328) = 0.7826 +32'h3fca6e95,32'h3f477ea8,32'h3f4fa32c, 32'h3f416345,32'h3f55be8f, 32'h3f37359f,32'h3f5fec35,// invsqrt(1.5815) = 0.7952 +32'h3f7d95bc,32'h3f7c1264,32'h3f832e24, 32'h3f745af8,32'h3f8709da, 32'h3f677e9a,32'h3f8d7809,// invsqrt(0.9906) = 1.0048 +32'h3f970779,32'h3f66f647,32'h3f706399, 32'h3f5fe44a,32'h3f777596, 32'h3f541ba5,32'h3f819f1e,// invsqrt(1.1799) = 0.9206 +32'h401b6996,32'h3f20feca,32'h3f279107, 32'h3f1c111d,32'h3f2c7eb5, 32'h3f13da52,32'h3f34b580,// invsqrt(2.4283) = 0.6417 +32'h3f83f1d1,32'h3f7719f6,32'h3f8097f6, 32'h3f6f897f,32'h3f846032, 32'h3f62ee0c,32'h3f8aadeb,// invsqrt(1.0308) = 0.9849 +32'h3efe4c0b,32'h3fb1fdf1,32'h3fb941c6, 32'h3fac8b10,32'h3fbeb4a6, 32'h3fa37645,32'h3fc7c971,// invsqrt(0.4967) = 1.4189 +32'h3fd9b933,32'h3f405c93,32'h3f48368f, 32'h3f3a7917,32'h3f4e1a0b, 32'h3f30a89c,32'h3f57ea86,// invsqrt(1.7010) = 0.7667 +32'h3f15ea6c,32'h3fa3eb92,32'h3faa9c5e, 32'h3f9ee6f8,32'h3fafa0f8, 32'h3f9689f9,32'h3fb7fdf7,// invsqrt(0.5856) = 1.3068 +32'h3e8f4bff,32'h3fed1c8e,32'h3ff6ca20, 32'h3fe5da5f,32'h3ffe0c4f, 32'h3fd9c168,32'h400512a3,// invsqrt(0.2799) = 1.8902 +32'h3fbbf81a,32'h3f4f0701,32'h3f577a3b, 32'h3f48b096,32'h3f5dd0a6, 32'h3f3e208e,32'h3f6860ae,// invsqrt(1.4685) = 0.8252 +32'h3f8fa53d,32'h3f6cd2db,32'h3f767d6b, 32'h3f6592ee,32'h3f7dbd58, 32'h3f597db9,32'h3f84e946,// invsqrt(1.1222) = 0.9440 +32'h3f281b00,32'h3f9acc44,32'h3fa11dbf, 32'h3f960f28,32'h3fa5dadc, 32'h3f8e294f,32'h3fadc0b5,// invsqrt(0.6567) = 1.2340 +32'h3f86c74e,32'h3f747d60,32'h3f7e780c, 32'h3f6d0160,32'h3f82fa06, 32'h3f60880a,32'h3f8936b1,// invsqrt(1.0530) = 0.9745 +32'h3d9cc3e2,32'h4062b27f,32'h406bf340, 32'h405bc1ed,32'h4072e3d1, 32'h405030fc,32'h407e74c2,// invsqrt(0.0765) = 3.6144 +32'h3dce3703,32'h4045a80e,32'h404db95c, 32'h403f9b12,32'h4053c658, 32'h40358570,32'h405ddbfa,// invsqrt(0.1007) = 3.1514 +32'h3fa36fc1,32'h3f5e05b0,32'h3f671598, 32'h3f5739c2,32'h3f6de186, 32'h3f4be5e0,32'h3f793568,// invsqrt(1.2768) = 0.8850 +32'h3f1cee08,32'h3fa0370d,32'h3fa6c123, 32'h3f9b4f7d,32'h3faba8b3, 32'h3f9322e2,32'h3fb3d54e,// invsqrt(0.6130) = 1.2772 +32'h3f787496,32'h3f7ea91e,32'h3f848708, 32'h3f76dd6a,32'h3f886ce3, 32'h3f69df3c,32'h3f8eebfa,// invsqrt(0.9705) = 1.0151 +32'h3d596131,32'h408820b2,32'h408daf18, 32'h4083f5e6,32'h4091d9e4, 32'h407a07cf,32'h4098cbe2,// invsqrt(0.0531) = 4.3408 +32'h3f4cff1d,32'h3f8c2dcf,32'h3f91e689, 32'h3f87e343,32'h3f963115, 32'h3f80bc5b,32'h3f9d57fd,// invsqrt(0.8008) = 1.1175 +32'h3f4f8feb,32'h3f8b4f54,32'h3f90fefa, 32'h3f870b98,32'h3f9542b6, 32'h3f7fe013,32'h3f9c5e44,// invsqrt(0.8108) = 1.1106 +32'h4116e75f,32'h3ea361f6,32'h3eaa0d24, 32'h3e9e6193,32'h3eaf0d87, 32'h3e960b98,32'h3eb76382,// invsqrt(9.4315) = 0.3256 +32'h3f0d8940,32'h3fa8b3ed,32'h3faf96b2, 32'h3fa389d8,32'h3fb4c0c6, 32'h3f9aee61,32'h3fbd5c3d,// invsqrt(0.5529) = 1.3449 +32'h3fa14816,32'h3f5f8025,32'h3f689f7f, 32'h3f58a8a1,32'h3f6f7703, 32'h3f4d4170,32'h3f7ade34,// invsqrt(1.2600) = 0.8909 +32'h3eac4f7e,32'h3fd83ac7,32'h3fe10e27, 32'h3fd19c3e,32'h3fe7acb0, 32'h3fc69405,32'h3ff2b4e9,// invsqrt(0.3365) = 1.7238 +32'h3fa57b6d,32'h3f5ca54d,32'h3f65a6d3, 32'h3f55e429,32'h3f6c67f7, 32'h3f4aa242,32'h3f77a9de,// invsqrt(1.2928) = 0.8795 +32'h3f0de980,32'h3fa87aad,32'h3faf5b1d, 32'h3fa3525a,32'h3fb48370, 32'h3f9ab9ce,32'h3fbd1bfc,// invsqrt(0.5543) = 1.3431 +32'h3e94fb04,32'h3fe88b6b,32'h3ff20946, 32'h3fe16d08,32'h3ff927aa, 32'h3fd58fb6,32'h4002827e,// invsqrt(0.2910) = 1.8538 +32'h3ce61a41,32'h40bb1da1,32'h40c2c0cc, 32'h40b56340,32'h40c87b2c, 32'h40abd74a,32'h40d20722,// invsqrt(0.0281) = 5.9667 +32'h3f56947e,32'h3f89034b,32'h3f8e9af1, 32'h3f84d190,32'h3f92ccac, 32'h3f7ba803,32'h3f99ca3b,// invsqrt(0.8382) = 1.0923 +32'h3f4465eb,32'h3f8f36f2,32'h3f950f64, 32'h3f8ad49c,32'h3f9971ba, 32'h3f83860d,32'h3fa0c049,// invsqrt(0.7672) = 1.1417 +32'h3f98960d,32'h3f65c7da,32'h3f6f28d3, 32'h3f5ebf1e,32'h3f76318e, 32'h3f5305e7,32'h3f80f562,// invsqrt(1.1921) = 0.9159 +32'h41918081,32'h3e6b4ed6,32'h3e74e990, 32'h3e641aca,32'h3e7c1d9c, 32'h3e581961,32'h3e840f82,// invsqrt(18.1877) = 0.2345 +32'h42f2bae1,32'h3db62f01,32'h3dbd9ea3, 32'h3db09b48,32'h3dc3325c, 32'h3da74fbd,32'h3dcc7de7,// invsqrt(121.3650) = 0.0908 +32'h3f9e15ff,32'h3f61bf8f,32'h3f6af665, 32'h3f5ad66e,32'h3f71df86, 32'h3f4f51e1,32'h3f7d6413,// invsqrt(1.2350) = 0.8998 +32'h3f240738,32'h3f9cb5c4,32'h3fa31b3a, 32'h3f97e9ac,32'h3fa7e752, 32'h3f8fead9,32'h3fafe625,// invsqrt(0.6407) = 1.2493 +32'h3f3a904c,32'h3f92f0db,32'h3f98f03c, 32'h3f8e7151,32'h3f9d6fc5, 32'h3f86f217,32'h3fa4eeff,// invsqrt(0.7288) = 1.1714 +32'h3fe422f1,32'h3f3beb97,32'h3f43972b, 32'h3f362ae9,32'h3f4957d9, 32'h3f2c9471,32'h3f52ee51,// invsqrt(1.7823) = 0.7490 +32'h3f060be7,32'h3fad5a0e,32'h3fb46d68, 32'h3fa80b8b,32'h3fb9bbeb, 32'h3f9f335c,32'h3fc2941b,// invsqrt(0.5236) = 1.3819 +32'h3effd0d7,32'h3fb1767b,32'h3fb8b4c9, 32'h3fac07c0,32'h3fbe2384, 32'h3fa2f9df,32'h3fc73165,// invsqrt(0.4996) = 1.4147 +32'h3f777bac,32'h3f7f290f,32'h3f84c99d, 32'h3f77596f,32'h3f88b16c, 32'h3f6a54ba,32'h3f8f33c7,// invsqrt(0.9667) = 1.0171 +32'h3e8b159d,32'h3ff0acea,32'h3ffa7fba, 32'h3fe94ece,32'h4000eeeb, 32'h3fdd074a,32'h400712ad,// invsqrt(0.2716) = 1.9186 +32'h3f70abf9,32'h3f815f79,32'h3f86a749, 32'h3f7ad338,32'h3f8a9d26, 32'h3f6d9faf,32'h3f9136eb,// invsqrt(0.9401) = 1.0314 +32'h3f72e57f,32'h3f80c774,32'h3f860910, 32'h3f79ac7d,32'h3f89fa45, 32'h3f6c8877,32'h3f908c49,// invsqrt(0.9488) = 1.0266 +32'h3f27f458,32'h3f9ade14,32'h3fa13049, 32'h3f96206c,32'h3fa5edf0, 32'h3f8e39a9,32'h3fadd4b3,// invsqrt(0.6561) = 1.2346 +32'h40800ea3,32'h3efad2f1,32'h3f0287e6, 32'h3ef3254c,32'h3f065eb8, 32'h3ee6593b,32'h3f0cc4c0,// invsqrt(4.0018) = 0.4999 +32'h403c80a2,32'h3f122ee7,32'h3f18265f, 32'h3f0db54e,32'h3f1c9ff8, 32'h3f063ff9,32'h3f24154d,// invsqrt(2.9454) = 0.5827 +32'h3fa4bdcc,32'h3f5d2426,32'h3f662ad9, 32'h3f565f1f,32'h3f6cefdf, 32'h3f4b16bf,32'h3f78383f,// invsqrt(1.2870) = 0.8815 +32'h3fb6eb57,32'h3f51dd98,32'h3f5a6e7a, 32'h3f4b70ef,32'h3f60db23, 32'h3f40bbd5,32'h3f6b903d,// invsqrt(1.4291) = 0.8365 +32'h3cfe891e,32'h40b1e895,32'h40b92b8b, 32'h40ac765c,32'h40be9dc4, 32'h40a362a8,32'h40c7b178,// invsqrt(0.0311) = 5.6731 +32'h3f89232e,32'h3f7260bf,32'h3f7c4559, 32'h3f6af54b,32'h3f81d866, 32'h3f5e978b,32'h3f880747,// invsqrt(1.0714) = 0.9661 +32'h3dad1ff3,32'h4057b871,32'h40608681, 32'h40511de6,32'h4067210c, 32'h40461c53,32'h4072229f,// invsqrt(0.0845) = 3.4394 +32'h3f2c0cfb,32'h3f990348,32'h3f9f421c, 32'h3f945429,32'h3fa3f13b, 32'h3f8c85a0,32'h3fabbfc4,// invsqrt(0.6721) = 1.2198 +32'h3f4cbcaa,32'h3f8c448d,32'h3f91fe34, 32'h3f87f94e,32'h3f964972, 32'h3f80d13d,32'h3f9d7183,// invsqrt(0.7998) = 1.1182 +32'h40481994,32'h3f0de23c,32'h3f13acc6, 32'h3f098a54,32'h3f1804ae, 32'h3f024d27,32'h3f1f41db,// invsqrt(3.1266) = 0.5655 +32'h412891d4,32'h3e9a95ab,32'h3ea0e4eb, 32'h3e95da3a,32'h3ea5a05c, 32'h3e8df72a,32'h3ead836c,// invsqrt(10.5356) = 0.3081 +32'h3ff50262,32'h3f35558e,32'h3f3cbc50, 32'h3f2fc87d,32'h3f424961, 32'h3f26880a,32'h3f4b89d4,// invsqrt(1.9141) = 0.7228 +32'h3f3325ce,32'h3f95f38d,32'h3f9c1263, 32'h3f915c6c,32'h3fa0a984, 32'h3f89b5df,32'h3fa85011,// invsqrt(0.6998) = 1.1954 +32'h3f82dff9,32'h3f781bf4,32'h3f811e39, 32'h3f708397,32'h3f84ea68, 32'h3f63dafb,32'h3f8b3eb6,// invsqrt(1.0225) = 0.9890 +32'h3fdfda26,32'h3f3db5c8,32'h3f45740e, 32'h3f37e712,32'h3f4b42c4, 32'h3f2e393a,32'h3f54f09c,// invsqrt(1.7488) = 0.7562 +32'h3f9a8a78,32'h3f6452a4,32'h3f6da462, 32'h3f5d5556,32'h3f74a1b0, 32'h3f51af29,32'h3f8023ee,// invsqrt(1.2074) = 0.9101 +32'h41b70214,32'h3e51d08e,32'h3e5a60e8, 32'h3e4b644b,32'h3e60cd2b, 32'h3e40afdc,32'h3e6b819b,// invsqrt(22.8760) = 0.2091 +32'h403f7518,32'h3f110cfd,32'h3f16f89f, 32'h3f0c9c44,32'h3f1b6958, 32'h3f0535b9,32'h3f22cfe3,// invsqrt(2.9915) = 0.5782 +32'h3cdd2346,32'h40bedeee,32'h40c6a956, 32'h40b90720,32'h40cc8124, 32'h40af4a1f,32'h40d63e25,// invsqrt(0.0270) = 6.0864 +32'h40ac5620,32'h3ed8369d,32'h3ee109d3, 32'h3ed19835,32'h3ee7a83b, 32'h3ec69032,32'h3ef2b03e,// invsqrt(5.3855) = 0.4309 +32'h3ed5890f,32'h3fc23d25,32'h3fca2abf, 32'h3fbc4af3,32'h3fd01cf1, 32'h3fb261f3,32'h3fda05f1,// invsqrt(0.4171) = 1.5485 +32'h404204a5,32'h3f101720,32'h3f15f8b9, 32'h3f0badee,32'h3f1a61ec, 32'h3f0453ef,32'h3f21bbeb,// invsqrt(3.0315) = 0.5743 +32'h40602498,32'h3f060ee8,32'h3f0b87ae, 32'h3f01f454,32'h3f0fa242, 32'h3ef63aba,32'h3f167939,// invsqrt(3.5022) = 0.5344 +32'h3dca4103,32'h40479521,32'h404fba90, 32'h4041790d,32'h4055d6a3, 32'h40374a42,32'h4060056e,// invsqrt(0.0988) = 3.1821 +32'h3eb82007,32'h3fd12d62,32'h3fd9b713, 32'h3fcac61e,32'h3fe01e58, 32'h3fc01a02,32'h3feaca74,// invsqrt(0.3596) = 1.6675 +32'h3f4ba113,32'h3f8ca617,32'h3f9263ba, 32'h3f8857dd,32'h3f96b1f5, 32'h3f812ad2,32'h3f9ddf00,// invsqrt(0.7954) = 1.1212 +32'h43fc75ad,32'h3d32a374,32'h3d39ee0a, 32'h3d2d2b82,32'h3d3f65fc, 32'h3d240e46,32'h3d488339,// invsqrt(504.9193) = 0.0445 +32'h41aa0836,32'h3e59ac6c,32'h3e628ee3, 32'h3e530292,32'h3e6938bc, 32'h3e47e77d,32'h3e7453d1,// invsqrt(21.2540) = 0.2169 +32'h3ea2b945,32'h3fde820c,32'h3fe79707, 32'h3fd7b24f,32'h3fee66c3, 32'h3fcc5815,32'h3ff9c0fd,// invsqrt(0.3178) = 1.7738 +32'h3fa96f09,32'h3f5a0eba,32'h3f62f535, 32'h3f5361df,32'h3f69a211, 32'h3f4841c6,32'h3f74c22a,// invsqrt(1.3237) = 0.8692 +32'h3f3e8479,32'h3f91687a,32'h3f9757d8, 32'h3f8cf4f4,32'h3f9bcb5e, 32'h3f8589be,32'h3fa33694,// invsqrt(0.7442) = 1.1592 +32'h400a6523,32'h3f2a9b47,32'h3f3191f2, 32'h3f256248,32'h3f36caf2, 32'h3f1cadf4,32'h3f3f7f46,// invsqrt(2.1624) = 0.6800 +32'h3f8760bc,32'h3f73f2ad,32'h3f7de7af, 32'h3f6c7aec,32'h3f82afb8, 32'h3f6008a9,32'h3f88e8d9,// invsqrt(1.0576) = 0.9724 +32'h3fc4982f,32'h3f4a6f55,32'h3f52b292, 32'h3f443ce8,32'h3f58e500, 32'h3f39e8dc,32'h3f63390c,// invsqrt(1.5359) = 0.8069 +32'h3fa951fb,32'h3f5a216f,32'h3f6308ad, 32'h3f537400,32'h3f69b61c, 32'h3f4852f3,32'h3f74d729,// invsqrt(1.3228) = 0.8695 +32'h4013be8e,32'h3f251ecf,32'h3f2bdc25, 32'h3f2010ce,32'h3f30ea26, 32'h3f17a421,32'h3f3956d3,// invsqrt(2.3085) = 0.6582 +32'h402dd20d,32'h3f183b5a,32'h3f1e7205, 32'h3f13925a,32'h3f231b06, 32'h3f0bce05,32'h3f2adf5b,// invsqrt(2.7159) = 0.6068 +32'h3f0ec09b,32'h3fa7fb8e,32'h3faed6cd, 32'h3fa2d71e,32'h3fb3fb3c, 32'h3f9a450f,32'h3fbc8d4b,// invsqrt(0.5576) = 1.3391 +32'h3eddae37,32'h3fbea314,32'h3fc66b0a, 32'h3fb8cd1b,32'h3fcc4103, 32'h3faf1327,32'h3fd5faf7,// invsqrt(0.4330) = 1.5197 +32'h3e14e142,32'h40247d4b,32'h402b340a, 32'h401f743b,32'h40303d19, 32'h40170fcc,32'h4038a188,// invsqrt(0.1454) = 2.6226 +32'h3f0680c2,32'h3fad0eb0,32'h3fb41ef6, 32'h3fa7c27c,32'h3fb96b2a, 32'h3f9eee24,32'h3fc23f82,// invsqrt(0.5254) = 1.3796 +32'h3fe85587,32'h3f3a3707,32'h3f41d0c9, 32'h3f3483b6,32'h3f47841a, 32'h3f2b0384,32'h3f51044c,// invsqrt(1.8151) = 0.7422 +32'h3e01faf0,32'h40300ade,32'h40373a54, 32'h402aa745,32'h403c9ded, 32'h4021abf0,32'h40459942,// invsqrt(0.1269) = 2.8068 +32'h3fb2b192,32'h3f54551f,32'h3f5cffc7, 32'h3f4dd520,32'h3f637fc6, 32'h3f42ffce,32'h3f6e5518,// invsqrt(1.3960) = 0.8464 +32'h3edc579e,32'h3fbf370f,32'h3fc70510, 32'h3fb95c8f,32'h3fccdf91, 32'h3faf9b0e,32'h3fd6a112,// invsqrt(0.4304) = 1.5244 +32'h40a20094,32'h3edf00bd,32'h3ee81ae5, 32'h3ed82d20,32'h3eeeee82, 32'h3ecccc6f,32'h3efa4f33,// invsqrt(5.0626) = 0.4444 +32'h3cbd9733,32'h40ce23e1,32'h40d68dd5, 32'h40c7d46a,32'h40dcdd4c, 32'h40bd4ff8,32'h40e761be,// invsqrt(0.0231) = 6.5733 +32'h3f5f9d86,32'h3f86375f,32'h3f8bb1cb, 32'h3f821b8e,32'h3f8fcd9c, 32'h3f76850c,32'h3f96a6a4,// invsqrt(0.8735) = 1.0700 +32'h3e91c7a5,32'h3feb1564,32'h3ff4adc6, 32'h3fe3e31a,32'h3ffbe010, 32'h3fd7e4a0,32'h4003ef45,// invsqrt(0.2847) = 1.8741 +32'h402d8f88,32'h3f185884,32'h3f1e905f, 32'h3f13ae9f,32'h3f233a43, 32'h3f0be8cc,32'h3f2b0016,// invsqrt(2.7119) = 0.6072 +32'h40bba700,32'h3ecf33b9,32'h3ed7a8c5, 32'h3ec8dbef,32'h3ede008f, 32'h3ebe499f,32'h3ee892df,// invsqrt(5.8641) = 0.4130 +32'h3f209278,32'h3f9e6334,32'h3fa4da30, 32'h3f9989f6,32'h3fa9b36e, 32'h3f91753a,32'h3fb1c82a,// invsqrt(0.6272) = 1.2627 +32'h40097ec7,32'h3f2b29f7,32'h3f322674, 32'h3f25ec99,32'h3f3763d1, 32'h3f1d30fc,32'h3f401f6e,// invsqrt(2.1484) = 0.6823 +32'h3f92d479,32'h3f6a3dcd,32'h3f73cd63, 32'h3f63121d,32'h3f7af913, 32'h3f571ea2,32'h3f837647,// invsqrt(1.1471) = 0.9337 +32'h3f39dbab,32'h3f933830,32'h3f993a7c, 32'h3f8eb678,32'h3f9dbc34, 32'h3f87339a,32'h3fa53f12,// invsqrt(0.7260) = 1.1736 +32'h3db8b578,32'h4050d8b3,32'h40595eef, 32'h404a7407,32'h405fc39b, 32'h403fcc3c,32'h406a6b66,// invsqrt(0.0902) = 3.3298 +32'h40036c0b,32'h3f2f12f9,32'h3f363851, 32'h3f29b6f6,32'h3f3b9454, 32'h3f20c848,32'h3f448302,// invsqrt(2.0535) = 0.6978 +32'h3f7444fd,32'h3f806aab,32'h3f85a87e, 32'h3f78f89b,32'h3f8996dc, 32'h3f6bde0c,32'h3f902424,// invsqrt(0.9542) = 1.0237 +32'h3f64e0e9,32'h3f84aa04,32'h3f8a1438, 32'h3f809a5c,32'h3f8e23e0, 32'h3f73ab36,32'h3f94e8a1,// invsqrt(0.8941) = 1.0576 +32'h3e16a6f4,32'h402384e0,32'h402a317c, 32'h401e836c,32'h402f32f0, 32'h40162ba9,32'h40378ab3,// invsqrt(0.1471) = 2.6071 +32'h40aafe6c,32'h3ed90f7d,32'h3ee1eb8d, 32'h3ed26a72,32'h3ee89098, 32'h3ec7575e,32'h3ef3a3ac,// invsqrt(5.3436) = 0.4326 +32'h3fab4e15,32'h3f58dcff,32'h3f61b6ff, 32'h3f52397f,32'h3f685a7f, 32'h3f4728ff,32'h3f736aff,// invsqrt(1.3383) = 0.8644 +32'h3e86d61c,32'h3ff46ff4,32'h3ffe6a12, 32'h3fecf45c,32'h4002f2d5, 32'h3fe07bb6,32'h40092f28,// invsqrt(0.2634) = 1.9486 +32'h40914982,32'h3eeb7b5b,32'h3ef517e6, 32'h3ee445f2,32'h3efc4d4e, 32'h3ed84243,32'h3f04287e,// invsqrt(4.5402) = 0.4693 +32'h3eabb5f7,32'h3fd89b5c,32'h3fe172ae, 32'h3fd1f9de,32'h3fe8142c, 32'h3fc6ecb8,32'h3ff32152,// invsqrt(0.3354) = 1.7268 +32'h3ea56fa9,32'h3fdcad25,32'h3fe5aefd, 32'h3fd5ebc3,32'h3fec705f, 32'h3fcaa976,32'h3ff7b2ac,// invsqrt(0.3231) = 1.7592 +32'h4036fb87,32'h3f145f2b,32'h3f1a6d81, 32'h3f0fd46b,32'h3f1ef841, 32'h3f084281,32'h3f268a2b,// invsqrt(2.8591) = 0.5914 +32'h3fa84ed6,32'h3f5ac91c,32'h3f63b732, 32'h3f54168b,32'h3f6a69c3, 32'h3f48ecf0,32'h3f75935e,// invsqrt(1.3149) = 0.8721 +32'h3ee86b4b,32'h3fba2e4f,32'h3fc1c7b5, 32'h3fb47b42,32'h3fc77ac2, 32'h3faafb82,32'h3fd0fa82,// invsqrt(0.4539) = 1.4842 +32'h3f92e643,32'h3f6a2f9e,32'h3f73be9f, 32'h3f63045c,32'h3f7ae9e0, 32'h3f57119b,32'h3f836e50,// invsqrt(1.1477) = 0.9335 +32'h3f865933,32'h3f74e17c,32'h3f7ee03d, 32'h3f6d626b,32'h3f832fa7, 32'h3f60e3f9,32'h3f896edf,// invsqrt(1.0496) = 0.9761 +32'h3f868dc7,32'h3f74b19f,32'h3f7eae6c, 32'h3f6d3405,32'h3f831603, 32'h3f60b804,32'h3f895403,// invsqrt(1.0512) = 0.9753 +32'h3f7163c2,32'h3f812e30,32'h3f8673fe, 32'h3f7a73ac,32'h3f8a6858, 32'h3f6d4529,32'h3f90ff99,// invsqrt(0.9429) = 1.0298 +32'h401ecd55,32'h3f1f448d,32'h3f25c4bd, 32'h3f1a646a,32'h3f2aa4e0, 32'h3f12442e,32'h3f32c51c,// invsqrt(2.4813) = 0.6348 +32'h408e76de,32'h3eedcda8,32'h3ef78276, 32'h3ee6860e,32'h3efeca10, 32'h3eda640e,32'h3f057608,// invsqrt(4.4520) = 0.4739 +32'h3eb0a843,32'h3fd58d84,32'h3fde44ec, 32'h3fcf03f5,32'h3fe4ce7b, 32'h3fc41eb2,32'h3fefb3be,// invsqrt(0.3450) = 1.7024 +32'h3fd64cb9,32'h3f41e465,32'h3f49ce5e, 32'h3f3bf4e9,32'h3f4fbdd9, 32'h3f321071,32'h3f59a251,// invsqrt(1.6742) = 0.7728 +32'h3e87d6e9,32'h3ff38879,32'h3ffd7925, 32'h3fec13f8,32'h400276d3, 32'h3fdfa721,32'h4008ad3f,// invsqrt(0.2653) = 1.9414 +32'h3f4541ff,32'h3f8ee6f7,32'h3f94bc25, 32'h3f8a8714,32'h3f991c08, 32'h3f833c99,32'h3fa06683,// invsqrt(0.7705) = 1.1392 +32'h3eee82a1,32'h3fb7c9c4,32'h3fbf4a2a, 32'h3fb22977,32'h3fc4ea77, 32'h3fa8c8f8,32'h3fce4af6,// invsqrt(0.4658) = 1.4651 +32'h4019ae39,32'h3f21e65f,32'h3f288210, 32'h3f1cf19c,32'h3f2d76d4, 32'h3f14aeff,32'h3f35b971,// invsqrt(2.4013) = 0.6453 +32'h4189358d,32'h3e725084,32'h3e7c3475, 32'h3e6ae590,32'h3e81cfb5, 32'h3e5e88a4,32'h3e87fe2b,// invsqrt(17.1511) = 0.2415 +32'h3f29663a,32'h3f9a34a3,32'h3fa07fee, 32'h3f957c2c,32'h3fa53866, 32'h3f8d9e0e,32'h3fad1684,// invsqrt(0.6617) = 1.2293 +32'h3fa6a552,32'h3f5bdfbe,32'h3f64d934, 32'h3f5524a6,32'h3f6b944c, 32'h3f49ecd3,32'h3f76cc1f,// invsqrt(1.3019) = 0.8764 +32'h3f1544fa,32'h3fa44650,32'h3faafad0, 32'h3f9f3eef,32'h3fb00231, 32'h3f96dd4e,32'h3fb863d2,// invsqrt(0.5831) = 1.3096 +32'h3f10167d,32'h3fa733cd,32'h3fae06e5, 32'h3fa2157b,32'h3fb32537, 32'h3f998d9d,32'h3fbbad15,// invsqrt(0.5628) = 1.3329 +32'h3e1ac0b6,32'h4021568a,32'h4027ec5c, 32'h401c662d,32'h402cdcb9, 32'h40142ae8,32'h403517ff,// invsqrt(0.1511) = 2.5724 +32'h3ecb7ef0,32'h3fc6f8fb,32'h3fcf180b, 32'h3fc0e1b0,32'h3fd52f56, 32'h3fb6badc,32'h3fdf562a,// invsqrt(0.3975) = 1.5862 +32'h40456d0b,32'h3f0ed762,32'h3f14abee, 32'h3f0a77f9,32'h3f190b57, 32'h3f032e4a,32'h3f205506,// invsqrt(3.0848) = 0.5694 +32'h3f8a1fd0,32'h3f7182b1,32'h3f7b5e3b, 32'h3f6a1e0a,32'h3f816171, 32'h3f5dcb9d,32'h3f878aa7,// invsqrt(1.0791) = 0.9627 +32'h3e8317fe,32'h3ff7e6eb,32'h4001029f, 32'h3ff0502e,32'h4004cdfe, 32'h3fe3aa46,32'h400b20f2,// invsqrt(0.2560) = 1.9763 +32'h3f8f8830,32'h3f6cead1,32'h3f76965d, 32'h3f65aa29,32'h3f7dd705, 32'h3f5993bb,32'h3f84f6b9,// invsqrt(1.1213) = 0.9443 +32'h3ea43803,32'h3fdd7e28,32'h3fe68887, 32'h3fd6b65f,32'h3fed504f, 32'h3fcb6968,32'h3ff89d46,// invsqrt(0.3207) = 1.7657 +32'h3f751074,32'h3f803551,32'h3f8570f7, 32'h3f78912b,32'h3f895db3, 32'h3f6b7c0e,32'h3f8fe841,// invsqrt(0.9573) = 1.0221 +32'h400165c2,32'h3f30703b,32'h3f37a3d5, 32'h3f2b0988,32'h3f3d0a88, 32'h3f220907,32'h3f460b09,// invsqrt(2.0218) = 0.7033 +32'h3f3d77af,32'h3f91cf7a,32'h3f97c30c, 32'h3f8d58cc,32'h3f9c39ba, 32'h3f85e856,32'h3fa3aa30,// invsqrt(0.7401) = 1.1624 +32'h3ffdba67,32'h3f3230ff,32'h3f3976ea, 32'h3f2cbc8e,32'h3f3eeb5a, 32'h3f23a528,32'h3f4802c0,// invsqrt(1.9823) = 0.7103 +32'h3ff1528f,32'h3f36b6d1,32'h3f3e2bfe, 32'h3f311eef,32'h3f43c3df, 32'h3f27cc76,32'h3f4d1658,// invsqrt(1.8853) = 0.7283 +32'h3f0fdc4b,32'h3fa7559b,32'h3fae2a14, 32'h3fa2363f,32'h3fb3496f, 32'h3f99aca8,32'h3fbbd306,// invsqrt(0.5620) = 1.3340 +32'h3d707f78,32'h40816b71,32'h4086b3bf, 32'h407aea6e,32'h408aa9f9, 32'h406db5ab,32'h4091445a,// invsqrt(0.0587) = 4.1269 +32'h3f875ef5,32'h3f73f447,32'h3f7de95a, 32'h3f6c7c7a,32'h3f82b094, 32'h3f600a22,32'h3f88e9c0,// invsqrt(1.0576) = 0.9724 +32'h3d4e4c16,32'h408bbc80,32'h4091709b, 32'h4087756d,32'h4095b7af, 32'h4080544d,32'h409cd8cf,// invsqrt(0.0504) = 4.4559 +32'h3e4883c9,32'h400dbca3,32'h401385a5, 32'h400965e2,32'h4017dc66, 32'h40022aa0,32'h401f17a8,// invsqrt(0.1958) = 2.2598 +32'h3fa5b8ca,32'h3f5c7c6f,32'h3f657c4a, 32'h3f55bc8c,32'h3f6c3c2e, 32'h3f4a7cba,32'h3f777c00,// invsqrt(1.2947) = 0.8789 +32'h3e91ceef,32'h3feb0f84,32'h3ff4a7a8, 32'h3fe3dd68,32'h3ffbd9c4, 32'h3fd7df3a,32'h4003ebf9,// invsqrt(0.2848) = 1.8739 +32'h3ec2d8ab,32'h3fcb5749,32'h3fd3a3fe, 32'h3fc51dc2,32'h3fd9dd86, 32'h3fbabde0,32'h3fe43d68,// invsqrt(0.3806) = 1.6210 +32'h3f1bb77b,32'h3fa0d681,32'h3fa76719, 32'h3f9bea10,32'h3fac538a, 32'h3f93b552,32'h3fb48848,// invsqrt(0.6083) = 1.2822 +32'h40091055,32'h3f2b6edf,32'h3f326e2c, 32'h3f262f65,32'h3f37ada5, 32'h3f1d7044,32'h3f406cc6,// invsqrt(2.1416) = 0.6833 +32'h3db9867c,32'h405062ed,32'h4058e459, 32'h404a01db,32'h405f456b, 32'h403f6013,32'h4069e733,// invsqrt(0.0906) = 3.3225 +32'h3fc247f9,32'h3f4ba2f4,32'h3f53f2c0, 32'h3f45671c,32'h3f5a2e98, 32'h3f3b035e,32'h3f649256,// invsqrt(1.5178) = 0.8117 +32'h3eabf51c,32'h3fd87393,32'h3fe14945, 32'h3fd1d34d,32'h3fe7e98b, 32'h3fc6c82e,32'h3ff2f4aa,// invsqrt(0.3359) = 1.7255 +32'h3fb16a33,32'h3f5518ac,32'h3f5dcb50, 32'h3f4e92b1,32'h3f64514b, 32'h3f43b365,32'h3f6f3097,// invsqrt(1.3861) = 0.8494 +32'h3f77a4f3,32'h3f7f13cb,32'h3f84be8b, 32'h3f7744d1,32'h3f88a608, 32'h3f6a4132,32'h3f8f27d7,// invsqrt(0.9674) = 1.0167 +32'h3ec0bc2a,32'h3fcc73a2,32'h3fd4cbf2, 32'h3fc63166,32'h3fdb0e2e, 32'h3fbbc303,32'h3fe57c91,// invsqrt(0.3764) = 1.6299 +32'h3ddd5140,32'h403ecb1a,32'h404694b2, 32'h4038f3e7,32'h404c6be5, 32'h402f37e9,32'h405627e3,// invsqrt(0.1081) = 3.0420 +32'h3f01ee91,32'h3fb0133f,32'h3fb7430d, 32'h3faaaf64,32'h3fbca6e8, 32'h3fa1b3a2,32'h3fc5a2aa,// invsqrt(0.5075) = 1.4037 +32'h4048bb9d,32'h3f0da8ec,32'h3f137120, 32'h3f0952c6,32'h3f17c746, 32'h3f021885,32'h3f1f0187,// invsqrt(3.1365) = 0.5647 +32'h3f28d9c5,32'h3f9a74b9,32'h3fa0c2a1, 32'h3f95ba4b,32'h3fa57d0f, 32'h3f8dd8e8,32'h3fad5e72,// invsqrt(0.6596) = 1.2313 +32'h3f314fb6,32'h3f96b9d1,32'h3f9ce0bf, 32'h3f921c9e,32'h3fa17df2, 32'h3f8a6bf4,32'h3fa92e9c,// invsqrt(0.6926) = 1.2016 +32'h3f2c522d,32'h3f98e48c,32'h3f9f221e, 32'h3f94365e,32'h3fa3d04c, 32'h3f8c6966,32'h3fab9d44,// invsqrt(0.6731) = 1.2189 +32'h3f50843f,32'h3f8afd9e,32'h3f90a9ee, 32'h3f86bc62,32'h3f94eb2a, 32'h3f7f49fe,32'h3f9c028d,// invsqrt(0.8145) = 1.1080 +32'h40c12bb5,32'h3ecc3892,32'h3ed48e79, 32'h3ec5f826,32'h3edacee6, 32'h3ebb8cc5,32'h3ee53a47,// invsqrt(6.0366) = 0.4070 +32'h4164669a,32'h3e84cd84,32'h3e8a392c, 32'h3e80bcc7,32'h3e8e49e9, 32'h3e73ec6b,32'h3e95107a,// invsqrt(14.2750) = 0.2647 +32'h3f6c4b1c,32'h3f8290e8,32'h3f87e530, 32'h3f7d2364,32'h3f8be466, 32'h3f6fd0af,32'h3f928dc0,// invsqrt(0.9230) = 1.0409 +32'h40149116,32'h3f24a9a7,32'h3f2b6235, 32'h3f1f9f3c,32'h3f306ca0, 32'h3f173889,32'h3f38d353,// invsqrt(2.3214) = 0.6563 +32'h3f591ce6,32'h3f88361a,32'h3f8dc55e, 32'h3f840aa6,32'h3f91f0d2, 32'h3f7a2f1f,32'h3f98e3e8,// invsqrt(0.8481) = 1.0859 +32'h3f991bb0,32'h3f65637c,32'h3f6ec05d, 32'h3f5e5dd4,32'h3f75c606, 32'h3f52a9bc,32'h3f80bd0f,// invsqrt(1.1962) = 0.9143 +32'h3e97ddff,32'h3fe652ed,32'h3fefb994, 32'h3fdf45f1,32'h3ff6c691, 32'h3fd385a1,32'h40014371,// invsqrt(0.2966) = 1.8361 +32'h3eb85567,32'h3fd10f18,32'h3fd9978b, 32'h3fcaa8c0,32'h3fdffde2, 32'h3fbffe2f,32'h3feaa873,// invsqrt(0.3600) = 1.6666 +32'h3e720c16,32'h4001013d,32'h40064535, 32'h3ffa1c86,32'h400a382f, 32'h3fecf29a,32'h4010cd25,// invsqrt(0.2364) = 2.0568 +32'h401fd0bf,32'h3f1ec316,32'h3f253dfc, 32'h3f19e6e9,32'h3f2a1a29, 32'h3f11cd48,32'h3f3233ca,// invsqrt(2.4971) = 0.6328 +32'h3ebace0a,32'h3fcfabe9,32'h3fd825de, 32'h3fc95072,32'h3fde8156, 32'h3fbeb800,32'h3fe919c8,// invsqrt(0.3649) = 1.6555 +32'h3f496c52,32'h3f8d6abb,32'h3f933065, 32'h3f89167c,32'h3f9784a4, 32'h3f81df68,32'h3f9ebbb8,// invsqrt(0.7868) = 1.1274 +32'h3d54754b,32'h4089b203,32'h408f50c9, 32'h40857aee,32'h409387de, 32'h407ce8eb,32'h409a8e57,// invsqrt(0.0519) = 4.3908 +32'h40ede564,32'h3eb80676,32'h3ebf8957, 32'h3eb2644f,32'h3ec52b7f, 32'h3ea900b6,32'h3ece8f18,// invsqrt(7.4343) = 0.3668 +32'h3f8d7089,32'h3f6ea9ca,32'h3f786794, 32'h3f675b73,32'h3f7fb5eb, 32'h3f5b2e37,32'h3f85f193,// invsqrt(1.1050) = 0.9513 +32'h3fcf3655,32'h3f452e22,32'h3f4d3a78, 32'h3f3f24e3,32'h3f5343b7, 32'h3f351578,32'h3f5d5322,// invsqrt(1.6188) = 0.7860 +32'h3fc524aa,32'h3f4a2728,32'h3f526773, 32'h3f43f6f0,32'h3f5897ac, 32'h3f39a693,32'h3f62e809,// invsqrt(1.5402) = 0.8058 +32'h3f1edf3b,32'h3f9f3b95,32'h3fa5bb66, 32'h3f9a5bb7,32'h3faa9b43, 32'h3f923bf0,32'h3fb2bb0a,// invsqrt(0.6206) = 1.2694 +32'h3f903ae8,32'h3f6c57da,32'h3f75fd66, 32'h3f651bb2,32'h3f7d398e, 32'h3f590cc3,32'h3f84a43e,// invsqrt(1.1268) = 0.9421 +32'h3f785765,32'h3f7eb816,32'h3f848ed1, 32'h3f76ebeb,32'h3f8874e7, 32'h3f69ecf9,32'h3f8ef45f,// invsqrt(0.9701) = 1.0153 +32'h3f33beeb,32'h3f95b3a2,32'h3f9bcfdc, 32'h3f911e75,32'h3fa06509, 32'h3f897b2c,32'h3fa80852,// invsqrt(0.7021) = 1.1934 +32'h3f2353ad,32'h3f9d0bcf,32'h3fa374c7, 32'h3f983d14,32'h3fa84382, 32'h3f9039dd,32'h3fb046b9,// invsqrt(0.6380) = 1.2520 +32'h400984da,32'h3f2b262f,32'h3f322285, 32'h3f25e8ef,32'h3f375fc5, 32'h3f1d2d84,32'h3f401b30,// invsqrt(2.1487) = 0.6822 +32'h3d95d037,32'h4067e5b9,32'h40715cd0, 32'h4060cc67,32'h40787621, 32'h4054f78a,32'h4082257f,// invsqrt(0.0732) = 3.6973 +32'h40035fb6,32'h3f2f1b30,32'h3f3640de, 32'h3f29beed,32'h3f3b9d21, 32'h3f20cfd3,32'h3f448c3b,// invsqrt(2.0527) = 0.6980 +32'h3ef22de4,32'h3fb66401,32'h3fbdd5cd, 32'h3fb0cea8,32'h3fc36b26, 32'h3fa7806a,32'h3fccb965,// invsqrt(0.4730) = 1.4540 +32'h3ed59952,32'h3fc235c0,32'h3fca230c, 32'h3fbc43c7,32'h3fd01505, 32'h3fb25b29,32'h3fd9fda3,// invsqrt(0.4172) = 1.5482 +32'h3f84895c,32'h3f768c89,32'h3f804e5c, 32'h3f6f0065,32'h3f84146e, 32'h3f626c2a,32'h3f8a5e8b,// invsqrt(1.0354) = 0.9827 +32'h4029f8c5,32'h3f19f21b,32'h3f203aaf, 32'h3f153bad,32'h3f24f11d, 32'h3f0d60f4,32'h3f2ccbd6,// invsqrt(2.6558) = 0.6136 +32'h400e05bb,32'h3f2869ee,32'h3f2f49ae, 32'h3f23421d,32'h3f34717f, 32'h3f1aaa6d,32'h3f3d092f,// invsqrt(2.2191) = 0.6713 +32'h3f7ac889,32'h3f7d79d5,32'h3f83e933, 32'h3f75b769,32'h3f87ca69, 32'h3f68c8b5,32'h3f8e41c4,// invsqrt(0.9796) = 1.0103 +32'h4121f59f,32'h3e9db52b,32'h3ea4250d, 32'h3e98e141,32'h3ea8f8f7, 32'h3e90d566,32'h3eb104d2,// invsqrt(10.1225) = 0.3143 +32'h3e02c10a,32'h402f854f,32'h4036af53, 32'h402a25cd,32'h403c0ed5, 32'h40213149,32'h40450359,// invsqrt(0.1277) = 2.7985 +32'h3f3d45ad,32'h3f91e2bc,32'h3f97d717, 32'h3f8d6b77,32'h3f9c4e5b, 32'h3f85fa05,32'h3fa3bfcd,// invsqrt(0.7393) = 1.1630 +32'h3dc6d9df,32'h40494872,32'h40517fa6, 32'h40431f0b,32'h4057a90d, 32'h4038da0b,32'h4061ee0d,// invsqrt(0.0971) = 3.2092 +32'h3f5c73bd,32'h3f872d00,32'h3f8cb173, 32'h3f8309aa,32'h3f90d4ca, 32'h3f784835,32'h3f97ba59,// invsqrt(0.8611) = 1.0776 +32'h3fd6d9ff,32'h3f41a49b,32'h3f498bfb, 32'h3f3bb714,32'h3f4f7982, 32'h3f31d5dd,32'h3f595ab9,// invsqrt(1.6785) = 0.7719 +32'h3e9c9f75,32'h3fe2ccda,32'h3fec0eae, 32'h3fdbdb7a,32'h3ff3000e, 32'h3fd04930,32'h3ffe9258,// invsqrt(0.3059) = 1.8080 +32'h3e855880,32'h3ff5ccbf,32'h3fffd51b, 32'h3fee467b,32'h4003adb0, 32'h3fe1bc08,32'h4009f2e9,// invsqrt(0.2604) = 1.9595 +32'h407748dc,32'h3eff4345,32'h3f04d741, 32'h3ef772d9,32'h3f08bf78, 32'h3eea6ccd,32'h3f0f427d,// invsqrt(3.8638) = 0.5087 +32'h3f3323c4,32'h3f95f468,32'h3f9c1347, 32'h3f915d3f,32'h3fa0aa6f, 32'h3f89b6a8,32'h3fa85106,// invsqrt(0.6998) = 1.1954 +32'h3ecf7b4c,32'h3fc50d5a,32'h3fcd1859, 32'h3fbf051c,32'h3fd32098, 32'h3fb4f75e,32'h3fdd2e56,// invsqrt(0.4052) = 1.5709 +32'h3e7b93cb,32'h3ffd135b,32'h4003b3de, 32'h3ff55412,32'h40079383, 32'h3fe86a98,32'h400e0840,// invsqrt(0.2457) = 2.0175 +32'h3e8d4f94,32'h3feec59d,32'h3ff88489, 32'h3fe7766c,32'h3fffd3ba, 32'h3fdb47c4,32'h40060131,// invsqrt(0.2760) = 1.9035 +32'h3f15b399,32'h3fa40993,32'h3faabb99, 32'h3f9f040e,32'h3fafc11e, 32'h3f96a587,32'h3fb81fa5,// invsqrt(0.5848) = 1.3077 +32'h3f9d8d67,32'h3f622155,32'h3f6b5c29, 32'h3f5b3535,32'h3f724849, 32'h3f4fabac,32'h3f7dd1d2,// invsqrt(1.2309) = 0.9013 +32'h3fbcb6e8,32'h3f4e9e3d,32'h3f570d30, 32'h3f484b07,32'h3f5d6067, 32'h3f3dc058,32'h3f67eb16,// invsqrt(1.4743) = 0.8236 +32'h4012a4eb,32'h3f25bd12,32'h3f2c80df, 32'h3f20aa39,32'h3f3193b9, 32'h3f18357a,32'h3f3a0878,// invsqrt(2.2913) = 0.6606 +32'h3f94c1e9,32'h3f68b80a,32'h3f7237b6, 32'h3f619848,32'h3f795778, 32'h3f55b8b0,32'h3f829b88,// invsqrt(1.1622) = 0.9276 +32'h40199e07,32'h3f21eee8,32'h3f288af2, 32'h3f1cf9e1,32'h3f2d7ff9, 32'h3f14b6d5,32'h3f35c305,// invsqrt(2.4003) = 0.6455 +32'h3f1a226c,32'h3fa1a94d,32'h3fa8427f, 32'h3f9cb667,32'h3fad3565, 32'h3f9476e9,32'h3fb574e3,// invsqrt(0.6021) = 1.2888 +32'h3eaed06a,32'h3fd6acf7,32'h3fdf701b, 32'h3fd01a9c,32'h3fe60276, 32'h3fc526ae,32'h3ff0f664,// invsqrt(0.3414) = 1.7114 +32'h3f910a82,32'h3f6bae79,32'h3f754d1b, 32'h3f647780,32'h3f7c8414, 32'h3f587136,32'h3f84452f,// invsqrt(1.1331) = 0.9394 +32'h3fafcaae,32'h3f5613f1,32'h3f5ed0d6, 32'h3f4f8644,32'h3f655e82, 32'h3f449a26,32'h3f704aa0,// invsqrt(1.3734) = 0.8533 +32'h40c450e8,32'h3eca9412,32'h3ed2d8ce, 32'h3ec46084,32'h3ed90c5c, 32'h3eba0a98,32'h3ee36248,// invsqrt(6.1349) = 0.4037 +32'h3f4e5a03,32'h3f8bb7c9,32'h3f916bb2, 32'h3f8770db,32'h3f95b2a1, 32'h3f804ff8,32'h3f9cd384,// invsqrt(0.8061) = 1.1138 +32'h3fdbf270,32'h3f3f6305,32'h3f4732d1, 32'h3f39872c,32'h3f4d0eaa, 32'h3f2fc36d,32'h3f56d269,// invsqrt(1.7183) = 0.7629 +32'h3f8153ad,32'h3f7996f7,32'h3f81e376, 32'h3f71f2ff,32'h3f85b572, 32'h3f65370c,32'h3f8c136b,// invsqrt(1.0104) = 0.9949 +32'h4182e780,32'h3e7814d2,32'h3e811a82, 32'h3e707cac,32'h3e84e695, 32'h3e63d46d,32'h3e8b3ab4,// invsqrt(16.3630) = 0.2472 +32'h3e7ebdd7,32'h3ffb7fb9,32'h4002e1d1, 32'h3ff3cccc,32'h4006bb48, 32'h3fe6f7e9,32'h400d25b9,// invsqrt(0.2488) = 2.0049 +32'h3f7e461c,32'h3f7bbae8,32'h3f83009e, 32'h3f74062b,32'h3f86dafd, 32'h3f672e43,32'h3f8d46f0,// invsqrt(0.9933) = 1.0034 +32'h3e2f2912,32'h4017a602,32'h401dd694, 32'h40130194,32'h40227b02, 32'h400b44dd,32'h402a37b9,// invsqrt(0.1711) = 2.4179 +32'h41da7ecf,32'h3e400583,32'h3e47dbf1, 32'h3e3a24b1,32'h3e4dbcc3, 32'h3e3058a7,32'h3e5788cd,// invsqrt(27.3119) = 0.1913 +32'h401a6a67,32'h3f21839b,32'h3f281b43, 32'h3f1c91dd,32'h3f2d0d01, 32'h3f14544a,32'h3f354a94,// invsqrt(2.4127) = 0.6438 +32'h3fa4e205,32'h3f5d0bda,32'h3f66118f, 32'h3f564791,32'h3f6cd5d7, 32'h3f4b006f,32'h3f781cf9,// invsqrt(1.2881) = 0.8811 +32'h3f63a791,32'h3f850531,32'h3f8a731f, 32'h3f80f2c0,32'h3f8e8590, 32'h3f7452ae,32'h3f954ef9,// invsqrt(0.8893) = 1.0604 +32'h400273b7,32'h3f2fb94c,32'h3f36e56f, 32'h3f2a5833,32'h3f3c4689, 32'h3f216108,32'h3f453db4,// invsqrt(2.0383) = 0.7004 +32'h3f93eb3c,32'h3f6960ac,32'h3f72e73a, 32'h3f623bc0,32'h3f7a0c26, 32'h3f56538e,32'h3f82fa2c,// invsqrt(1.1556) = 0.9302 +32'h3edda8fa,32'h3fbea555,32'h3fc66d63, 32'h3fb8cf4b,32'h3fcc436d, 32'h3faf1539,32'h3fd5fd7f,// invsqrt(0.4329) = 1.5198 +32'h3f87d264,32'h3f738c87,32'h3f7d7d5d, 32'h3f6c17e6,32'h3f8278ff, 32'h3f5faada,32'h3f88af85,// invsqrt(1.0611) = 0.9708 +32'h3ebf4355,32'h3fcd3ca8,32'h3fd59d2c, 32'h3fc6f445,32'h3fdbe58f, 32'h3fbc7b9f,32'h3fe65e35,// invsqrt(0.3736) = 1.6361 +32'h3f03dee0,32'h3faec6ae,32'h3fb5e8ea, 32'h3fa96d02,32'h3fbb4296, 32'h3fa08238,32'h3fc42d60,// invsqrt(0.5151) = 1.3933 +32'h3c5d797b,32'h4106dd08,32'h410c5e38, 32'h4102bc25,32'h41107f1b, 32'h40f7b553,32'h41176096,// invsqrt(0.0135) = 8.6010 +32'h3f99dae7,32'h3f64d4c5,32'h3f6e2bd2, 32'h3f5dd37a,32'h3f752d1c, 32'h3f5226aa,32'h3f806cf6,// invsqrt(1.2020) = 0.9121 +32'h3e55c2ea,32'h40094665,32'h400ee0c7, 32'h4005129b,32'h40131491, 32'h3ffc2341,32'h401a158b,// invsqrt(0.2088) = 2.1887 +32'h3dc0255b,32'h404cc3ce,32'h40551f64, 32'h40467f1e,32'h405b6414, 32'h403c0ca3,32'h4065d68f,// invsqrt(0.0938) = 3.2647 +32'h41617ba4,32'h3e85a8c7,32'h3e8b1d62, 32'h3e819154,32'h3e8f34d6, 32'h3e757f26,32'h3e960697,// invsqrt(14.0927) = 0.2664 +32'h3f844237,32'h3f76ced0,32'h3f8070da, 32'h3f6f40a5,32'h3f8437ef, 32'h3f62a908,32'h3f8a83be,// invsqrt(1.0333) = 0.9838 +32'h3e467816,32'h400e7729,32'h401447c7, 32'h400a1ab2,32'h4018a43e, 32'h4002d5ec,32'h401fe904,// invsqrt(0.1938) = 2.2715 +32'h3dc5745f,32'h4049fe57,32'h40523cf7, 32'h4043cf5f,32'h40586bef, 32'h40398116,32'h4062ba38,// invsqrt(0.0964) = 3.2206 +32'h3d11ba99,32'h40a6421c,32'h40ad0b57, 32'h40a12b31,32'h40b22243, 32'h4098afa7,32'h40ba9dcd,// invsqrt(0.0356) = 5.3016 +32'h3e9e4023,32'h3fe1a17e,32'h3fead71a, 32'h3fdab948,32'h3ff1bf50, 32'h3fcf3644,32'h3ffd4254,// invsqrt(0.3091) = 1.7987 +32'h4058375a,32'h3f087e54,32'h3f0e108c, 32'h3f0450aa,32'h3f123e36, 32'h3efab3ca,32'h3f1934fb,// invsqrt(3.3784) = 0.5441 +32'h3eef7341,32'h3fb76d54,32'h3fbee9f4, 32'h3fb1cfdc,32'h3fc4876c, 32'h3fa87414,32'h3fcde334,// invsqrt(0.4677) = 1.4623 +32'h3f0af466,32'h3faa433e,32'h3fb13650, 32'h3fa50cf0,32'h3fb66c9e, 32'h3f9c5d1a,32'h3fbf1c75,// invsqrt(0.5428) = 1.3573 +32'h3fbdb0af,32'h3f4e1608,32'h3f567f6c, 32'h3f47c6fe,32'h3f5cce76, 32'h3f3d4341,32'h3f675233,// invsqrt(1.4820) = 0.8215 +32'h3f58197c,32'h3f8887c3,32'h3f8e1a5d, 32'h3f8459cf,32'h3f924851, 32'h3f7ac51d,32'h3f993f92,// invsqrt(0.8441) = 1.0884 +32'h4084b4f7,32'h3ef66404,32'h3f003946, 32'h3eeed91e,32'h3f03feb9, 32'h3ee246f4,32'h3f0a47ce,// invsqrt(4.1471) = 0.4911 +32'h3e0d7b5b,32'h4028bc35,32'h402f9f51, 32'h402391e0,32'h4034c9a6, 32'h401af5fc,32'h403d658a,// invsqrt(0.1382) = 2.6903 +32'h3e19368a,32'h4022258f,32'h4028c3d3, 32'h401d2edb,32'h402dba87, 32'h4014e906,32'h4036005c,// invsqrt(0.1496) = 2.5852 +32'h40add883,32'h3ed745d1,32'h3ee00f32, 32'h3ed0aec7,32'h3ee6a63b, 32'h3ec5b30e,32'h3ef1a1f4,// invsqrt(5.4327) = 0.4290 +32'h3e19b2ed,32'h4021e3e5,32'h40287f7c, 32'h401cef35,32'h402d742d, 32'h4014acb9,32'h4035b6a9,// invsqrt(0.1501) = 2.5812 +32'h3dff5263,32'h4031a268,32'h4038e281, 32'h402c3255,32'h403e5293, 32'h40232235,32'h404762b3,// invsqrt(0.1247) = 2.8322 +32'h4069037a,32'h3f037b53,32'h3f08d92c, 32'h3efee9de,32'h3f0cdf8f, 32'h3ef17f3e,32'h3f1394df,// invsqrt(3.6408) = 0.5241 +32'h3f3c10a9,32'h3f925a65,32'h3f9853a3, 32'h3f8ddf77,32'h3f9cce91, 32'h3f8667ea,32'h3fa4461e,// invsqrt(0.7346) = 1.1667 +32'h41cff1a7,32'h3e44d53e,32'h3e4cddf3, 32'h3e3eceb8,32'h3e52e47a, 32'h3e34c3d6,32'h3e5cef5c,// invsqrt(25.9930) = 0.1961 +32'h3cd66737,32'h40c1d86a,32'h40c9c1e6, 32'h40bbe94c,32'h40cfb104, 32'h40b20571,32'h40d994df,// invsqrt(0.0262) = 6.1813 +32'h3eeeca2e,32'h3fb7ae39,32'h3fbf2d7f, 32'h3fb20ec4,32'h3fc4ccf4, 32'h3fa8afac,32'h3fce2c0c,// invsqrt(0.4664) = 1.4643 +32'h3f9a1d96,32'h3f64a33e,32'h3f6df846, 32'h3f5da378,32'h3f74f80c, 32'h3f51f92f,32'h3f80512b,// invsqrt(1.2040) = 0.9113 +32'h3e74db7a,32'h4000432f,32'h40057f65, 32'h3ff8ac0d,32'h40096c8e, 32'h3feb9585,32'h400ff7d1,// invsqrt(0.2391) = 2.0450 +32'h40407703,32'h3f10abac,32'h3f169356, 32'h3f0c3dee,32'h3f1b0114, 32'h3f04dc5a,32'h3f2262a8,// invsqrt(3.0073) = 0.5767 +32'h3d8fb2bd,32'h406cc7bb,32'h407671d7, 32'h40658825,32'h407db16d, 32'h40597382,32'h4084e308,// invsqrt(0.0702) = 3.7752 +32'h41371189,32'h3e945640,32'h3e9a6438, 32'h3e8fcbc6,32'h3e9eeeb2, 32'h3e883a50,32'h3ea68028,// invsqrt(11.4418) = 0.2956 +32'h3f258ac2,32'h3f9bfdea,32'h3fa25bde, 32'h3f973772,32'h3fa72256, 32'h3f8f4200,32'h3faf17c8,// invsqrt(0.6466) = 1.2436 +32'h3b44b82a,32'h418f18fe,32'h4194f038, 32'h418ab793,32'h419951a3, 32'h41836a8c,32'h41a09eaa,// invsqrt(0.0030) = 18.2522 +32'h3f8c33ce,32'h3f6fb6c7,32'h3f797f8b, 32'h3f686034,32'h3f806b0f, 32'h3f5c253e,32'h3f86888a,// invsqrt(1.0953) = 0.9555 +32'h3f99b122,32'h3f64f3db,32'h3f6e4c2d, 32'h3f5df19d,32'h3f754e6b, 32'h3f524337,32'h3f807e69,// invsqrt(1.2007) = 0.9126 +32'h3eb0ae4b,32'h3fd589df,32'h3fde4121, 32'h3fcf006d,32'h3fe4ca93, 32'h3fc41b5a,32'h3fefafa6,// invsqrt(0.3451) = 1.7023 +32'h42538eb0,32'h3e09fcfb,32'h3e0f9ed1, 32'h3e05c39a,32'h3e13d832, 32'h3dfd729e,32'h3e1ae27d,// invsqrt(52.8893) = 0.1375 +32'h3bf48770,32'h4135831f,32'h413cebbd, 32'h412ff4a8,32'h41427a34, 32'h4126b1e3,32'h414bbcf9,// invsqrt(0.0075) = 11.5760 +32'h4088d72a,32'h3ef2a408,32'h3efc8b61, 32'h3eeb3685,32'h3f01fc72, 32'h3eded555,32'h3f082d09,// invsqrt(4.2763) = 0.4836 +32'h3ee39c07,32'h3fbc2341,32'h3fc3d119, 32'h3fb660de,32'h3fc9937c, 32'h3facc78f,32'h3fd32ccb,// invsqrt(0.4445) = 1.4998 +32'h3fbb931a,32'h3f4f3eb6,32'h3f57b436, 32'h3f48e696,32'h3f5e0c56, 32'h3f3e53b7,32'h3f689f35,// invsqrt(1.4654) = 0.8261 +32'h3f957a59,32'h3f68284a,32'h3f71a219, 32'h3f610cf0,32'h3f78bd74, 32'h3f5534ad,32'h3f824adc,// invsqrt(1.1678) = 0.9254 +32'h3f02bae8,32'h3faf896d,32'h3fb6b39b, 32'h3faa29ca,32'h3fbc133e, 32'h3fa13510,32'h3fc507f8,// invsqrt(0.5107) = 1.3994 +32'h3ff0050a,32'h3f373597,32'h3f3eaff1, 32'h3f3199d4,32'h3f444bb4, 32'h3f2840e4,32'h3f4da4a4,// invsqrt(1.8752) = 0.7303 +32'h3d897c28,32'h40721244,32'h407bf3aa, 32'h406aa937,32'h4081ae5b, 32'h405e4f78,32'h4087db3b,// invsqrt(0.0671) = 3.8596 +32'h3f46ee5c,32'h3f8e4cc8,32'h3f941bac, 32'h3f89f19e,32'h3f9876d6, 32'h3f82af01,32'h3f9fb973,// invsqrt(0.7771) = 1.1344 +32'h3e4a42fc,32'h400d1f9c,32'h4012e234, 32'h4008cda9,32'h40173427, 32'h40019a6a,32'h401e6766,// invsqrt(0.1975) = 2.2501 +32'h40b7679c,32'h3ed19673,32'h3eda246d, 32'h3ecb2bf7,32'h3ee08ee9, 32'h3ec07a7e,32'h3eeb4062,// invsqrt(5.7314) = 0.4177 +32'h3ee290fa,32'h3fbc9201,32'h3fc4445f, 32'h3fb6cc3a,32'h3fca0a26, 32'h3fad2d45,32'h3fd3a91b,// invsqrt(0.4425) = 1.5033 +32'h3f31b344,32'h3f968f93,32'h3f9cb4c8, 32'h3f91f3ab,32'h3fa150af, 32'h3f8a4528,32'h3fa8ff32,// invsqrt(0.6941) = 1.2003 +32'h400db31e,32'h3f289aff,32'h3f2f7cc0, 32'h3f2371ad,32'h3f34a611, 32'h3f1ad77c,32'h3f3d4042,// invsqrt(2.2141) = 0.6721 +32'h4042443b,32'h3f0fff8a,32'h3f15e02c, 32'h3f0b9710,32'h3f1a48a6, 32'h3f043e45,32'h3f21a171,// invsqrt(3.0354) = 0.5740 +32'h3f9d7bc5,32'h3f622dfe,32'h3f6b6956, 32'h3f5b417b,32'h3f7255d9, 32'h3f4fb74c,32'h3f7de008,// invsqrt(1.2303) = 0.9015 +32'h3ebadf4d,32'h3fcfa252,32'h3fd81be2, 32'h3fc94726,32'h3fde770e, 32'h3fbeaf31,32'h3fe90f03,// invsqrt(0.3650) = 1.6552 +32'h3f8f36c6,32'h3f6d2e1f,32'h3f76dc69, 32'h3f65eb67,32'h3f7e1f21, 32'h3f59d18a,32'h3f851c7f,// invsqrt(1.1189) = 0.9454 +32'h3e1edd0d,32'h401f3cac,32'h4025bc8a, 32'h401a5cc6,32'h402a9c70, 32'h40123cf2,32'h4032bc44,// invsqrt(0.1551) = 2.5389 +32'h3f90f2dd,32'h3f6bc1b1,32'h3f75611c, 32'h3f648a22,32'h3f7c98ac, 32'h3f5882dd,32'h3f844ff9,// invsqrt(1.1324) = 0.9397 +32'h40730937,32'h3f00bdfd,32'h3f05ff37, 32'h3ef99a25,32'h3f09f022, 32'h3eec7715,32'h3f1081a9,// invsqrt(3.7974) = 0.5132 +32'h3d1f9c22,32'h409edd3e,32'h40a55936, 32'h409a0044,32'h40aa3630, 32'h4091e54e,32'h40b25126,// invsqrt(0.0390) = 5.0658 +32'h3f8ee5ed,32'h3f6d712e,32'h3f772235, 32'h3f662c68,32'h3f7e66fa, 32'h3f5a0f1f,32'h3f854221,// invsqrt(1.1164) = 0.9464 +32'h3f2d2fe1,32'h3f988290,32'h3f9ebc22, 32'h3f93d761,32'h3fa36751, 32'h3f8c0f6a,32'h3fab2f48,// invsqrt(0.6765) = 1.2158 +32'h3f9a5179,32'h3f647cca,32'h3f6dd040, 32'h3f5d7e32,32'h3f74ced8, 32'h3f51d5de,32'h3f803b96,// invsqrt(1.2056) = 0.9107 +32'h3f461fe0,32'h3f8e96dc,32'h3f9468c6, 32'h3f8a396d,32'h3f98c635, 32'h3f82f309,32'h3fa00c99,// invsqrt(0.7739) = 1.1367 +32'h3e17a5ac,32'h4022fb52,32'h4029a250, 32'h401dfe13,32'h402e9f8f, 32'h4015ad56,32'h4036f04c,// invsqrt(0.1481) = 2.5986 +32'h3d18d4da,32'h40a25959,32'h40a8f9bb, 32'h409d6110,32'h40adf204, 32'h40951896,32'h40b63a7e,// invsqrt(0.0373) = 5.1769 +32'h3f463330,32'h3f8e8fe9,32'h3f94618b, 32'h3f8a32b1,32'h3f98bec3, 32'h3f82eca7,32'h3fa004cd,// invsqrt(0.7742) = 1.1365 +32'h3f193712,32'h3fa22547,32'h3fa8c389, 32'h3f9d2e96,32'h3fadba3a, 32'h3f94e8c4,32'h3fb6000c,// invsqrt(0.5985) = 1.2926 +32'h4003379a,32'h3f2f35f1,32'h3f365cb7, 32'h3f29d8dd,32'h3f3bb9cb, 32'h3f20e865,32'h3f44aa43,// invsqrt(2.0503) = 0.6984 +32'h402b5e76,32'h3f19511e,32'h3f1f931f, 32'h3f149f9c,32'h3f2444a0, 32'h3f0ccd1b,32'h3f2c1721,// invsqrt(2.6776) = 0.6111 +32'h3fb86176,32'h3f510841,32'h3f59906e, 32'h3f4aa220,32'h3f5ff690, 32'h3f3ff7e9,32'h3f6aa0c7,// invsqrt(1.4405) = 0.8332 +32'h3ea93e5c,32'h3fda2e14,32'h3fe315d6, 32'h3fd38042,32'h3fe9c3a8, 32'h3fc85e90,32'h3ff4e55a,// invsqrt(0.3306) = 1.7393 +32'h3fb5f0a6,32'h3f526dfc,32'h3f5b04c2, 32'h3f4bfce7,32'h3f6175d7, 32'h3f41406f,32'h3f6c324f,// invsqrt(1.4214) = 0.8388 +32'h3ef2be8d,32'h3fb62da0,32'h3fbd9d34, 32'h3fb099f2,32'h3fc330e2, 32'h3fa74e79,32'h3fcc7c5b,// invsqrt(0.4741) = 1.4523 +32'h3f90b0cd,32'h3f6bf77d,32'h3f75991a, 32'h3f64be48,32'h3f7cd250, 32'h3f58b444,32'h3f846e2a,// invsqrt(1.1304) = 0.9406 +32'h3f88629f,32'h3f730b9d,32'h3f7cf731, 32'h3f6b9aef,32'h3f8233f0, 32'h3f5f3476,32'h3f88672c,// invsqrt(1.0655) = 0.9688 +32'h40de7fb9,32'h3ebe493e,32'h3ec60d8a, 32'h3eb87605,32'h3ecbe0c3, 32'h3eaec0a7,32'h3ed59621,// invsqrt(6.9531) = 0.3792 +32'h3f20aa4b,32'h3f9e5775,32'h3fa4cdf7, 32'h3f997e93,32'h3fa9a6d9, 32'h3f916a71,32'h3fb1bafb,// invsqrt(0.6276) = 1.2623 +32'h3dc6dd69,32'h404946a7,32'h40517dc8, 32'h40431d4f,32'h4057a721, 32'h4038d866,32'h4061ec0a,// invsqrt(0.0971) = 3.2091 +32'h3f3ce287,32'h3f920900,32'h3f97feec, 32'h3f8d9090,32'h3f9c775c, 32'h3f861d2a,32'h3fa3eac2,// invsqrt(0.7378) = 1.1642 +32'h40a8da71,32'h3eda6e98,32'h3ee358fc, 32'h3ed3becd,32'h3eea08c7, 32'h3ec899cf,32'h3ef52dc5,// invsqrt(5.2767) = 0.4353 +32'h3ed7ce2e,32'h3fc136ee,32'h3fc919d4, 32'h3fbb4cc3,32'h3fcf03ff, 32'h3fb17124,32'h3fd8df9e,// invsqrt(0.4215) = 1.5403 +32'h4089806d,32'h3ef20e82,32'h3efbefc0, 32'h3eeaa593,32'h3f01ac58, 32'h3ede4c04,32'h3f07d91f,// invsqrt(4.2969) = 0.4824 +32'h3f1beb14,32'h3fa0bbe2,32'h3fa74b63, 32'h3f9bd040,32'h3fac3704, 32'h3f939cdf,32'h3fb46a65,// invsqrt(0.6091) = 1.2814 +32'h3f563d69,32'h3f891f21,32'h3f8eb7e9, 32'h3f84ec8b,32'h3f92ea7f, 32'h3f7bdb23,32'h3f99e979,// invsqrt(0.8369) = 1.0931 +32'h41893d77,32'h3e724988,32'h3e7c2d2f, 32'h3e6adeca,32'h3e81cbf6, 32'h3e5e8238,32'h3e87fa3f,// invsqrt(17.1550) = 0.2414 +32'h4030d32f,32'h3f16eedb,32'h3f1d17f3, 32'h3f125008,32'h3f21b6c6, 32'h3f0a9caa,32'h3f296a24,// invsqrt(2.7629) = 0.6016 +32'h3fd1852f,32'h3f441757,32'h3f4c184a, 32'h3f3e169f,32'h3f521901, 32'h3f34156e,32'h3f5c1a32,// invsqrt(1.6369) = 0.7816 +32'h40072a06,32'h3f2ca232,32'h3f33ae0a, 32'h3f275950,32'h3f38f6ec, 32'h3f1e8a81,32'h3f41c5bb,// invsqrt(2.1119) = 0.6881 +32'h3f92b515,32'h3f6a56db,32'h3f73e777, 32'h3f632a67,32'h3f7b13eb, 32'h3f5735a5,32'h3f838457,// invsqrt(1.1462) = 0.9341 +32'h3edb4f81,32'h3fbfaa10,32'h3fc77cc2, 32'h3fb9cc0a,32'h3fcd5ac8, 32'h3fb004ab,32'h3fd72227,// invsqrt(0.4283) = 1.5279 +32'h3e9fa9b9,32'h3fe0a16f,32'h3fe9cc98, 32'h3fd9c110,32'h3ff0acf6, 32'h3fce4b1c,32'h3ffc22ea,// invsqrt(0.3118) = 1.7907 +32'h3fbfe69f,32'h3f4ce544,32'h3f554237, 32'h3f469f8d,32'h3f5b87ed, 32'h3f3c2b5d,32'h3f65fc1d,// invsqrt(1.4992) = 0.8167 +32'h4003c3fc,32'h3f2ed883,32'h3f35fb79, 32'h3f297e4b,32'h3f3b55b1, 32'h3f209298,32'h3f444164,// invsqrt(2.0588) = 0.6969 +32'h3d94c73a,32'h4068b3e1,32'h40723363, 32'h40619440,32'h40795304, 32'h4055b4df,32'h40829933,// invsqrt(0.0726) = 3.7102 +32'h404f9389,32'h3f0b4e1e,32'h3f10fdb6, 32'h3f070a6b,32'h3f154169, 32'h3effddd8,32'h3f1c5ce8,// invsqrt(3.2434) = 0.5553 +32'h3c5f7963,32'h41064239,32'h410bbd17, 32'h41022613,32'h410fd93d, 32'h40f698fb,32'h4116b2d2,// invsqrt(0.0136) = 8.5624 +32'h411b19ce,32'h3ea1282d,32'h3ea7bc1a, 32'h3e9c393c,32'h3eacab0c, 32'h3e940054,32'h3eb4e3f4,// invsqrt(9.6938) = 0.3212 +32'h3f40adbc,32'h3f90971f,32'h3f967df2, 32'h3f8c2a02,32'h3f9aeb10, 32'h3f84c97b,32'h3fa24b97,// invsqrt(0.7527) = 1.1527 +32'h3e126aef,32'h4025dde1,32'h402ca304, 32'h4020ca06,32'h4031b6de, 32'h4018539a,32'h403a2d4a,// invsqrt(0.1430) = 2.6446 +32'h3f7b19b6,32'h3f7d50d9,32'h3f83d3de, 32'h3f758fad,32'h3f87b474, 32'h3f68a30f,32'h3f8e2ac2,// invsqrt(0.9809) = 1.0097 +32'h3e22e08e,32'h401d4345,32'h4023ae81, 32'h401872d8,32'h40287eee, 32'h40106ccc,32'h403084fa,// invsqrt(0.1591) = 2.5074 +32'h3f89ce95,32'h3f71c9d4,32'h3f7ba846, 32'h3f6a6300,32'h3f81878d, 32'h3f5e0cf2,32'h3f87b294,// invsqrt(1.0766) = 0.9638 +32'h3d7209d0,32'h408101d8,32'h408645d6, 32'h407a1db2,32'h408a38d5, 32'h406cf3b6,32'h4090cdd3,// invsqrt(0.0591) = 4.1137 +32'h3e15c0d2,32'h40240255,32'h402ab40f, 32'h401efd09,32'h402fb95b, 32'h40169ee0,32'h40381784,// invsqrt(0.1462) = 2.6149 +32'h3eda55cd,32'h3fc0178b,32'h3fc7eeb5, 32'h3fba362b,32'h3fcdd015, 32'h3fb06936,32'h3fd79d0a,// invsqrt(0.4264) = 1.5313 +32'h3e543b60,32'h4009c4cc,32'h400f6456, 32'h40058d23,32'h40139bff, 32'h3ffd0b6b,32'h401aa36c,// invsqrt(0.2073) = 2.1966 +32'h3f94db9c,32'h3f68a3f2,32'h3f7222cc, 32'h3f6184cd,32'h3f7941f1, 32'h3f55a63c,32'h3f829041,// invsqrt(1.1630) = 0.9273 +32'h3f90f3d4,32'h3f6bc0e9,32'h3f75604b, 32'h3f64895f,32'h3f7c97d5, 32'h3f588224,32'h3f844f88,// invsqrt(1.1324) = 0.9397 +32'h40b1af7d,32'h3ed4ef1b,32'h3edda00d, 32'h3ece6a66,32'h3ee424c2, 32'h3ec38d38,32'h3eef01f0,// invsqrt(5.5527) = 0.4244 +32'h3e3cd7ed,32'h40120d1a,32'h40180330, 32'h400d948a,32'h401c7bc0, 32'h400620ee,32'h4023ef5c,// invsqrt(0.1844) = 2.3286 +32'h3f8e4103,32'h3f6dfaa8,32'h3f77b14b, 32'h3f66b1ad,32'h3f7efa45, 32'h3f5a8d60,32'h3f858f49,// invsqrt(1.1114) = 0.9486 +32'h3fbc0be4,32'h3f4efc1c,32'h3f576ee4, 32'h3f48a606,32'h3f5dc4fa, 32'h3f3e168d,32'h3f685473,// invsqrt(1.4691) = 0.8250 +32'h3eb9fdc7,32'h3fd0200e,32'h3fd89ec0, 32'h3fc9c108,32'h3fdefdc6, 32'h3fbf22aa,32'h3fe99c25,// invsqrt(0.3633) = 1.6592 +32'h3f305147,32'h3f97266a,32'h3f9d51c8, 32'h3f9285e5,32'h3fa1f24d, 32'h3f8acfb0,32'h3fa9a882,// invsqrt(0.6887) = 1.2050 +32'h3f8280c5,32'h3f787663,32'h3f814d48, 32'h3f70db41,32'h3f851ada, 32'h3f642e07,32'h3f8b7176,// invsqrt(1.0196) = 0.9904 +32'h3e2bd8c2,32'h40191a86,32'h401f5a4c, 32'h40146ab1,32'h40240a21, 32'h400c9af8,32'h402bd9da,// invsqrt(0.1678) = 2.4411 +32'h3f1b9a48,32'h3fa0e597,32'h3fa776cd, 32'h3f9bf8b0,32'h3fac63b4, 32'h3f93c32d,32'h3fb49937,// invsqrt(0.6078) = 1.2827 +32'h3ec5b402,32'h3fc9ddd2,32'h3fd21b1e, 32'h3fc3afd8,32'h3fd84918, 32'h3fb96339,32'h3fe295b7,// invsqrt(0.3861) = 1.6093 +32'h427ec4a7,32'h3dfb7c5c,32'h3e02e010, 32'h3df3c988,32'h3e06b97a, 32'h3de6f4d1,32'h3e0d23d5,// invsqrt(63.6920) = 0.1253 +32'h3fed35c9,32'h3f384a88,32'h3f3fd02f, 32'h3f32a64a,32'h3f45746c, 32'h3f293f38,32'h3f4edb7e,// invsqrt(1.8532) = 0.7346 +32'h3cffbcda,32'h40b17d6a,32'h40b8bc00, 32'h40ac0e79,32'h40be2af1, 32'h40a3003c,32'h40c7392e,// invsqrt(0.0312) = 5.6598 +32'h3e82d493,32'h3ff826c3,32'h400123d8, 32'h3ff08e11,32'h4004f032, 32'h3fe3e4e7,32'h400b44c6,// invsqrt(0.2555) = 1.9782 +32'h3f86d7fd,32'h3f746e40,32'h3f7e684e, 32'h3f6cf2b7,32'h3f82f1ec, 32'h3f607a26,32'h3f892e34,// invsqrt(1.0535) = 0.9743 +32'h42881bd8,32'h3df34ac6,32'h3dfd38ee, 32'h3debd829,32'h3e0255c6, 32'h3ddf6e77,32'h3e088a9e,// invsqrt(68.0544) = 0.1212 +32'h3f029c9e,32'h3faf9dc6,32'h3fb6c8c9, 32'h3faa3d84,32'h3fbc290c, 32'h3fa147c1,32'h3fc51ecf,// invsqrt(0.5102) = 1.4000 +32'h3da3f342,32'h405dac94,32'h4066b8d8, 32'h4056e360,32'h406d820c, 32'h404b940a,32'h4078d162,// invsqrt(0.0801) = 3.5343 +32'h3f56fd2d,32'h3f88e1ec,32'h3f8e7834, 32'h3f84b136,32'h3f92a8ea, 32'h3f7b6ab6,32'h3f99a4c5,// invsqrt(0.8398) = 1.0912 +32'h3fe4fdf0,32'h3f3b91a6,32'h3f43398e, 32'h3f35d3b9,32'h3f48f77b, 32'h3f2c41d7,32'h3f52895d,// invsqrt(1.7890) = 0.7476 +32'h3e2eac76,32'h4017dc10,32'h401e0ed7, 32'h401335fa,32'h4022b4ec, 32'h400b7681,32'h402a7465,// invsqrt(0.1706) = 2.4212 +32'h3f7da084,32'h3f7c0d08,32'h3f832b5a, 32'h3f7455c6,32'h3f8706fb, 32'h3f6779ae,32'h3f8d7507,// invsqrt(0.9907) = 1.0047 +32'h3e80c7e6,32'h3ffa1e45,32'h400229e0, 32'h3ff27629,32'h4005fdee, 32'h3fe5b350,32'h400c5f5b,// invsqrt(0.2515) = 1.9939 +32'h4008fb5a,32'h3f2b7bff,32'h3f327bd5, 32'h3f263c1e,32'h3f37bbb6, 32'h3f1d7c53,32'h3f407b81,// invsqrt(2.1403) = 0.6835 +32'h3dc52f4d,32'h404a21b4,32'h405261c6, 32'h4043f1a7,32'h405891d3, 32'h4039a190,32'h4062e1ea,// invsqrt(0.0963) = 3.2228 +32'h3e86fdab,32'h3ff44c21,32'h3ffe44c9, 32'h3fecd1a2,32'h4002dfa4, 32'h3fe05ad0,32'h40091b0d,// invsqrt(0.2637) = 1.9475 +32'h4008bec3,32'h3f2ba1f9,32'h3f32a35b, 32'h3f2660ee,32'h3f37e466, 32'h3f1d9f33,32'h3f40a621,// invsqrt(2.1366) = 0.6841 +32'h3f65e839,32'h3f845df6,32'h3f89c50f, 32'h3f8050a2,32'h3f8dd262, 32'h3f731f84,32'h3f949342,// invsqrt(0.8981) = 1.0552 +32'h3ee93c9a,32'h3fb9dab2,32'h3fc170ae, 32'h3fb42a34,32'h3fc7212c, 32'h3faaaeb8,32'h3fd09ca8,// invsqrt(0.4555) = 1.4816 +32'h3f872f83,32'h3f741f13,32'h3f7e15e5, 32'h3f6ca5f6,32'h3f82c781, 32'h3f603170,32'h3f8901c4,// invsqrt(1.0561) = 0.9731 +32'h3ffb8ce5,32'h3f32f608,32'h3f3a43fe, 32'h3f2d7b90,32'h3f3fbe76, 32'h3f245a1c,32'h3f48dfea,// invsqrt(1.9652) = 0.7133 +32'h3f455133,32'h3f8ee176,32'h3f94b66b, 32'h3f8a81be,32'h3f991622, 32'h3f83378b,32'h3fa06055,// invsqrt(0.7708) = 1.1390 +32'h3f9206ec,32'h3f6ae270,32'h3f7478bd, 32'h3f63b1b5,32'h3f7ba977, 32'h3f57b5d4,32'h3f83d2ac,// invsqrt(1.1408) = 0.9362 +32'h3ff37c07,32'h3f35e6b0,32'h3f3d535e, 32'h3f30552d,32'h3f42e4e1, 32'h3f270d53,32'h3f4c2cbb,// invsqrt(1.9022) = 0.7251 +32'h4006981e,32'h3f2cffab,32'h3f340f53, 32'h3f27b3ec,32'h3f395b12, 32'h3f1ee059,32'h3f422ea5,// invsqrt(2.1030) = 0.6896 +32'h400a19a4,32'h3f2ac9e3,32'h3f31c275, 32'h3f258f77,32'h3f36fce1, 32'h3f1cd8c1,32'h3f3fb397,// invsqrt(2.1578) = 0.6808 +32'h3f8876f7,32'h3f72f97f,32'h3f7ce455, 32'h3f6b895e,32'h3f822a3b, 32'h3f5f23d3,32'h3f885d01,// invsqrt(1.0661) = 0.9685 +32'h3f266d57,32'h3f9b9395,32'h3fa1ed33, 32'h3f96d05f,32'h3fa6b069, 32'h3f8ee05a,32'h3faea06e,// invsqrt(0.6501) = 1.2402 +32'h408f9490,32'h3eece09b,32'h3ef68bbb, 32'h3ee5a042,32'h3efdcc14, 32'h3ed98a5a,32'h3f04f0fe,// invsqrt(4.4869) = 0.4721 +32'h3bdcde8b,32'h413efc9f,32'h4146c83d, 32'h413923e8,32'h414ca0f4, 32'h412f6563,32'h41565f79,// invsqrt(0.0067) = 12.1803 +32'h3f4a32c6,32'h3f8d2544,32'h3f92e818, 32'h3f88d325,32'h3f973a37, 32'h3f819f9d,32'h3f9e6dbf,// invsqrt(0.7898) = 1.1252 +32'h3fd32bad,32'h3f4352cb,32'h3f4b4bb9, 32'h3f3d5818,32'h3f51466c, 32'h3f3360ef,32'h3f5b3d95,// invsqrt(1.6498) = 0.7786 +32'h422495ff,32'h3e1c71bc,32'h3e22d46b, 32'h3e17a7b9,32'h3e279e6f, 32'h3e0fac5f,32'h3e2f99c9,// invsqrt(41.1465) = 0.1559 +32'h3e864e65,32'h3ff4eb55,32'h3ffeea7d, 32'h3fed6bf7,32'h400334ee, 32'h3fe0ed05,32'h40097467,// invsqrt(0.2623) = 1.9525 +32'h3fe3d70a,32'h3f3c0ae2,32'h3f43b7bc, 32'h3f36493e,32'h3f497960, 32'h3f2cb12e,32'h3f531171,// invsqrt(1.7800) = 0.7495 +32'h3f83e5d9,32'h3f77252c,32'h3f809dcb, 32'h3f6f945c,32'h3f846633, 32'h3f62f857,32'h3f8ab435,// invsqrt(1.0305) = 0.9851 +32'h3f2d3145,32'h3f9881f3,32'h3f9ebb80, 32'h3f93d6ca,32'h3fa366aa, 32'h3f8c0edb,32'h3fab2e99,// invsqrt(0.6765) = 1.2158 +32'h3fb12060,32'h3f554510,32'h3f5df983, 32'h3f4ebdb9,32'h3f6480d9, 32'h3f43dc28,32'h3f6f626a,// invsqrt(1.3838) = 0.8501 +32'h3fb7c0de,32'h3f516385,32'h3f59ef6b, 32'h3f4afa98,32'h3f605858, 32'h3f404bb9,32'h3f6b0737,// invsqrt(1.4356) = 0.8346 +32'h3f9406d1,32'h3f694aed,32'h3f72d099, 32'h3f6226ac,32'h3f79f4da, 32'h3f563f96,32'h3f82edf8,// invsqrt(1.1565) = 0.9299 +32'h42c25597,32'h3dcb9bd1,32'h3dd3eb52, 32'h3dc56031,32'h3dda26f3, 32'h3dbafcd0,32'h3de48a54,// invsqrt(97.1672) = 0.1014 +32'h3fb87661,32'h3f50fc67,32'h3f598417, 32'h3f4a96a2,32'h3f5fe9dc, 32'h3f3fed06,32'h3f6a9379,// invsqrt(1.4411) = 0.8330 +32'h3f341432,32'h3f95902b,32'h3f9baaf3, 32'h3f90fc15,32'h3fa03f09, 32'h3f895a9a,32'h3fa7e084,// invsqrt(0.7034) = 1.1923 +32'h3f1a5b32,32'h3fa18b8f,32'h3fa8238b, 32'h3f9c9993,32'h3fad1587, 32'h3f945b98,32'h3fb55382,// invsqrt(0.6030) = 1.2878 +32'h4056385a,32'h3f0920c0,32'h3f0eb998, 32'h3f04ee1d,32'h3f12ec3b, 32'h3efbde1c,32'h3f19eb4a,// invsqrt(3.3472) = 0.5466 +32'h3d33f1ac,32'h40959e83,32'h409bb9e1, 32'h409109fc,32'h40a04e68, 32'h408967c7,32'h40a7f09d,// invsqrt(0.0439) = 4.7710 +32'h3f2037ff,32'h3f9e8fe6,32'h3fa508b5, 32'h3f99b549,32'h3fa9e351, 32'h3f919e45,32'h3fb1fa55,// invsqrt(0.6259) = 1.2640 +32'h3e7c56e1,32'h3ffcb174,32'h400380ec, 32'h3ff4f52a,32'h40075f11, 32'h3fe810af,32'h400dd14e,// invsqrt(0.2464) = 2.0145 +32'h3e967d1e,32'h3fe7605b,32'h3ff0d200, 32'h3fe04b1e,32'h3ff7e73c, 32'h3fd47d0f,32'h4001daa6,// invsqrt(0.2939) = 1.8445 +32'h3f66424e,32'h3f84440f,32'h3f89aa1a, 32'h3f803786,32'h3f8db6a2, 32'h3f72eff1,32'h3f947630,// invsqrt(0.8994) = 1.0544 +32'h410a1612,32'h3eaacc18,32'h3eb1c4c1, 32'h3ea5919b,32'h3eb6ff3f, 32'h3e9cdac8,32'h3ebfb612,// invsqrt(8.6304) = 0.3404 +32'h3fa27835,32'h3f5eae95,32'h3f67c561, 32'h3f57dd7b,32'h3f6e967b, 32'h3f4c80fc,32'h3f79f2fb,// invsqrt(1.2693) = 0.8876 +32'h3f58e8e9,32'h3f88466b,32'h3f8dd65b, 32'h3f841a78,32'h3f92024e, 32'h3f7a4d19,32'h3f98f63a,// invsqrt(0.8473) = 1.0864 +32'h41da2108,32'h3e402ec6,32'h3e4806e2, 32'h3e3a4cb0,32'h3e4de8f8, 32'h3e307e8c,32'h3e57b71c,// invsqrt(27.2661) = 0.1915 +32'h3e2be0ab,32'h40191700,32'h401f56a2, 32'h40146747,32'h4024065b, 32'h400c97bc,32'h402bd5e6,// invsqrt(0.1678) = 2.4408 +32'h3f59698a,32'h3f881e15,32'h3f8dac5f, 32'h3f83f35e,32'h3f91d716, 32'h3f7a0302,32'h3f98c8f3,// invsqrt(0.8493) = 1.0851 +32'h3e57f403,32'h4008939b,32'h400e26b1, 32'h4004654a,32'h40125502, 32'h3ffadade,32'h40194cdd,// invsqrt(0.2109) = 2.1776 +32'h3f552a71,32'h3f897775,32'h3f8f13d7, 32'h3f85422a,32'h3f934922, 32'h3f7c7d5e,32'h3f9a4c9d,// invsqrt(0.8327) = 1.0959 +32'h401c233d,32'h3f209ef7,32'h3f272d4b, 32'h3f1bb439,32'h3f2c1809, 32'h3f138251,32'h3f3449f1,// invsqrt(2.4397) = 0.6402 +32'h3f74f72c,32'h3f803bee,32'h3f8577d9, 32'h3f789dfe,32'h3f8964c9, 32'h3f6b8834,32'h3f8fefae,// invsqrt(0.9569) = 1.0223 +32'h3fa1158a,32'h3f5fa334,32'h3f68c3fc, 32'h3f58ca9d,32'h3f6f9c93, 32'h3f4d61a2,32'h3f7b058e,// invsqrt(1.2585) = 0.8914 +32'h3f5f0cd4,32'h3f8662e1,32'h3f8bdf13, 32'h3f8245ba,32'h3f8ffc3a, 32'h3f76d4f5,32'h3f96d779,// invsqrt(0.8713) = 1.0713 +32'h409ab8cb,32'h3ee43073,32'h3eed80cc, 32'h3edd3432,32'h3ef47d0e, 32'h3ed18fc3,32'h3f0010be,// invsqrt(4.8351) = 0.4548 +32'h3d100e40,32'h40a73895,32'h40ae0bdf, 32'h40a21a1e,32'h40b32a56, 32'h40999201,32'h40bbb273,// invsqrt(0.0352) = 5.3323 +32'h40799e80,32'h3efe10fa,32'h3f0437db, 32'h3ef649ed,32'h3f081b61, 32'h3ee95382,32'h3f0e9697,// invsqrt(3.9003) = 0.5064 +32'h3f96b23b,32'h3f673791,32'h3f70a78c, 32'h3f602394,32'h3f77bb88, 32'h3f545799,32'h3f81c3c1,// invsqrt(1.1773) = 0.9216 +32'h3f90fdde,32'h3f6bb8bf,32'h3f7557cd, 32'h3f648176,32'h3f7c8f16, 32'h3f587aa5,32'h3f844af3,// invsqrt(1.1327) = 0.9396 +32'h43f04e0c,32'h3d3719c0,32'h3d3e92f7, 32'h3d317ed7,32'h3d442de1, 32'h3d282753,32'h3d4d8565,// invsqrt(480.6097) = 0.0456 +32'h3ebf3a3f,32'h3fcd4188,32'h3fd5a240, 32'h3fc6f8ff,32'h3fdbeac9, 32'h3fbc801a,32'h3fe663ae,// invsqrt(0.3735) = 1.6363 +32'h400cfb8e,32'h3f29089e,32'h3f2feed9, 32'h3f23dbf3,32'h3f351b85, 32'h3f1b3c29,32'h3f3dbb4f,// invsqrt(2.2029) = 0.6738 +32'h42040282,32'h3e2eaf16,32'h3e35d05a, 32'h3e295622,32'h3e3b294e, 32'h3e206c8c,32'h3e4412e4,// invsqrt(33.0024) = 0.1741 +32'h40a6d933,32'h3edbbd8c,32'h3ee4b59d, 32'h3ed50381,32'h3eeb6fa9, 32'h3ec9cd6c,32'h3ef6a5be,// invsqrt(5.2140) = 0.4379 +32'h3dda6457,32'h40401126,32'h4047e80d, 32'h403a2ff8,32'h404dc93a, 32'h40306356,32'h405795dc,// invsqrt(0.1066) = 3.0623 +32'h40720f51,32'h3f010060,32'h3f064450, 32'h3efa1ada,32'h3f0a3743, 32'h3eecf105,32'h3f10cc2e,// invsqrt(3.7822) = 0.5142 +32'h3edcdc7a,32'h3fbefd83,32'h3fc6c92b, 32'h3fb924c6,32'h3fcca1e8, 32'h3faf6635,32'h3fd66079,// invsqrt(0.4314) = 1.5226 +32'h402b57ab,32'h3f195428,32'h3f1f9648, 32'h3f14a28f,32'h3f2447e1, 32'h3f0ccfe6,32'h3f2c1a8a,// invsqrt(2.6772) = 0.6112 +32'h40247cc3,32'h3f1c7dbc,32'h3f22e0e8, 32'h3f17b35b,32'h3f27ab49, 32'h3f0fb763,32'h3f2fa741,// invsqrt(2.5701) = 0.6238 +32'h3f31b3ee,32'h3f968f4b,32'h3f9cb47d, 32'h3f91f365,32'h3fa15063, 32'h3f8a44e7,32'h3fa8fee1,// invsqrt(0.6942) = 1.2003 +32'h3f3ac8e5,32'h3f92da96,32'h3f98d90f, 32'h3f8e5bbb,32'h3f9d57e9, 32'h3f86dda3,32'h3fa4d601,// invsqrt(0.7296) = 1.1707 +32'h3e515bfd,32'h400ab5ee,32'h40105f51, 32'h400676e5,32'h40149e5b, 32'h3ffec653,32'h401bb216,// invsqrt(0.2045) = 2.2116 +32'h3fbc0ec3,32'h3f4efa88,32'h3f576d3f, 32'h3f48a47e,32'h3f5dc348, 32'h3f3e1519,32'h3f6852ad,// invsqrt(1.4692) = 0.8250 +32'h3f928187,32'h3f6a8013,32'h3f74125c, 32'h3f63525b,32'h3f7b4013, 32'h3f575b7e,32'h3f839b78,// invsqrt(1.1446) = 0.9347 +32'h3fe397e5,32'h3f3c24f6,32'h3f43d2e1, 32'h3f366287,32'h3f499551, 32'h3f2cc921,32'h3f532eb7,// invsqrt(1.7781) = 0.7499 +32'h3f6165f0,32'h3f85af36,32'h3f8b2414, 32'h3f819790,32'h3f8f3bba, 32'h3f758af6,32'h3f960dcf,// invsqrt(0.8805) = 1.0657 +32'h3f2d7d96,32'h3f986065,32'h3f9e9892, 32'h3f93b642,32'h3fa342b4, 32'h3f8bf008,32'h3fab08ee,// invsqrt(0.6777) = 1.2147 +32'h3ee4d5c3,32'h3fbba21c,32'h3fc34ab0, 32'h3fb5e3ae,32'h3fc9091e, 32'h3fac50f5,32'h3fd29bd7,// invsqrt(0.4469) = 1.4958 +32'h3f288f08,32'h3f9a96f3,32'h3fa0e641, 32'h3f95db79,32'h3fa5a1bb, 32'h3f8df857,32'h3fad84dd,// invsqrt(0.6584) = 1.2324 +32'h402c165d,32'h3f18ff1c,32'h3f1f3dc4, 32'h3f14501e,32'h3f23ecc2, 32'h3f0c81cb,32'h3f2bbb15,// invsqrt(2.6889) = 0.6098 +32'h3e579b4d,32'h4008afb1,32'h400e43ec, 32'h40048084,32'h40127318, 32'h3ffb0e73,32'h40196c63,// invsqrt(0.2106) = 2.1793 +32'h4081746c,32'h3ef97763,32'h3f01d307, 32'h3ef1d463,32'h3f05a488, 32'h3ee51a0d,32'h3f0c01b3,// invsqrt(4.0455) = 0.4972 +32'h3f5693f8,32'h3f890376,32'h3f8e9b1d, 32'h3f84d1ba,32'h3f92ccda, 32'h3f7ba852,32'h3f99ca6b,// invsqrt(0.8382) = 1.0923 +32'h3f3f826b,32'h3f9107f2,32'h3f96f35f, 32'h3f8c9760,32'h3f9b63f0, 32'h3f853117,32'h3fa2ca39,// invsqrt(0.7481) = 1.1562 +32'h402d2308,32'h3f188838,32'h3f1ec207, 32'h3f13dcde,32'h3f236d62, 32'h3f0c149d,32'h3f2b35a3,// invsqrt(2.7053) = 0.6080 +32'h3f1b31df,32'h3fa11bae,32'h3fa7af18, 32'h3f9c2d1e,32'h3fac9da8, 32'h3f93f4d9,32'h3fb4d5ed,// invsqrt(0.6062) = 1.2843 +32'h3f236fe7,32'h3f9cfe3f,32'h3fa366aa, 32'h3f982fee,32'h3fa834fa, 32'h3f902d68,32'h3fb03780,// invsqrt(0.6384) = 1.2515 +32'h3fd5ab79,32'h3f422d80,32'h3f4a1a76, 32'h3f3c3bc8,32'h3f500c2e, 32'h3f325395,32'h3f59f461,// invsqrt(1.6693) = 0.7740 +32'h3ff6669d,32'h3f34d24a,32'h3f3c33b0, 32'h3f2f493d,32'h3f41bcbd, 32'h3f260f7d,32'h3f4af67d,// invsqrt(1.9250) = 0.7207 +32'h40c1814f,32'h3ecc0b62,32'h3ed45f70, 32'h3ec5cc57,32'h3eda9e7b, 32'h3ebb6345,32'h3ee5078d,// invsqrt(6.0470) = 0.4067 +32'h3fc52cea,32'h3f4a22ee,32'h3f52630c, 32'h3f43f2d7,32'h3f589323, 32'h3f39a2b0,32'h3f62e34a,// invsqrt(1.5404) = 0.8057 +32'h3ed21e2b,32'h3fc3cfe7,32'h3fcbcdf0, 32'h3fbdd15f,32'h3fd1cc77, 32'h3fb3d3d4,32'h3fdbca03,// invsqrt(0.4104) = 1.5610 +32'h3f28949d,32'h3f9a9464,32'h3fa0e398, 32'h3f95d8fe,32'h3fa59efe, 32'h3f8df5fe,32'h3fad81fe,// invsqrt(0.6585) = 1.2323 +32'h3f9e87a7,32'h3f616e93,32'h3f6aa21c, 32'h3f5a87ed,32'h3f7188c3, 32'h3f4f0782,32'h3f7d092e,// invsqrt(1.2385) = 0.8986 +32'h3f5d52a2,32'h3f86e8de,32'h3f8c6a88, 32'h3f82c79d,32'h3f908bc9, 32'h3f77cb0f,32'h3f976dde,// invsqrt(0.8645) = 1.0755 +32'h3e9095c0,32'h3fec0d8f,32'h3ff5b013, 32'h3fe4d3ad,32'h3ffce9f5, 32'h3fd8c889,32'h40047a8d,// invsqrt(0.2824) = 1.8818 +32'h3f302a52,32'h3f973720,32'h3f9d632c, 32'h3f929617,32'h3fa20435, 32'h3f8adf09,32'h3fa9bb43,// invsqrt(0.6881) = 1.2055 +32'h3e4f75a5,32'h400b5826,32'h40110828, 32'h40071425,32'h40154c29, 32'h3ffff046,32'h401c682b,// invsqrt(0.2026) = 2.2217 +32'h3f9ea9db,32'h3f615646,32'h3f6a88d0, 32'h3f5a705e,32'h3f716eb8, 32'h3f4ef130,32'h3f7cede6,// invsqrt(1.2396) = 0.8982 +32'h3f386850,32'h3f93cc22,32'h3f99d476, 32'h3f8f45e2,32'h3f9e5ab6, 32'h3f87bb78,32'h3fa5e520,// invsqrt(0.7203) = 1.1782 +32'h3fa413eb,32'h3f5d9683,32'h3f66a1e1, 32'h3f56cdfc,32'h3f6d6a68, 32'h3f4b7fc7,32'h3f78b89d,// invsqrt(1.2819) = 0.8832 +32'h3f89cb6c,32'h3f71cc9a,32'h3f7bab28, 32'h3f6a65af,32'h3f818909, 32'h3f5e0f7e,32'h3f87b422,// invsqrt(1.0765) = 0.9638 +32'h3ec9b535,32'h3fc7da3f,32'h3fd00281, 32'h3fc1bc0e,32'h3fd620b2, 32'h3fb789bd,32'h3fe05303,// invsqrt(0.3940) = 1.5932 +32'h3eea45f5,32'h3fb97152,32'h3fc10302, 32'h3fb3c40e,32'h3fc6b046, 32'h3faa4df3,32'h3fd02661,// invsqrt(0.4576) = 1.4783 +32'h3f38afd9,32'h3f93af80,32'h3f99b6a9, 32'h3f8f2a20,32'h3f9e3c08, 32'h3f87a12c,32'h3fa5c4fc,// invsqrt(0.7214) = 1.1773 +32'h3f13e319,32'h3fa50a67,32'h3fabc6e9, 32'h3f9ffd06,32'h3fb0d44a, 32'h3f979164,32'h3fb93fec,// invsqrt(0.5777) = 1.3157 +32'h3fba5c22,32'h3f4feb57,32'h3f5867e3, 32'h3f498def,32'h3f5ec54b, 32'h3f3ef240,32'h3f6960fa,// invsqrt(1.4559) = 0.8288 +32'h3e9b2de4,32'h3fe3da4b,32'h3fed271f, 32'h3fdce0ac,32'h3ff420be, 32'h3fd140a3,32'h3fffc0c7,// invsqrt(0.3031) = 1.8164 +32'h3fb34fec,32'h3f53f749,32'h3f5c9e1d, 32'h3f4d7a2a,32'h3f631b3c, 32'h3f42a9a1,32'h3f6debc5,// invsqrt(1.4009) = 0.8449 +32'h411932bb,32'h3ea22793,32'h3ea8c5ed, 32'h3e9d30d0,32'h3eadbcb0, 32'h3e94eae0,32'h3eb602a0,// invsqrt(9.5749) = 0.3232 +32'h3f25e101,32'h3f9bd557,32'h3fa231a3, 32'h3f97101d,32'h3fa6f6dd, 32'h3f8f1cbd,32'h3faeea3d,// invsqrt(0.6480) = 1.2423 +32'h3fcc30eb,32'h3f46a231,32'h3f4ebdb6, 32'h3f408d8e,32'h3f54d25a, 32'h3f366b28,32'h3f5ef4c0,// invsqrt(1.5952) = 0.7917 +32'h3e58c442,32'h400851f0,32'h400de258, 32'h400425a2,32'h40120ea6, 32'h3ffa6241,32'h40190328,// invsqrt(0.2117) = 2.1735 +32'h401b4cd9,32'h3f210daf,32'h3f27a087, 32'h3f1c1f8d,32'h3f2c8ea9, 32'h3f13e7ff,32'h3f34c637,// invsqrt(2.4266) = 0.6420 +32'h4058dc04,32'h3f084a79,32'h3f0dda92, 32'h3f041e65,32'h3f1206a5, 32'h3efa5489,32'h3f18fac6,// invsqrt(3.3884) = 0.5433 +32'h3ebc9677,32'h3fceb002,32'h3fd71fae, 32'h3fc85c40,32'h3fdd7370, 32'h3fbdd0a9,32'h3fe7ff07,// invsqrt(0.3683) = 1.6477 +32'h417efddc,32'h3e7b6025,32'h3e82d162, 32'h3e73ae2e,32'h3e86aa5d, 32'h3e66dae8,32'h3e8d1400,// invsqrt(15.9370) = 0.2505 +32'h3f62cced,32'h3f854540,32'h3f8ab5ca, 32'h3f8130d8,32'h3f8eca32, 32'h3f74c856,32'h3f9596df,// invsqrt(0.8859) = 1.0624 +32'h40907e3a,32'h3eec20c5,32'h3ef5c411, 32'h3ee4e64c,32'h3efcfe8a, 32'h3ed8da2d,32'h3f048554,// invsqrt(4.5154) = 0.4706 +32'h3fab1275,32'h3f5902c7,32'h3f61de51, 32'h3f525e1f,32'h3f6882f9, 32'h3f474bb1,32'h3f739567,// invsqrt(1.3365) = 0.8650 +32'h3e374c60,32'h40143e6f,32'h401a4b6e, 32'h400fb4af,32'h401ed52d, 32'h40082470,32'h4026656c,// invsqrt(0.1790) = 2.3636 +32'h3f87379c,32'h3f7417c4,32'h3f7e0e49, 32'h3f6c9edf,32'h3f82c396, 32'h3f602ab9,32'h3f88fdaa,// invsqrt(1.0564) = 0.9729 +32'h3fe07d3e,32'h3f3d70d1,32'h3f452c47, 32'h3f37a438,32'h3f4af8e0, 32'h3f2df9e4,32'h3f54a334,// invsqrt(1.7538) = 0.7551 +32'h3f537397,32'h3f8a05d2,32'h3f8fa804, 32'h3f85cc2c,32'h3f93e1aa, 32'h3f7d82da,32'h3f9aec69,// invsqrt(0.8260) = 1.1003 +32'h3f484bb7,32'h3f8dd079,32'h3f939a49, 32'h3f89791c,32'h3f97f1a6, 32'h3f823cd7,32'h3f9f2deb,// invsqrt(0.7824) = 1.1305 +32'h3f73175b,32'h3f80ba3e,32'h3f85fb50, 32'h3f7992e0,32'h3f89ec1e, 32'h3f6c7033,32'h3f907d74,// invsqrt(0.9496) = 1.0262 +32'h40630219,32'h3f0535a3,32'h3f0aa58b, 32'h3f0121b6,32'h3f0eb978, 32'h3ef4aba9,32'h3f158559,// invsqrt(3.5470) = 0.5310 +32'h4093c15f,32'h3ee981b9,32'h3ef309a1, 32'h3ee25bcb,32'h3efa2f8f, 32'h3ed671e8,32'h3f030cb9,// invsqrt(4.6174) = 0.4654 +32'h401867ea,32'h3f229354,32'h3f293614, 32'h3f1d9945,32'h3f2e3023, 32'h3f154dd5,32'h3f367b93,// invsqrt(2.3813) = 0.6480 +32'h3f06b7d1,32'h3faceb4f,32'h3fb3fa23, 32'h3fa7a030,32'h3fb94542, 32'h3f9ecda6,32'h3fc217cc,// invsqrt(0.5262) = 1.3785 +32'h3f8c0fcf,32'h3f6fd593,32'h3f799f99, 32'h3f687e0e,32'h3f807b8f, 32'h3f5c4187,32'h3f8699d2,// invsqrt(1.0942) = 0.9560 +32'h400ae1db,32'h3f2a4e9c,32'h3f314224, 32'h3f2517f5,32'h3f3678cb, 32'h3f1c678a,32'h3f3f2936,// invsqrt(2.1700) = 0.6788 +32'h3f0d7686,32'h3fa8bf17,32'h3fafa251, 32'h3fa394ab,32'h3fb4ccbd, 32'h3f9af8a2,32'h3fbd68c6,// invsqrt(0.5526) = 1.3452 +32'h3f22b6da,32'h3f9d576b,32'h3fa3c379, 32'h3f988660,32'h3fa89484, 32'h3f907f4d,32'h3fb09b97,// invsqrt(0.6356) = 1.2543 +32'h403550a8,32'h3f150d6d,32'h3f1b22df, 32'h3f107d57,32'h3f1fb2f5, 32'h3f08e289,32'h3f274dc3,// invsqrt(2.8330) = 0.5941 +32'h3f80a44a,32'h3f7a40e1,32'h3f823be3, 32'h3f7297b5,32'h3f861078, 32'h3f65d318,32'h3f8c72c7,// invsqrt(1.0050) = 0.9975 +32'h3f740f74,32'h3f8078c0,32'h3f85b726, 32'h3f7913e7,32'h3f89a5f2, 32'h3f6bf7e9,32'h3f9033f2,// invsqrt(0.9534) = 1.0242 +32'h4026bdc5,32'h3f1b6e0b,32'h3f21c621, 32'h3f16abfb,32'h3f268831, 32'h3f0ebde1,32'h3f2e764b,// invsqrt(2.6053) = 0.6195 +32'h3d604227,32'h40860612,32'h408b7e7c, 32'h4081ebc3,32'h408f98cb, 32'h40762a80,32'h40966f4e,// invsqrt(0.0548) = 4.2737 +32'h40f43210,32'h3eb5a2d7,32'h3ebd0cc1, 32'h3eb01368,32'h3ec29c30, 32'h3ea6cf04,32'h3ecbe094,// invsqrt(7.6311) = 0.3620 +32'h403c4698,32'h3f12456d,32'h3f183dd0, 32'h3f0dcb24,32'h3f1cb81a, 32'h3f0654a9,32'h3f242e95,// invsqrt(2.9418) = 0.5830 +32'h3d76695b,32'h407fb6ef,32'h40851372, 32'h4077e2f8,32'h4088fd6e, 32'h406ad706,32'h408f8367,// invsqrt(0.0602) = 4.0771 +32'h398d6fc9,32'h426eaa6c,32'h4278683c, 32'h42675c10,32'h427fb698, 32'h425b2ecc,32'h4285f1ee,// invsqrt(0.0003) = 60.8841 +32'h3f4d8219,32'h3f8c011c,32'h3f91b802, 32'h3f87b7ee,32'h3f960130, 32'h3f80934e,32'h3f9d25d0,// invsqrt(0.8028) = 1.1161 +32'h3ed0e286,32'h3fc463a1,32'h3fcc67b3, 32'h3fbe6095,32'h3fd26abf, 32'h3fb45b7f,32'h3fdc6fd5,// invsqrt(0.4080) = 1.5656 +32'h3efa75ff,32'h3fb35990,32'h3fbaab96, 32'h3faddc0c,32'h3fc0291a, 32'h3fa4b584,32'h3fc94fa2,// invsqrt(0.4892) = 1.4298 +32'h3f95de54,32'h3f67dacd,32'h3f715173, 32'h3f60c1d2,32'h3f786a6e, 32'h3f54ed83,32'h3f821f5e,// invsqrt(1.1708) = 0.9242 +32'h3dcc5f64,32'h40468b9b,32'h404ea633, 32'h404077a8,32'h4054ba26, 32'h4036566a,32'h405edb65,// invsqrt(0.0998) = 3.1656 +32'h4476c8d4,32'h3cff8573,32'h3d04f9b2, 32'h3cf7b300,32'h3d08e2ec, 32'h3ceaa994,32'h3d0f67a2,// invsqrt(987.1379) = 0.0318 +32'h3f2cef1e,32'h3f989f1c,32'h3f9ed9d9, 32'h3f93f30e,32'h3fa385e6, 32'h3f8c29a1,32'h3fab4f53,// invsqrt(0.6755) = 1.2167 +32'h3d14d72f,32'h40a482dc,32'h40ab39d5, 32'h409f79a0,32'h40b04310, 32'h409714e9,32'h40b8a7c7,// invsqrt(0.0363) = 5.2459 +32'h3fbb504c,32'h3f4f63a8,32'h3f57daaa, 32'h3f490a67,32'h3f5e33eb, 32'h3f3e75a5,32'h3f68c8ad,// invsqrt(1.4634) = 0.8266 +32'h3fd3495d,32'h3f434511,32'h3f4b3d70, 32'h3f3d4acb,32'h3f5137b7, 32'h3f335454,32'h3f5b2e2e,// invsqrt(1.6507) = 0.7783 +32'h405cd684,32'h3f070ec2,32'h3f0c91f8, 32'h3f02ec58,32'h3f10b462, 32'h3ef810a7,32'h3f179866,// invsqrt(3.4506) = 0.5383 +32'h40507c3f,32'h3f0b0049,32'h3f10acb5, 32'h3f06bef9,32'h3f14ee05, 32'h3eff4ee5,32'h3f1c058c,// invsqrt(3.2576) = 0.5541 +32'h3f2b7186,32'h3f994897,32'h3f9f8a3f, 32'h3f949759,32'h3fa43b7d, 32'h3f8cc547,32'h3fac0d8f,// invsqrt(0.6697) = 1.2220 +32'h40850ef5,32'h3ef610a3,32'h3f000de2, 32'h3eee884b,32'h3f03d20e, 32'h3ee1fa62,32'h3f0a1903,// invsqrt(4.1581) = 0.4904 +32'h3e8c49e1,32'h3fefa3eb,32'h3ff96bea, 32'h3fe84deb,32'h400060f4, 32'h3fdc13ec,32'h40067df4,// invsqrt(0.2740) = 1.9104 +32'h40fc4b5a,32'h3eb2b26f,32'h3eb9fda3, 32'h3ead3a09,32'h3ebf7609, 32'h3ea41c08,32'h3ec8940a,// invsqrt(7.8842) = 0.3561 +32'h3f72ebdf,32'h3f80c5c3,32'h3f86074e, 32'h3f79a938,32'h3f89f876, 32'h3f6c855d,32'h3f908a64,// invsqrt(0.9489) = 1.0266 +32'h4008c748,32'h3f2b9ca0,32'h3f329dcc, 32'h3f265bc0,32'h3f37deac, 32'h3f1d9a4a,32'h3f40a022,// invsqrt(2.1372) = 0.6840 +32'h3fa6df19,32'h3f5bb9aa,32'h3f64b192, 32'h3f54ffbc,32'h3f6b6b80, 32'h3f49c9db,32'h3f76a161,// invsqrt(1.3037) = 0.8758 +32'h3f43846d,32'h3f8f8970,32'h3f956540, 32'h3f8b2494,32'h3f99ca1c, 32'h3f83d1cf,32'h3fa11ce1,// invsqrt(0.7637) = 1.1443 +32'h3f613ced,32'h3f85bb62,32'h3f8b30be, 32'h3f81a35c,32'h3f8f48c4, 32'h3f75a150,32'h3f961b78,// invsqrt(0.8798) = 1.0661 +32'h41020931,32'h3eb00137,32'h3eb73049, 32'h3eaa9dea,32'h3ebc9396, 32'h3ea1a313,32'h3ec58e6d,// invsqrt(8.1272) = 0.3508 +32'h3f83d754,32'h3f7732c7,32'h3f80a4e0, 32'h3f6fa18d,32'h3f846d7d, 32'h3f6304d7,32'h3f8abbd9,// invsqrt(1.0300) = 0.9853 +32'h3f91c55f,32'h3f6b1739,32'h3f74afaf, 32'h3f63e4e1,32'h3f7be207, 32'h3f57e64f,32'h3f83f04d,// invsqrt(1.1388) = 0.9371 +32'h3f5ea492,32'h3f868254,32'h3f8bffd0, 32'h3f826438,32'h3f901dec, 32'h3f770eba,32'h3f96fac7,// invsqrt(0.8697) = 1.0723 +32'h3ffa9210,32'h3f334f85,32'h3f3aa121, 32'h3f2dd24f,32'h3f401e57, 32'h3f24ac4b,32'h3f49445b,// invsqrt(1.9576) = 0.7147 +32'h3ed7d5f9,32'h3fc13371,32'h3fc91632, 32'h3fbb4961,32'h3fcf0043, 32'h3fb16df0,32'h3fd8dbb4,// invsqrt(0.4216) = 1.5402 +32'h3e273122,32'h401b3862,32'h40218e47, 32'h401677f7,32'h40264eb3, 32'h400e8c99,32'h402e3a11,// invsqrt(0.1633) = 2.4748 +32'h400f50fa,32'h3f27a6dc,32'h3f2e7ea6, 32'h3f228504,32'h3f33a07e, 32'h3f19f747,32'h3f3c2e3b,// invsqrt(2.2393) = 0.6683 +32'h3fcf4dc3,32'h3f4522fe,32'h3f4d2ede, 32'h3f3f1a15,32'h3f5337c7, 32'h3f350b3d,32'h3f5d469f,// invsqrt(1.6196) = 0.7858 +32'h3f425576,32'h3f8ff927,32'h3f95d987, 32'h3f8b90df,32'h3f9a41cf, 32'h3f843868,32'h3fa19a46,// invsqrt(0.7591) = 1.1477 +32'h4007d830,32'h3f2c3364,32'h3f333ab6, 32'h3f26ede6,32'h3f388034, 32'h3f1e24bf,32'h3f41495b,// invsqrt(2.1226) = 0.6864 +32'h406919ea,32'h3f0374ff,32'h3f08d296, 32'h3efedd99,32'h3f0cd8c7, 32'h3ef1739f,32'h3f138dc5,// invsqrt(3.6422) = 0.5240 +32'h3c977d59,32'h40e69c5b,32'h40f00600, 32'h40df8d1e,32'h40f7153c, 32'h40d3c90f,32'h41016ca6,// invsqrt(0.0185) = 7.3537 +32'h40eb2a1c,32'h3eb91748,32'h3ec0a54a, 32'h3eb36cc5,32'h3ec64fcd, 32'h3ea9fb42,32'h3ecfc150,// invsqrt(7.3489) = 0.3689 +32'h3f863735,32'h3f75007c,32'h3f7f0082, 32'h3f6d8079,32'h3f834043, 32'h3f610072,32'h3f898046,// invsqrt(1.0486) = 0.9766 +32'h3f168097,32'h3fa399b6,32'h3faa472c, 32'h3f9e979f,32'h3faf4943, 32'h3f963ecc,32'h3fb7a216,// invsqrt(0.5879) = 1.3042 +32'h4101c7db,32'h3eb02d7f,32'h3eb75e60, 32'h3eaac8d7,32'h3ebcc309, 32'h3ea1cbbe,32'h3ec5c022,// invsqrt(8.1113) = 0.3511 +32'h3f2e2660,32'h3f98167b,32'h3f9e4ba5, 32'h3f936e9c,32'h3fa2f384, 32'h3f8bac28,32'h3faab5f8,// invsqrt(0.6803) = 1.2124 +32'h3f4a9e16,32'h3f8cffde,32'h3f92c12c, 32'h3f88aee5,32'h3f971225, 32'h3f817d44,32'h3f9e43c6,// invsqrt(0.7915) = 1.1240 +32'h3fa66c63,32'h3f5c0557,32'h3f650055, 32'h3f554918,32'h3f6bbc94, 32'h3f4a0f5a,32'h3f76f652,// invsqrt(1.3002) = 0.8770 +32'h3f3b6401,32'h3f929dc2,32'h3f9899c0, 32'h3f8e20c4,32'h3f9d16be, 32'h3f86a5c7,32'h3fa491bb,// invsqrt(0.7320) = 1.1688 +32'h3f2b312b,32'h3f996564,32'h3f9fa83a, 32'h3f94b345,32'h3fa45a59, 32'h3f8cdfba,32'h3fac2de4,// invsqrt(0.6687) = 1.2229 +32'h3e934787,32'h3fe9e23c,32'h3ff36e15, 32'h3fe2b95a,32'h3ffa96f8, 32'h3fd6ca8b,32'h400342e3,// invsqrt(0.2877) = 1.8645 +32'h3dd71490,32'h40418a3c,32'h40497088, 32'h403b9d84,32'h404f5d40, 32'h4031bda5,32'h40593d1f,// invsqrt(0.1050) = 3.0858 +32'h3f5f53f4,32'h3f864d79,32'h3f8bc8cc, 32'h3f8230fa,32'h3f8fe54a, 32'h3f76ada4,32'h3f96bf72,// invsqrt(0.8724) = 1.0707 +32'h3f31acd5,32'h3f96924c,32'h3f9cb79e, 32'h3f91f64f,32'h3fa1539b, 32'h3f8a47a9,32'h3fa90241,// invsqrt(0.6940) = 1.2003 +32'h3eb4d009,32'h3fd315ab,32'h3fdbb349, 32'h3fcc9f74,32'h3fe22980, 32'h3fc1da6e,32'h3fecee86,// invsqrt(0.3531) = 1.6828 +32'h4014b070,32'h3f24984a,32'h3f2b5023, 32'h3f1f8e66,32'h3f305a06, 32'h3f172897,32'h3f38bfd5,// invsqrt(2.3233) = 0.6561 +32'h3ea62855,32'h3fdc3261,32'h3fe52f36, 32'h3fd574c2,32'h3febecd6, 32'h3fca38b8,32'h3ff728e0,// invsqrt(0.3245) = 1.7554 +32'h3fed1746,32'h3f385663,32'h3f3fdc87, 32'h3f32b1c9,32'h3f458121, 32'h3f294a1c,32'h3f4ee8ce,// invsqrt(1.8523) = 0.7348 +32'h3f949629,32'h3f68da4a,32'h3f725b5c, 32'h3f61b97c,32'h3f797c2a, 32'h3f55d824,32'h3f82aec1,// invsqrt(1.1608) = 0.9281 +32'h3f41f471,32'h3f901d25,32'h3f95fefd, 32'h3f8bb3c3,32'h3f9a685f, 32'h3f845976,32'h3fa1c2ac,// invsqrt(0.7576) = 1.1489 +32'h3f3c9d66,32'h3f9223c1,32'h3f981ac3, 32'h3f8daa7f,32'h3f9c9405, 32'h3f8635bb,32'h3fa408c9,// invsqrt(0.7368) = 1.1650 +32'h3f8b6627,32'h3f706759,32'h3f7a3752, 32'h3f690b5e,32'h3f80c9a6, 32'h3f5cc766,32'h3f86eba2,// invsqrt(1.0891) = 0.9582 +32'h3f558575,32'h3f895a25,32'h3f8ef556, 32'h3f8525c1,32'h3f9329bb, 32'h3f7c4789,32'h3f9a2bb7,// invsqrt(0.8341) = 1.0950 +32'h4080744a,32'h3efa6f9f,32'h3f025436, 32'h3ef2c505,32'h3f062983, 32'h3ee5fe04,32'h3f0c8d03,// invsqrt(4.0142) = 0.4991 +32'h3f813b53,32'h3f79ae7a,32'h3f81efb2, 32'h3f7209c9,32'h3f85c20a, 32'h3f654ca4,32'h3f8c209d,// invsqrt(1.0096) = 0.9952 +32'h3fdd6c61,32'h3f3ebf69,32'h3f468888, 32'h3f38e893,32'h3f4c5f5f, 32'h3f2f2d2d,32'h3f561ac5,// invsqrt(1.7299) = 0.7603 +32'h40d0d6b0,32'h3ec46932,32'h3ecc6d7d, 32'h3ebe65f9,32'h3ed270b5, 32'h3eb4609b,32'h3edc7613,// invsqrt(6.5262) = 0.3914 +32'h3f73c13a,32'h3f808d5c,32'h3f85cc99, 32'h3f793bdb,32'h3f89bc06, 32'h3f6c1dc2,32'h3f904b13,// invsqrt(0.9522) = 1.0248 +32'h3fade8f3,32'h3f573ba4,32'h3f60049c, 32'h3f50a4eb,32'h3f669b55, 32'h3f45a9b6,32'h3f71968a,// invsqrt(1.3587) = 0.8579 +32'h3f654eb5,32'h3f848a3d,32'h3f89f325, 32'h3f807b8f,32'h3f8e01d3, 32'h3f7370d8,32'h3f94c4f6,// invsqrt(0.8957) = 1.0566 +32'h3f4edeb3,32'h3f8b8af3,32'h3f913d08, 32'h3f874564,32'h3f958298, 32'h3f8026cb,32'h3f9ca131,// invsqrt(0.8081) = 1.1124 +32'h3e806d7a,32'h3ffa7643,32'h400257ab, 32'h3ff2cb75,32'h40062d12, 32'h3fe6041e,32'h400c90bd,// invsqrt(0.2508) = 1.9967 +32'h3e43d91a,32'h400f6a65,32'h401544f1, 32'h400b067c,32'h4019a8da, 32'h4003b54d,32'h4020fa09,// invsqrt(0.1913) = 2.2866 +32'h3f4fd65f,32'h3f8b37b6,32'h3f90e664, 32'h3f86f4b3,32'h3f952967, 32'h3f7fb4b1,32'h3f9c43c2,// invsqrt(0.8119) = 1.1098 +32'h3f47c843,32'h3f8dff19,32'h3f93cad1, 32'h3f89a64f,32'h3f98239b, 32'h3f8267a9,32'h3f9f6241,// invsqrt(0.7804) = 1.1320 +32'h3ef86e41,32'h3fb414cb,32'h3fbb6e75, 32'h3fae918b,32'h3fc0f1b5, 32'h3fa56176,32'h3fca21ca,// invsqrt(0.4852) = 1.4356 +32'h41a39575,32'h3e5dec19,32'h3e66faf5, 32'h3e5720f3,32'h3e6dc61b, 32'h3e4bce60,32'h3e7918ae,// invsqrt(20.4480) = 0.2211 +32'h420baa8a,32'h3e29d415,32'h3e30c29d, 32'h3e24a12e,32'h3e35f584, 32'h3e1bf703,32'h3e3e9faf,// invsqrt(34.9165) = 0.1692 +32'h40313229,32'h3f16c662,32'h3f1cedd4, 32'h3f1228cd,32'h3f218b69, 32'h3f0a777f,32'h3f293cb7,// invsqrt(2.7687) = 0.6010 +32'h41c514ce,32'h3e4a2f4a,32'h3e526fea, 32'h3e43fed2,32'h3e58a062, 32'h3e39ae0b,32'h3e62f129,// invsqrt(24.6352) = 0.2015 +32'h3f8c9463,32'h3f6f6461,32'h3f7929c8, 32'h3f681053,32'h3f803eea, 32'h3f5bd992,32'h3f865a4b,// invsqrt(1.0983) = 0.9542 +32'h3f9051ed,32'h3f6c4500,32'h3f75e9c7, 32'h3f65096c,32'h3f7d255c, 32'h3f58fb74,32'h3f8499aa,// invsqrt(1.1275) = 0.9418 +32'h3f51e8ef,32'h3f8a8755,32'h3f902ed1, 32'h3f8649b8,32'h3f946c6e, 32'h3f7e70bc,32'h3f9b7dc8,// invsqrt(0.8200) = 1.1043 +32'h3fc4d415,32'h3f4a5086,32'h3f529280, 32'h3f441f09,32'h3f58c3fd, 32'h3f39cc90,32'h3f631677,// invsqrt(1.5377) = 0.8064 +32'h3d506f4b,32'h408b049a,32'h4090b133, 32'h4086c328,32'h4094f2a6, 32'h407f56d3,32'h409c0a64,// invsqrt(0.0509) = 4.4330 +32'h402320da,32'h3f1d2444,32'h3f238e3c, 32'h3f1854ca,32'h3f285db6, 32'h3f105053,32'h3f30622d,// invsqrt(2.5489) = 0.6264 +32'h3fb74e0e,32'h3f51a50e,32'h3f5a33a1, 32'h3f4b3a20,32'h3f609e90, 32'h3f4087e9,32'h3f6b50c7,// invsqrt(1.4321) = 0.8356 +32'h3f7e63cf,32'h3f7bac36,32'h3f82f8f7, 32'h3f73f7eb,32'h3f86d31d, 32'h3f6720c3,32'h3f8d3eb0,// invsqrt(0.9937) = 1.0032 +32'h40192b2a,32'h3f222b94,32'h3f28ca18, 32'h3f1d34b2,32'h3f2dc0fa, 32'h3f14ee8d,32'h3f36071f,// invsqrt(2.3933) = 0.6464 +32'h3faa1cb0,32'h3f599f52,32'h3f628140, 32'h3f52f5df,32'h3f692ab3, 32'h3f47db75,32'h3f74451d,// invsqrt(1.3290) = 0.8674 +32'h3f8bfb32,32'h3f6fe73b,32'h3f79b1f9, 32'h3f688f2c,32'h3f808504, 32'h3f5c51be,32'h3f86a3bb,// invsqrt(1.0936) = 0.9562 +32'h3ec399f8,32'h3fcaf2b7,32'h3fd33b51, 32'h3fc4bc44,32'h3fd971c4, 32'h3fba6184,32'h3fe3cc84,// invsqrt(0.3820) = 1.6179 +32'h3f0c2fd5,32'h3fa98344,32'h3fb06e80, 32'h3fa452d7,32'h3fb59eed, 32'h3f9baccb,32'h3fbe44f9,// invsqrt(0.5476) = 1.3513 +32'h3f98e38e,32'h3f658d95,32'h3f6eec2d, 32'h3f5e86a3,32'h3f75f31f, 32'h3f52d064,32'h3f80d4af,// invsqrt(1.1944) = 0.9150 +32'h3f7165b3,32'h3f812dab,32'h3f867373, 32'h3f7a72a9,32'h3f8a67c9, 32'h3f6d4435,32'h3f90ff04,// invsqrt(0.9430) = 1.0298 +32'h3f8a1afb,32'h3f7186ea,32'h3f7b62a0, 32'h3f6a2222,32'h3f8163b4, 32'h3f5dcf7e,32'h3f878d06,// invsqrt(1.0789) = 0.9627 +32'h410b0802,32'h3eaa373c,32'h3eb129d0, 32'h3ea5014c,32'h3eb65fc0, 32'h3e9c5212,32'h3ebf0efa,// invsqrt(8.6895) = 0.3392 +32'h3edb72a8,32'h3fbf9ab6,32'h3fc76cc8, 32'h3fb9bd29,32'h3fcd4a55, 32'h3faff692,32'h3fd710ec,// invsqrt(0.4286) = 1.5275 +32'h4014c9fb,32'h3f248a28,32'h3f2b416e, 32'h3f1f80b4,32'h3f304ae2, 32'h3f171b9d,32'h3f38aff9,// invsqrt(2.3248) = 0.6559 +32'h419f0886,32'h3e61132a,32'h3e6a42f8, 32'h3e5a2f50,32'h3e7126d2, 32'h3e4eb38f,32'h3e7ca293,// invsqrt(19.8792) = 0.2243 +32'h3f56b1d2,32'h3f88f9ef,32'h3f8e9133, 32'h3f84c87d,32'h3f92c2a5, 32'h3f7b96d2,32'h3f99bfb9,// invsqrt(0.8387) = 1.0920 +32'h3fb4ac79,32'h3f532a70,32'h3f5bc8e8, 32'h3f4cb397,32'h3f623fc1, 32'h3f41ed81,32'h3f6d05d7,// invsqrt(1.4115) = 0.8417 +32'h3e4dda08,32'h400be331,32'h401198df, 32'h40079aee,32'h4015e122, 32'h400077d4,32'h401d043c,// invsqrt(0.2010) = 2.2303 +32'h40ba3132,32'h3ed0034f,32'h3ed880d5, 32'h3ec9a52b,32'h3ededef9, 32'h3ebf0843,32'h3ee97be1,// invsqrt(5.8185) = 0.4146 +32'h3ebe48bd,32'h3fcdc3a0,32'h3fd629a6, 32'h3fc7771b,32'h3fdc762b, 32'h3fbcf793,32'h3fe6f5b3,// invsqrt(0.3716) = 1.6403 +32'h3f80694c,32'h3f7a7a56,32'h3f8259ca, 32'h3f72cf69,32'h3f862f41, 32'h3f6607dc,32'h3f8c9307,// invsqrt(1.0032) = 0.9984 +32'h3f91eb0d,32'h3f6af8dd,32'h3f749015, 32'h3f63c773,32'h3f7bc17f, 32'h3f57ca6d,32'h3f83df43,// invsqrt(1.1400) = 0.9366 +32'h3fc527f7,32'h3f4a2577,32'h3f5265b1, 32'h3f43f54d,32'h3f5895db, 32'h3f39a505,32'h3f62e623,// invsqrt(1.5403) = 0.8057 +32'h3ed0bab6,32'h3fc4765b,32'h3fcc7b2f, 32'h3fbe72bb,32'h3fd27ecf, 32'h3fb46cb1,32'h3fdc84d9,// invsqrt(0.4077) = 1.5662 +32'h3f602f01,32'h3f860bcc,32'h3f8b8471, 32'h3f81f150,32'h3f8f9eec, 32'h3f763503,32'h3f9675bb,// invsqrt(0.8757) = 1.0686 +32'h3f174c76,32'h3fa32b58,32'h3fa9d44c, 32'h3f9e2ca1,32'h3faed303, 32'h3f95d970,32'h3fb72634,// invsqrt(0.5910) = 1.3008 +32'h3f5d6c48,32'h3f86e10d,32'h3f8c6267, 32'h3f82c00a,32'h3f90836a, 32'h3f77bcb5,32'h3f976519,// invsqrt(0.8649) = 1.0752 +32'h409efa22,32'h3ee11d5a,32'h3eea4d92, 32'h3eda3930,32'h3ef131bc, 32'h3ecebcea,32'h3efcae02,// invsqrt(4.9680) = 0.4487 +32'h3ee6c8c0,32'h3fbad6d6,32'h3fc2771e, 32'h3fb51ea1,32'h3fc82f53, 32'h3fab9647,32'h3fd1b7ad,// invsqrt(0.4508) = 1.4895 +32'h3fd15830,32'h3f442c68,32'h3f4c2e38, 32'h3f3e2b0c,32'h3f522f94, 32'h3f3428c8,32'h3f5c31d8,// invsqrt(1.6355) = 0.7819 +32'h3f47b44d,32'h3f8e0631,32'h3f93d233, 32'h3f89ad30,32'h3f982b34, 32'h3f826e2d,32'h3f9f6a37,// invsqrt(0.7801) = 1.1322 +32'h3fae0704,32'h3f57290b,32'h3f5ff140, 32'h3f5092e4,32'h3f668768, 32'h3f4598a2,32'h3f7181aa,// invsqrt(1.3596) = 0.8576 +32'h40299acd,32'h3f1a1cbb,32'h3f20670b, 32'h3f1564fe,32'h3f251ec8, 32'h3f0d8819,32'h3f2cfbad,// invsqrt(2.6501) = 0.6143 +32'h4033901e,32'h3f15c723,32'h3f1be429, 32'h3f11315e,32'h3f2079ee, 32'h3f098d15,32'h3f281e37,// invsqrt(2.8057) = 0.5970 +32'h3f35eee6,32'h3f94cc8c,32'h3f9adf58, 32'h3f903e73,32'h3f9f6d71, 32'h3f88a6f3,32'h3fa704f1,// invsqrt(0.7107) = 1.1862 +32'h3fdf62e3,32'h3f3de865,32'h3f45a8bd, 32'h3f381823,32'h3f4b78ff, 32'h3f2e67b6,32'h3f55296c,// invsqrt(1.7452) = 0.7570 +32'h3f0edcd0,32'h3fa7eaf7,32'h3faec589, 32'h3fa2c70a,32'h3fb3e976, 32'h3f9a35d3,32'h3fbc7aad,// invsqrt(0.5581) = 1.3386 +32'h401340cf,32'h3f256540,32'h3f2c2577, 32'h3f205517,32'h3f3135a1, 32'h3f17e4d3,32'h3f39a5e5,// invsqrt(2.3008) = 0.6593 +32'h3f87b453,32'h3f73a780,32'h3f7d9970, 32'h3f6c320c,32'h3f828772, 32'h3f5fc39f,32'h3f88bea8,// invsqrt(1.0602) = 0.9712 +32'h410bb22f,32'h3ea9cf6f,32'h3eb0bdc7, 32'h3ea49cad,32'h3eb5f089, 32'h3e9bf2bf,32'h3ebe9a77,// invsqrt(8.7310) = 0.3384 +32'h3ffd8724,32'h3f324302,32'h3f3989a9, 32'h3f2cce04,32'h3f3efea6, 32'h3f23b5b3,32'h3f4816f7,// invsqrt(1.9807) = 0.7105 +32'h3f402567,32'h3f90ca62,32'h3f96b34c, 32'h3f8c5bb3,32'h3f9b21fb, 32'h3f84f88e,32'h3fa28520,// invsqrt(0.7506) = 1.1543 +32'h4050cb59,32'h3f0ae5f2,32'h3f10914a, 32'h3f06a570,32'h3f14d1cc, 32'h3eff1e83,32'h3f1be7fb,// invsqrt(3.2624) = 0.5536 +32'h4089ba24,32'h3ef1dbc5,32'h3efbbaf1, 32'h3eea7463,32'h3f019129, 32'h3ede1d6c,32'h3f07bca5,// invsqrt(4.3040) = 0.4820 +32'h3e9a3ecc,32'h3fe48a9f,32'h3feddea5, 32'h3fdd8b9a,32'h3ff4ddaa, 32'h3fd1e292,32'h40004359,// invsqrt(0.3013) = 1.8219 +32'h3e12894a,32'h4025ccb2,32'h402c9121, 32'h4020b95d,32'h4031a475, 32'h401843d2,32'h403a1a00,// invsqrt(0.1431) = 2.6435 +32'h406bbbbc,32'h3f02b897,32'h3f080e7d, 32'h3efd7053,32'h3f0c0eea, 32'h3ef01992,32'h3f12ba4b,// invsqrt(3.6833) = 0.5211 +32'h3fc7de7f,32'h3f48c50b,32'h3f50f6e1, 32'h3f429faa,32'h3f571c42, 32'h3f38615d,32'h3f615a8f,// invsqrt(1.5615) = 0.8003 +32'h3ede00e0,32'h3fbe7f93,32'h3fc64617, 32'h3fb8aab1,32'h3fcc1af9, 32'h3faef28c,32'h3fd5d31e,// invsqrt(0.4336) = 1.5186 +32'h3fa2fab5,32'h3f5e555c,32'h3f676884, 32'h3f5786fe,32'h3f6e36e2, 32'h3f4c2f0b,32'h3f798ed5,// invsqrt(1.2733) = 0.8862 +32'h3e019e56,32'h403049b5,32'h40377bbc, 32'h402ae42f,32'h403ce141, 32'h4021e5a6,32'h4045dfca,// invsqrt(0.1266) = 2.8107 +32'h3f261412,32'h3f9bbd60,32'h3fa218b2, 32'h3f96f8e2,32'h3fa6dd30, 32'h3f8f06bb,32'h3faecf57,// invsqrt(0.6487) = 1.2415 +32'h3fa9cf2e,32'h3f59d0f6,32'h3f62b4ec, 32'h3f5325ff,32'h3f695fe3, 32'h3f48090c,32'h3f747cd6,// invsqrt(1.3266) = 0.8682 +32'h3cf1ccd7,32'h40b68898,32'h40bdfbe2, 32'h40b0f220,32'h40c3925a, 32'h40a7a204,32'h40cce276,// invsqrt(0.0295) = 5.8206 +32'h3f43ea94,32'h3f8f63ff,32'h3f953e49, 32'h3f8b0049,32'h3f99a1ff, 32'h3f83af6d,32'h3fa0f2db,// invsqrt(0.7653) = 1.1431 +32'h400b0922,32'h3f2a368c,32'h3f312919, 32'h3f2500a1,32'h3f365f03, 32'h3f1c5170,32'h3f3f0e34,// invsqrt(2.1724) = 0.6785 +32'h3ebe06df,32'h3fcde746,32'h3fd64ec2, 32'h3fc799aa,32'h3fdc9c5e, 32'h3fbd1850,32'h3fe71db8,// invsqrt(0.3711) = 1.6414 +32'h4106301f,32'h3ead42a7,32'h3eb4550c, 32'h3ea7f4dc,32'h3eb9a2d8, 32'h3e9f1dde,32'h3ec279d6,// invsqrt(8.3867) = 0.3453 +32'h3e709e34,32'h4001632d,32'h4006ab24, 32'h3ffada66,32'h400aa11d, 32'h3feda67b,32'h40113b12,// invsqrt(0.2350) = 2.0629 +32'h3f4463af,32'h3f8f37c2,32'h3f95103d, 32'h3f8ad566,32'h3f99729a, 32'h3f8386cd,32'h3fa0c133,// invsqrt(0.7671) = 1.1417 +32'h3f98f205,32'h3f6582ba,32'h3f6ee0e0, 32'h3f5e7c1c,32'h3f75e77e, 32'h3f52c66c,32'h3f80ce97,// invsqrt(1.1949) = 0.9148 +32'h3f01a998,32'h3fb0420d,32'h3fb773c5, 32'h3faadcc4,32'h3fbcd90e, 32'h3fa1de9e,32'h3fc5d734,// invsqrt(0.5065) = 1.4051 +32'h3e4e15ac,32'h400bcef2,32'h401183cc, 32'h4007874d,32'h4015cb71, 32'h4000653c,32'h401ced82,// invsqrt(0.2013) = 2.2291 +32'h3d685f49,32'h4083a9be,32'h4089097c, 32'h407f43de,32'h408d114b, 32'h4071d481,32'h4093c8fa,// invsqrt(0.0567) = 4.1984 +32'h40416466,32'h3f1052c6,32'h3f1636ce, 32'h3f0be7c0,32'h3f1aa1d4, 32'h3f048ab6,32'h3f21fede,// invsqrt(3.0218) = 0.5753 +32'h3f865c64,32'h3f74de93,32'h3f7edd35, 32'h3f6d5f99,32'h3f832e18, 32'h3f60e14d,32'h3f896d3d,// invsqrt(1.0497) = 0.9760 +32'h402b25fd,32'h3f196a67,32'h3f1fad71, 32'h3f14b820,32'h3f245fb8, 32'h3f0ce454,32'h3f2c3384,// invsqrt(2.6742) = 0.6115 +32'h3f8a0916,32'h3f719692,32'h3f7b72eb, 32'h3f6a314e,32'h3f816c17, 32'h3f5dddde,32'h3f8795cf,// invsqrt(1.0784) = 0.9630 +32'h3f937587,32'h3f69bdbf,32'h3f73481a, 32'h3f6295fa,32'h3f7a6fde, 32'h3f56a908,32'h3f832e68,// invsqrt(1.1520) = 0.9317 +32'h3e8cda2d,32'h3fef290b,32'h3ff8ec07, 32'h3fe7d6cf,32'h40001f22, 32'h3fdba315,32'h400638ff,// invsqrt(0.2751) = 1.9066 +32'h3e7f0f9f,32'h3ffb5764,32'h4002ccd4, 32'h3ff3a5b2,32'h4006a5ad, 32'h3fe6d2df,32'h400d0f16,// invsqrt(0.2491) = 2.0037 +32'h3e7e7776,32'h3ffba27e,32'h4002f3e9, 32'h3ff3ee80,32'h4006cde8, 32'h3fe717d7,32'h400d393c,// invsqrt(0.2485) = 2.0060 +32'h3ef36ad8,32'h3fb5ed1c,32'h3fbd5a0d, 32'h3fb05b66,32'h3fc2ebc2, 32'h3fa71338,32'h3fcc33f0,// invsqrt(0.4754) = 1.4503 +32'h4047533f,32'h3f0e28c1,32'h3f13f62c, 32'h3f09ceb0,32'h3f18503c, 32'h3f028dea,32'h3f1f9102,// invsqrt(3.1145) = 0.5666 +32'h3dbda12f,32'h404e1e74,32'h40568830, 32'h4047cf28,32'h405cd77c, 32'h403d4afd,32'h40675ba7,// invsqrt(0.0926) = 3.2863 +32'h3ef54d53,32'h3fb539d9,32'h3fbc9f7a, 32'h3fafada2,32'h3fc22bb2, 32'h3fa66e99,32'h3fcb6abb,// invsqrt(0.4791) = 1.4447 +32'h3c8d6c60,32'h40eead4d,32'h40f86b3b, 32'h40e75eda,32'h40ffb9ae, 32'h40db3170,32'h4105f38c,// invsqrt(0.0173) = 7.6109 +32'h40b0d959,32'h3ed56fdf,32'h3ede2612, 32'h3ecee739,32'h3ee4aeb9, 32'h3ec4037a,32'h3eef9278,// invsqrt(5.5265) = 0.4254 +32'h3f61bdc5,32'h3f859532,32'h3f8b0900, 32'h3f817e58,32'h3f8f1fda, 32'h3f755b2d,32'h3f95f09c,// invsqrt(0.8818) = 1.0649 +32'h4001e9da,32'h3f301671,32'h3f374661, 32'h3f2ab27d,32'h3f3caa55, 32'h3f21b692,32'h3f45a640,// invsqrt(2.0299) = 0.7019 +32'h3f3d3fda,32'h3f91e4fa,32'h3f97d96d, 32'h3f8d6da5,32'h3f9c50c3, 32'h3f85fc15,32'h3fa3c253,// invsqrt(0.7393) = 1.1631 +32'h3e45a95c,32'h400ec195,32'h4014953d, 32'h400a62d7,32'h4018f3fb, 32'h40031a45,32'h40203c8d,// invsqrt(0.1930) = 2.2761 +32'h3f5a0f41,32'h3f87ea53,32'h3f8d7681, 32'h3f83c132,32'h3f919fa2, 32'h3f79a3f2,32'h3f988edb,// invsqrt(0.8518) = 1.0835 +32'h3f8e4ef7,32'h3f6deefd,32'h3f77a527, 32'h3f66a65e,32'h3f7eedc6, 32'h3f5a82aa,32'h3f8588bd,// invsqrt(1.1118) = 0.9484 +32'h3fa82904,32'h3f5ae1b5,32'h3f63d0cd, 32'h3f542e64,32'h3f6a841e, 32'h3f490387,32'h3f75aefb,// invsqrt(1.3138) = 0.8725 +32'h3f062eca,32'h3fad4384,32'h3fb455f2, 32'h3fa7f5b2,32'h3fb9a3c4, 32'h3f9f1ea8,32'h3fc27ace,// invsqrt(0.5242) = 1.3812 +32'h3f9ae0d9,32'h3f6412f0,32'h3f6d6214, 32'h3f5d1795,32'h3f745d6f, 32'h3f5174a8,32'h3f80002e,// invsqrt(1.2100) = 0.9091 +32'h3f214e7c,32'h3f9e06ca,32'h3fa47a02, 32'h3f993061,32'h3fa9506b, 32'h3f91205c,32'h3fb16070,// invsqrt(0.6301) = 1.2598 +32'h3da0910a,32'h405fff66,32'h406923f2, 32'h405923fd,32'h406fff5b, 32'h404db64e,32'h407b6d0a,// invsqrt(0.0784) = 3.5714 +32'h3eb3d7d8,32'h3fd3a720,32'h3fdc4aae, 32'h3fcd2c75,32'h3fe2c559, 32'h3fc26003,32'h3fed91cb,// invsqrt(0.3513) = 1.6873 +32'h3f8a29c8,32'h3f7179fa,32'h3f7b5528, 32'h3f6a1597,32'h3f815cc6, 32'h3f5dc39c,32'h3f8785c3,// invsqrt(1.0794) = 0.9625 +32'h3f9f9867,32'h3f60ad9f,32'h3f69d947, 32'h3f59cce0,32'h3f70ba06, 32'h3f4e564e,32'h3f7c3098,// invsqrt(1.2468) = 0.8956 +32'h3f21c8f4,32'h3f9dcaef,32'h3fa43bb5, 32'h3f98f65b,32'h3fa91049, 32'h3f90e963,32'h3fb11d41,// invsqrt(0.6320) = 1.2579 +32'h3f983e62,32'h3f6609f9,32'h3f6f6da5, 32'h3f5eff38,32'h3f767866, 32'h3f5342a1,32'h3f811a7f,// invsqrt(1.1894) = 0.9169 +32'h3f2d0d19,32'h3f9891e3,32'h3f9ecc16, 32'h3f93e63c,32'h3fa377bc, 32'h3f8c1d7c,32'h3fab407c,// invsqrt(0.6760) = 1.2163 +32'h4062640f,32'h3f05641a,32'h3f0ad5e6, 32'h3f014ec0,32'h3f0eeb40, 32'h3ef50100,32'h3f15b980,// invsqrt(3.5374) = 0.5317 +32'h40411343,32'h3f107116,32'h3f16565b, 32'h3f0c0523,32'h3f1ac24f, 32'h3f04a68d,32'h3f2220e5,// invsqrt(3.0168) = 0.5757 +32'h41530542,32'h3e8a29e2,32'h3e8fcd8e, 32'h3e85ef22,32'h3e94084e, 32'h3e7dc518,32'h3e9b14e4,// invsqrt(13.1888) = 0.2754 +32'h3f92994c,32'h3f6a6d0f,32'h3f73fe93, 32'h3f633fed,32'h3f7b2bb5, 32'h3f574a09,32'h3f8390cd,// invsqrt(1.1453) = 0.9344 +32'h3eaa2b2a,32'h3fd99610,32'h3fe2779e, 32'h3fd2ece6,32'h3fe920c8, 32'h3fc7d2f5,32'h3ff43ab9,// invsqrt(0.3324) = 1.7346 +32'h3f25e6ac,32'h3f9bd2ad,32'h3fa22ede, 32'h3f970d89,32'h3fa6f403, 32'h3f8f1a4c,32'h3faee740,// invsqrt(0.6481) = 1.2422 +32'h4072d034,32'h3f00cd19,32'h3f060ef1, 32'h3ef9b770,32'h3f0a0052, 32'h3eec92d6,32'h3f10929f,// invsqrt(3.7940) = 0.5134 +32'h4130e2b7,32'h3e96e83a,32'h3e9d110e, 32'h3e92499c,32'h3ea1afac, 32'h3e8a9694,32'h3ea962b4,// invsqrt(11.0554) = 0.3008 +32'h3f26e01c,32'h3f9b5e0c,32'h3fa1b57b, 32'h3f969c7a,32'h3fa6770e, 32'h3f8eaf30,32'h3fae6458,// invsqrt(0.6519) = 1.2386 +32'h3e767678,32'h3fffb021,32'h40050fe7, 32'h3ff7dc5f,32'h4008f9c9, 32'h3fead0c6,32'h400f7f95,// invsqrt(0.2407) = 2.0383 +32'h401c05ba,32'h3f20ae27,32'h3f273d19, 32'h3f1bc2f2,32'h3f2c284e, 32'h3f139043,32'h3f345afd,// invsqrt(2.4378) = 0.6405 +32'h3f2d1a86,32'h3f988bf8,32'h3f9ec5ee, 32'h3f93e080,32'h3fa37166, 32'h3f8c180e,32'h3fab39d8,// invsqrt(0.6762) = 1.2161 +32'h3f17c5f7,32'h3fa2e9fa,32'h3fa99043, 32'h3f9ded44,32'h3fae8cfa, 32'h3f959d69,32'h3fb6dcd5,// invsqrt(0.5929) = 1.2987 +32'h400c1493,32'h3f2993c2,32'h3f307faa, 32'h3f2462d3,32'h3f35b099, 32'h3f1bbbf1,32'h3f3e577b,// invsqrt(2.1888) = 0.6759 +32'h40cc072a,32'h3ec6b683,32'h3eced2dc, 32'h3ec0a141,32'h3ed4e81f, 32'h3eb67dd2,32'h3edf0b8e,// invsqrt(6.3759) = 0.3960 +32'h3f09d273,32'h3faaf5fa,32'h3fb1f058, 32'h3fa5ba34,32'h3fb72c1e, 32'h3f9d013e,32'h3fbfe514,// invsqrt(0.5384) = 1.3629 +32'h3fc4a72a,32'h3f4a679f,32'h3f52aa8c, 32'h3f44356e,32'h3f58dcbe, 32'h3f39e1c7,32'h3f633065,// invsqrt(1.5364) = 0.8068 +32'h3fff2f72,32'h3f31ae90,32'h3f38ef29, 32'h3f2c3e1f,32'h3f3e5f9b, 32'h3f232d60,32'h3f47705a,// invsqrt(1.9936) = 0.7082 +32'h3f9a6312,32'h3f646fc4,32'h3f6dc2b2, 32'h3f5d7192,32'h3f74c0e4, 32'h3f51c9e8,32'h3f803447,// invsqrt(1.2061) = 0.9105 +32'h3fb65266,32'h3f52358c,32'h3f5aca04, 32'h3f4bc631,32'h3f61395f, 32'h3f410c9a,32'h3f6bf2f6,// invsqrt(1.4244) = 0.8379 +32'h3fc1f6b6,32'h3f4bcd98,32'h3f541f20, 32'h3f459071,32'h3f5a5c47, 32'h3f3b2a86,32'h3f64c232,// invsqrt(1.5153) = 0.8124 +32'h3f188cb5,32'h3fa27fb8,32'h3fa921ab, 32'h3f9d8643,32'h3fae1b21, 32'h3f953bd3,32'h3fb66591,// invsqrt(0.5959) = 1.2954 +32'h3f21f539,32'h3f9db55d,32'h3fa42541, 32'h3f98e171,32'h3fa8f92d, 32'h3f90d594,32'h3fb1050a,// invsqrt(0.6326) = 1.2572 +32'h3ec4c993,32'h3fca55ec,32'h3fd29820, 32'h3fc42446,32'h3fd8c9c6, 32'h3fb9d185,32'h3fe31c87,// invsqrt(0.3844) = 1.6130 +32'h40272922,32'h3f1b3c19,32'h3f219225, 32'h3f167b91,32'h3f2652ad, 32'h3f0e9002,32'h3f2e3e3c,// invsqrt(2.6119) = 0.6188 +32'h3cbc40bb,32'h40cedf0d,32'h40d750a5, 32'h40c889db,32'h40dda5d7, 32'h40bdfbdd,32'h40e833d5,// invsqrt(0.0230) = 6.5967 +32'h3f4bd2f2,32'h3f8c94e1,32'h3f9251d1, 32'h3f88472e,32'h3f969f84, 32'h3f811b03,32'h3f9dcbaf,// invsqrt(0.7962) = 1.1207 +32'h3f1efa30,32'h3f9f2e14,32'h3fa5ad58, 32'h3f9a4ea0,32'h3faa8ccc, 32'h3f922f8a,32'h3fb2abe2,// invsqrt(0.6210) = 1.2690 +32'h3e8b5caf,32'h3ff06f83,32'h3ffa3fd2, 32'h3fe91349,32'h4000ce07, 32'h3fdccee7,32'h4006f038,// invsqrt(0.2722) = 1.9167 +32'h439fb11e,32'h3d609c3b,32'h3d69c72e, 32'h3d59bc06,32'h3d70a764, 32'h3d4e4656,32'h3d7c1d14,// invsqrt(319.3837) = 0.0560 +32'h3e6ae21b,32'h4002f518,32'h40084d76, 32'h3ffde5a0,32'h400c4fbe, 32'h3ff088b3,32'h4012fe34,// invsqrt(0.2294) = 2.0880 +32'h3f808b5c,32'h3f7a5924,32'h3f824883, 32'h3f72af3a,32'h3f861d78, 32'h3f65e960,32'h3f8c8065,// invsqrt(1.0043) = 0.9979 +32'h3e194322,32'h40221ee6,32'h4028bce4, 32'h401d2866,32'h402db364, 32'h4014e2e8,32'h4035f8e2,// invsqrt(0.1497) = 2.5848 +32'h3f2ff671,32'h3f974d69,32'h3f9d7a5d, 32'h3f92abb1,32'h3fa21c15, 32'h3f8af380,32'h3fa9d446,// invsqrt(0.6874) = 1.2062 +32'h3f78a70f,32'h3f7e8f44,32'h3f847994, 32'h3f76c45a,32'h3f885f09, 32'h3f69c77d,32'h3f8edd77,// invsqrt(0.9713) = 1.0147 +32'h3f8b13d1,32'h3f70ae78,32'h3f7a8158, 32'h3f695050,32'h3f80efc0, 32'h3f5d08b7,32'h3f87138c,// invsqrt(1.0865) = 0.9593 +32'h401f1143,32'h3f1f2288,32'h3f25a154, 32'h3f1a436f,32'h3f2a806d, 32'h3f1224f0,32'h3f329eec,// invsqrt(2.4854) = 0.6343 +32'h41b569d6,32'h3e52bc1e,32'h3e5b5614, 32'h3e4c48a5,32'h3e61c98d, 32'h3e418830,32'h3e6c8a02,// invsqrt(22.6767) = 0.2100 +32'h3fa4e268,32'h3f5d0b97,32'h3f66114a, 32'h3f564752,32'h3f6cd590, 32'h3f4b0032,32'h3f781cb0,// invsqrt(1.2882) = 0.8811 +32'h3f94842e,32'h3f68e862,32'h3f726a08, 32'h3f61c726,32'h3f798b44, 32'h3f55e516,32'h3f82b6aa,// invsqrt(1.1603) = 0.9284 +32'h406510fa,32'h3f049c18,32'h3f0a05ba, 32'h3f008cdd,32'h3f0e14f5, 32'h3ef391a3,32'h3f14d900,// invsqrt(3.5792) = 0.5286 +32'h3fd6e15d,32'h3f41a149,32'h3f498885, 32'h3f3bb3db,32'h3f4f75f3, 32'h3f31d2d0,32'h3f5956fe,// invsqrt(1.6788) = 0.7718 +32'h3eec8c18,32'h3fb88c96,32'h3fc014f0, 32'h3fb2e653,32'h3fc5bb33, 32'h3fa97be3,32'h3fcf25a3,// invsqrt(0.4620) = 1.4712 +32'h3fa2f5d4,32'h3f5e58b0,32'h3f676bfb, 32'h3f578a37,32'h3f6e3a73, 32'h3f4c3219,32'h3f799291,// invsqrt(1.2731) = 0.8863 +32'h3fc1c25d,32'h3f4be91e,32'h3f543bc6, 32'h3f45ab20,32'h3f5a79c4, 32'h3f3b43cd,32'h3f64e117,// invsqrt(1.5137) = 0.8128 +32'h3e98ba6f,32'h3fe5ac7a,32'h3fef0c55, 32'h3fdea495,32'h3ff61439, 32'h3fd2ecc3,32'h4000e605,// invsqrt(0.2983) = 1.8309 +32'h3f78ef16,32'h3f7e6a6e,32'h3f846669, 32'h3f76a0a5,32'h3f884b4e, 32'h3f69a5aa,32'h3f8ec8cb,// invsqrt(0.9724) = 1.0141 +32'h3f000d93,32'h3fb15cba,32'h3fb899fc, 32'h3fabeeca,32'h3fbe07ec, 32'h3fa2e238,32'h3fc7147e,// invsqrt(0.5002) = 1.4139 +32'h3f178a0b,32'h3fa30a2d,32'h3fa9b1c6, 32'h3f9e0c79,32'h3faeaf79, 32'h3f95bafa,32'h3fb700f8,// invsqrt(0.5920) = 1.2997 +32'h41835688,32'h3e77abdf,32'h3e80e3e4, 32'h3e7016f0,32'h3e84ae5c, 32'h3e63740c,32'h3e8affce,// invsqrt(16.4173) = 0.2468 +32'h3fe2ff4b,32'h3f3c6429,32'h3f4414a9, 32'h3f369fca,32'h3f49d908, 32'h3f2d032b,32'h3f5375a7,// invsqrt(1.7734) = 0.7509 +32'h3e1e8ae6,32'h401f65e8,32'h4025e774, 32'h401a84bf,32'h402ac89d, 32'h401262d0,32'h4032ea8c,// invsqrt(0.1548) = 2.5414 +32'h3fc788be,32'h3f48f02b,32'h3f5123c5, 32'h3f42c978,32'h3f574a78, 32'h3f3888f9,32'h3f618af7,// invsqrt(1.5589) = 0.8009 +32'h3e812fa0,32'h3ff9b9c8,32'h4001f594, 32'h3ff214bf,32'h4005c819, 32'h3fe55706,32'h400c26f5,// invsqrt(0.2523) = 1.9908 +32'h3e849e24,32'h3ff67937,32'h4000444e, 32'h3feeedab,32'h40040a14, 32'h3fe25a6c,32'h400a53b4,// invsqrt(0.2590) = 1.9649 +32'h3f20c922,32'h3f9e4845,32'h3fa4be29, 32'h3f996fdb,32'h3fa99693, 32'h3f915c7e,32'h3fb1a9f0,// invsqrt(0.6281) = 1.2618 +32'h3f0a56ec,32'h3faaa40b,32'h3fb19b11, 32'h3fa56ac7,32'h3fb6d455, 32'h3f9cb600,32'h3fbf891c,// invsqrt(0.5404) = 1.3603 +32'h3f78c5bc,32'h3f7e7f92,32'h3f847169, 32'h3f76b523,32'h3f8856a1, 32'h3f69b913,32'h3f8ed4a8,// invsqrt(0.9718) = 1.0144 +32'h3e39dd04,32'h401337a8,32'h401939ee, 32'h400eb5f4,32'h401dbba2, 32'h4007331d,32'h40253e79,// invsqrt(0.1815) = 2.3472 +32'h3fb279c7,32'h3f54764d,32'h3f5d2250, 32'h3f4df54a,32'h3f63a352, 32'h3f431e46,32'h3f6e7a56,// invsqrt(1.3943) = 0.8469 +32'h3f831ec2,32'h3f77e086,32'h3f80ff4b, 32'h3f7049fa,32'h3f84ca91, 32'h3f63a466,32'h3f8b1d5b,// invsqrt(1.0244) = 0.9880 +32'h3f8fe0c0,32'h3f6ca1db,32'h3f764a6c, 32'h3f65636f,32'h3f7d88d9, 32'h3f5950ba,32'h3f84cdc7,// invsqrt(1.1240) = 0.9432 +32'h3fe5bd40,32'h3f3b437d,32'h3f42e833, 32'h3f3587f4,32'h3f48a3bc, 32'h3f2bfa0f,32'h3f5231a1,// invsqrt(1.7948) = 0.7464 +32'h3fc4f9b3,32'h3f4a3d33,32'h3f527e65, 32'h3f440c4f,32'h3f58af49, 32'h3f39bad1,32'h3f6300c7,// invsqrt(1.5389) = 0.8061 +32'h3d71c564,32'h40811418,32'h408658d5, 32'h407a4113,32'h408a4c62, 32'h406d153b,32'h4090e24f,// invsqrt(0.0590) = 4.1160 +32'h3ef718f0,32'h3fb490ff,32'h3fbbefbb, 32'h3faf09f2,32'h3fc176c8, 32'h3fa5d387,32'h3fcaad33,// invsqrt(0.4826) = 1.4395 +32'h3fab330e,32'h3f58ee1d,32'h3f61c8cf, 32'h3f524a16,32'h3f686cd6, 32'h3f4738b7,32'h3f737e35,// invsqrt(1.3375) = 0.8647 +32'h3d43c51c,32'h408f71b7,32'h40954c90, 32'h408b0d95,32'h4099b0b3, 32'h4083bc07,32'h40a10241,// invsqrt(0.0478) = 4.5741 +32'h3f240956,32'h3f9cb4c1,32'h3fa31a2d, 32'h3f97e8b1,32'h3fa7e63d, 32'h3f8fe9eb,32'h3fafe503,// invsqrt(0.6408) = 1.2493 +32'h3fd2087c,32'h3f43da02,32'h3f4bd876, 32'h3f3ddb2c,32'h3f51d74c, 32'h3f33dd1c,32'h3f5bd55c,// invsqrt(1.6409) = 0.7807 +32'h3fb87330,32'h3f50fe36,32'h3f5985f9, 32'h3f4a9863,32'h3f5febcb, 32'h3f3feeae,32'h3f6a9580,// invsqrt(1.4410) = 0.8330 +32'h3ff85084,32'h3f341f93,32'h3f3b79ad, 32'h3f2e9bfe,32'h3f40fd42, 32'h3f256b5d,32'h3f4a2de3,// invsqrt(1.9400) = 0.7180 +32'h3e9c6ae2,32'h3fe2f2f4,32'h3fec3656, 32'h3fdc006a,32'h3ff328e0, 32'h3fd06c2e,32'h3ffebd1c,// invsqrt(0.3055) = 1.8092 +32'h3f88b7d6,32'h3f72bfd3,32'h3f7ca84f, 32'h3f6b5177,32'h3f820b56, 32'h3f5eeedc,32'h3f883ca3,// invsqrt(1.0681) = 0.9676 +32'h41900316,32'h3e6c85a4,32'h3e762d0e, 32'h3e654815,32'h3e7d6a9d, 32'h3e5936d0,32'h3e84bdf1,// invsqrt(18.0015) = 0.2357 +32'h3f8d8875,32'h3f6e959e,32'h3f785294, 32'h3f6747e5,32'h3f7fa04d, 32'h3f5b1bb0,32'h3f85e641,// invsqrt(1.1057) = 0.9510 +32'h3f0619a0,32'h3fad512f,32'h3fb4642c, 32'h3fa802f2,32'h3fb9b26a, 32'h3f9f2b36,32'h3fc28a26,// invsqrt(0.5238) = 1.3817 +32'h3f29f396,32'h3f99f474,32'h3fa03d20, 32'h3f953df3,32'h3fa4f3a1, 32'h3f8d631c,32'h3facce78,// invsqrt(0.6639) = 1.2273 +32'h3f26f4a5,32'h3f9b547e,32'h3fa1ab88, 32'h3f969336,32'h3fa66cd0, 32'h3f8ea669,32'h3fae599d,// invsqrt(0.6522) = 1.2383 +32'h3f69843a,32'h3f83570f,32'h3f88b36d, 32'h3f7ea38f,32'h3f8cb8b4, 32'h3f713ca3,32'h3f936c2b,// invsqrt(0.9122) = 1.0470 +32'h3f5ff73d,32'h3f861c7b,32'h3f8b95ce, 32'h3f82017c,32'h3f8fb0cc, 32'h3f7653a7,32'h3f968874,// invsqrt(0.8749) = 1.0691 +32'h3e4be9c3,32'h400c8d04,32'h401249a0, 32'h40083f8e,32'h40169716, 32'h400113ca,32'h401dc2da,// invsqrt(0.1991) = 2.2409 +32'h3f301b97,32'h3f973d73,32'h3f9d69c1, 32'h3f929c39,32'h3fa20afb, 32'h3f8ae4d7,32'h3fa9c25d,// invsqrt(0.6879) = 1.2057 +32'h3f2681d8,32'h3f9b8a01,32'h3fa1e33b, 32'h3f96c716,32'h3fa6a626, 32'h3f8ed78e,32'h3fae95ae,// invsqrt(0.6504) = 1.2399 +32'h3df14c4c,32'h4036b930,32'h403e2e76, 32'h4031213c,32'h4043c66a, 32'h4027cea4,32'h404d1902,// invsqrt(0.1178) = 2.9133 +32'h4182d525,32'h3e782638,32'h3e812390, 32'h3e708d8a,32'h3e84efe7, 32'h3e63e468,32'h3e8b4478,// invsqrt(16.3541) = 0.2473 +32'h3fd3ea9f,32'h3f42fab8,32'h3f4af00e, 32'h3f3d02b8,32'h3f50e80e, 32'h3f33100c,32'h3f5adaba,// invsqrt(1.6556) = 0.7772 +32'h40978c13,32'h3ee69126,32'h3eeffa56, 32'h3edf8241,32'h3ef7093b, 32'h3ed3bec5,32'h3f01665c,// invsqrt(4.7358) = 0.4595 +32'h3f376971,32'h3f9432af,32'h3f9a3f33, 32'h3f8fa94b,32'h3f9ec897, 32'h3f8819a6,32'h3fa6583c,// invsqrt(0.7165) = 1.1814 +32'h3f306080,32'h3f971fe5,32'h3f9d4afe, 32'h3f927f92,32'h3fa1eb50, 32'h3f8ac9b2,32'h3fa9a130,// invsqrt(0.6890) = 1.2048 +32'h3fac2426,32'h3f5855fe,32'h3f612a7c, 32'h3f51b6a0,32'h3f67c9da, 32'h3f46ad04,32'h3f72d376,// invsqrt(1.3449) = 0.8623 +32'h3fb7ab6f,32'h3f516fbd,32'h3f59fc22, 32'h3f4b0670,32'h3f60656e, 32'h3f4056f1,32'h3f6b14ed,// invsqrt(1.4349) = 0.8348 +32'h3e70dd90,32'h40015227,32'h4006996d, 32'h3ffab966,32'h400a8ee1, 32'h3fed8738,32'h401127f8,// invsqrt(0.2352) = 2.0619 +32'h40db0ecc,32'h3ebfc65d,32'h3ec79a37, 32'h3eb9e77a,32'h3ecd791a, 32'h3eb01ea9,32'h3ed741eb,// invsqrt(6.8456) = 0.3822 +32'h3fc240ef,32'h3f4ba6a5,32'h3f53f696, 32'h3f456aaf,32'h3f5a328b, 32'h3f3b06c0,32'h3f64967a,// invsqrt(1.5176) = 0.8117 +32'h3f074dfa,32'h3fac8b41,32'h3fb39629, 32'h3fa74312,32'h3fb8de58, 32'h3f9e7570,32'h3fc1abfa,// invsqrt(0.5285) = 1.3755 +32'h3f66898b,32'h3f842f9d,32'h3f8994d3, 32'h3f8023b5,32'h3f8da0bb, 32'h3f72ca65,32'h3f945f3e,// invsqrt(0.9005) = 1.0538 +32'h3f8f6d8b,32'h3f6d00d1,32'h3f76ad43, 32'h3f65bf7d,32'h3f7dee97, 32'h3f59a7ef,32'h3f850312,// invsqrt(1.1205) = 0.9447 +32'h4055eb23,32'h3f09397d,32'h3f0ed359, 32'h3f050619,32'h3f1306bd, 32'h3efc0b8d,32'h3f1a070f,// invsqrt(3.3425) = 0.5470 +32'h3dd9b367,32'h40405f23,32'h40483939, 32'h403a7b92,32'h404e1cca, 32'h4030aaf6,32'h4057ed66,// invsqrt(0.1063) = 3.0671 +32'h3debdf8c,32'h4038d00a,32'h40405b24, 32'h403327b6,32'h40460378, 32'h4029b9d5,32'h404f7159,// invsqrt(0.1152) = 2.9466 +32'h3eeccb0e,32'h3fb8740b,32'h3fbffb65, 32'h3fb2ce88,32'h3fc5a0e8, 32'h3fa96559,32'h3fcf0a17,// invsqrt(0.4625) = 1.4705 +32'h40079c91,32'h3f2c593a,32'h3f336218, 32'h3f271294,32'h3f38a8be, 32'h3f1e477e,32'h3f4173d4,// invsqrt(2.1189) = 0.6870 +32'h3e2d1330,32'h40188f34,32'h401ec94a, 32'h4013e3a2,32'h402374dc, 32'h400c1b06,32'h402b3d78,// invsqrt(0.1690) = 2.4324 +32'h3e277096,32'h401b1af6,32'h40216fa8, 32'h40165b71,32'h40262f2d, 32'h400e7194,32'h402e190a,// invsqrt(0.1635) = 2.4730 +32'h3e7e548e,32'h3ffbb3c2,32'h4002fce5, 32'h3ff3ff3c,32'h4006d728, 32'h3fe727b2,32'h400d42ed,// invsqrt(0.2484) = 2.0066 +32'h41102df4,32'h3ea72631,32'h3eadf8bb, 32'h3ea2084a,32'h3eb316a2, 32'h3e99811d,32'h3ebb9dcf,// invsqrt(9.0112) = 0.3331 +32'h3fa7f1fb,32'h3f5b058f,32'h3f63f61d, 32'h3f545125,32'h3f6aaa87, 32'h3f492474,32'h3f75d738,// invsqrt(1.3121) = 0.8730 +32'h3f7c3df4,32'h3f7cbdf0,32'h3f83876a, 32'h3f750144,32'h3f8765c0, 32'h3f681c25,32'h3f8dd850,// invsqrt(0.9853) = 1.0074 +32'h3d9c918b,32'h4062d6ed,32'h406c192b, 32'h405be53f,32'h40730ad9, 32'h40505271,32'h407e9da7,// invsqrt(0.0764) = 3.6167 +32'h3f561557,32'h3f892bf6,32'h3f8ec544, 32'h3f84f8fc,32'h3f92f83e, 32'h3f7bf2b4,32'h3f99f7e0,// invsqrt(0.8363) = 1.0935 +32'h3e81e8fb,32'h3ff90760,32'h400198bd, 32'h3ff167ce,32'h40056886, 32'h3fe4b32f,32'h400bc2d6,// invsqrt(0.2537) = 1.9852 +32'h3f0cadc6,32'h3fa93753,32'h3fb01f75, 32'h3fa40939,32'h3fb54d8f, 32'h3f9b670d,32'h3fbdefbb,// invsqrt(0.5495) = 1.3490 +32'h4011641f,32'h3f267387,32'h3f2d3ec5, 32'h3f215b17,32'h3f325735, 32'h3f18dd09,32'h3f3ad543,// invsqrt(2.2717) = 0.6635 +32'h3eb1296a,32'h3fd53f9f,32'h3fddf3d9, 32'h3fceb873,32'h3fe47b05, 32'h3fc3d729,32'h3fef5c4f,// invsqrt(0.3460) = 1.7000 +32'h3f039d5f,32'h3faef227,32'h3fb61629, 32'h3fa99726,32'h3fbb712a, 32'h3fa0aa24,32'h3fc45e2c,// invsqrt(0.5141) = 1.3947 +32'h4102d0b3,32'h3eaf7acd,32'h3eb6a463, 32'h3eaa1b9d,32'h3ebc0393, 32'h3ea127a2,32'h3ec4f78e,// invsqrt(8.1760) = 0.3497 +32'h4075d8fe,32'h3f0000fc,32'h3f053a7e, 32'h3ef82bb4,32'h3f0925a0, 32'h3eeb1bee,32'h3f0fad83,// invsqrt(3.8414) = 0.5102 +32'h40134a91,32'h3f255fc6,32'h3f2c1fc3, 32'h3f204fc7,32'h3f312fc1, 32'h3f17dfca,32'h3f399fbe,// invsqrt(2.3014) = 0.6592 +32'h40cba0a1,32'h3ec6e885,32'h3ecf06e9, 32'h3ec0d1bb,32'h3ed51db3, 32'h3eb6abbe,32'h3edf43b0,// invsqrt(6.3634) = 0.3964 +32'h3eb411fb,32'h3fd384f3,32'h3fdc271c, 32'h3fcd0b53,32'h3fe2a0bb, 32'h3fc240a0,32'h3fed6b6e,// invsqrt(0.3517) = 1.6862 +32'h41054397,32'h3eaddc26,32'h3eb4f4cf, 32'h3ea889a8,32'h3eba474e, 32'h3e9faad5,32'h3ec32621,// invsqrt(8.3290) = 0.3465 +32'h3f2a4eee,32'h3f99cb26,32'h3fa01222, 32'h3f9515e9,32'h3fa4c75f, 32'h3f8d3d2d,32'h3faca01b,// invsqrt(0.6653) = 1.2260 +32'h3eeebc4a,32'h3fb7b390,32'h3fbf330e, 32'h3fb213f1,32'h3fc4d2ad, 32'h3fa8b494,32'h3fce320a,// invsqrt(0.4663) = 1.4645 +32'h407becb6,32'h3efce6ad,32'h3f039c9e, 32'h3ef528c1,32'h3f077b93, 32'h3ee8418f,32'h3f0def2d,// invsqrt(3.9363) = 0.5040 +32'h3ffaf667,32'h3f332ba8,32'h3f3a7bcf, 32'h3f2daf8c,32'h3f3ff7ec, 32'h3f248b5c,32'h3f491c1c,// invsqrt(1.9606) = 0.7142 +32'h3f97a215,32'h3f66806a,32'h3f6fe8ec, 32'h3f5f7209,32'h3f76f74d, 32'h3f53af67,32'h3f815cf8,// invsqrt(1.1846) = 0.9188 +32'h3e91c078,32'h3feb1b2e,32'h3ff4b3cc, 32'h3fe3e8b7,32'h3ffbe643, 32'h3fd7e9f0,32'h4003f285,// invsqrt(0.2847) = 1.8743 +32'h3f75b7bb,32'h3f8009a6,32'h3f854382, 32'h3f783c80,32'h3f892ee8, 32'h3f6b2bd7,32'h3f8fb73c,// invsqrt(0.9598) = 1.0207 +32'h3e8d95ed,32'h3fee8a44,32'h3ff846c5, 32'h3fe73ce5,32'h3fff9425, 32'h3fdb1144,32'h4005dfe3,// invsqrt(0.2765) = 1.9016 +32'h40bd523d,32'h3ece4969,32'h3ed6b4e5, 32'h3ec7f8cb,32'h3edd0583, 32'h3ebd7270,32'h3ee78bde,// invsqrt(5.9163) = 0.4111 +32'h3dcea100,32'h40457556,32'h404d8492, 32'h403f69e8,32'h40539000, 32'h403556dc,32'h405da30c,// invsqrt(0.1009) = 3.1483 +32'h3e31c20d,32'h4016894f,32'h401cae43, 32'h4011ed99,32'h402149f9, 32'h400a3f68,32'h4028f82a,// invsqrt(0.1736) = 2.4001 +32'h3f80b120,32'h3f7a3466,32'h3f823564, 32'h3f728b9c,32'h3f8609c9, 32'h3f65c7a2,32'h3f8c6bc6,// invsqrt(1.0054) = 0.9973 +32'h3e5e1cf8,32'h4006ab5d,32'h400c2a85, 32'h40028bff,32'h401049e3, 32'h3ff75a18,32'h401728d6,// invsqrt(0.2169) = 2.1472 +32'h3ea77a0f,32'h3fdb53ec,32'h3fe447ac, 32'h3fd49d1b,32'h3feafe7d, 32'h3fc96c6b,32'h3ff62f2d,// invsqrt(0.3271) = 1.7485 +32'h3eb1c27a,32'h3fd4e3bb,32'h3fdd9436, 32'h3fce5f60,32'h3fe41892, 32'h3fc382c6,32'h3feef52c,// invsqrt(0.3472) = 1.6971 +32'h3f68ab54,32'h3f839438,32'h3f88f316, 32'h3f7f1a24,32'h3f8cfa3c, 32'h3f71acf9,32'h3f93b0d1,// invsqrt(0.9089) = 1.0489 +32'h407652ae,32'h3effc2b4,32'h3f051992, 32'h3ef7ee60,32'h3f0903bc, 32'h3eeae1d4,32'h3f0f8a02,// invsqrt(3.8488) = 0.5097 +32'h40850b8c,32'h3ef613cb,32'h3f000f86, 32'h3eee8b5a,32'h3f03d3bf, 32'h3ee1fd48,32'h3f0a1ac8,// invsqrt(4.1577) = 0.4904 +32'h3f2a4008,32'h3f99d1e0,32'h3fa01922, 32'h3f951c6e,32'h3fa4ce94, 32'h3f8d435a,32'h3faca7a8,// invsqrt(0.6650) = 1.2262 +32'h3f42ef72,32'h3f8fc03f,32'h3f959e4c, 32'h3f8b59b5,32'h3f9a04d5, 32'h3f840424,32'h3fa15a66,// invsqrt(0.7615) = 1.1460 +32'h40722a68,32'h3f00f929,32'h3f063ccd, 32'h3efa0cdd,32'h3f0a2f88, 32'h3eece3c4,32'h3f10c414,// invsqrt(3.7838) = 0.5141 +32'h4152dba2,32'h3e8a3785,32'h3e8fdbbe, 32'h3e85fc59,32'h3e9416e9, 32'h3e7dde22,32'h3e9b2431,// invsqrt(13.1786) = 0.2755 +32'h3f1a4c44,32'h3fa19360,32'h3fa82bae, 32'h3f9ca127,32'h3fad1de7, 32'h3f9462c6,32'h3fb55c48,// invsqrt(0.6027) = 1.2881 +32'h3fc9672c,32'h3f4800f3,32'h3f502ac9, 32'h3f41e193,32'h3f564a29, 32'h3f37ad48,32'h3f607e74,// invsqrt(1.5735) = 0.7972 +32'h3fbde933,32'h3f4df75b,32'h3f565f7f, 32'h3f47a941,32'h3f5cad99, 32'h3f3d2715,32'h3f672fc5,// invsqrt(1.4837) = 0.8210 +32'h3dd83629,32'h40410873,32'h4048e973, 32'h403b1fb4,32'h404ed232, 32'h40314674,32'h4058ab72,// invsqrt(0.1056) = 3.0777 +32'h3fa3f210,32'h3f5dad63,32'h3f66b9b0, 32'h3f56e429,32'h3f6d82e9, 32'h3f4b94c8,32'h3f78d24a,// invsqrt(1.2808) = 0.8836 +32'h418c9b66,32'h3e6f5e69,32'h3e792391, 32'h3e680a8a,32'h3e803bb8, 32'h3e5bd417,32'h3e8656f2,// invsqrt(17.5759) = 0.2385 +32'h3f107974,32'h3fa6fa7f,32'h3fadcb40, 32'h3fa1dded,32'h3fb2e7d1, 32'h3f9958fc,32'h3fbb6cc2,// invsqrt(0.5644) = 1.3311 +32'h40e35c36,32'h3ebc3da6,32'h3ec3ec93, 32'h3eb67a75,32'h3ec9afc5, 32'h3eacdfcd,32'h3ed34a6d,// invsqrt(7.1050) = 0.3752 +32'h3fc2498c,32'h3f4ba221,32'h3f53f1e3, 32'h3f45664f,32'h3f5a2db5, 32'h3f3b029b,32'h3f649169,// invsqrt(1.5179) = 0.8117 +32'h3f2aaf96,32'h3f999f94,32'h3f9fe4c9, 32'h3f94ebac,32'h3fa498b0, 32'h3f8d1529,32'h3fac6f33,// invsqrt(0.6667) = 1.2247 +32'h3d313d14,32'h4096c1bd,32'h409ce8ff, 32'h4092244c,32'h40a18670, 32'h408a733b,32'h40a93781,// invsqrt(0.0433) = 4.8073 +32'h3ffb6ff3,32'h3f330055,32'h3f3a4eb7, 32'h3f2d858c,32'h3f3fc980, 32'h3f246392,32'h3f48eb7a,// invsqrt(1.9644) = 0.7135 +32'h3efccc83,32'h3fb284c3,32'h3fb9ce19, 32'h3fad0dc2,32'h3fbf451a, 32'h3fa3f216,32'h3fc860c6,// invsqrt(0.4937) = 1.4231 +32'h4016dc9f,32'h3f2367c8,32'h3f2a1333, 32'h3f1e6737,32'h3f2f13c3, 32'h3f1610f0,32'h3f376a0a,// invsqrt(2.3572) = 0.6513 +32'h3e360a75,32'h4014c148,32'h401ad39f, 32'h40103388,32'h401f6160, 32'h40089c9c,32'h4026f84c,// invsqrt(0.1778) = 2.3717 +32'h3f048b5b,32'h3fae54d1,32'h3fb57267, 32'h3fa8fea1,32'h3fbac897, 32'h3fa019a6,32'h3fc3ad92,// invsqrt(0.5178) = 1.3898 +32'h40234e83,32'h3f1d0e4a,32'h3f23775d, 32'h3f183f7d,32'h3f28462b, 32'h3f103c25,32'h3f304983,// invsqrt(2.5517) = 0.6260 +32'h3e9b869d,32'h3fe39944,32'h3fece370, 32'h3fdca1a2,32'h3ff3db12, 32'h3fd104eb,32'h3fff77c9,// invsqrt(0.3038) = 1.8144 +32'h40c3d642,32'h3ecad377,32'h3ed31acb, 32'h3ec49df9,32'h3ed95049, 32'h3eba44d1,32'h3ee3a971,// invsqrt(6.1199) = 0.4042 +32'h3fd023af,32'h3f44bd95,32'h3f4cc551, 32'h3f3eb7c7,32'h3f52cb1f, 32'h3f34ae1b,32'h3f5cd4cb,// invsqrt(1.6261) = 0.7842 +32'h3fba811e,32'h3f4fd6b8,32'h3f58526c, 32'h3f4979f1,32'h3f5eaf33, 32'h3f3edf50,32'h3f6949d4,// invsqrt(1.4571) = 0.8284 +32'h3fcbda3e,32'h3f46cc67,32'h3f4ee9a5, 32'h3f40b679,32'h3f54ff93, 32'h3f3691ec,32'h3f5f2420,// invsqrt(1.5926) = 0.7924 +32'h3f7beaae,32'h3f7ce7b2,32'h3f839d26, 32'h3f7529c0,32'h3f877c20, 32'h3f684280,32'h3f8defc0,// invsqrt(0.9840) = 1.0081 +32'h3e949e32,32'h3fe8d3fe,32'h3ff254cf, 32'h3fe1b362,32'h3ff9756c, 32'h3fd5d25d,32'h4002ab39,// invsqrt(0.2903) = 1.8561 +32'h415cfbd7,32'h3e87035a,32'h3e8c8619, 32'h3e82e149,32'h3e90a829, 32'h3e77fbb3,32'h3e978b98,// invsqrt(13.8115) = 0.2691 +32'h3ecd95b4,32'h3fc5f58a,32'h3fce0a02, 32'h3fbfe62f,32'h3fd4195d, 32'h3fb5cc99,32'h3fde32f3,// invsqrt(0.4015) = 1.5781 +32'h3fa66093,32'h3f5c0d26,32'h3f650876, 32'h3f5550aa,32'h3f6bc4f2, 32'h3f4a1686,32'h3f76ff16,// invsqrt(1.2998) = 0.8771 +32'h405b00e3,32'h3f079f43,32'h3f0d2860, 32'h3f03786e,32'h3f114f36, 32'h3ef91a14,32'h3f183a9a,// invsqrt(3.4219) = 0.5406 +32'h408c5fbe,32'h3eef9140,32'h3ef9587c, 32'h3ee83bd3,32'h3f0056f5, 32'h3edc02c8,32'h3f06737a,// invsqrt(4.3867) = 0.4775 +32'h3ed89ecd,32'h3fc0d9ce,32'h3fc8b8e6, 32'h3fbaf27c,32'h3fcea038, 32'h3fb11b9e,32'h3fd87716,// invsqrt(0.4231) = 1.5374 +32'h3d913294,32'h406b8df2,32'h40752b40, 32'h406457f8,32'h407c613a, 32'h40585356,32'h408432ee,// invsqrt(0.0709) = 3.7557 +32'h3f8302ea,32'h3f77fadc,32'h3f810cff, 32'h3f706381,32'h3f84d8ac, 32'h3f63bc95,32'h3f8b2c22,// invsqrt(1.0235) = 0.9884 +32'h3f0979f8,32'h3fab2cf5,32'h3fb22991, 32'h3fa5ef80,32'h3fb76706, 32'h3f9d33bc,32'h3fc022ca,// invsqrt(0.5370) = 1.3646 +32'h3f9342fb,32'h3f69e5d9,32'h3f7371d7, 32'h3f62bcda,32'h3f7a9ad6, 32'h3f56cddc,32'h3f8344ea,// invsqrt(1.1505) = 0.9323 +32'h3f07fb94,32'h3fac1cfa,32'h3fb32362, 32'h3fa6d82c,32'h3fb86830, 32'h3f9e1029,32'h3fc13033,// invsqrt(0.5312) = 1.3721 +32'h3f1fa1ee,32'h3f9eda5c,32'h3fa55636, 32'h3f99fd79,32'h3faa3319, 32'h3f91e2a8,32'h3fb24dea,// invsqrt(0.6236) = 1.2664 +32'h3f6d6e1b,32'h3f8240cd,32'h3f8791d0, 32'h3f7c8814,32'h3f8b8e92, 32'h3f6f3d8c,32'h3f9233d6,// invsqrt(0.9275) = 1.0384 +32'h419d4fcd,32'h3e624d97,32'h3e6b8a3a, 32'h3e5b601d,32'h3e7277b5, 32'h3e4fd452,32'h3e7e0380,// invsqrt(19.6640) = 0.2255 +32'h3f8e5458,32'h3f6dea7e,32'h3f77a078, 32'h3f66a202,32'h3f7ee8f4, 32'h3f5a7e88,32'h3f858637,// invsqrt(1.1119) = 0.9483 +32'h3f1686f6,32'h3fa39640,32'h3faa4390, 32'h3f9e9443,32'h3faf458d, 32'h3f963b9e,32'h3fb79e32,// invsqrt(0.5880) = 1.3041 +32'h3f9d81b9,32'h3f6229b7,32'h3f6b64e3, 32'h3f5b3d56,32'h3f725144, 32'h3f4fb35f,32'h3f7ddb3b,// invsqrt(1.2305) = 0.9015 +32'h40047ab6,32'h3f2e5fc4,32'h3f357dcc, 32'h3f29093e,32'h3f3ad452, 32'h3f2023b4,32'h3f43b9dc,// invsqrt(2.0700) = 0.6950 +32'h3dbdbedb,32'h404e0e56,32'h40567769, 32'h4047bf87,32'h405cc637, 32'h403d3c2f,32'h4067498f,// invsqrt(0.0926) = 3.2853 +32'h401edb5e,32'h3f1f3d84,32'h3f25bd6a, 32'h3f1a5d97,32'h3f2a9d57, 32'h3f123db8,32'h3f32bd36,// invsqrt(2.4821) = 0.6347 +32'h3f73b1b3,32'h3f809174,32'h3f85d0dc, 32'h3f7943cc,32'h3f89c06a, 32'h3f6c2548,32'h3f904fac,// invsqrt(0.9519) = 1.0249 +32'h4020e188,32'h3f1e3c44,32'h3f24b1aa, 32'h3f196437,32'h3f2989b7, 32'h3f115178,32'h3f319c76,// invsqrt(2.5138) = 0.6307 +32'h3fd9023d,32'h3f40ad9a,32'h3f488ae4, 32'h3f3ac7a2,32'h3f4e70dc, 32'h3f30f306,32'h3f584579,// invsqrt(1.6954) = 0.7680 +32'h4051359c,32'h3f0ac2a7,32'h3f106c8f, 32'h3f06833a,32'h3f14abfc, 32'h3efeddb1,32'h3f1bc05e,// invsqrt(3.2689) = 0.5531 +32'h3edb04ad,32'h3fbfcacb,32'h3fc79ed3, 32'h3fb9ebc5,32'h3fcd7dd9, 32'h3fb022ba,32'h3fd746e4,// invsqrt(0.4278) = 1.5290 +32'h41103071,32'h3ea724c0,32'h3eadf73a, 32'h3ea206e4,32'h3eb31516, 32'h3e997fca,32'h3ebb9c30,// invsqrt(9.0118) = 0.3331 +32'h40166dae,32'h3f23a3fe,32'h3f2a51df, 32'h3f1ea196,32'h3f2f5448, 32'h3f16483e,32'h3f37ada1,// invsqrt(2.3504) = 0.6523 +32'h3f7de0cd,32'h3f7bed1d,32'h3f831abe, 32'h3f7436d5,32'h3f86f5e2, 32'h3f675c5e,32'h3f8d631d,// invsqrt(0.9917) = 1.0042 +32'h3da01d08,32'h4060507d,32'h40697859, 32'h40597299,32'h4070563d, 32'h404e00c7,32'h407bc80f,// invsqrt(0.0782) = 3.5764 +32'h3e323577,32'h40165889,32'h401c7b7f, 32'h4011be51,32'h402115b7, 32'h400a129d,32'h4028c16b,// invsqrt(0.1740) = 2.3971 +32'h3f3ce97d,32'h3f920650,32'h3f97fc1e, 32'h3f8d8df4,32'h3f9c747a, 32'h3f861ab2,32'h3fa3e7bc,// invsqrt(0.7379) = 1.1641 +32'h3eab6ec8,32'h3fd8c84f,32'h3fe1a177, 32'h3fd22571,32'h3fe84455, 32'h3fc71600,32'h3ff353c7,// invsqrt(0.3348) = 1.7282 +32'h3e072545,32'h402ca53b,32'h4033b133, 32'h40275c41,32'h4038fa2d, 32'h401e8d4b,32'h4041c923,// invsqrt(0.1320) = 2.7526 +32'h4190049d,32'h3e6c8463,32'h3e762bbf, 32'h3e6546dd,32'h3e7d6945, 32'h3e5935a9,32'h3e84bd3d,// invsqrt(18.0023) = 0.2357 +32'h40201f55,32'h3f1e9c1b,32'h3f25156b, 32'h3f19c120,32'h3f29f066, 32'h3f11a97c,32'h3f32080a,// invsqrt(2.5019) = 0.6322 +32'h3f0f13e2,32'h3fa7caa3,32'h3faea3e4, 32'h3fa2a7b4,32'h3fb3c6d4, 32'h3f9a1824,32'h3fbc5664,// invsqrt(0.5589) = 1.3376 +32'h415bf5ee,32'h3e8753a3,32'h3e8cd9a9, 32'h3e832f1e,32'h3e90fe2e, 32'h3e788f2b,32'h3e97e5b7,// invsqrt(13.7475) = 0.2697 +32'h3f20bc57,32'h3f9e4e91,32'h3fa4c4b7, 32'h3f9975f5,32'h3fa99d53, 32'h3f916247,32'h3fb1b101,// invsqrt(0.6279) = 1.2620 +32'h409468d6,32'h3ee8fdd6,32'h3ef2805c, 32'h3ee1dbf1,32'h3ef9a241, 32'h3ed5f8ca,32'h3f02c2b4,// invsqrt(4.6378) = 0.4643 +32'h3f85fd56,32'h3f75355f,32'h3f7f378d, 32'h3f6db3bd,32'h3f835c98, 32'h3f613104,32'h3f899df4,// invsqrt(1.0468) = 0.9774 +32'h40a46f55,32'h3edd58e2,32'h3ee661bc, 32'h3ed6923e,32'h3eed2860, 32'h3ecb472e,32'h3ef87371,// invsqrt(5.1386) = 0.4411 +32'h4036d91f,32'h3f146d20,32'h3f1a7c07, 32'h3f0fe1f2,32'h3f1f0734, 32'h3f084f51,32'h3f2699d5,// invsqrt(2.8570) = 0.5916 +32'h4037a56b,32'h3f141a7a,32'h3f1a2602, 32'h3f0f91d4,32'h3f1eaea8, 32'h3f08036b,32'h3f263d11,// invsqrt(2.8695) = 0.5903 +32'h4050583e,32'h3f0b0c4b,32'h3f10b933, 32'h3f06ca9c,32'h3f14fae2, 32'h3eff64f1,32'h3f1c1305,// invsqrt(3.2554) = 0.5542 +32'h3f29ef58,32'h3f99f660,32'h3fa03f20, 32'h3f953fd0,32'h3fa4f5b0, 32'h3f8d64e0,32'h3facd0a0,// invsqrt(0.6638) = 1.2274 +32'h3ebeed2c,32'h3fcd6af2,32'h3fd5cd5a, 32'h3fc72124,32'h3fdc1728, 32'h3fbca622,32'h3fe6922a,// invsqrt(0.3729) = 1.6376 +32'h404a397e,32'h3f0d22ec,32'h3f12e5a7, 32'h3f08d0df,32'h3f1737b3, 32'h3f019d75,32'h3f1e6b1d,// invsqrt(3.1598) = 0.5626 +32'h3d084d4d,32'h40abe959,32'h40b2eda6, 32'h40a6a620,32'h40b830e0, 32'h409de0c0,32'h40c0f640,// invsqrt(0.0333) = 5.4819 +32'h3fd3b750,32'h3f431257,32'h3f4b08a3, 32'h3f3d199d,32'h3f51015d, 32'h3f3325bd,32'h3f5af53d,// invsqrt(1.6540) = 0.7775 +32'h3fa3d4a1,32'h3f5dc14c,32'h3f66ce69, 32'h3f56f776,32'h3f6d983e, 32'h3f4ba711,32'h3f78e8a3,// invsqrt(1.2799) = 0.8839 +32'h3f0cd55c,32'h3fa91f89,32'h3fb006b3, 32'h3fa3f229,32'h3fb53413, 32'h3f9b5135,32'h3fbdd507,// invsqrt(0.5501) = 1.3482 +32'h3d8a58af,32'h40715108,32'h407b2a8a, 32'h4069ede5,32'h408146d6, 32'h405d9e02,32'h40876ec8,// invsqrt(0.0676) = 3.8475 +32'h3f73e7f4,32'h3f808327,32'h3f85c1f9, 32'h3f792812,32'h3f89b117, 32'h3f6c0b03,32'h3f903f9e,// invsqrt(0.9528) = 1.0245 +32'h40f155f9,32'h3eb6b586,32'h3ebe2aa6, 32'h3eb11dae,32'h3ec3c27e, 32'h3ea7cb47,32'h3ecd14e5,// invsqrt(7.5417) = 0.3641 +32'h3f2d336d,32'h3f988100,32'h3f9eba83, 32'h3f93d5df,32'h3fa365a5, 32'h3f8c0dfb,32'h3fab2d89,// invsqrt(0.6766) = 1.2158 +32'h40b928ac,32'h3ed097b0,32'h3ed91b44, 32'h3eca3501,32'h3edf7df3, 32'h3ebf9087,32'h3eea226d,// invsqrt(5.7862) = 0.4157 +32'h3ec13adc,32'h3fcc3090,32'h3fd48623, 32'h3fc5f062,32'h3fdac652, 32'h3fbb856b,32'h3fe53149,// invsqrt(0.3774) = 1.6278 +32'h3f7d447a,32'h3f7c3ad1,32'h3f83432e, 32'h3f748228,32'h3f871f82, 32'h3f67a3ba,32'h3f8d8eb9,// invsqrt(0.9893) = 1.0054 +32'h3e8531e9,32'h3ff5f058,32'h3ffffa28, 32'h3fee68fd,32'h4003c0c2, 32'h3fe1dcba,32'h400a06e3,// invsqrt(0.2601) = 1.9606 +32'h3e9bb48b,32'h3fe377b0,32'h3fecc07e, 32'h3fdc8116,32'h3ff3b718, 32'h3fd0e615,32'h3fff5219,// invsqrt(0.3041) = 1.8134 +32'h3f81ac2a,32'h3f7941bf,32'h3f81b71d, 32'h3f71a064,32'h3f8587cb, 32'h3f64e8ca,32'h3f8be398,// invsqrt(1.0131) = 0.9935 +32'h3f8685f4,32'h3f74b8bc,32'h3f7eb5d4, 32'h3f6d3aeb,32'h3f8319d3, 32'h3f60be8e,32'h3f895801,// invsqrt(1.0510) = 0.9755 +32'h408ed53c,32'h3eed7f0d,32'h3ef730a5, 32'h3ee639db,32'h3efe75d7, 32'h3eda1bdd,32'h3f0549eb,// invsqrt(4.4635) = 0.4733 +32'h3e3189df,32'h4016a11f,32'h401cc70b, 32'h401204ae,32'h4021637c, 32'h400a5546,32'h402912e4,// invsqrt(0.1734) = 2.4016 +32'h3ed4a896,32'h3fc2a38e,32'h3fca9556, 32'h3fbcae39,32'h3fd08aab, 32'h3fb2c000,32'h3fda78e4,// invsqrt(0.4153) = 1.5516 +32'h3f9c7e60,32'h3f62e4d1,32'h3f6c27a1, 32'h3f5bf2b6,32'h3f7319bc, 32'h3f505f33,32'h3f7ead3f,// invsqrt(1.2226) = 0.9044 +32'h3f12d19f,32'h3fa5a3d5,32'h3fac669a, 32'h3fa091c2,32'h3fb178ae, 32'h3f981e4c,32'h3fb9ec24,// invsqrt(0.5735) = 1.3205 +32'h3f95e764,32'h3f67d3cb,32'h3f714a27, 32'h3f60bb06,32'h3f7862ec, 32'h3f54e713,32'h3f821b6f,// invsqrt(1.1711) = 0.9241 +32'h3fb9b03d,32'h3f504b7e,32'h3f58cbf6, 32'h3f49eb24,32'h3f5f2c50, 32'h3f3f4a8e,32'h3f69cce6,// invsqrt(1.4507) = 0.8303 +32'h40105af0,32'h3f270c24,32'h3f2ddd9e, 32'h3f21ef09,32'h3f32fab9, 32'h3f196931,32'h3f3b8091,// invsqrt(2.2556) = 0.6658 +32'h3fa09d20,32'h3f5ff6f9,32'h3f691b2d, 32'h3f591bd2,32'h3f6ff654, 32'h3f4dae91,32'h3f7b6395,// invsqrt(1.2548) = 0.8927 +32'h3f1ddedf,32'h3f9fbca9,32'h3fa641c0, 32'h3f9ad8d9,32'h3fab2591, 32'h3f92b27c,32'h3fb34bee,// invsqrt(0.6167) = 1.2734 +32'h3f5f1ac6,32'h3f865ead,32'h3f8bdab5, 32'h3f8241a8,32'h3f8ff7ba, 32'h3f76cd3f,32'h3f96d2c3,// invsqrt(0.8715) = 1.0712 +32'h40ccfa9b,32'h3ec64060,32'h3ece57e7, 32'h3ec02ebc,32'h3ed4698c, 32'h3eb61154,32'h3ede86f4,// invsqrt(6.4056) = 0.3951 +32'h3efcbe3e,32'h3fb289cd,32'h3fb9d357, 32'h3fad12a4,32'h3fbf4a80, 32'h3fa3f6b7,32'h3fc8666d,// invsqrt(0.4936) = 1.4233 +32'h403f3689,32'h3f1124b6,32'h3f171150, 32'h3f0cb343,32'h3f1b82c3, 32'h3f054b83,32'h3f22ea83,// invsqrt(2.9877) = 0.5785 +32'h3e7d79d3,32'h3ffc2044,32'h4003355d, 32'h3ff4686d,32'h4007114a, 32'h3fe78b5a,32'h400d7fd3,// invsqrt(0.2475) = 2.0099 +32'h3eaddddc,32'h3fd74281,32'h3fe00bbf, 32'h3fd0ab92,32'h3fe6a2ae, 32'h3fc5b003,32'h3ff19e3d,// invsqrt(0.3396) = 1.7160 +32'h3ea02e35,32'h3fe04476,32'h3fe96bd4, 32'h3fd966f0,32'h3ff0495a, 32'h3fcdf5bb,32'h3ffbba8f,// invsqrt(0.3129) = 1.7878 +32'h3d04d90c,32'h40ae21d0,32'h40b53d50, 32'h40a8cd2f,32'h40ba91f1, 32'h409feace,32'h40c37452,// invsqrt(0.0324) = 5.5527 +32'h43b9f89e,32'h3d5022f1,32'h3d58a1c1, 32'h3d49c3d5,32'h3d5f00dd, 32'h3d3f2550,32'h3d699f62,// invsqrt(371.9423) = 0.0519 +32'h3ff963f4,32'h3f33bbff,32'h3f3b120a, 32'h3f2e3b78,32'h3f409292, 32'h3f250feb,32'h3f49be1f,// invsqrt(1.9484) = 0.7164 +32'h3e79a533,32'h3ffe0d91,32'h40043615, 32'h3ff646a0,32'h4008198e, 32'h3fe95061,32'h400e94ad,// invsqrt(0.2438) = 2.0253 +32'h3e5d88ba,32'h4006d864,32'h400c5963, 32'h4002b7a5,32'h40107a23, 32'h3ff7acce,32'h40175b61,// invsqrt(0.2163) = 2.1500 +32'h3f88b5d8,32'h3f72c198,32'h3f7caa26, 32'h3f6b532d,32'h3f820c48, 32'h3f5ef07c,32'h3f883da1,// invsqrt(1.0680) = 0.9676 +32'h4062fd91,32'h3f0536f7,32'h3f0aa6ed, 32'h3f012300,32'h3f0ebae4, 32'h3ef4ae1a,32'h3f1586d7,// invsqrt(3.5467) = 0.5310 +32'h3fa5ceb0,32'h3f5c6ddf,32'h3f656d22, 32'h3f55ae6e,32'h3f6c2c94, 32'h3f4a6f5a,32'h3f776ba8,// invsqrt(1.2954) = 0.8786 +32'h3fcdeb2d,32'h3f45cc70,32'h3f4ddf3c, 32'h3f3fbe58,32'h3f53ed54, 32'h3f35a6da,32'h3f5e04d2,// invsqrt(1.6087) = 0.7884 +32'h40e69664,32'h3ebaeb3c,32'h3ec28c58, 32'h3eb53266,32'h3ec8452e, 32'h3eaba903,32'h3ed1ce91,// invsqrt(7.2059) = 0.3725 +32'h3f21a163,32'h3f9dde3e,32'h3fa44fce, 32'h3f990912,32'h3fa924fa, 32'h3f90fb1f,32'h3fb132ed,// invsqrt(0.6314) = 1.2585 +32'h3ef0ec2b,32'h3fb6dda0,32'h3fbe5463, 32'h3fb1448f,32'h3fc3ed75, 32'h3fa7f01b,32'h3fcd41e9,// invsqrt(0.4706) = 1.4578 +32'h4071fa54,32'h3f0105f9,32'h3f064a22, 32'h3efa25b2,32'h3f0a3d41, 32'h3eecfb4b,32'h3f10d275,// invsqrt(3.7809) = 0.5143 +32'h3b04655e,32'h41ae6dd2,32'h41b58c6c, 32'h41a916dd,32'h41bae361, 32'h41a0309c,32'h41c3c9a2,// invsqrt(0.0020) = 22.2486 +32'h4067a873,32'h3f03dda9,32'h3f093f87, 32'h3effa887,32'h3f0d48ec, 32'h3ef233de,32'h3f140341,// invsqrt(3.6197) = 0.5256 +32'h3f16bd62,32'h3fa378b5,32'h3faa24d2, 32'h3f9e77a1,32'h3faf25e7, 32'h3f96207d,32'h3fb77d0b,// invsqrt(0.5888) = 1.3032 +32'h3ed1b1f6,32'h3fc40266,32'h3fcc027f, 32'h3fbe0253,32'h3fd20291, 32'h3fb40233,32'h3fdc02b1,// invsqrt(0.4096) = 1.5626 +32'h40275b61,32'h3f1b24ca,32'h3f2179e2, 32'h3f1664f8,32'h3f2639b4, 32'h3f0e7a9a,32'h3f2e2412,// invsqrt(2.6150) = 0.6184 +32'h3c2b6564,32'h41194e04,32'h411f8fe5, 32'h41149c9c,32'h4124414e, 32'h410cca43,32'h412c13a7,// invsqrt(0.0105) = 9.7771 +32'h3e6f0a54,32'h4001d04d,32'h40071cb8, 32'h3ffbadf7,32'h400b1608, 32'h3fee6eea,32'h4011b58f,// invsqrt(0.2334) = 2.0697 +32'h3f74b540,32'h3f804d33,32'h3f8589d1, 32'h3f78bf77,32'h3f897748, 32'h3f6ba7ea,32'h3f90030f,// invsqrt(0.9559) = 1.0228 +32'h3f2d99af,32'h3f98540f,32'h3f9e8bbd, 32'h3f93aa4e,32'h3fa3357e, 32'h3f8be4b5,32'h3faafb17,// invsqrt(0.6781) = 1.2144 +32'h3ff07646,32'h3f370a6f,32'h3f3e8305, 32'h3f316ffe,32'h3f441d76, 32'h3f281941,32'h3f4d7433,// invsqrt(1.8786) = 0.7296 +32'h3f9bcb7c,32'h3f6366f0,32'h3f6caf0e, 32'h3f5c70d9,32'h3f73a525, 32'h3f50d6b2,32'h3f7f3f4c,// invsqrt(1.2171) = 0.9064 +32'h3fd2b08b,32'h3f438bd6,32'h3f4b8718, 32'h3f3d8f64,32'h3f51838a, 32'h3f339552,32'h3f5b7d9d,// invsqrt(1.6460) = 0.7794 +32'h3ff82add,32'h3f342d3c,32'h3f3b87e6, 32'h3f2ea93d,32'h3f410be5, 32'h3f2577e9,32'h3f4a3d39,// invsqrt(1.9388) = 0.7182 +32'h3f98232f,32'h3f661e88,32'h3f6f830b, 32'h3f5f1326,32'h3f768e6e, 32'h3f535583,32'h3f812609,// invsqrt(1.1886) = 0.9172 +32'h40cddded,32'h3ec5d2cd,32'h3ecde5db, 32'h3ebfc483,32'h3ed3f425, 32'h3eb5acb2,32'h3ede0bf6,// invsqrt(6.4333) = 0.3943 +32'h3f8fcb99,32'h3f6cb342,32'h3f765c88, 32'h3f65744d,32'h3f7d9b7d, 32'h3f5960b4,32'h3f84d78b,// invsqrt(1.1234) = 0.9435 +32'h40222ef4,32'h3f1d9949,32'h3f240808, 32'h3f18c639,32'h3f28db17, 32'h3f10bbca,32'h3f30e586,// invsqrt(2.5341) = 0.6282 +32'h3f2be758,32'h3f991407,32'h3f9f5389, 32'h3f946464,32'h3fa4032c, 32'h3f8c9501,32'h3fabd28f,// invsqrt(0.6715) = 1.2203 +32'h3fe296f9,32'h3f3c8f82,32'h3f4441c6, 32'h3f36c9cf,32'h3f4a0779, 32'h3f2d2afa,32'h3f53a64e,// invsqrt(1.7702) = 0.7516 +32'h4157cc5b,32'h3e88a027,32'h3e8e33c0, 32'h3e847174,32'h3e926272, 32'h3e7af1e9,32'h3e995af2,// invsqrt(13.4874) = 0.2723 +32'h4019b2b7,32'h3f21e402,32'h3f287f9a, 32'h3f1cef50,32'h3f2d744c, 32'h3f14acd3,32'h3f35b6c9,// invsqrt(2.4015) = 0.6453 +32'h3f1cb0b3,32'h3fa05665,32'h3fa6e1c2, 32'h3f9b6de0,32'h3fabca48, 32'h3f933fac,32'h3fb3f87c,// invsqrt(0.6121) = 1.2782 +32'h3fd1395f,32'h3f443ada,32'h3f4c3d41, 32'h3f3e390d,32'h3f523f0f, 32'h3f34360d,32'h3f5c420f,// invsqrt(1.6346) = 0.7822 +32'h4003da46,32'h3f2ec9bb,32'h3f35ec16, 32'h3f296ff6,32'h3f3b45da, 32'h3f208504,32'h3f4430cc,// invsqrt(2.0602) = 0.6967 +32'h3f067085,32'h3fad1923,32'h3fb429d7, 32'h3fa7cc9d,32'h3fb9765d, 32'h3f9ef7bd,32'h3fc24b3d,// invsqrt(0.5252) = 1.3799 +32'h3ee9bb97,32'h3fb9a82e,32'h3fc13c1c, 32'h3fb3f93d,32'h3fc6eb0d, 32'h3faa8054,32'h3fd063f6,// invsqrt(0.4565) = 1.4800 +32'h40ee8eaa,32'h3eb7c521,32'h3ebf4557, 32'h3eb224f9,32'h3ec4e57f, 32'h3ea8c4b6,32'h3ece45c2,// invsqrt(7.4549) = 0.3663 +32'h4015a1c3,32'h3f241359,32'h3f2ac5c6, 32'h3f1f0d89,32'h3f2fcb97, 32'h3f16ae82,32'h3f382a9e,// invsqrt(2.3380) = 0.6540 +32'h3e9dcdc2,32'h3fe1f334,32'h3feb2c27, 32'h3fdb087f,32'h3ff216dd, 32'h3fcf8150,32'h3ffd9e0c,// invsqrt(0.3082) = 1.8013 +32'h3f60a2d8,32'h3f85e937,32'h3f8b6073, 32'h3f81cfca,32'h3f8f79e0, 32'h3f75f57f,32'h3f964eea,// invsqrt(0.8775) = 1.0675 +32'h406f834c,32'h3f01af80,32'h3f06fa95, 32'h3efb6e62,32'h3f0af2e5, 32'h3eee32ad,32'h3f1190bf,// invsqrt(3.7424) = 0.5169 +32'h3f95d58e,32'h3f67e197,32'h3f715883, 32'h3f60c866,32'h3f7871b4, 32'h3f54f3bf,32'h3f82232e,// invsqrt(1.1706) = 0.9243 +32'h4183e25f,32'h3e77286e,32'h3e809f7d, 32'h3e6f9785,32'h3e8467f2, 32'h3e62fb55,32'h3e8ab609,// invsqrt(16.4855) = 0.2463 +32'h3fd45ce6,32'h3f42c63a,32'h3f4ab96c, 32'h3f3ccfd5,32'h3f50afd1, 32'h3f32dfd8,32'h3f5a9fcf,// invsqrt(1.6591) = 0.7764 +32'h3f633300,32'h3f85274c,32'h3f8a969e, 32'h3f8113cf,32'h3f8eaa1b, 32'h3f749153,32'h3f957541,// invsqrt(0.8875) = 1.0615 +32'h3fca9ac1,32'h3f4768e8,32'h3f4f8c88, 32'h3f414e2f,32'h3f55a741, 32'h3f3721a6,32'h3f5fd3ca,// invsqrt(1.5828) = 0.7948 +32'h3faba3ed,32'h3f58a6bd,32'h3f617e87, 32'h3f5204e7,32'h3f68205d, 32'h3f46f72b,32'h3f732e19,// invsqrt(1.3409) = 0.8636 +32'h3fd60727,32'h3f4203e5,32'h3f49ef28, 32'h3f3c1373,32'h3f4fdf9b, 32'h3f322d60,32'h3f59c5ae,// invsqrt(1.6721) = 0.7733 +32'h3dc93d9e,32'h40481598,32'h40504046, 32'h4041f596,32'h40566048, 32'h4037c03e,32'h406095a1,// invsqrt(0.0983) = 3.1901 +32'h3f8d2df3,32'h3f6ee20c,32'h3f78a221, 32'h3f6791fb,32'h3f7ff231, 32'h3f5b61e1,32'h3f861126,// invsqrt(1.1030) = 0.9522 +32'h3f3cec58,32'h3f920535,32'h3f97faf9, 32'h3f8d8ce3,32'h3f9c734b, 32'h3f8619ae,32'h3fa3e680,// invsqrt(0.7380) = 1.1641 +32'h3f780250,32'h3f7ee3c3,32'h3f84a58d, 32'h3f771642,32'h3f888c4d, 32'h3f6a1516,32'h3f8f0ce3,// invsqrt(0.9688) = 1.0160 +32'h3ea8b45e,32'h3fda873c,32'h3fe372a2, 32'h3fd3d6b0,32'h3fea232e, 32'h3fc8b071,32'h3ff5496d,// invsqrt(0.3295) = 1.7421 +32'h3ffb88ae,32'h3f32f788,32'h3f3a458e, 32'h3f2d7d04,32'h3f3fc012, 32'h3f245b7d,32'h3f48e199,// invsqrt(1.9651) = 0.7134 +32'h3f9ad47e,32'h3f641c09,32'h3f6d6b8c, 32'h3f5d2067,32'h3f74672f, 32'h3f517d04,32'h3f800549,// invsqrt(1.2096) = 0.9092 +32'h3e9754c4,32'h3fe6bb44,32'h3ff0262d, 32'h3fdfab16,32'h3ff7365c, 32'h3fd3e573,32'h40017dff,// invsqrt(0.2956) = 1.8394 +32'h40674d85,32'h3f03f792,32'h3f095a7e, 32'h3effdac2,32'h3f0d64af, 32'h3ef26375,32'h3f142056,// invsqrt(3.6141) = 0.5260 +32'h3f917aa1,32'h3f6b5396,32'h3f74ee82, 32'h3f641f65,32'h3f7c22b3, 32'h3f581dbe,32'h3f84122d,// invsqrt(1.1366) = 0.9380 +32'h40051e28,32'h3f2df496,32'h3f350e3e, 32'h3f28a158,32'h3f3a617c, 32'h3f1fc146,32'h3f43418e,// invsqrt(2.0800) = 0.6934 +32'h401d3a55,32'h3f201029,32'h3f2698a8, 32'h3f1b29c9,32'h3f2b7f07, 32'h3f12ff2a,32'h3f33a9a6,// invsqrt(2.4567) = 0.6380 +32'h419b8ddf,32'h3e6393f4,32'h3e6cddea, 32'h3e5c9c7d,32'h3e73d561, 32'h3e51000a,32'h3e7f71d4,// invsqrt(19.4443) = 0.2268 +32'h3ed958b0,32'h3fc08744,32'h3fc862fe, 32'h3fbaa279,32'h3fce47c9, 32'h3fb0cfd1,32'h3fd81a71,// invsqrt(0.4245) = 1.5348 +32'h3f83c8a9,32'h3f774089,32'h3f80ac09, 32'h3f6faee3,32'h3f8474dc, 32'h3f631178,32'h3f8ac391,// invsqrt(1.0296) = 0.9855 +32'h3f2f27c4,32'h3f97a693,32'h3f9dd72b, 32'h3f930221,32'h3fa27b9d, 32'h3f8b4562,32'h3faa385c,// invsqrt(0.6842) = 1.2089 +32'h3f1de292,32'h3f9fbaca,32'h3fa63fce, 32'h3f9ad708,32'h3fab2390, 32'h3f92b0c4,32'h3fb349d4,// invsqrt(0.6167) = 1.2734 +32'h3f1daacd,32'h3f9fd708,32'h3fa65d32, 32'h3f9af268,32'h3fab41d2, 32'h3f92cab4,32'h3fb36986,// invsqrt(0.6159) = 1.2742 +32'h3f6b73aa,32'h3f82cc97,32'h3f88234f, 32'h3f7d971a,32'h3f8c2459, 32'h3f703e4f,32'h3f92d0be,// invsqrt(0.9197) = 1.0427 +32'h3cc55e1c,32'h40ca09bb,32'h40d248d3, 32'h40c3da6a,32'h40d87824, 32'h40b98b8d,32'h40e2c701,// invsqrt(0.0241) = 6.4425 +32'h414589ef,32'h3e8eccf0,32'h3e94a10e, 32'h3e8a6dd9,32'h3e990025, 32'h3e8324b2,32'h3ea0494c,// invsqrt(12.3462) = 0.2846 +32'h3f6d09b4,32'h3f825c60,32'h3f87ae83, 32'h3f7cbd8a,32'h3f8bac1d, 32'h3f6f7032,32'h3f9252c9,// invsqrt(0.9259) = 1.0392 +32'h3f1de80d,32'h3f9fb805,32'h3fa63ceb, 32'h3f9ad458,32'h3fab2098, 32'h3f92ae39,32'h3fb346b7,// invsqrt(0.6168) = 1.2733 +32'h40010366,32'h3f30b370,32'h3f37e9c8, 32'h3f2b4aae,32'h3f3d528a, 32'h3f2246c0,32'h3f465678,// invsqrt(2.0158) = 0.7043 +32'h3e44805f,32'h400f2d4e,32'h4015055b, 32'h400acb43,32'h40196765, 32'h40037d32,32'h4020b576,// invsqrt(0.1919) = 2.2828 +32'h401c0b0c,32'h3f20ab6a,32'h3f273a40, 32'h3f1bc04a,32'h3f2c2560, 32'h3f138dc0,32'h3f3457ea,// invsqrt(2.4382) = 0.6404 +32'h3fdf082a,32'h3f3e0f01,32'h3f45d0ec, 32'h3f383d90,32'h3f4ba25c, 32'h3f2e8b2a,32'h3f5554c2,// invsqrt(1.7424) = 0.7576 +32'h3e9640cd,32'h3fe78ec7,32'h3ff10251, 32'h3fe0781f,32'h3ff818f9, 32'h3fd4a7b1,32'h4001f4b3,// invsqrt(0.2935) = 1.8460 +32'h3ef52dbe,32'h3fb54585,32'h3fbcab9f, 32'h3fafb8f1,32'h3fc23833, 32'h3fa67950,32'h3fcb77d4,// invsqrt(0.4789) = 1.4451 +32'h40163c8f,32'h3f23bebd,32'h3f2a6db5, 32'h3f1ebb83,32'h3f2f70ef, 32'h3f1660cd,32'h3f37cba5,// invsqrt(2.3474) = 0.6527 +32'h3f56cf7d,32'h3f88f079,32'h3f8e875a, 32'h3f84bf52,32'h3f92b882, 32'h3f7b8572,32'h3f99b51b,// invsqrt(0.8391) = 1.0917 +32'h3da2e77f,32'h405e6277,32'h40677629, 32'h405793b2,32'h406e44ee, 32'h404c3b15,32'h40799d8b,// invsqrt(0.0795) = 3.5457 +32'h3f8b1636,32'h3f70ac65,32'h3f7a7f30, 32'h3f694e4e,32'h3f80eea4, 32'h3f5d06d0,32'h3f871263,// invsqrt(1.0866) = 0.9593 +32'h40930061,32'h3eea1acf,32'h3ef3a8f7, 32'h3ee2f031,32'h3efad395, 32'h3ed6fe80,32'h3f0362a3,// invsqrt(4.5938) = 0.4666 +32'h3db25245,32'h40548dd5,32'h405d3ace, 32'h404e0c1a,32'h4063bc88, 32'h404333e2,32'h406e94c0,// invsqrt(0.0871) = 3.3889 +32'h3f2cda04,32'h3f98a86c,32'h3f9ee38b, 32'h3f93fc16,32'h3fa38fe2, 32'h3f8c3230,32'h3fab59c8,// invsqrt(0.6752) = 1.2170 +32'h3ee5f3e5,32'h3fbb2d3b,32'h3fc2d109, 32'h3fb57260,32'h3fc88be4, 32'h3fabe59f,32'h3fd218a5,// invsqrt(0.4491) = 1.4922 +32'h41bca529,32'h3e4ea7f5,32'h3e57174d, 32'h3e485473,32'h3e5d6acf, 32'h3e3dc944,32'h3e67f5fe,// invsqrt(23.5806) = 0.2059 +32'h3f200983,32'h3f9ea6eb,32'h3fa520ab, 32'h3f99cb9a,32'h3fa9fbfc, 32'h3f91b36a,32'h3fb2142c,// invsqrt(0.6251) = 1.2648 +32'h3fd351a1,32'h3f434140,32'h3f4b3976, 32'h3f3d4716,32'h3f5133a0, 32'h3f3350d2,32'h3f5b29e4,// invsqrt(1.6509) = 0.7783 +32'h3f59b866,32'h3f88056c,32'h3f8d92b5, 32'h3f83db77,32'h3f91bcab, 32'h3f79d5b8,32'h3f98ad46,// invsqrt(0.8505) = 1.0844 +32'h435cd1a3,32'h3d871040,32'h3d8c9386, 32'h3d82edcb,32'h3d90b5fb, 32'h3d781365,32'h3d979a13,// invsqrt(220.8189) = 0.0673 +32'h3f0b85e4,32'h3fa9ea61,32'h3fb0d9d3, 32'h3fa4b6cc,32'h3fb60d68, 32'h3f9c0b7e,32'h3fbeb8b6,// invsqrt(0.5450) = 1.3546 +32'h3fba46ac,32'h3f4ff751,32'h3f587459, 32'h3f49998a,32'h3f5ed220, 32'h3f3efd40,32'h3f696e6a,// invsqrt(1.4553) = 0.8289 +32'h3f921ad0,32'h3f6ad272,32'h3f746818, 32'h3f63a235,32'h3f7b9855, 32'h3f57a724,32'h3f83c9b3,// invsqrt(1.1414) = 0.9360 +32'h40d74e32,32'h3ec17053,32'h3ec9558f, 32'h3ebb8465,32'h3ecf417d, 32'h3eb1a5d9,32'h3ed92009,// invsqrt(6.7283) = 0.3855 +32'h3e8fde7c,32'h3feca3b8,32'h3ff64c5c, 32'h3fe5653d,32'h3ffd8ad7, 32'h3fd9526f,32'h4004ced2,// invsqrt(0.2810) = 1.8865 +32'h3f0fd0d5,32'h3fa75c46,32'h3fae3104, 32'h3fa23cb6,32'h3fb35094, 32'h3f99b2c8,32'h3fbbda82,// invsqrt(0.5618) = 1.3342 +32'h41554bc9,32'h3e896cb6,32'h3e8f08a8, 32'h3e8537c0,32'h3e933d9e, 32'h3e7c69a1,32'h3e9a408d,// invsqrt(13.3310) = 0.2739 +32'h3fd6fafc,32'h3f4195bf,32'h3f497c83, 32'h3f3ba8ac,32'h3f4f6996, 32'h3f31c837,32'h3f594a0b,// invsqrt(1.6795) = 0.7716 +32'h4043f26c,32'h3f0f6120,32'h3f153b4c, 32'h3f0afd80,32'h3f199eec, 32'h3f03acca,32'h3f20efa2,// invsqrt(3.0617) = 0.5715 +32'h40082836,32'h3f2c00c2,32'h3f330604, 32'h3f26bcd1,32'h3f3849f5, 32'h3f1df640,32'h3f411087,// invsqrt(2.1275) = 0.6856 +32'h3ec83a5e,32'h3fc896f6,32'h3fd0c6ec, 32'h3fc272ff,32'h3fd6eae3, 32'h3fb8370c,32'h3fe126d6,// invsqrt(0.3911) = 1.5991 +32'h3da5215e,32'h405ce16f,32'h4065e56a, 32'h40561e74,32'h406ca866, 32'h404ad97c,32'h4077ed5e,// invsqrt(0.0806) = 3.5217 +32'h3f9d433e,32'h3f6256a0,32'h3f6b93a2, 32'h3f5b68df,32'h3f728163, 32'h3f4fdc9e,32'h3f7e0da4,// invsqrt(1.2286) = 0.9022 +32'h3ec856c4,32'h3fc888be,32'h3fd0b81f, 32'h3fc26536,32'h3fd6dba8, 32'h3fb829fe,32'h3fe116e1,// invsqrt(0.3913) = 1.5986 +32'h402d5329,32'h3f18730a,32'h3f1eabfa, 32'h3f13c855,32'h3f2356af, 32'h3f0c0128,32'h3f2b1ddc,// invsqrt(2.7082) = 0.6077 +32'h3f679ec6,32'h3f83e06a,32'h3f894264, 32'h3f7faddd,32'h3f8d4bdf, 32'h3f7238ed,32'h3f940658,// invsqrt(0.9048) = 1.0513 +32'h3e812ed4,32'h3ff9ba8d,32'h4001f5fb, 32'h3ff2157e,32'h4005c882, 32'h3fe557ba,32'h400c2764,// invsqrt(0.2523) = 1.9908 +32'h3f6e8055,32'h3f81f5d5,32'h3f8743c9, 32'h3f7bf6bc,32'h3f8b3e40, 32'h3f6eb3db,32'h3f91dfb0,// invsqrt(0.9316) = 1.0360 +32'h3fb06969,32'h3f55b38c,32'h3f5e6c82, 32'h3f4f28d3,32'h3f64f73b, 32'h3f4441a0,32'h3f6fde6e,// invsqrt(1.3782) = 0.8518 +32'h3f2b2150,32'h3f996c7f,32'h3f9faf9f, 32'h3f94ba28,32'h3fa461f6, 32'h3f8ce641,32'h3fac35dd,// invsqrt(0.6685) = 1.2231 +32'h406311ba,32'h3f05310d,32'h3f0aa0c5, 32'h3f011d44,32'h3f0eb48e, 32'h3ef4a33d,32'h3f158034,// invsqrt(3.5480) = 0.5309 +32'h42fc599a,32'h3db2ad63,32'h3db9f862, 32'h3dad3524,32'h3dbf70a2, 32'h3da41766,32'h3dc88e60,// invsqrt(126.1750) = 0.0890 +32'h3eeab14c,32'h3fb946e5,32'h3fc0d6d9, 32'h3fb39aed,32'h3fc682d1, 32'h3faa26fc,32'h3fcff6c2,// invsqrt(0.4584) = 1.4770 +32'h3fe9e845,32'h3f399672,32'h3f4129a6, 32'h3f33e80b,32'h3f46d80d, 32'h3f2a700b,32'h3f50500d,// invsqrt(1.8274) = 0.7397 +32'h3f07965f,32'h3fac5d2a,32'h3fb36631, 32'h3fa71665,32'h3fb8acf7, 32'h3f9e4b1d,32'h3fc1783f,// invsqrt(0.5296) = 1.3741 +32'h3f320af4,32'h3f966a7a,32'h3f9c8e2c, 32'h3f91cfb5,32'h3fa128f1, 32'h3f8a2318,32'h3fa8d58e,// invsqrt(0.6955) = 1.1991 +32'h3e5cbf4a,32'h400715dd,32'h400c995e, 32'h4002f33c,32'h4010bbfe, 32'h3ff81db4,32'h4017a060,// invsqrt(0.2156) = 2.1538 +32'h412bdb3c,32'h3e99196b,32'h3e9f5927, 32'h3e94699f,32'h3ea408f3, 32'h3e8c99f5,32'h3eabd89d,// invsqrt(10.7410) = 0.3051 +32'h41215513,32'h3e9e0390,32'h3ea476a6, 32'h3e992d40,32'h3ea94cf6, 32'h3e911d65,32'h3eb15cd1,// invsqrt(10.0833) = 0.3149 +32'h3f9dfeae,32'h3f61d036,32'h3f6b07bb, 32'h3f5ae693,32'h3f71f15f, 32'h3f4f612d,32'h3f7d76c5,// invsqrt(1.2343) = 0.9001 +32'h4013abd6,32'h3f252946,32'h3f2be70a, 32'h3f201af3,32'h3f30f55d, 32'h3f17adbe,32'h3f396292,// invsqrt(2.3074) = 0.6583 +32'h3f1effd5,32'h3f9f2b41,32'h3fa5aa68, 32'h3f9a4be3,32'h3faa89c5, 32'h3f922cf2,32'h3fb2a8b6,// invsqrt(0.6211) = 1.2689 +32'h40790587,32'h3efe5ef7,32'h3f046071, 32'h3ef69587,32'h3f084529, 32'h3ee99b21,32'h3f0ec25b,// invsqrt(3.8910) = 0.5070 +32'h405a58fc,32'h3f07d35e,32'h3f0d5e9c, 32'h3f03aaf0,32'h3f11870a, 32'h3ef979c7,32'h3f187516,// invsqrt(3.4117) = 0.5414 +32'h3f60054a,32'h3f861846,32'h3f8b916e, 32'h3f81fd69,32'h3f8fac4b, 32'h3f764bef,32'h3f9683bd,// invsqrt(0.8751) = 1.0690 +32'h3fa3b28d,32'h3f5dd85f,32'h3f66e66e, 32'h3f570dd5,32'h3f6db0f9, 32'h3f4bbc43,32'h3f79028b,// invsqrt(1.2789) = 0.8843 +32'h40d87305,32'h3ec0ed4e,32'h3ec8cd32, 32'h3ebb0563,32'h3eceb51d, 32'h3eb12d86,32'h3ed88cfa,// invsqrt(6.7640) = 0.3845 +32'h3f4a76e7,32'h3f8d0d82,32'h3f92cf5e, 32'h3f88bc1e,32'h3f9720c2, 32'h3f8189cb,32'h3f9e5315,// invsqrt(0.7909) = 1.1245 +32'h3f09f641,32'h3faadfc9,32'h3fb1d93f, 32'h3fa5a4b1,32'h3fb71457, 32'h3f9cecdd,32'h3fbfcc2b,// invsqrt(0.5389) = 1.3622 +32'h3f55284b,32'h3f897826,32'h3f8f1490, 32'h3f8542d6,32'h3f9349e0, 32'h3f7c7ea4,32'h3f9a4d64,// invsqrt(0.8326) = 1.0959 +32'h3f2e915d,32'h3f97e7d9,32'h3f9e1b1b, 32'h3f934167,32'h3fa2c18d, 32'h3f8b8154,32'h3faa81a0,// invsqrt(0.6819) = 1.2110 +32'h3ebbaf2f,32'h3fcf2f34,32'h3fd7a412, 32'h3fc8d78e,32'h3fddfbb8, 32'h3fbe4579,32'h3fe88dcd,// invsqrt(0.3666) = 1.6517 +32'h3f2486c4,32'h3f9c78fa,32'h3fa2dbf4, 32'h3f97aebe,32'h3fa7a630, 32'h3f8fb305,32'h3fafa1e9,// invsqrt(0.6427) = 1.2474 +32'h3fa774b8,32'h3f5b576b,32'h3f644b50, 32'h3f54a07f,32'h3f6b023b, 32'h3f496fa0,32'h3f76331a,// invsqrt(1.3082) = 0.8743 +32'h401128f1,32'h3f269571,32'h3f2d6213, 32'h3f217bf8,32'h3f327b8c, 32'h3f18fc2f,32'h3f3afb55,// invsqrt(2.2681) = 0.6640 +32'h3eec032c,32'h3fb8c217,32'h3fc04c9f, 32'h3fb31a30,32'h3fc5f486, 32'h3fa9ad05,32'h3fcf61b1,// invsqrt(0.4610) = 1.4729 +32'h3ee23782,32'h3fbcb747,32'h3fc46b2b, 32'h3fb6f05d,32'h3fca3215, 32'h3fad4f80,32'h3fd3d2f2,// invsqrt(0.4418) = 1.5044 +32'h3f9707b6,32'h3f66f619,32'h3f706368, 32'h3f5fe41d,32'h3f777563, 32'h3f541b7a,32'h3f819f03,// invsqrt(1.1799) = 0.9206 +32'h4026e79c,32'h3f1b5a8f,32'h3f21b1d9, 32'h3f169918,32'h3f267350, 32'h3f0eabfc,32'h3f2e606c,// invsqrt(2.6079) = 0.6192 +32'h40e04cbb,32'h3ebd854d,32'h3ec54199, 32'h3eb7b814,32'h3ecb0ed2, 32'h3eae0cb4,32'h3ed4ba32,// invsqrt(7.0094) = 0.3777 +32'h4033f321,32'h3f159de8,32'h3f1bb940, 32'h3f110966,32'h3f204dc2, 32'h3f096738,32'h3f27eff0,// invsqrt(2.8117) = 0.5964 +32'h3de239d7,32'h403cb64e,32'h40446a28, 32'h4036ef6b,32'h404a310b, 32'h402d4e9c,32'h4053d1db,// invsqrt(0.1105) = 3.0088 +32'h3ea167d1,32'h3fdf6a2c,32'h3fe888a1, 32'h3fd89355,32'h3fef5f79, 32'h3fcd2d43,32'h3ffac58b,// invsqrt(0.3152) = 1.7810 +32'h3f4c9156,32'h3f8c5367,32'h3f920da9, 32'h3f8807b4,32'h3f96595c, 32'h3f80dee1,32'h3f9d822f,// invsqrt(0.7991) = 1.1187 +32'h3f2bd830,32'h3f991ac7,32'h3f9f5a91, 32'h3f946af0,32'h3fa40a68, 32'h3f8c9b34,32'h3fabda24,// invsqrt(0.6713) = 1.2205 +32'h3eee8ef1,32'h3fb7c505,32'h3fbf453a, 32'h3fb224de,32'h3fc4e562, 32'h3fa8c49d,32'h3fce45a3,// invsqrt(0.4659) = 1.4650 +32'h3fd342b1,32'h3f434827,32'h3f4b40a5, 32'h3f3d4dc7,32'h3f513b05, 32'h3f335729,32'h3f5b31a3,// invsqrt(1.6505) = 0.7784 +32'h3f9d0fb5,32'h3f627bbf,32'h3f6bba45, 32'h3f5b8cdc,32'h3f72a928, 32'h3f4ffeb5,32'h3f7e374f,// invsqrt(1.2270) = 0.9028 +32'h3e6731b8,32'h4003ff81,32'h400962bf, 32'h3fffea23,32'h400d6d2e, 32'h3ff27206,32'h4014293d,// invsqrt(0.2258) = 2.1046 +32'h3e0ba2e9,32'h4029d8b8,32'h4030c772, 32'h4024a5ae,32'h4035fa7c, 32'h401bfb46,32'h403ea4e4,// invsqrt(0.1364) = 2.7080 +32'h3fe78fc3,32'h3f3a867b,32'h3f42237b, 32'h3f34d0bb,32'h3f47d93b, 32'h3f2b4c7c,32'h3f515d7b,// invsqrt(1.8091) = 0.7435 +32'h4082613b,32'h3ef8946f,32'h3f015ceb, 32'h3ef0f861,32'h3f052af2, 32'h3ee449a0,32'h3f0b8253,// invsqrt(4.0744) = 0.4954 +32'h3d6ae4ae,32'h4082f460,32'h40884cb8, 32'h407de43d,32'h408c4ef9, 32'h40708762,32'h4092fd67,// invsqrt(0.0573) = 4.1758 +32'h3f97572f,32'h3f66b96c,32'h3f702442, 32'h3f5fa94c,32'h3f773462, 32'h3f53e3c2,32'h3f817cf6,// invsqrt(1.1823) = 0.9197 +32'h3f5826b8,32'h3f888395,32'h3f8e1603, 32'h3f8455c2,32'h3f9243d6, 32'h3f7abd6f,32'h3f993ae0,// invsqrt(0.8443) = 1.0883 +32'h3f1cab16,32'h3fa05945,32'h3fa6e4bf, 32'h3f9b70a8,32'h3fabcd5c, 32'h3f93424f,32'h3fb3fbb5,// invsqrt(0.6120) = 1.2783 +32'h3eee8c86,32'h3fb7c5f4,32'h3fbf4632, 32'h3fb225c5,32'h3fc4e661, 32'h3fa8c578,32'h3fce46af,// invsqrt(0.4659) = 1.4650 +32'h40230f95,32'h3f1d2c96,32'h3f2396e6, 32'h3f185cdb,32'h3f2866a1, 32'h3f1057f8,32'h3f306b84,// invsqrt(2.5478) = 0.6265 +32'h3d159f62,32'h40a414a7,32'h40aac721, 32'h409f0ecc,32'h40afccfc, 32'h4096afb4,32'h40b82c14,// invsqrt(0.0365) = 5.2322 +32'h3f86749d,32'h3f74c883,32'h3f7ec640, 32'h3f6d4a37,32'h3f832247, 32'h3f60cd0b,32'h3f8960dc,// invsqrt(1.0504) = 0.9757 +32'h3de1a3f1,32'h403cf4f3,32'h4044ab5b, 32'h40372c25,32'h404a7429, 32'h402d8823,32'h4054182b,// invsqrt(0.1102) = 3.0127 +32'h3fd18ba4,32'h3f441451,32'h3f4c1525, 32'h3f3e13b2,32'h3f5215c4, 32'h3f3412a8,32'h3f5c16ce,// invsqrt(1.6371) = 0.7816 +32'h3f7be037,32'h3f7cecf3,32'h3f839fe2, 32'h3f752ed7,32'h3f877ef0, 32'h3f684753,32'h3f8df2b3,// invsqrt(0.9839) = 1.0082 +32'h3d8a1af3,32'h407186f1,32'h407b62a7, 32'h406a2228,32'h408163b8, 32'h405dcf84,32'h40878d0a,// invsqrt(0.0674) = 3.8509 +32'h3f94bf9a,32'h3f68b9d8,32'h3f723998, 32'h3f619a08,32'h3f795968, 32'h3f55ba59,32'h3f829c8c,// invsqrt(1.1621) = 0.9276 +32'h3f2b3ee3,32'h3f995f3f,32'h3f9fa1d4, 32'h3f94ad50,32'h3fa453c4, 32'h3f8cda16,32'h3fac26fe,// invsqrt(0.6689) = 1.2227 +32'h3f442073,32'h3f8f504c,32'h3f9529c8, 32'h3f8aed30,32'h3f998ce4, 32'h3f839d56,32'h3fa0dcbe,// invsqrt(0.7661) = 1.1425 +32'h3ea4fb57,32'h3fdcfae3,32'h3fe5ffe7, 32'h3fd63720,32'h3fecc3aa, 32'h3fcaf0db,32'h3ff809ef,// invsqrt(0.3222) = 1.7616 +32'h40ce782c,32'h3ec588db,32'h3ecd98e3, 32'h3ebf7cd4,32'h3ed3a4ea, 32'h3eb568c9,32'h3eddb8f5,// invsqrt(6.4522) = 0.3937 +32'h3f6b848e,32'h3f82c7e6,32'h3f881e6c, 32'h3f7d8e01,32'h3f8c1f51, 32'h3f7035b0,32'h3f92cb7a,// invsqrt(0.9200) = 1.0426 +32'h3f985d99,32'h3f65f267,32'h3f6f551d, 32'h3f5ee85f,32'h3f765f25, 32'h3f532cfb,32'h3f810d44,// invsqrt(1.1904) = 0.9166 +32'h40794f90,32'h3efe392f,32'h3f044cc8, 32'h3ef670e8,32'h3f0830ec, 32'h3ee97870,32'h3f0ead28,// invsqrt(3.8955) = 0.5067 +32'h3ec2b150,32'h3fcb6bd6,32'h3fd3b961, 32'h3fc531ad,32'h3fd9f389, 32'h3fbad0bf,32'h3fe45477,// invsqrt(0.3803) = 1.6217 +32'h4000e505,32'h3f30c841,32'h3f37ff73, 32'h3f2b5edc,32'h3f3d68d8, 32'h3f2259de,32'h3f466dd6,// invsqrt(2.0140) = 0.7046 +32'h3efe0509,32'h3fb216d0,32'h3fb95ba9, 32'h3faca32c,32'h3fbecf4c, 32'h3fa38d1c,32'h3fc7e55c,// invsqrt(0.4961) = 1.4197 +32'h3f15760d,32'h3fa42b56,32'h3faadebc, 32'h3f9f24c9,32'h3fafe549, 32'h3f96c488,32'h3fb8458a,// invsqrt(0.5838) = 1.3087 +32'h3f9cc55e,32'h3f62b16c,32'h3f6bf222, 32'h3f5bc0e3,32'h3f72e2ab, 32'h3f503000,32'h3f7e738e,// invsqrt(1.2248) = 0.9036 +32'h3f39aa70,32'h3f934bb4,32'h3f994eca, 32'h3f8ec962,32'h3f9dd11c, 32'h3f874586,32'h3fa554f8,// invsqrt(0.7253) = 1.1742 +32'h3f2829e9,32'h3f9ac567,32'h3fa1169b, 32'h3f960881,32'h3fa5d381, 32'h3f8e2301,32'h3fadb901,// invsqrt(0.6569) = 1.2338 +32'h3f57bfd8,32'h3f88a41d,32'h3f8e37df, 32'h3f84754b,32'h3f9266b1, 32'h3f7af930,32'h3f995f64,// invsqrt(0.8428) = 1.0893 +32'h3eb3b860,32'h3fd3b9a7,32'h3fdc5df7, 32'h3fcd3e6b,32'h3fe2d933, 32'h3fc27107,32'h3feda697,// invsqrt(0.3510) = 1.6879 +32'h4052a53e,32'h3f0a495c,32'h3f0fee50, 32'h3f060da5,32'h3f142a07, 32'h3efdfee8,32'h3f1b3838,// invsqrt(3.2913) = 0.5512 +32'h3f46f083,32'h3f8e4c03,32'h3f941adf, 32'h3f89f0df,32'h3f987603, 32'h3f82ae4c,32'h3f9fb896,// invsqrt(0.7771) = 1.1344 +32'h40bf895d,32'h3ecd171f,32'h3ed5761b, 32'h3ec6cfe2,32'h3edbbd58, 32'h3ebc5927,32'h3ee63413,// invsqrt(5.9855) = 0.4087 +32'h3fc1c018,32'h3f4bea4f,32'h3f543d04, 32'h3f45ac48,32'h3f5a7b0c, 32'h3f3b44e6,32'h3f64e26e,// invsqrt(1.5137) = 0.8128 +32'h3d835640,32'h4077ac23,32'h4080e408, 32'h40701731,32'h4084ae80, 32'h4063744a,32'h408afff4,// invsqrt(0.0641) = 3.9489 +32'h3f047f60,32'h3fae5cb3,32'h3fb57a9b, 32'h3fa90645,32'h3fbad109, 32'h3fa020e3,32'h3fc3b66b,// invsqrt(0.5176) = 1.3900 +32'h3fc257fa,32'h3f4b9a91,32'h3f53ea05, 32'h3f455efa,32'h3f5a259c, 32'h3f3afbaa,32'h3f6488ec,// invsqrt(1.5183) = 0.8116 +32'h3f958ba5,32'h3f681add,32'h3f71941f, 32'h3f60ffeb,32'h3f78af11, 32'h3f552858,32'h3f824352,// invsqrt(1.1683) = 0.9252 +32'h404a5862,32'h3f0d1825,32'h3f12da70, 32'h3f08c66e,32'h3f172c28, 32'h3f019390,32'h3f1e5f06,// invsqrt(3.1616) = 0.5624 +32'h3e94fea5,32'h3fe88896,32'h3ff20654, 32'h3fe16a49,32'h3ff924a1, 32'h3fd58d1c,32'h400280e7,// invsqrt(0.2910) = 1.8537 +32'h3e3b969f,32'h401289f9,32'h40188527, 32'h400e0d96,32'h401d018a, 32'h4006939b,32'h40247b85,// invsqrt(0.1832) = 2.3364 +32'h3f7f82e3,32'h3f7b1eac,32'h3f82af50, 32'h3f736eb7,32'h3f86874a, 32'h3f669ec9,32'h3f8cef42,// invsqrt(0.9981) = 1.0010 +32'h4015f2fa,32'h3f23e6e5,32'h3f2a9780, 32'h3f1ee270,32'h3f2f9bf4, 32'h3f1685ad,32'h3f37f8b7,// invsqrt(2.3430) = 0.6533 +32'h3ed2f152,32'h3fc36dcd,32'h3fcb67d6, 32'h3fbd7248,32'h3fd1635c, 32'h3fb379bd,32'h3fdb5be7,// invsqrt(0.4120) = 1.5579 +32'h4282f1f5,32'h3df80aea,32'h3e01155a, 32'h3df07311,32'h3e04e146, 32'h3de3cb54,32'h3e0b3525,// invsqrt(65.4726) = 0.1236 +32'h3ec450cc,32'h3fca9420,32'h3fd2d8de, 32'h3fc46092,32'h3fd90c6c, 32'h3fba0aa6,32'h3fe36259,// invsqrt(0.3834) = 1.6149 +32'h3fdb5fe5,32'h3f3fa2e7,32'h3f47754f, 32'h3f39c51a,32'h3f4d531c, 32'h3f2ffe18,32'h3f571a1e,// invsqrt(1.7139) = 0.7639 +32'h3fe85676,32'h3f3a36a7,32'h3f41d065, 32'h3f348359,32'h3f4783b3, 32'h3f2b032c,32'h3f5103e0,// invsqrt(1.8151) = 0.7422 +32'h409bddbf,32'h3ee3599d,32'h3eeca131, 32'h3edc63ef,32'h3ef396df, 32'h3ed0ca76,32'h3eff3058,// invsqrt(4.8708) = 0.4531 +32'h400688b3,32'h3f2d0994,32'h3f3419a5, 32'h3f27bd88,32'h3f3965b2, 32'h3f1ee974,32'h3f4239c6,// invsqrt(2.1021) = 0.6897 +32'h3f8244cf,32'h3f78af8b,32'h3f816b07, 32'h3f7112a9,32'h3f853978, 32'h3f646285,32'h3f8b918a,// invsqrt(1.0177) = 0.9913 +32'h410e63bf,32'h3ea8324b,32'h3eaf0fc7, 32'h3ea30c2f,32'h3eb435e3, 32'h3e9a7755,32'h3ebccabd,// invsqrt(8.8994) = 0.3352 +32'h400cdcc4,32'h3f291b17,32'h3f300212, 32'h3f23edd9,32'h3f352f4f, 32'h3f1b4d1f,32'h3f3dd009,// invsqrt(2.2010) = 0.6741 +32'h3e60898e,32'h4005f0c1,32'h400b684c, 32'h4001d71a,32'h400f81f4, 32'h3ff60359,32'h40165761,// invsqrt(0.2193) = 2.1355 +32'h405d3d68,32'h3f06ef56,32'h3f0c7145, 32'h3f02cde4,32'h3f1092b8, 32'h3ef7d6f3,32'h3f177523,// invsqrt(3.4569) = 0.5378 +32'h410da81b,32'h3ea8a18c,32'h3eaf8392, 32'h3ea37808,32'h3eb4ad16, 32'h3e9add81,32'h3ebd479d,// invsqrt(8.8535) = 0.3361 +32'h41317f14,32'h3e96a5b3,32'h3e9ccbcf, 32'h3e92091e,32'h3ea16864, 32'h3e8a597a,32'h3ea91808,// invsqrt(11.0935) = 0.3002 +32'h4088fd49,32'h3ef28243,32'h3efc683b, 32'h3eeb15c9,32'h3f01ea5b, 32'h3edeb652,32'h3f081a16,// invsqrt(4.2809) = 0.4833 +32'h3fc1ee5b,32'h3f4bd1fc,32'h3f5423b2, 32'h3f4594b3,32'h3f5a60fb, 32'h3f3b2e8e,32'h3f64c720,// invsqrt(1.5151) = 0.8124 +32'h402fb416,32'h3f1769f8,32'h3f1d9818, 32'h3f12c761,32'h3f223aaf, 32'h3f0b0dba,32'h3f29f456,// invsqrt(2.7454) = 0.6035 +32'h4007f8ce,32'h3f2c1ebb,32'h3f332536, 32'h3f26d9e0,32'h3f386a12, 32'h3f1e11c6,32'h3f41322c,// invsqrt(2.1246) = 0.6861 +32'h3df8ba9d,32'h4033f924,32'h403b51ae, 32'h402e76bd,32'h4040d415, 32'h40254812,32'h404a02c0,// invsqrt(0.1214) = 2.8695 +32'h3e80fc1b,32'h3ff9eba2,32'h40020f86, 32'h3ff24513,32'h4005e2ce, 32'h3fe584ce,32'h400c42f0,// invsqrt(0.2519) = 1.9924 +32'h3fcf9975,32'h3f44ff0a,32'h3f4d0972, 32'h3f3ef73b,32'h3f531141, 32'h3f34ea38,32'h3f5d1e44,// invsqrt(1.6219) = 0.7852 +32'h3f3365e2,32'h3f95d8c3,32'h3f9bf681, 32'h3f914274,32'h3fa08cd0, 32'h3f899d45,32'h3fa831ff,// invsqrt(0.7008) = 1.1946 +32'h3e78a164,32'h3ffe922b,32'h40047b16, 32'h3ff6c72a,32'h40086097, 32'h3fe9ca28,32'h400edf18,// invsqrt(0.2428) = 2.0294 +32'h3ea2062d,32'h3fdefce3,32'h3fe816e2, 32'h3fd82964,32'h3feeea62, 32'h3fccc8e6,32'h3ffa4ae0,// invsqrt(0.3165) = 1.7776 +32'h4098e9a3,32'h3ee58904,32'h3eeee76c, 32'h3ede8235,32'h3ef5ee3b, 32'h3ed2cc32,32'h3f00d21f,// invsqrt(4.7785) = 0.4575 +32'h4003e0df,32'h3f2ec55b,32'h3f35e789, 32'h3f296bb9,32'h3f3b412b, 32'h3f208100,32'h3f442be4,// invsqrt(2.0606) = 0.6966 +32'h4090268d,32'h3eec688a,32'h3ef60ec4, 32'h3ee52bdf,32'h3efd4b6f, 32'h3ed91c16,32'h3f04ad9c,// invsqrt(4.5047) = 0.4712 +32'h3ed6db51,32'h3fc1a403,32'h3fc98b5b, 32'h3fbbb680,32'h3fcf78de, 32'h3fb1d551,32'h3fd95a0d,// invsqrt(0.4196) = 1.5437 +32'h3edb8fda,32'h3fbf8df8,32'h3fc75f85, 32'h3fb9b0cf,32'h3fcd3caf, 32'h3fafeadf,32'h3fd7029f,// invsqrt(0.4288) = 1.5271 +32'h3f734f65,32'h3f80ab6a,32'h3f85ebe2, 32'h3f797622,32'h3f89dc3b, 32'h3f6c54f8,32'h3f906cd0,// invsqrt(0.9504) = 1.0257 +32'h404048ae,32'h3f10bd19,32'h3f16a579, 32'h3f0c4ed2,32'h3f1b13c0, 32'h3f04ec5b,32'h3f227637,// invsqrt(3.0044) = 0.5769 +32'h3e07173a,32'h402cae34,32'h4033ba8a, 32'h402764f4,32'h403903ca, 32'h401e9589,32'h4041d335,// invsqrt(0.1319) = 2.7532 +32'h3f35e5f7,32'h3f94d034,32'h3f9ae326, 32'h3f9041fe,32'h3f9f715c, 32'h3f88aa4f,32'h3fa7090b,// invsqrt(0.7105) = 1.1863 +32'h3f0e5d9f,32'h3fa835e9,32'h3faf138b, 32'h3fa30fb1,32'h3fb439c3, 32'h3f9a7aa7,32'h3fbccecd,// invsqrt(0.5561) = 1.3410 +32'h3fdf44c8,32'h3f3df532,32'h3f45b610, 32'h3f38248c,32'h3f4b86b6, 32'h3f2e7377,32'h3f5537cb,// invsqrt(1.7443) = 0.7572 +32'h3f2e4e63,32'h3f980505,32'h3f9e3979, 32'h3f935daf,32'h3fa2e0cf, 32'h3f8b9c1f,32'h3faaa25f,// invsqrt(0.6809) = 1.2119 +32'h3efcc360,32'h3fb287fd,32'h3fb9d175, 32'h3fad10e3,32'h3fbf488f, 32'h3fa3f50d,32'h3fc86465,// invsqrt(0.4937) = 1.4232 +32'h3f7c5019,32'h3f7cb4d9,32'h3f8382b0, 32'h3f74f874,32'h3f8760e2, 32'h3f6813cc,32'h3f8dd336,// invsqrt(0.9856) = 1.0073 +32'h4023784f,32'h3f1cfa35,32'h3f236276, 32'h3f182c05,32'h3f2830a7, 32'h3f1029b4,32'h3f3032f8,// invsqrt(2.5542) = 0.6257 +32'h3f0ae3f0,32'h3faa4d55,32'h3fb140d1, 32'h3fa516b8,32'h3fb6776e, 32'h3f9c665e,32'h3fbf27c8,// invsqrt(0.5425) = 1.3576 +32'h3f2d814b,32'h3f985ec4,32'h3f9e96e0, 32'h3f93b4ae,32'h3fa340f6, 32'h3f8bee8a,32'h3fab071a,// invsqrt(0.6778) = 1.2147 +32'h3f5cce40,32'h3f871149,32'h3f8c949b, 32'h3f82eecc,32'h3f90b718, 32'h3f78154d,32'h3f979b3d,// invsqrt(0.8625) = 1.0768 +32'h3f4c5c5e,32'h3f8c6595,32'h3f922095, 32'h3f881954,32'h3f966cd6, 32'h3f80ef93,32'h3f9d9697,// invsqrt(0.7983) = 1.1192 +32'h40289f4a,32'h3f1a8f7f,32'h3f20de7f, 32'h3f15d43f,32'h3f2599bf, 32'h3f0df17f,32'h3f2d7c7f,// invsqrt(2.6347) = 0.6161 +32'h421f93c2,32'h3e1ee169,32'h3e255d8d, 32'h3e1a044e,32'h3e2a3aa8, 32'h3e11e922,32'h3e3255d4,// invsqrt(39.8943) = 0.1583 +32'h3fbf53b2,32'h3f4d33e1,32'h3f559409, 32'h3f46ebc2,32'h3f5bdc28, 32'h3f3c7390,32'h3f66545a,// invsqrt(1.4947) = 0.8179 +32'h3f1ca049,32'h3fa05ecc,32'h3fa6ea80, 32'h3f9b7604,32'h3fabd348, 32'h3f934762,32'h3fb401ea,// invsqrt(0.6118) = 1.2785 +32'h40ad8543,32'h3ed7796e,32'h3ee044eb, 32'h3ed0e0d1,32'h3ee6dd89, 32'h3ec5e275,32'h3ef1dbe5,// invsqrt(5.4225) = 0.4294 +32'h3f6c6419,32'h3f828a01,32'h3f87de01, 32'h3f7d1602,32'h3f8bdd01, 32'h3f6fc402,32'h3f928601,// invsqrt(0.9234) = 1.0406 +32'h405b1f04,32'h3f0795f0,32'h3f0d1eac, 32'h3f036f64,32'h3f114538, 32'h3ef908f3,32'h3f183023,// invsqrt(3.4238) = 0.5404 +32'h4328e10e,32'h3d9a7164,32'h3da0bf2a, 32'h3d95b710,32'h3da5797e, 32'h3d8dd5da,32'h3dad5ab4,// invsqrt(168.8791) = 0.0770 +32'h40aa2b3e,32'h3ed99603,32'h3ee27790, 32'h3ed2ecd9,32'h3ee920b9, 32'h3ec7d2e8,32'h3ef43aaa,// invsqrt(5.3178) = 0.4336 +32'h3e92c521,32'h3fea4a0b,32'h3ff3da21, 32'h3fe31dfb,32'h3ffb0631, 32'h3fd729e1,32'h40037d26,// invsqrt(0.2867) = 1.8677 +32'h3f0a9452,32'h3faa7e3a,32'h3fb173b4, 32'h3fa5461e,32'h3fb6abd0, 32'h3f9c9345,32'h3fbf5ea9,// invsqrt(0.5413) = 1.3592 +32'h3e0ccccd,32'h402924ad,32'h40300c0d, 32'h4023f725,32'h40353995, 32'h401b55ed,32'h403ddacd,// invsqrt(0.1375) = 2.6968 +32'h3f026541,32'h3fafc30b,32'h3fb6ef93, 32'h3faa61a5,32'h3fbc50f9, 32'h3fa169fa,32'h3fc548a4,// invsqrt(0.5094) = 1.4012 +32'h407cabcd,32'h3efc86fa,32'h3f036ad0, 32'h3ef4cbfb,32'h3f07484e, 32'h3ee7e9ab,32'h3f0db977,// invsqrt(3.9480) = 0.5033 +32'h3f3ea816,32'h3f915ae5,32'h3f9749b4, 32'h3f8ce7c8,32'h3f9bbcd0, 32'h3f857d44,32'h3fa32754,// invsqrt(0.7448) = 1.1588 +32'h3dc87faf,32'h40487447,32'h4050a2d1, 32'h4042515f,32'h4056c5b9, 32'h40381731,32'h4060ffe7,// invsqrt(0.0979) = 3.1960 +32'h414926a2,32'h3e8d8338,32'h3e9349e2, 32'h3e892e39,32'h3e979ee1, 32'h3e81f5e5,32'h3e9ed735,// invsqrt(12.5719) = 0.2820 +32'h3eadf81e,32'h3fd73242,32'h3fdffad6, 32'h3fd09bd2,32'h3fe69146, 32'h3fc5a118,32'h3ff18c00,// invsqrt(0.3398) = 1.7155 +32'h3f8c1ca5,32'h3f6fca96,32'h3f79942a, 32'h3f687368,32'h3f8075ac, 32'h3f5c3770,32'h3f8693a8,// invsqrt(1.0946) = 0.9558 +32'h3f94346c,32'h3f692705,32'h3f72ab39, 32'h3f6203dd,32'h3f79ce61, 32'h3f561e9c,32'h3f82d9d1,// invsqrt(1.1578) = 0.9293 +32'h4087e840,32'h3ef378f0,32'h3efd68fa, 32'h3eec04e9,32'h3f026e81, 32'h3edf98dc,32'h3f08a487,// invsqrt(4.2471) = 0.4852 +32'h4018a232,32'h3f227448,32'h3f2915c3, 32'h3f1d7b2b,32'h3f2e0edf, 32'h3f153151,32'h3f3658b9,// invsqrt(2.3849) = 0.6475 +32'h3f3830db,32'h3f93e260,32'h3f99eb9e, 32'h3f8f5b72,32'h3f9e728c, 32'h3f87cfe6,32'h3fa5fe18,// invsqrt(0.7195) = 1.1789 +32'h3c3c1261,32'h411259ba,32'h411852f0, 32'h410dded1,32'h411ccdd9, 32'h4106674c,32'h4124455e,// invsqrt(0.0115) = 9.3336 +32'h3d59a34c,32'h40880c04,32'h408d9992, 32'h4083e1da,32'h4091c3bc, 32'h4079e1d4,32'h4098b4ac,// invsqrt(0.0531) = 4.3382 +32'h3f66c408,32'h3f841edc,32'h3f898362, 32'h3f801377,32'h3f8d8ec7, 32'h3f72ab9e,32'h3f944c6f,// invsqrt(0.9014) = 1.0533 +32'h405d77f9,32'h3f06dd7e,32'h3f0c5eb2, 32'h3f02bc97,32'h3f107f99, 32'h3ef7b62b,32'h3f17611a,// invsqrt(3.4604) = 0.5376 +32'h421a93cd,32'h3e216df9,32'h3e2804bf, 32'h3e1c7ce4,32'h3e2cf5d4, 32'h3e14406c,32'h3e35324c,// invsqrt(38.6443) = 0.1609 +32'h3f468e16,32'h3f8e6f44,32'h3f943f90, 32'h3f8a130b,32'h3f989bc9, 32'h3f82ceac,32'h3f9fe028,// invsqrt(0.7756) = 1.1355 +32'h3f8b5dae,32'h3f706ea7,32'h3f7a3eed, 32'h3f691273,32'h3f80cd90, 32'h3f5cce1c,32'h3f86efbc,// invsqrt(1.0888) = 0.9584 +32'h403161b9,32'h3f16b22a,32'h3f1cd8c8, 32'h3f121533,32'h3f2175bf, 32'h3f0a64ed,32'h3f292605,// invsqrt(2.7716) = 0.6007 +32'h3dcf66eb,32'h40451709,32'h404d226c, 32'h403f0e7e,32'h40532af6, 32'h40350041,32'h405d3933,// invsqrt(0.1013) = 3.1424 +32'h3f2b9ae5,32'h3f99361c,32'h3f9f7704, 32'h3f94856f,32'h3fa427b1, 32'h3f8cb44e,32'h3fabf8d2,// invsqrt(0.6703) = 1.2214 +32'h3f39ba28,32'h3f934578,32'h3f99484e, 32'h3f8ec358,32'h3f9dca6e, 32'h3f873fcc,32'h3fa54dfa,// invsqrt(0.7255) = 1.1740 +32'h3eab3c97,32'h3fd8e812,32'h3fe1c286, 32'h3fd2443b,32'h3fe8665d, 32'h3fc7332b,32'h3ff3776d,// invsqrt(0.3344) = 1.7292 +32'h3e3fd330,32'h4010e966,32'h4016d394, 32'h400c79c4,32'h401b4336, 32'h4005150a,32'h4022a7f0,// invsqrt(0.1873) = 2.3105 +32'h3cd8b7c9,32'h40c0ceb0,32'h40c8ad54, 32'h40bae7b5,32'h40ce944f, 32'h40b11168,32'h40d86a9c,// invsqrt(0.0265) = 6.1482 +32'h3e9eda64,32'h3fe133d7,32'h3fea64f9, 32'h3fda4efc,32'h3ff149d4, 32'h3fced191,32'h3ffcc73f,// invsqrt(0.3103) = 1.7953 +32'h3f60011a,32'h3f861987,32'h3f8b92bb, 32'h3f81fe9f,32'h3f8fada3, 32'h3f764e3b,32'h3f968524,// invsqrt(0.8750) = 1.0690 +32'h3f8b6cfa,32'h3f706177,32'h3f7a3132, 32'h3f6905a9,32'h3f80c67f, 32'h3f5cc1ff,32'h3f86e855,// invsqrt(1.0893) = 0.9582 +32'h3f8259d4,32'h3f789b7e,32'h3f816097, 32'h3f70ff38,32'h3f852eba, 32'h3f64501a,32'h3f8b8649,// invsqrt(1.0184) = 0.9909 +32'h3f3ba29e,32'h3f928549,32'h3f988047, 32'h3f8e090b,32'h3f9cfc85, 32'h3f868f4e,32'h3fa47642,// invsqrt(0.7330) = 1.1681 +32'h3fcd1fbd,32'h3f462e6e,32'h3f4e4538, 32'h3f401d55,32'h3f545651, 32'h3f3600d8,32'h3f5e72cf,// invsqrt(1.6025) = 0.7899 +32'h3f22ea4e,32'h3f9d3e90,32'h3fa3a99c, 32'h3f986e48,32'h3fa879e4, 32'h3f90687a,32'h3fb07fb2,// invsqrt(0.6364) = 1.2535 +32'h3f99662d,32'h3f652bc4,32'h3f6e865e, 32'h3f5e27d0,32'h3f758a52, 32'h3f52768f,32'h3f809dc9,// invsqrt(1.1984) = 0.9135 +32'h4093bacc,32'h3ee986eb,32'h3ef30f0a, 32'h3ee260d5,32'h3efa3521, 32'h3ed676af,32'h3f030fa4,// invsqrt(4.6166) = 0.4654 +32'h3fccb6c3,32'h3f466138,32'h3f4e7a15, 32'h3f404e91,32'h3f548cbb, 32'h3f362f7c,32'h3f5eabd0,// invsqrt(1.5993) = 0.7907 +32'h3fbbce04,32'h3f4f1e32,32'h3f57925e, 32'h3f48c711,32'h3f5de97f, 32'h3f3e35da,32'h3f687ab6,// invsqrt(1.4672) = 0.8256 +32'h3f9a444f,32'h3f64868a,32'h3f6dda66, 32'h3f5d87a5,32'h3f74d94b, 32'h3f51ded2,32'h3f80410f,// invsqrt(1.2052) = 0.9109 +32'h3fe3268c,32'h3f3c53e1,32'h3f4403b6, 32'h3f369002,32'h3f49c796, 32'h3f2cf438,32'h3f536360,// invsqrt(1.7746) = 0.7507 +32'h3fa2fd5c,32'h3f5e538d,32'h3f6766a2, 32'h3f57853c,32'h3f6e34f2, 32'h3f4c2d62,32'h3f798ccd,// invsqrt(1.2734) = 0.8862 +32'h3e1b8811,32'h4020ef03,32'h4027809b, 32'h401c01d1,32'h402c6dcd, 32'h4013cbd4,32'h4034a3ca,// invsqrt(0.1519) = 2.5659 +32'h40b48573,32'h3ed34142,32'h3edbe0a8, 32'h3eccc9b6,32'h3ee25834, 32'h3ec20276,32'h3eed1f74,// invsqrt(5.6413) = 0.4210 +32'h3fd3d5e7,32'h3f430440,32'h3f4af9fa, 32'h3f3d0bf5,32'h3f50f245, 32'h3f3318cd,32'h3f5ae56d,// invsqrt(1.6550) = 0.7773 +32'h3e9d872b,32'h3fe225cf,32'h3feb60d2, 32'h3fdb398c,32'h3ff24d14, 32'h3fcfafc8,32'h3ffdd6d8,// invsqrt(0.3077) = 1.8028 +32'h3e05c889,32'h402d85ae,32'h40349ad0, 32'h402835d5,32'h4039eaa9, 32'h401f5b6c,32'h4042c512,// invsqrt(0.1306) = 2.7666 +32'h4072c860,32'h3f00cf2d,32'h3f061119, 32'h3ef9bb76,32'h3f0a028b, 32'h3eec96a5,32'h3f1094f3,// invsqrt(3.7935) = 0.5134 +32'h3ff39837,32'h3f35dc2a,32'h3f3d486a, 32'h3f304afa,32'h3f42d99a, 32'h3f2703a9,32'h3f4c20eb,// invsqrt(1.9031) = 0.7249 +32'h3fd5dc23,32'h3f421767,32'h3f4a0376, 32'h3f3c265d,32'h3f4ff481, 32'h3f323f4a,32'h3f59db94,// invsqrt(1.6708) = 0.7736 +32'h3f8d660f,32'h3f6eb2a1,32'h3f7870c7, 32'h3f676405,32'h3f7fbf63, 32'h3f5b3655,32'h3f85f689,// invsqrt(1.1047) = 0.9514 +32'h3ecd0777,32'h3fc63a29,32'h3fce516e, 32'h3fc028b4,32'h3fd462e2, 32'h3fb60b9d,32'h3fde7ff9,// invsqrt(0.4004) = 1.5803 +32'h3f3d80e8,32'h3f91cbed,32'h3f97bf5a, 32'h3f8d555c,32'h3f9c35ec, 32'h3f85e514,32'h3fa3a634,// invsqrt(0.7402) = 1.1623 +32'h3fd2dc88,32'h3f43776f,32'h3f4b71dd, 32'h3f3d7b9e,32'h3f516dae, 32'h3f338295,32'h3f5b66b7,// invsqrt(1.6474) = 0.7791 +32'h3e9d15dc,32'h3fe27750,32'h3febb5a6, 32'h3fdb888e,32'h3ff2a468, 32'h3fcffaa2,32'h3ffe3254,// invsqrt(0.3068) = 1.8054 +32'h4021ba98,32'h3f1dd1f0,32'h3f244300, 32'h3f18fd25,32'h3f2917cb, 32'h3f10efd2,32'h3f31251e,// invsqrt(2.5270) = 0.6291 +32'h3eed0407,32'h3fb85ddf,32'h3fbfe451, 32'h3fb2b90a,32'h3fc58926, 32'h3fa950fc,32'h3fcef134,// invsqrt(0.4629) = 1.4698 +32'h3f04c30a,32'h3fae303e,32'h3fb54c56, 32'h3fa8db2d,32'h3fbaa167, 32'h3f9ff80f,32'h3fc38485,// invsqrt(0.5186) = 1.3886 +32'h3eda823c,32'h3fc00402,32'h3fc7da60, 32'h3fba233b,32'h3fcdbb27, 32'h3fb05746,32'h3fd7871c,// invsqrt(0.4268) = 1.5307 +32'h3e63041b,32'h4005350c,32'h400aa4ee, 32'h40012124,32'h400eb8d6, 32'h3ff4aa94,32'h401584b0,// invsqrt(0.2217) = 2.1238 +32'h402382af,32'h3f1cf53a,32'h3f235d46, 32'h3f182730,32'h3f282b50, 32'h3f102520,32'h3f302d60,// invsqrt(2.5549) = 0.6256 +32'h3f34e498,32'h3f9539eb,32'h3f9b512f, 32'h3f90a879,32'h3f9fe2a1, 32'h3f890b65,32'h3fa77fb5,// invsqrt(0.7066) = 1.1896 +32'h3d549a78,32'h4089a5f9,32'h408f4441, 32'h40856f42,32'h40937af8, 32'h407cd2ce,32'h409a80d3,// invsqrt(0.0519) = 4.3893 +32'h3e98f0ef,32'h3fe5838a,32'h3feee1ba, 32'h3fde7ce7,32'h3ff5e85d, 32'h3fd2c72b,32'h4000cf0c,// invsqrt(0.2987) = 1.8297 +32'h3f15e218,32'h3fa3f01f,32'h3faaa11c, 32'h3f9eeb63,32'h3fafa5d9, 32'h3f968e28,32'h3fb80314,// invsqrt(0.5855) = 1.3069 +32'h3f579713,32'h3f88b108,32'h3f8e4551, 32'h3f8481d0,32'h3f927488, 32'h3f7b10e9,32'h3f996de4,// invsqrt(0.8421) = 1.0897 +32'h3f1d2df6,32'h3fa01675,32'h3fa69f35, 32'h3f9b2fe4,32'h3fab85c6, 32'h3f9304f3,32'h3fb3b0b7,// invsqrt(0.6140) = 1.2762 +32'h3ea5a953,32'h3fdc86ba,32'h3fe58700, 32'h3fd5c685,32'h3fec4735, 32'h3fca862d,32'h3ff7878d,// invsqrt(0.3236) = 1.7580 +32'h3da306df,32'h405e4d10,32'h40675fe2, 32'h40577ef3,32'h406e2dff, 32'h404c276d,32'h40798585,// invsqrt(0.0796) = 3.5443 +32'h40595159,32'h3f0825a9,32'h3f0db442, 32'h3f03fab6,32'h3f11df34, 32'h3efa10ec,32'h3f18d174,// invsqrt(3.3956) = 0.5427 +32'h41001303,32'h3eb158f6,32'h3eb89610, 32'h3eabeb23,32'h3ebe03e3, 32'h3ea2dec3,32'h3ec71043,// invsqrt(8.0046) = 0.3535 +32'h3fdd5a1a,32'h3f3ec749,32'h3f4690ba, 32'h3f38f035,32'h3f4c67cf, 32'h3f2f3468,32'h3f56239c,// invsqrt(1.7293) = 0.7604 +32'h3ec8f5f4,32'h3fc83942,32'h3fd06564, 32'h3fc21828,32'h3fd6867e, 32'h3fb7e0fe,32'h3fe0bda8,// invsqrt(0.3925) = 1.5962 +32'h3e8ed05c,32'h3fed831a,32'h3ff734dd, 32'h3fe63dc9,32'h3ffe7a2f, 32'h3fda1f96,32'h40054c31,// invsqrt(0.2789) = 1.8934 +32'h3f48b0e8,32'h3f8dacb3,32'h3f93750e, 32'h3f89566f,32'h3f97cb53, 32'h3f821bfe,32'h3f9f05c4,// invsqrt(0.7839) = 1.1294 +32'h3fb04d57,32'h3f55c48e,32'h3f5e7e36, 32'h3f4f3950,32'h3f650974, 32'h3f44513f,32'h3f6ff185,// invsqrt(1.3774) = 0.8521 +32'h3d89a86a,32'h4071eb57,32'h407bcb27, 32'h406a837c,32'h40819981, 32'h405e2bb9,32'h4087c563,// invsqrt(0.0672) = 3.8571 +32'h3fe8486b,32'h3f3a3c48,32'h3f41d641, 32'h3f3488ce,32'h3f4789bc, 32'h3f2b0858,32'h3f510a32,// invsqrt(1.8147) = 0.7423 +32'h3f58f9be,32'h3f884122,32'h3f8dd0da, 32'h3f841558,32'h3f91fca4, 32'h3f7a4363,32'h3f98f04b,// invsqrt(0.8476) = 1.0862 +32'h3f9f2dde,32'h3f60f8c2,32'h3f6a277c, 32'h3f5a15b7,32'h3f710a87, 32'h3f4e9b4f,32'h3f7c84ef,// invsqrt(1.2436) = 0.8967 +32'h3ff4069b,32'h3f35b302,32'h3f3d1d95, 32'h3f302315,32'h3f42ad83, 32'h3f26ddde,32'h3f4bf2ba,// invsqrt(1.9065) = 0.7242 +32'h3f0b38fe,32'h3faa1948,32'h3fb10aa3, 32'h3fa4e442,32'h3fb63fa8, 32'h3f9c3690,32'h3fbeed5a,// invsqrt(0.5438) = 1.3560 +32'h3f8cd834,32'h3f6f2ab8,32'h3f78edc4, 32'h3f67d86e,32'h3f802007, 32'h3f5ba49e,32'h3f8639ef,// invsqrt(1.1003) = 0.9533 +32'h3e3523aa,32'h40151fee,32'h401b3622, 32'h40108f48,32'h401fc6c8, 32'h4008f387,32'h40276289,// invsqrt(0.1769) = 2.3776 +32'h3fceb8de,32'h3f4569f0,32'h3f4d78b5, 32'h3f3f5edb,32'h3f5383c9, 32'h3f354c63,32'h3f5d9641,// invsqrt(1.6150) = 0.7869 +32'h40117a54,32'h3f2666d2,32'h3f2d318c, 32'h3f214ec6,32'h3f324998, 32'h3f18d15e,32'h3f3ac701,// invsqrt(2.2731) = 0.6633 +32'h3fe4445d,32'h3f3bddd5,32'h3f4388d9, 32'h3f361d93,32'h3f49491b, 32'h3f2c87ce,32'h3f52dee0,// invsqrt(1.7833) = 0.7488 +32'h3e211cbe,32'h401e1f2d,32'h40249363, 32'h40194804,32'h40296a8c, 32'h401136c1,32'h40317bcf,// invsqrt(0.1573) = 2.5211 +32'h3e872caf,32'h3ff421a1,32'h3ffe188d, 32'h3feca86f,32'h4002c8df, 32'h3fe033c8,32'h40090333,// invsqrt(0.2640) = 1.9462 +32'h3ef2e8b6,32'h3fb61dd0,32'h3fbd8cbe, 32'h3fb08a9d,32'h3fc31ff1, 32'h3fa73ff3,32'h3fcc6a9b,// invsqrt(0.4744) = 1.4518 +32'h3efe21ea,32'h3fb20cb1,32'h3fb95121, 32'h3fac995d,32'h3fbec475, 32'h3fa383d2,32'h3fc7da00,// invsqrt(0.4964) = 1.4194 +32'h3c999cfd,32'h40e502dd,32'h40ee5bcc, 32'h40de002a,32'h40f55e80, 32'h40d25100,32'h410086d5,// invsqrt(0.0188) = 7.3027 +32'h3fd21b69,32'h3f43d130,32'h3f4bcf46, 32'h3f3dd29e,32'h3f51cdd8, 32'h3f33d502,32'h3f5bcb74,// invsqrt(1.6415) = 0.7805 +32'h3d423a70,32'h4090032b,32'h4095e3f3, 32'h408b9a95,32'h409a4c89, 32'h4084419a,32'h40a1a584,// invsqrt(0.0474) = 4.5922 +32'h407f6ed2,32'h3efb2889,32'h3f02b471, 32'h3ef37846,32'h3f068c93, 32'h3ee6a7d7,32'h3f0cf4ca,// invsqrt(3.9911) = 0.5006 +32'h3f31c917,32'h3f968655,32'h3f9cab29, 32'h3f91eab5,32'h3fa146c9, 32'h3f8a3cac,32'h3fa8f4d2,// invsqrt(0.6945) = 1.2000 +32'h40134bff,32'h3f255ef8,32'h3f2c1eee, 32'h3f204f00,32'h3f312ee6, 32'h3f17df0e,32'h3f399ed8,// invsqrt(2.3015) = 0.6592 +32'h40405d27,32'h3f10b565,32'h3f169d73, 32'h3f0c475a,32'h3f1b0b7e, 32'h3f04e548,32'h3f226d90,// invsqrt(3.0057) = 0.5768 +32'h3e94c1ab,32'h3fe8b83a,32'h3ff237e8, 32'h3fe19877,32'h3ff957ab, 32'h3fd5b8dc,32'h40029ba3,// invsqrt(0.2905) = 1.8552 +32'h3f9194cf,32'h3f6b3e6c,32'h3f74d87b, 32'h3f640ae1,32'h3f7c0c07, 32'h3f580a4f,32'h3f84064d,// invsqrt(1.1374) = 0.9377 +32'h3f89d7fa,32'h3f71c197,32'h3f7b9fb2, 32'h3f6a5b02,32'h3f818323, 32'h3f5e0560,32'h3f87adf4,// invsqrt(1.0769) = 0.9636 +32'h4038bb09,32'h3f13ab07,32'h3f19b202, 32'h3f0f25ca,32'h3f1e373e, 32'h3f079d10,32'h3f25bff8,// invsqrt(2.8864) = 0.5886 +32'h3fa7efa8,32'h3f5b0713,32'h3f63f7b1, 32'h3f54529d,32'h3f6aac27, 32'h3f4925d8,32'h3f75d8ec,// invsqrt(1.3120) = 0.8730 +32'h3f867431,32'h3f74c8e6,32'h3f7ec6a6, 32'h3f6d4a96,32'h3f83227b, 32'h3f60cd65,32'h3f896113,// invsqrt(1.0504) = 0.9757 +32'h40a0badb,32'h3edfe241,32'h3ee9059d, 32'h3ed907bd,32'h3eefe021, 32'h3ecd9b8a,32'h3efb4c54,// invsqrt(5.0228) = 0.4462 +32'h3b5e5bf4,32'h41869849,32'h418c16aa, 32'h41827980,32'h41903572, 32'h4177370d,32'h4197136c,// invsqrt(0.0034) = 17.1677 +32'h3efc67b7,32'h3fb2a864,32'h3fb9f32f, 32'h3fad304d,32'h3fbf6b47, 32'h3fa412cf,32'h3fc888c5,// invsqrt(0.4930) = 1.4242 +32'h431d6d11,32'h3d9ff65c,32'h3da67dcd, 32'h3d9b10c6,32'h3dab6362, 32'h3d92e778,32'h3db38cb0,// invsqrt(157.4260) = 0.0797 +32'h3fd88faf,32'h3f40e089,32'h3f48bfe7, 32'h3f3af902,32'h3f4ea76e, 32'h3f3121cc,32'h3f587ea4,// invsqrt(1.6919) = 0.7688 +32'h40418182,32'h3f1047eb,32'h3f162b81, 32'h3f0bdd3a,32'h3f1a9632, 32'h3f0480bd,32'h3f21f2af,// invsqrt(3.0235) = 0.5751 +32'h3ed55cf4,32'h3fc25138,32'h3fca3fa2, 32'h3fbc5e68,32'h3fd03272, 32'h3fb27462,32'h3fda1c78,// invsqrt(0.4167) = 1.5491 +32'h3ef75f3b,32'h3fb47756,32'h3fbbd506, 32'h3faef112,32'h3fc15b4a, 32'h3fa5bbf6,32'h3fca9066,// invsqrt(0.4831) = 1.4387 +32'h3d2f9d35,32'h409773d5,32'h409da25b, 32'h4092d0f0,32'h40a24540, 32'h408b16c9,32'h40a9ff67,// invsqrt(0.0429) = 4.8295 +32'h3f9d5d0e,32'h3f62440f,32'h3f6b804f, 32'h3f5b56e0,32'h3f726d7e, 32'h3f4fcb91,32'h3f7df8cd,// invsqrt(1.2294) = 0.9019 +32'h3fbae7db,32'h3f4f9d91,32'h3f5816f0, 32'h3f49428b,32'h3f5e71f7, 32'h3f3eaad4,32'h3f6909ae,// invsqrt(1.4602) = 0.8275 +32'h3d46c85e,32'h408e5a61,32'h409429d3, 32'h4089fecc,32'h40988568, 32'h4082bb7e,32'h409fc8b6,// invsqrt(0.0485) = 4.5393 +32'h3feb3d9f,32'h3f390f9a,32'h3f409d4d, 32'h3f336555,32'h3f464793, 32'h3f29f435,32'h3f4fb8b3,// invsqrt(1.8378) = 0.7376 +32'h3fe6b0e7,32'h3f3ae07e,32'h3f42812a, 32'h3f3527fd,32'h3f4839ab, 32'h3f2b9f25,32'h3f51c283,// invsqrt(1.8023) = 0.7449 +32'h3eff52a0,32'h3fb1a252,32'h3fb8e26b, 32'h3fac3241,32'h3fbe527d, 32'h3fa32222,32'h3fc7629c,// invsqrt(0.4987) = 1.4161 +32'h402b104b,32'h3f197421,32'h3f1fb791, 32'h3f14c18e,32'h3f246a24, 32'h3f0ced43,32'h3f2c3e6f,// invsqrt(2.6729) = 0.6117 +32'h3ed3542b,32'h3fc34013,32'h3fcb383e, 32'h3fbd45f4,32'h3fd1325e, 32'h3fb34fbe,32'h3fdb2894,// invsqrt(0.4128) = 1.5565 +32'h3bcd1e73,32'h41462f0d,32'h414e45df, 32'h41401df0,32'h415456fc, 32'h4136016a,32'h415e7382,// invsqrt(0.0063) = 12.6393 +32'h4008fb0e,32'h3f2b7c2f,32'h3f327c07, 32'h3f263c4d,32'h3f37bbe9, 32'h3f1d7c7f,32'h3f407bb7,// invsqrt(2.1403) = 0.6835 +32'h403fa624,32'h3f10fa6d,32'h3f16e54d, 32'h3f0c8a45,32'h3f1b5575, 32'h3f0524ad,32'h3f22bb0d,// invsqrt(2.9945) = 0.5779 +32'h3ea6a6a7,32'h3fdbdedd,32'h3fe4d849, 32'h3fd523cc,32'h3feb935a, 32'h3fc9ec04,32'h3ff6cb22,// invsqrt(0.3255) = 1.7528 +32'h3f47044a,32'h3f8e44f1,32'h3f941383, 32'h3f89ea04,32'h3f986e70, 32'h3f82a7ce,32'h3f9fb0a6,// invsqrt(0.7774) = 1.1342 +32'h402bac9d,32'h3f192e34,32'h3f1f6ec8, 32'h3f147dc4,32'h3f241f38, 32'h3f0cad0b,32'h3f2beff1,// invsqrt(2.6824) = 0.6106 +32'h3fd481b2,32'h3f42b55d,32'h3f4aa7de, 32'h3f3cbf7c,32'h3f509dbe, 32'h3f32d05a,32'h3f5a8ce0,// invsqrt(1.6602) = 0.7761 +32'h3f86fb8e,32'h3f744e0a,32'h3f7e46c6, 32'h3f6cd37c,32'h3f82e0aa, 32'h3f605c91,32'h3f891c20,// invsqrt(1.0546) = 0.9738 +32'h3eedb9a6,32'h3fb81764,32'h3fbf9af5, 32'h3fb274b7,32'h3fc53da1, 32'h3fa91041,32'h3fcea217,// invsqrt(0.4643) = 1.4676 +32'h3f98b084,32'h3f65b3ef,32'h3f6f1419, 32'h3f5eabd1,32'h3f761c37, 32'h3f52f39d,32'h3f80ea35,// invsqrt(1.1929) = 0.9156 +32'h3e27590f,32'h401b25dd,32'h40217b01, 32'h40166603,32'h40263adb, 32'h400e7b97,32'h402e2547,// invsqrt(0.1634) = 2.4737 +32'h44e0a000,32'h3cbd6229,32'h3cc51d06, 32'h3cb79603,32'h3ccae92b, 32'h3cadec6e,32'h3cd492c0,// invsqrt(1797.0000) = 0.0236 +32'h40ab6811,32'h3ed8cc8e,32'h3ee1a5e2, 32'h3ed2298f,32'h3ee848e1, 32'h3ec719e6,32'h3ef3588a,// invsqrt(5.3565) = 0.4321 +32'h3fb0228c,32'h3f55de84,32'h3f5e993c, 32'h3f4f527b,32'h3f652545, 32'h3f446916,32'h3f700eaa,// invsqrt(1.3761) = 0.8525 +32'h41640b35,32'h3e84e81e,32'h3e8a54dc, 32'h3e80d690,32'h3e8e666a, 32'h3e741d47,32'h3e952e56,// invsqrt(14.2527) = 0.2649 +32'h4098e18b,32'h3ee58f17,32'h3eeeedbf, 32'h3ede8819,32'h3ef5f4bd, 32'h3ed2d1c7,32'h3f00d588,// invsqrt(4.7775) = 0.4575 +32'h40465522,32'h3f0e83b6,32'h3f1454d8, 32'h3f0a26dd,32'h3f18b1b1, 32'h3f02e173,32'h3f1ff71b,// invsqrt(3.0989) = 0.5681 +32'h4105f547,32'h3ead68b1,32'h3eb47ca3, 32'h3ea819bb,32'h3eb9cb99, 32'h3e9f40cc,32'h3ec2a488,// invsqrt(8.3724) = 0.3456 +32'h3f68f116,32'h3f838083,32'h3f88de93, 32'h3f7ef3ee,32'h3f8ce51f, 32'h3f7188c7,32'h3f939ab2,// invsqrt(0.9099) = 1.0483 +32'h3fe4b03c,32'h3f3bb181,32'h3f435ab5, 32'h3f35f29a,32'h3f49199c, 32'h3f2c5f18,32'h3f52ad1e,// invsqrt(1.7866) = 0.7481 +32'h3f62e08a,32'h3f853f7d,32'h3f8aafcb, 32'h3f812b42,32'h3f8ec406, 32'h3f74bdc1,32'h3f959068,// invsqrt(0.8862) = 1.0622 +32'h402b1eaf,32'h3f196dad,32'h3f1fb0d9, 32'h3f14bb4c,32'h3f24633a, 32'h3f0ce756,32'h3f2c3730,// invsqrt(2.6737) = 0.6116 +32'h3a9d3f12,32'h41e259a1,32'h41eb96c1, 32'h41db6bc8,32'h41f2849a, 32'h41cfdf5f,32'h41fe1103,// invsqrt(0.0012) = 28.8712 +32'h3f6a6e76,32'h3f831561,32'h3f886f11, 32'h3f7e2439,32'h3f8c7255, 32'h3f70c400,32'h3f932272,// invsqrt(0.9157) = 1.0450 +32'h3d6501c3,32'h4084a07f,32'h408a0a50, 32'h40809123,32'h408e19ad, 32'h407399bb,32'h4094ddf2,// invsqrt(0.0559) = 4.2292 +32'h3f65284e,32'h3f849558,32'h3f89feb4, 32'h3f808652,32'h3f8e0dba, 32'h3f73853e,32'h3f94d16d,// invsqrt(0.8951) = 1.0569 +32'h3f136678,32'h3fa5501e,32'h3fac0f78, 32'h3fa0409a,32'h3fb11efc, 32'h3f97d16a,32'h3fb98e2c,// invsqrt(0.5758) = 1.3179 +32'h3fc6c9f4,32'h3f495081,32'h3f518809, 32'h3f4326db,32'h3f57b1af, 32'h3f38e171,32'h3f61f719,// invsqrt(1.5530) = 0.8024 +32'h3fca3824,32'h3f479981,32'h3f4fbf1e, 32'h3f417d4c,32'h3f55db54, 32'h3f374e48,32'h3f600a58,// invsqrt(1.5798) = 0.7956 +32'h3fdb326b,32'h3f3fb6c7,32'h3f4789ff, 32'h3f39d85e,32'h3f4d6868, 32'h3f301059,32'h3f57306d,// invsqrt(1.7125) = 0.7642 +32'h3f71352b,32'h3f813aa9,32'h3f8680f9, 32'h3f7a8bda,32'h3f8a75b5, 32'h3f6d5c12,32'h3f910d99,// invsqrt(0.9422) = 1.0302 +32'h3f2de9f7,32'h3f9830e3,32'h3f9e6720, 32'h3f938834,32'h3fa30fce, 32'h3f8bc467,32'h3faad39b,// invsqrt(0.6794) = 1.2133 +32'h3f299c7b,32'h3f9a1bf8,32'h3fa06640, 32'h3f956441,32'h3fa51df7, 32'h3f8d8766,32'h3facfad2,// invsqrt(0.6625) = 1.2285 +32'h3f2dc8bc,32'h3f983f6f,32'h3f9e7645, 32'h3f93964f,32'h3fa31f65, 32'h3f8bd1c4,32'h3faae3f0,// invsqrt(0.6788) = 1.2137 +32'h3f84d8d3,32'h3f7642c1,32'h3f8027f7, 32'h3f6eb8df,32'h3f83ece7, 32'h3f622868,32'h3f8a3523,// invsqrt(1.0379) = 0.9816 +32'h3fafa84a,32'h3f5628e5,32'h3f5ee6a5, 32'h3f4f9a95,32'h3f6574f5, 32'h3f44ad64,32'h3f706226,// invsqrt(1.3723) = 0.8536 +32'h3f7852ab,32'h3f7eba82,32'h3f849015, 32'h3f76ee45,32'h3f887634, 32'h3f69ef34,32'h3f8ef5bc,// invsqrt(0.9700) = 1.0153 +32'h3f9326e6,32'h3f69fc29,32'h3f738911, 32'h3f62d27c,32'h3f7ab2be, 32'h3f56e25a,32'h3f835170,// invsqrt(1.1496) = 0.9327 +32'h401f138f,32'h3f1f2162,32'h3f25a022, 32'h3f1a4252,32'h3f2a7f32, 32'h3f1223e2,32'h3f329da2,// invsqrt(2.4856) = 0.6343 +32'h3f7bf084,32'h3f7ce4c5,32'h3f839ba0, 32'h3f7526e8,32'h3f877a8e, 32'h3f683fcf,32'h3f8dee1b,// invsqrt(0.9841) = 1.0080 +32'h3fb1d30c,32'h3f54d9d0,32'h3f5d89e2, 32'h3f4e55c1,32'h3f640df1, 32'h3f4379aa,32'h3f6eea08,// invsqrt(1.3893) = 0.8484 +32'h3e4e6ba0,32'h400bb1d3,32'h4011657e, 32'h40076b14,32'h4015ac3e, 32'h40004a7e,32'h401cccd4,// invsqrt(0.2016) = 2.2273 +32'h3f3a0b6a,32'h3f93254b,32'h3f9926d1, 32'h3f8ea427,32'h3f9da7f5, 32'h3f872240,32'h3fa529dc,// invsqrt(0.7267) = 1.1730 +32'h3f9b99a2,32'h3f638b5a,32'h3f6cd4f6, 32'h3f5c9426,32'h3f73cc2a, 32'h3f50f824,32'h3f7f682c,// invsqrt(1.2156) = 0.9070 +32'h3fab1818,32'h3f58ff33,32'h3f61da99, 32'h3f525aa7,32'h3f687f25, 32'h3f474869,32'h3f739163,// invsqrt(1.3367) = 0.8649 +32'h400ba5c7,32'h3f29d6fa,32'h3f30c5a0, 32'h3f24a3fc,32'h3f35f89e, 32'h3f1bf9ac,32'h3f3ea2ee,// invsqrt(2.1820) = 0.6770 +32'h3fa72c03,32'h3f5b8718,32'h3f647cf0, 32'h3f54ceb7,32'h3f6b3551, 32'h3f499b6a,32'h3f76689e,// invsqrt(1.3060) = 0.8750 +32'h3eecc4de,32'h3fb87674,32'h3fbffde7, 32'h3fb2d0df,32'h3fc5a37d, 32'h3fa96790,32'h3fcf0ccc,// invsqrt(0.4624) = 1.4705 +32'h3f158c21,32'h3fa41f37,32'h3faad21f, 32'h3f9f1909,32'h3fafd84d, 32'h3f96b967,32'h3fb837ef,// invsqrt(0.5842) = 1.3084 +32'h3e95b1a2,32'h3fe7fd68,32'h3ff17576, 32'h3fe0e35d,32'h3ff88f81, 32'h3fd50d4a,32'h400232ca,// invsqrt(0.2924) = 1.8494 +32'h40f92865,32'h3eb3d179,32'h3ebb2864, 32'h3eae5049,32'h3ec0a995, 32'h3ea523a4,32'h3ec9d63a,// invsqrt(7.7862) = 0.3584 +32'h3fb1c7cb,32'h3f54e08d,32'h3f5d90e6, 32'h3f4e5c49,32'h3f641529, 32'h3f437fda,32'h3f6ef198,// invsqrt(1.3889) = 0.8485 +32'h3f267be2,32'h3f9b8cca,32'h3fa1e620, 32'h3f96c9c9,32'h3fa6a921, 32'h3f8eda1c,32'h3fae98ce,// invsqrt(0.6503) = 1.2400 +32'h40612809,32'h3f05c196,32'h3f0b3734, 32'h3f01a960,32'h3f0f4f6a, 32'h3ef5acb6,32'h3f16226f,// invsqrt(3.5181) = 0.5331 +32'h3f115d9d,32'h3fa67740,32'h3fad42a6, 32'h3fa15eb4,32'h3fb25b32, 32'h3f98e074,32'h3fbad972,// invsqrt(0.5678) = 1.3271 +32'h4019744f,32'h3f2204ea,32'h3f28a1d9, 32'h3f1d0f36,32'h3f2d978c, 32'h3f14cb0a,32'h3f35dbb8,// invsqrt(2.3977) = 0.6458 +32'h407c1955,32'h3efcd04b,32'h3f0390f8, 32'h3ef51310,32'h3f076f96, 32'h3ee82d01,32'h3f0de29d,// invsqrt(3.9390) = 0.5039 +32'h3fc65422,32'h3f498c44,32'h3f51c63c, 32'h3f4360ca,32'h3f57f1b6, 32'h3f391853,32'h3f623a2d,// invsqrt(1.5494) = 0.8034 +32'h3fb94694,32'h3f5086da,32'h3f5909be, 32'h3f4a24af,32'h3f5f6be9, 32'h3f3f8111,32'h3f6a0f87,// invsqrt(1.4475) = 0.8312 +32'h3f1cc25d,32'h3fa04d5d,32'h3fa6d85b, 32'h3f9b651e,32'h3fabc09a, 32'h3f933760,32'h3fb3ee58,// invsqrt(0.6123) = 1.2779 +32'h3faae50c,32'h3f591f9a,32'h3f61fc52, 32'h3f527a10,32'h3f68a1dc, 32'h3f47662a,32'h3f73b5c2,// invsqrt(1.3351) = 0.8654 +32'h3f43e48c,32'h3f8f6634,32'h3f954094, 32'h3f8b026c,32'h3f99a45c, 32'h3f83b174,32'h3fa0f554,// invsqrt(0.7652) = 1.1432 +32'h3f6c11b5,32'h3f82a0c7,32'h3f87f5b5, 32'h3f7d4229,32'h3f8bf568, 32'h3f6fedd6,32'h3f929f91,// invsqrt(0.9221) = 1.0414 +32'h4035bd3f,32'h3f14e0de,32'h3f1af47f, 32'h3f105226,32'h3f1f8338, 32'h3f08b99e,32'h3f271bc0,// invsqrt(2.8397) = 0.5934 +32'h3fd64a9e,32'h3f41e558,32'h3f49cf5c, 32'h3f3bf5d6,32'h3f4fbede, 32'h3f321151,32'h3f59a363,// invsqrt(1.6742) = 0.7729 +32'h3f38b5b3,32'h3f93ad29,32'h3f99b43a, 32'h3f8f27db,32'h3f9e3987, 32'h3f879f06,32'h3fa5c25c,// invsqrt(0.7215) = 1.1773 +32'h41680673,32'h3e83c2f0,32'h3e8923b6, 32'h3e7f74b7,32'h3e8d2c4a, 32'h3e7202c9,32'h3e93e542,// invsqrt(14.5016) = 0.2626 +32'h3e14cd66,32'h40248844,32'h402b3f76, 32'h401f7edf,32'h403048db, 32'h401719e0,32'h4038adda,// invsqrt(0.1453) = 2.6233 +32'h3cb82f63,32'h40d124aa,32'h40d9adff, 32'h40cabda9,32'h40e014ff, 32'h40c011ff,32'h40eac0a9,// invsqrt(0.0225) = 6.6691 +32'h3d3674ff,32'h409495d4,32'h409aa664, 32'h40900967,32'h409f32d1, 32'h408874b3,32'h40a6c785,// invsqrt(0.0445) = 4.7381 +32'h3fd7d245,32'h3f41351a,32'h3f4917ec, 32'h3f3b4afc,32'h3f4f020a, 32'h3f316f76,32'h3f58dd90,// invsqrt(1.6861) = 0.7701 +32'h3f5e9554,32'h3f8686ef,32'h3f8c049b, 32'h3f8268ae,32'h3f9022dc, 32'h3f77172f,32'h3f96fff2,// invsqrt(0.8695) = 1.0724 +32'h3f121e7e,32'h3fa6093e,32'h3facd026, 32'h3fa0f410,32'h3fb1e554, 32'h3f987b6d,32'h3fba5df7,// invsqrt(0.5708) = 1.3236 +32'h3f646b9a,32'h3f84cc10,32'h3f8a37a8, 32'h3f80bb5e,32'h3f8e485a, 32'h3f73e9bf,32'h3f950ed8,// invsqrt(0.8923) = 1.0587 +32'h3ea89cd0,32'h3fda967f,32'h3fe38285, 32'h3fd3e57c,32'h3fea3388, 32'h3fc8be75,32'h3ff55a8f,// invsqrt(0.3293) = 1.7426 +32'h3e978a56,32'h3fe69278,32'h3feffbb7, 32'h3fdf838a,32'h3ff70aa6, 32'h3fd3bffc,32'h4001671a,// invsqrt(0.2960) = 1.8381 +32'h400c0e40,32'h3f299796,32'h3f3083a6, 32'h3f246689,32'h3f35b4b3, 32'h3f1bbf75,32'h3f3e5bc7,// invsqrt(2.1884) = 0.6760 +32'h3f87a5fd,32'h3f73b460,32'h3f7da6d6, 32'h3f6c3e86,32'h3f828e58, 32'h3f5fcf72,32'h3f88c5e2,// invsqrt(1.0598) = 0.9714 +32'h3e2a9077,32'h4019ad97,32'h401ff35f, 32'h4014f942,32'h4024a7b4, 32'h400d2208,32'h402c7eee,// invsqrt(0.1666) = 2.4502 +32'h3f823263,32'h3f78c123,32'h3f81742f, 32'h3f7123b6,32'h3f8542e5, 32'h3f6472ad,32'h3f8b9b6a,// invsqrt(1.0172) = 0.9915 +32'h40d77e3b,32'h3ec15ac3,32'h3ec93f1e, 32'h3ebb6f7e,32'h3ecf2a62, 32'h3eb1920b,32'h3ed907d5,// invsqrt(6.7342) = 0.3854 +32'h3f0e86fb,32'h3fa81d7f,32'h3faefa21, 32'h3fa2f806,32'h3fb41f9a, 32'h3f9a643b,32'h3fbcb365,// invsqrt(0.5567) = 1.3402 +32'h3fa607db,32'h3f5c47e9,32'h3f65459f, 32'h3f5589a1,32'h3f6c03e7, 32'h3f4a4c7d,32'h3f77410b,// invsqrt(1.2971) = 0.8780 +32'h404ce7d9,32'h3f0c35c4,32'h3f11eed2, 32'h3f07eafa,32'h3f16399c, 32'h3f00c3aa,32'h3f1d60ec,// invsqrt(3.2017) = 0.5589 +32'h3f366d61,32'h3f9498ee,32'h3f9aa99e, 32'h3f900c69,32'h3f9f3623, 32'h3f88778c,32'h3fa6cb00,// invsqrt(0.7126) = 1.1846 +32'h3f42fd14,32'h3f8fbb38,32'h3f959910, 32'h3f8b54d5,32'h3f99ff73, 32'h3f83ff87,32'h3fa154c1,// invsqrt(0.7617) = 1.1458 +32'h3db8a2ea,32'h4050e331,32'h405969db, 32'h404a7e32,32'h405fceda, 32'h403fd5df,32'h406a772d,// invsqrt(0.0902) = 3.3305 +32'h3febb47c,32'h3f38e0eb,32'h3f406cb6, 32'h3f333813,32'h3f46158f, 32'h3f29c956,32'h3f4f844c,// invsqrt(1.8414) = 0.7369 +32'h3e2139b2,32'h401e10fa,32'h4024849c, 32'h40193a41,32'h40295b55, 32'h401129b7,32'h40316bdf,// invsqrt(0.1574) = 2.5202 +32'h3ec3e5dc,32'h3fcacb64,32'h3fd31262, 32'h3fc49624,32'h3fd947a2, 32'h3fba3d66,32'h3fe3a060,// invsqrt(0.3826) = 1.6167 +32'h3e4d3638,32'h400c1afb,32'h4011d2f1, 32'h4007d103,32'h40161ce9, 32'h4000ab11,32'h401d42db,// invsqrt(0.2004) = 2.2338 +32'h40088b24,32'h3f2bc267,32'h3f32c51d, 32'h3f26805f,32'h3f380725, 32'h3f1dbcfb,32'h3f40ca89,// invsqrt(2.1335) = 0.6846 +32'h3f8d3a17,32'h3f6ed7c7,32'h3f789771, 32'h3f678807,32'h3f7fe731, 32'h3f5b5873,32'h3f860b63,// invsqrt(1.1033) = 0.9520 +32'h3f5160e4,32'h3f8ab44f,32'h3f905da1, 32'h3f867552,32'h3f949c9e, 32'h3f7ec358,32'h3f9bb044,// invsqrt(0.8179) = 1.1057 +32'h3f9f8a54,32'h3f60b788,32'h3f69e398, 32'h3f59d67c,32'h3f70c4a4, 32'h3f4e5f68,32'h3f7c3bb8,// invsqrt(1.2464) = 0.8957 +32'h3e68651f,32'h4003a817,32'h400907c5, 32'h3fff40ab,32'h400d0f87, 32'h3ff1d179,32'h4013c71f,// invsqrt(0.2269) = 2.0991 +32'h4076f0ef,32'h3eff70b3,32'h3f04eee5, 32'h3ef79ee1,32'h3f08d7cd, 32'h3eea9685,32'h3f0f5bfc,// invsqrt(3.8585) = 0.5091 +32'h3f26e048,32'h3f9b5df8,32'h3fa1b566, 32'h3f969c66,32'h3fa676f8, 32'h3f8eaf1e,32'h3fae6440,// invsqrt(0.6519) = 1.2386 +32'h3f504528,32'h3f8b12aa,32'h3f90bfd6, 32'h3f86d0ca,32'h3f9501b6, 32'h3f7f70a6,32'h3f9c1a2d,// invsqrt(0.8136) = 1.1087 +32'h3f484dca,32'h3f8dcfbd,32'h3f939986, 32'h3f897866,32'h3f97f0dc, 32'h3f823c2a,32'h3f9f2d18,// invsqrt(0.7824) = 1.1305 +32'h3f337235,32'h3f95d39e,32'h3f9bf127, 32'h3f913d77,32'h3fa0874d, 32'h3f89988b,32'h3fa82c39,// invsqrt(0.7010) = 1.1944 +32'h3f0ff503,32'h3fa7473c,32'h3fae1b20, 32'h3fa22852,32'h3fb33a0a, 32'h3f999f76,32'h3fbbc2e6,// invsqrt(0.5623) = 1.3335 +32'h4025c4d3,32'h3f1be295,32'h3f223f6d, 32'h3f171cf4,32'h3f27050e, 32'h3f0f28e7,32'h3f2ef91b,// invsqrt(2.5901) = 0.6214 +32'h40006d83,32'h3f311a6f,32'h3f3854fb, 32'h3f2bae86,32'h3f3dc0e4, 32'h3f22a556,32'h3f46ca14,// invsqrt(2.0067) = 0.7059 +32'h3f79c7bd,32'h3f7dfc00,32'h3f842cf0, 32'h3f763597,32'h3f881024, 32'h3f69403e,32'h3f8e8ad1,// invsqrt(0.9757) = 1.0124 +32'h402b37e3,32'h3f196262,32'h3f1fa518, 32'h3f14b05a,32'h3f245720, 32'h3f0cdcf7,32'h3f2c2a83,// invsqrt(2.6753) = 0.6114 +32'h3eea73b6,32'h3fb95f39,32'h3fc0f02c, 32'h3fb3b284,32'h3fc69ce2, 32'h3faa3d54,32'h3fd01212,// invsqrt(0.4579) = 1.4778 +32'h3eca7204,32'h3fc77cf7,32'h3fcfa16a, 32'h3fc161a2,32'h3fd5bcc0, 32'h3fb73412,32'h3fdfea50,// invsqrt(0.3954) = 1.5903 +32'h3dba933d,32'h404fcca0,32'h405847eb, 32'h40497029,32'h405ea463, 32'h403ed60c,32'h40693e80,// invsqrt(0.0911) = 3.3131 +32'h3f162fbd,32'h3fa3c5ba,32'h3faa74fb, 32'h3f9ec249,32'h3faf786b, 32'h3f966737,32'h3fb7d37d,// invsqrt(0.5867) = 1.3056 +32'h3f08ae05,32'h3fabac7c,32'h3fb2ae4c, 32'h3fa66b1f,32'h3fb7efa9, 32'h3f9da8da,32'h3fc0b1ee,// invsqrt(0.5339) = 1.3686 +32'h3f1b780a,32'h3fa0f74e,32'h3fa7893d, 32'h3f9c09dc,32'h3fac76b0, 32'h3f93d372,32'h3fb4ad1a,// invsqrt(0.6073) = 1.2832 +32'h3f80357a,32'h3f7aacf0,32'h3f82741f, 32'h3f730076,32'h3f864a5c, 32'h3f663655,32'h3f8caf6d,// invsqrt(1.0016) = 0.9992 +32'h41a1f7d6,32'h3e5f06c2,32'h3e682128, 32'h3e5832f6,32'h3e6ef4f4, 32'h3e4cd1f6,32'h3e7a55f4,// invsqrt(20.2460) = 0.2222 +32'h3f40564c,32'h3f90b7f9,32'h3f96a023, 32'h3f8c49da,32'h3f9b0e42, 32'h3f84e7a6,32'h3fa27076,// invsqrt(0.7513) = 1.1537 +32'h40314434,32'h3f16beb6,32'h3f1ce5d7, 32'h3f12215c,32'h3f218330, 32'h3f0a7072,32'h3f29341a,// invsqrt(2.7698) = 0.6009 +32'h3fb247dd,32'h3f549409,32'h3f5d4143, 32'h3f4e121e,32'h3f63c32e, 32'h3f433995,32'h3f6e9bb7,// invsqrt(1.3928) = 0.8473 +32'h3daa3b15,32'h40598be3,32'h40626d07, 32'h4052e309,32'h406915e1, 32'h4047c99c,32'h40742f4e,// invsqrt(0.0831) = 3.4685 +32'h3f0f7bcd,32'h3fa78dd5,32'h3fae649a, 32'h3fa26cc2,32'h3fb385ae, 32'h3f99e04c,32'h3fbc1224,// invsqrt(0.5605) = 1.3357 +32'h41d2489b,32'h3e43bc24,32'h3e4bb95e, 32'h3e3dbe37,32'h3e51b74b, 32'h3e33c1ae,32'h3e5bb3d4,// invsqrt(26.2855) = 0.1950 +32'h3e0d2d18,32'h4028eaf4,32'h402fcff8, 32'h4023bf30,32'h4034fbbc, 32'h401b20ea,32'h403d9a02,// invsqrt(0.1379) = 2.6932 +32'h3fa26faf,32'h3f5eb46c,32'h3f67cb76, 32'h3f57e325,32'h3f6e9cbd, 32'h3f4c8659,32'h3f79f989,// invsqrt(1.2690) = 0.8877 +32'h3f51b5f6,32'h3f8a982a,32'h3f904056, 32'h3f865a0a,32'h3f947e76, 32'h3f7e8fa6,32'h3f9b90ad,// invsqrt(0.8192) = 1.1049 +32'h3e5275cb,32'h400a58f2,32'h400ffe88, 32'h40061cc0,32'h40143aba, 32'h3ffe1b87,32'h401b49b6,// invsqrt(0.2055) = 2.2058 +32'h3f1510de,32'h3fa46304,32'h3fab18b0, 32'h3f9f5ac2,32'h3fb020f2, 32'h3f96f7ab,32'h3fb88409,// invsqrt(0.5823) = 1.3105 +32'h3f16a1f6,32'h3fa38796,32'h3faa344e, 32'h3f9e860c,32'h3faf35d8, 32'h3f962e27,32'h3fb78dbd,// invsqrt(0.5884) = 1.3036 +32'h4161bad0,32'h3e859612,32'h3e8b09e9, 32'h3e817f31,32'h3e8f20cb, 32'h3e755cc9,32'h3e95f197,// invsqrt(14.1081) = 0.2662 +32'h3f2ccf74,32'h3f98ad17,32'h3f9ee866, 32'h3f94009b,32'h3fa394e1, 32'h3f8c3678,32'h3fab5f04,// invsqrt(0.6750) = 1.2171 +32'h421f99e0,32'h3e1ede5e,32'h3e255a62, 32'h3e1a015b,32'h3e2a3765, 32'h3e11e656,32'h3e32526a,// invsqrt(39.9003) = 0.1583 +32'h400ab72a,32'h3f2a68cf,32'h3f315d69, 32'h3f25315b,32'h3f3694dd, 32'h3f1c7f99,32'h3f3f469f,// invsqrt(2.1674) = 0.6792 +32'h3f05e8f5,32'h3fad70ab,32'h3fb484f1, 32'h3fa82177,32'h3fb9d425, 32'h3f9f4820,32'h3fc2ad7c,// invsqrt(0.5231) = 1.3827 +32'h4097d6f2,32'h3ee65846,32'h3eefbf25, 32'h3edf4b20,32'h3ef6cc4c, 32'h3ed38a8a,32'h3f014671,// invsqrt(4.7450) = 0.4591 +32'h3fa2e574,32'h3f5e63dc,32'h3f67779c, 32'h3f57950c,32'h3f6e466c, 32'h3f4c3c5c,32'h3f799f1c,// invsqrt(1.2726) = 0.8864 +32'h3f0ec50e,32'h3fa7f8ef,32'h3faed413, 32'h3fa2d494,32'h3fb3f86e, 32'h3f9a42a7,32'h3fbc8a5b,// invsqrt(0.5577) = 1.3391 +32'h41d16f0d,32'h3e4421b3,32'h3e4c2313, 32'h3e3e20ab,32'h3e52241b, 32'h3e341ef3,32'h3e5c25d3,// invsqrt(26.1792) = 0.1954 +32'h3fd11031,32'h3f444e2d,32'h3f4c515d, 32'h3f3e4bc8,32'h3f5253c2, 32'h3f3447cb,32'h3f5c57bf,// invsqrt(1.6333) = 0.7825 +32'h3eddcb23,32'h3fbe96a6,32'h3fc65e1a, 32'h3fb8c10e,32'h3fcc33b2, 32'h3faf07bd,32'h3fd5ed03,// invsqrt(0.4332) = 1.5194 +32'h418c057a,32'h3e6fde6c,32'h3e79a8ce, 32'h3e6886a2,32'h3e80804c, 32'h3e5c49a7,32'h3e869eca,// invsqrt(17.5027) = 0.2390 +32'h3f4e074a,32'h3f8bd3d3,32'h3f9188e1, 32'h3f878c09,32'h3f95d0ab, 32'h3f8069b7,32'h3f9cf2fd,// invsqrt(0.8048) = 1.1147 +32'h4025871a,32'h3f1bffa3,32'h3f225da9, 32'h3f17391e,32'h3f27242e, 32'h3f0f4395,32'h3f2f19b7,// invsqrt(2.5864) = 0.6218 +32'h40ad9a69,32'h3ed76c4e,32'h3ee03742, 32'h3ed0d418,32'h3ee6cf78, 32'h3ec5d667,32'h3ef1cd29,// invsqrt(5.4251) = 0.4293 +32'h3c50f841,32'h410ad704,32'h411081c1, 32'h410696f8,32'h4114c1ce, 32'h40ff0319,32'h411bd73a,// invsqrt(0.0128) = 8.8546 +32'h40db6108,32'h3ebfa268,32'h3ec774ca, 32'h3eb9c49e,32'h3ecd5294, 32'h3eaffda3,32'h3ed7198f,// invsqrt(6.8556) = 0.3819 +32'h3f156461,32'h3fa4350b,32'h3faae8d7, 32'h3f9f2e32,32'h3fafefb0, 32'h3f96cd72,32'h3fb85070,// invsqrt(0.5836) = 1.3090 +32'h40959796,32'h3ee81199,32'h3ef18a7b, 32'h3ee0f6f0,32'h3ef8a524, 32'h3ed51fd6,32'h3f023e1f,// invsqrt(4.6748) = 0.4625 +32'h3f190c4f,32'h3fa23bed,32'h3fa8db1b, 32'h3f9d448a,32'h3fadd27e, 32'h3f94fd90,32'h3fb61978,// invsqrt(0.5978) = 1.2933 +32'h40b7ccbe,32'h3ed15cc2,32'h3ed9e861, 32'h3ecaf40a,32'h3ee05118, 32'h3ec04582,32'h3eeaffa0,// invsqrt(5.7437) = 0.4173 +32'h3f2879a4,32'h3f9aa0c3,32'h3fa0f078, 32'h3f95e4fc,32'h3fa5ac40, 32'h3f8e015b,32'h3fad8fe1,// invsqrt(0.6581) = 1.2327 +32'h4017dadd,32'h3f22dec4,32'h3f298498, 32'h3f1de265,32'h3f2e80f7, 32'h3f15931d,32'h3f36d03f,// invsqrt(2.3727) = 0.6492 +32'h3eb6ca5a,32'h3fd1f087,32'h3fda822f, 32'h3fcb834a,32'h3fe0ef6c, 32'h3fc0cd38,32'h3feba57e,// invsqrt(0.3570) = 1.6736 +32'h40290d6d,32'h3f1a5d1e,32'h3f20aa10, 32'h3f15a369,32'h3f2563c5, 32'h3f0dc33b,32'h3f2d43f3,// invsqrt(2.6414) = 0.6153 +32'h3f300d96,32'h3f974377,32'h3f9d7003, 32'h3f92a20d,32'h3fa2116d, 32'h3f8aea5d,32'h3fa9c91d,// invsqrt(0.6877) = 1.2059 +32'h402da350,32'h3f184fd6,32'h3f1e8756, 32'h3f13a635,32'h3f2330f7, 32'h3f0be0d4,32'h3f2af658,// invsqrt(2.7131) = 0.6071 +32'h3e2313a6,32'h401d2aa0,32'h402394db, 32'h40185af4,32'h40286488, 32'h4010562b,32'h40306951,// invsqrt(0.1593) = 2.5058 +32'h3e6a92c1,32'h40030b3c,32'h40086483, 32'h3ffe1090,32'h400c6778, 32'h3ff0b160,32'h40131710,// invsqrt(0.2291) = 2.0893 +32'h3f613608,32'h3f85bd6e,32'h3f8b32e0, 32'h3f81a558,32'h3f8f4af6, 32'h3f75a513,32'h3f961dc4,// invsqrt(0.8797) = 1.0662 +32'h3fcc36ea,32'h3f469f47,32'h3f4ebaad, 32'h3f408aba,32'h3f54cf3a, 32'h3f36687b,32'h3f5ef179,// invsqrt(1.5954) = 0.7917 +32'h3d31631a,32'h4096b194,32'h409cd82c, 32'h409214a2,32'h40a1751e, 32'h408a6463,32'h40a9255d,// invsqrt(0.0433) = 4.8053 +32'h3e81869f,32'h3ff965dc,32'h4001c9e8, 32'h3ff1c365,32'h40059b24, 32'h3fe509f4,32'h400bf7dc,// invsqrt(0.2530) = 1.9882 +32'h3fe42f25,32'h3f3be691,32'h3f4391ef, 32'h3f36260a,32'h3f495276, 32'h3f2c8fd3,32'h3f52e8ad,// invsqrt(1.7827) = 0.7490 +32'h3ff0e9ad,32'h3f36de92,32'h3f3e555f, 32'h3f314579,32'h3f43ee79, 32'h3f27f0fa,32'h3f4d42f8,// invsqrt(1.8821) = 0.7289 +32'h3f351216,32'h3f95272b,32'h3f9b3dab, 32'h3f90964c,32'h3f9fce8a, 32'h3f88fa2d,32'h3fa76aa9,// invsqrt(0.7073) = 1.1890 +32'h3e431d79,32'h400faf49,32'h40158ca5, 32'h400b4944,32'h4019f2aa, 32'h4003f492,32'h4021475c,// invsqrt(0.1905) = 2.2909 +32'h3e9b1f6b,32'h3fe3e4ec,32'h3fed322f, 32'h3fdceaf9,32'h3ff42c21, 32'h3fd14a65,32'h3fffccb5,// invsqrt(0.3030) = 1.8168 +32'h3f7c3bb3,32'h3f7cbf11,32'h3f838801, 32'h3f75025d,32'h3f87665c, 32'h3f681d2f,32'h3f8dd8f2,// invsqrt(0.9853) = 1.0074 +32'h3fa08443,32'h3f600851,32'h3f692d3a, 32'h3f592ca2,32'h3f7008e8, 32'h3f4dbe7e,32'h3f7b770c,// invsqrt(1.2540) = 0.8930 +32'h405ede07,32'h3f0670fc,32'h3f0bedc2, 32'h3f025367,32'h3f100b57, 32'h3ef6eede,32'h3f16e74f,// invsqrt(3.4823) = 0.5359 +32'h3f7b5870,32'h3f7d313b,32'h3f83c36a, 32'h3f757108,32'h3f87a384, 32'h3f688607,32'h3f8e1904,// invsqrt(0.9818) = 1.0092 +32'h3e1e2799,32'h401f97eb,32'h40261b81, 32'h401ab53a,32'h402afe32, 32'h401290bd,32'h403322af,// invsqrt(0.1544) = 2.5445 +32'h3f9d7b62,32'h3f622e45,32'h3f6b69a1, 32'h3f5b41c0,32'h3f725626, 32'h3f4fb78e,32'h3f7de058,// invsqrt(1.2303) = 0.9015 +32'h3e80ba2f,32'h3ffa2b98,32'h400230cf, 32'h3ff28313,32'h40060511, 32'h3fe5bf8c,32'h400c66d5,// invsqrt(0.2514) = 1.9943 +32'h3f927f1b,32'h3f6a8203,32'h3f741461, 32'h3f63543c,32'h3f7b4228, 32'h3f575d47,32'h3f839c8f,// invsqrt(1.1445) = 0.9347 +32'h40e1e781,32'h3ebcd8af,32'h3ec48df0, 32'h3eb710bf,32'h3eca55e1, 32'h3ead6e2e,32'h3ed3f872,// invsqrt(7.0595) = 0.3764 +32'h401aaa56,32'h3f216236,32'h3f27f881, 32'h3f1c717d,32'h3f2ce939, 32'h3f14359f,32'h3f352517,// invsqrt(2.4166) = 0.6433 +32'h3f93acd1,32'h3f6991f9,32'h3f731a8b, 32'h3f626b8c,32'h3f7a40f8, 32'h3f5680d5,32'h3f8315d8,// invsqrt(1.1537) = 0.9310 +32'h42a1576a,32'h3ddf7587,32'h3de89473, 32'h3dd89e57,32'h3def6ba3, 32'h3dcd37b0,32'h3dfad24a,// invsqrt(80.6707) = 0.1113 +32'h3f057ba0,32'h3fadb7a4,32'h3fb4ced0, 32'h3fa86644,32'h3fba2030, 32'h3f9f894e,32'h3fc2fd26,// invsqrt(0.5214) = 1.3849 +32'h3e080fd4,32'h402c102b,32'h4033160d, 32'h4026cbc1,32'h40385a77, 32'h401e0466,32'h404121d2,// invsqrt(0.1329) = 2.7434 +32'h3f4322b5,32'h3f8fad5c,32'h3f958aa4, 32'h3f8b4766,32'h3f99f09a, 32'h3f83f2cd,32'h3fa14533,// invsqrt(0.7622) = 1.1454 +32'h3f110fdf,32'h3fa6a3d6,32'h3fad710e, 32'h3fa189ec,32'h3fb28af8, 32'h3f990967,32'h3fbb0b7d,// invsqrt(0.5666) = 1.3284 +32'h3f6250fc,32'h3f8569b9,32'h3f8adbc0, 32'h3f815433,32'h3f8ef145, 32'h3f750b52,32'h3f95bfcf,// invsqrt(0.8840) = 1.0636 +32'h3f11eaf0,32'h3fa62690,32'h3faceeaa, 32'h3fa1107c,32'h3fb204be, 32'h3f98965a,32'h3fba7ee0,// invsqrt(0.5700) = 1.3245 +32'h3ecdd726,32'h3fc5d60f,32'h3fcde93f, 32'h3fbfc7ab,32'h3fd3f7a3, 32'h3fb5afb0,32'h3fde0f9e,// invsqrt(0.4020) = 1.5771 +32'h3f7dfd55,32'h3f7bdef6,32'h3f831361, 32'h3f74291e,32'h3f86ee4d, 32'h3f674f60,32'h3f8d5b2c,// invsqrt(0.9921) = 1.0039 +32'h3fbc2fe4,32'h3f4ee84f,32'h3f575a47, 32'h3f4892d4,32'h3f5dafc2, 32'h3f3e045d,32'h3f683e39,// invsqrt(1.4702) = 0.8247 +32'h3f62db7e,32'h3f8540f8,32'h3f8ab156, 32'h3f812cb2,32'h3f8ec59c, 32'h3f74c079,32'h3f959211,// invsqrt(0.8862) = 1.0623 +32'h3e7cb4e5,32'h3ffc826e,32'h40036873, 32'h3ff4c795,32'h400745e0, 32'h3fe7e57f,32'h400db6ea,// invsqrt(0.2468) = 2.0130 +32'h3f6861d7,32'h3f83a905,32'h3f8908bc, 32'h3f7f4276,32'h3f8d1085, 32'h3f71d32d,32'h3f93c82a,// invsqrt(0.9077) = 1.0496 +32'h3e858ff5,32'h3ff599b2,32'h3fff9ff8, 32'h3fee14fe,32'h40039256, 32'h3fe18d26,32'h4009d642,// invsqrt(0.2609) = 1.9579 +32'h3ed87d69,32'h3fc0e8ac,32'h3fc8c860, 32'h3fbb00e6,32'h3fceb026, 32'h3fb12945,32'h3fd887c7,// invsqrt(0.4228) = 1.5379 +32'h3f484d7e,32'h3f8dcfd8,32'h3f9399a2, 32'h3f897880,32'h3f97f0fa, 32'h3f823c44,32'h3f9f2d36,// invsqrt(0.7824) = 1.1305 +32'h3f939a4b,32'h3f69a0a0,32'h3f7329cc, 32'h3f6279c0,32'h3f7a50ac, 32'h3f568e4a,32'h3f831e11,// invsqrt(1.1531) = 0.9312 +32'h3e017f52,32'h40305ed0,32'h403791b4, 32'h402af8a5,32'h403cf7df, 32'h4021f908,32'h4045f77c,// invsqrt(0.1265) = 2.8120 +32'h3ea9ddb9,32'h3fd9c7a3,32'h3fe2ab37, 32'h3fd31cf4,32'h3fe955e6, 32'h3fc8007c,32'h3ff4725e,// invsqrt(0.3318) = 1.7361 +32'h400b8b6f,32'h3f29e701,32'h3f30d64f, 32'h3f24b386,32'h3f3609ca, 32'h3f1c0864,32'h3f3eb4ec,// invsqrt(2.1804) = 0.6772 +32'h3fa1119a,32'h3f5fa5ef,32'h3f68c6d5, 32'h3f58cd44,32'h3f6f9f80, 32'h3f4d6425,32'h3f7b089f,// invsqrt(1.2583) = 0.8915 +32'h3dda5533,32'h404017ce,32'h4047eefc, 32'h403a366d,32'h404dd05d, 32'h40306974,32'h40579d56,// invsqrt(0.1066) = 3.0627 +32'h3e800744,32'h3ffada29,32'h40028ba8, 32'h3ff32c4c,32'h40066296, 32'h3fe65fdc,32'h400cc8ce,// invsqrt(0.2501) = 1.9998 +32'h3ff8c1b6,32'h3f33f693,32'h3f3b4f01, 32'h3f2e7440,32'h3f40d154, 32'h3f2545b6,32'h3f49ffde,// invsqrt(1.9434) = 0.7173 +32'h3fb9a651,32'h3f50510e,32'h3f58d1c0, 32'h3f49f088,32'h3f5f3246, 32'h3f3f4faa,32'h3f69d325,// invsqrt(1.4504) = 0.8303 +32'h3f4621bd,32'h3f8e9630,32'h3f946813, 32'h3f8a38c7,32'h3f98c57d, 32'h3f82f26b,32'h3fa00bd9,// invsqrt(0.7740) = 1.1367 +32'h3e10a4ec,32'h4026e166,32'h402db120, 32'h4021c599,32'h4032cced, 32'h401941f0,32'h403b5097,// invsqrt(0.1413) = 2.6607 +32'h3eb9ac36,32'h3fd04dc0,32'h3fd8ce50, 32'h3fc9ed54,32'h3fdf2ebc, 32'h3fbf4ca1,32'h3fe9cf6f,// invsqrt(0.3626) = 1.6606 +32'h3f0ccfbd,32'h3fa922e9,32'h3fb00a37, 32'h3fa3f56f,32'h3fb537b1, 32'h3f9b544e,32'h3fbdd8d2,// invsqrt(0.5500) = 1.3483 +32'h3f804707,32'h3f7a9bc9,32'h3f826b32, 32'h3f72efd6,32'h3f86412c, 32'h3f662695,32'h3f8ca5cd,// invsqrt(1.0022) = 0.9989 +32'h3e8a798d,32'h3ff13463,32'h3ffb0cba, 32'h3fe9d220,32'h4001377e, 32'h3fdd83b3,32'h40075eb4,// invsqrt(0.2705) = 1.9229 +32'h3f86f470,32'h3f74547b,32'h3f7e4d7b, 32'h3f6cd9bb,32'h3f82e41d, 32'h3f60627c,32'h3f891fbd,// invsqrt(1.0543) = 0.9739 +32'h3f918611,32'h3f6b4a56,32'h3f74e4e2, 32'h3f64166e,32'h3f7c18ca, 32'h3f581540,32'h3f840cfc,// invsqrt(1.1369) = 0.9379 +32'h3f136f9a,32'h3fa54aff,32'h3fac0a23, 32'h3fa03ba3,32'h3fb1197f, 32'h3f97ccb6,32'h3fb9886c,// invsqrt(0.5759) = 1.3177 +32'h3f764eeb,32'h3f7fc4a8,32'h3f851a96, 32'h3f77f045,32'h3f8904c8, 32'h3f6ae39f,32'h3f8f8b1a,// invsqrt(0.9621) = 1.0195 +32'h3d99fe48,32'h4064ba7a,32'h406e1074, 32'h405db9fe,32'h407510f0, 32'h40520e85,32'h40805e35,// invsqrt(0.0752) = 3.6468 +32'h3e4274cc,32'h400fed8d,32'h4015cd73, 32'h400b85a0,32'h401a3560, 32'h40042dc0,32'h40218d40,// invsqrt(0.1899) = 2.2948 +32'h40bf5cb4,32'h3ecd2f0d,32'h3ed58f03, 32'h3ec6e714,32'h3edbd6fc, 32'h3ebc6f21,32'h3ee64eef,// invsqrt(5.9801) = 0.4089 +32'h400227f0,32'h3f2fec6c,32'h3f371aa5, 32'h3f2a89c2,32'h3f3c7d50, 32'h3f218ffb,32'h3f457717,// invsqrt(2.0337) = 0.7012 +32'h401aabdc,32'h3f21616a,32'h3f27f7ae, 32'h3f1c70b8,32'h3f2ce860, 32'h3f1434e4,32'h3f352434,// invsqrt(2.4167) = 0.6433 +32'h3ee37c12,32'h3fbc3077,32'h3fc3deda, 32'h3fb66dad,32'h3fc9a1a5, 32'h3facd3b2,32'h3fd33ba0,// invsqrt(0.4443) = 1.5002 +32'h3e9bd5a6,32'h3fe35f85,32'h3feca757, 32'h3fdc69a8,32'h3ff39d34, 32'h3fd0cfe3,32'h3fff36f9,// invsqrt(0.3044) = 1.8126 +32'h40d033e6,32'h3ec4b5ec,32'h3eccbd58, 32'h3ebeb05a,32'h3ed2c2ea, 32'h3eb4a712,32'h3edccc32,// invsqrt(6.5063) = 0.3920 +32'h40242fd3,32'h3f1ca262,32'h3f23070e, 32'h3f17d6e2,32'h3f27d28e, 32'h3f0fd90c,32'h3f2fd064,// invsqrt(2.5654) = 0.6243 +32'h3ef3ed00,32'h3fb5bc8c,32'h3fbd2782, 32'h3fb02c53,32'h3fc2b7bb, 32'h3fa6e6a0,32'h3fcbfd6e,// invsqrt(0.4764) = 1.4488 +32'h3fae846c,32'h3f56dbaf,32'h3f5fa0bb, 32'h3f5047e6,32'h3f663484, 32'h3f455196,32'h3f712ad4,// invsqrt(1.3634) = 0.8564 +32'h3f4abdad,32'h3f8cf4e2,32'h3f92b5bc, 32'h3f88a43e,32'h3f970660, 32'h3f81732e,32'h3f9e3770,// invsqrt(0.7920) = 1.1237 +32'h3dddfd51,32'h403e811a,32'h404647ae, 32'h4038ac2c,32'h404c1c9c, 32'h402ef3f4,32'h4055d4d4,// invsqrt(0.1084) = 3.0374 +32'h3ef43817,32'h3fb5a099,32'h3fbd0a6b, 32'h3fb0113c,32'h3fc299c8, 32'h3fa6ccf5,32'h3fcbde0f,// invsqrt(0.4770) = 1.4479 +32'h3f709e66,32'h3f81631f,32'h3f86ab16, 32'h3f7ada4d,32'h3f8aa110, 32'h3f6da664,32'h3f913b04,// invsqrt(0.9399) = 1.0315 +32'h3e9e1418,32'h3fe1c0ea,32'h3feaf7d0, 32'h3fdad7bf,32'h3ff1e0fb, 32'h3fcf5320,32'h3ffd659a,// invsqrt(0.3087) = 1.7997 +32'h4008a625,32'h3f2bb16e,32'h3f32b372, 32'h3f266fea,32'h3f37f4f6, 32'h3f1dad65,32'h3f40b77b,// invsqrt(2.1351) = 0.6844 +32'h3ffca91c,32'h3f329144,32'h3f39db1c, 32'h3f2d19e1,32'h3f3f527f, 32'h3f23fd92,32'h3f486ece,// invsqrt(1.9739) = 0.7118 +32'h3ffd61a2,32'h3f325033,32'h3f399764, 32'h3f2cdace,32'h3f3f0cc8, 32'h3f23c1d0,32'h3f4825c6,// invsqrt(1.9795) = 0.7108 +32'h3f5fa989,32'h3f8633c4,32'h3f8bae0b, 32'h3f821810,32'h3f8fc9c0, 32'h3f767e6e,32'h3f96a299,// invsqrt(0.8737) = 1.0699 +32'h3faa8c8b,32'h3f5957e9,32'h3f6236ed, 32'h3f52b0a6,32'h3f68de30, 32'h3f4799e0,32'h3f73f4f6,// invsqrt(1.3324) = 0.8663 +32'h40ba5953,32'h3ecfece8,32'h3ed86984, 32'h3ec98f73,32'h3edec6f9, 32'h3ebef3b1,32'h3ee962bb,// invsqrt(5.8234) = 0.4144 +32'h3ea458d7,32'h3fdd6807,32'h3fe67180, 32'h3fd6a0ed,32'h3fed389b, 32'h3fcb5517,32'h3ff88471,// invsqrt(0.3210) = 1.7650 +32'h3fa062f4,32'h3f601f93,32'h3f69456f, 32'h3f59432e,32'h3f7021d4, 32'h3f4dd3da,32'h3f7b9128,// invsqrt(1.2530) = 0.8933 +32'h3df25dbf,32'h403651ff,32'h403dc30e, 32'h4030bd32,32'h404357da, 32'h40276fdf,32'h404ca52d,// invsqrt(0.1183) = 2.9069 +32'h3fa2e6e6,32'h3f5e62e0,32'h3f677696, 32'h3f579418,32'h3f6e455e, 32'h3f4c3b75,32'h3f799e01,// invsqrt(1.2727) = 0.8864 +32'h3f11b513,32'h3fa64543,32'h3fad0e9f, 32'h3fa12e3f,32'h3fb225a3, 32'h3f98b28c,32'h3fbaa156,// invsqrt(0.5692) = 1.3255 +32'h3f108d3e,32'h3fa6ef10,32'h3fadbf5a, 32'h3fa1d2d9,32'h3fb2db91, 32'h3f994e7c,32'h3fbb5fee,// invsqrt(0.5647) = 1.3308 +32'h3c5c6bfc,32'h41072f61,32'h410cb3ed, 32'h41030bf8,32'h4110d756, 32'h40f84c93,32'h4117bd04,// invsqrt(0.0135) = 8.6215 +32'h4012853b,32'h3f25cefd,32'h3f2c9385, 32'h3f20bb97,32'h3f31a6eb, 32'h3f1845ee,32'h3f3a1c94,// invsqrt(2.2894) = 0.6609 +32'h412edf82,32'h3e97c5e4,32'h3e9df7c4, 32'h3e93207d,32'h3ea29d2b, 32'h3e8b6225,32'h3eaa5b83,// invsqrt(10.9296) = 0.3025 +32'h3ed32f05,32'h3fc3513f,32'h3fcb4a1d, 32'h3fbd5698,32'h3fd144c4, 32'h3fb35f83,32'h3fdb3bd9,// invsqrt(0.4125) = 1.5571 +32'h3f0fb917,32'h3fa76a18,32'h3fae3f68, 32'h3fa24a1d,32'h3fb35f63, 32'h3f99bf7a,32'h3fbbea06,// invsqrt(0.5614) = 1.3346 +32'h3f4660ac,32'h3f8e7f91,32'h3f945087, 32'h3f8a22d8,32'h3f98ad40, 32'h3f82dda4,32'h3f9ff274,// invsqrt(0.7749) = 1.1360 +32'h40443e5c,32'h3f0f4560,32'h3f151e6a, 32'h3f0ae29a,32'h3f198130, 32'h3f03934e,32'h3f20d07c,// invsqrt(3.0663) = 0.5711 +32'h41b0f69c,32'h3e555e39,32'h3e5e13b3, 32'h3e4ed61d,32'h3e649bcf, 32'h3e43f344,32'h3e6f7ea8,// invsqrt(22.1204) = 0.2126 +32'h3fa14ed1,32'h3f5f7b7c,32'h3f689aa5, 32'h3f58a41c,32'h3f6f7204, 32'h3f4d3d28,32'h3f7ad8f8,// invsqrt(1.2602) = 0.8908 +32'h3f6b7cea,32'h3f82ca05,32'h3f8820a2, 32'h3f7d9220,32'h3f8c2198, 32'h3f703997,32'h3f92cddc,// invsqrt(0.9199) = 1.0426 +32'h40266be3,32'h3f1b9443,32'h3f21ede8, 32'h3f16d108,32'h3f26b124, 32'h3f0ee0fa,32'h3f2ea132,// invsqrt(2.6003) = 0.6201 +32'h40f3d8d3,32'h3eb5c410,32'h3ebd2f54, 32'h3eb0339c,32'h3ec2bfc8, 32'h3ea6ed87,32'h3ecc05dd,// invsqrt(7.6202) = 0.3623 +32'h3f143d16,32'h3fa4d847,32'h3fab92bd, 32'h3f9fcc6f,32'h3fb09e95, 32'h3f97635b,32'h3fb907a9,// invsqrt(0.5791) = 1.3141 +32'h3e7f9cef,32'h3ffb11e1,32'h4002a8a6, 32'h3ff3624e,32'h4006806f, 32'h3fe69307,32'h400ce812,// invsqrt(0.2496) = 2.0015 +32'h3eb35a71,32'h3fd3f111,32'h3fdc97a4, 32'h3fcd7423,32'h3fe31493, 32'h3fc2a3ec,32'h3fede4cb,// invsqrt(0.3503) = 1.6896 +32'h40039c5d,32'h3f2ef2d2,32'h3f3616db, 32'h3f2997cc,32'h3f3b71e2, 32'h3f20aac2,32'h3f445eed,// invsqrt(2.0564) = 0.6973 +32'h3e9d6a4a,32'h3fe23a8c,32'h3feb7668, 32'h3fdb4da7,32'h3ff2634d, 32'h3fcfc2d4,32'h3ffdee20,// invsqrt(0.3075) = 1.8035 +32'h4154e4e5,32'h3e898de7,32'h3e8f2b35, 32'h3e8557ed,32'h3e93612f, 32'h3e7ca699,32'h3e9a65cf,// invsqrt(13.3059) = 0.2741 +32'h402c20ac,32'h3f18fa87,32'h3f1f38ff, 32'h3f144bac,32'h3f23e7da, 32'h3f0c7d96,32'h3f2bb5f0,// invsqrt(2.6895) = 0.6098 +32'h3fae8b3d,32'h3f56d77d,32'h3f5f9c5d, 32'h3f5043d4,32'h3f663006, 32'h3f454dbc,32'h3f71261e,// invsqrt(1.3636) = 0.8564 +32'h40a29bb4,32'h3ede9645,32'h3ee7ac14, 32'h3ed7c5eb,32'h3eee7c6f, 32'h3ecc6aa8,32'h3ef9d7b2,// invsqrt(5.0815) = 0.4436 +32'h3fa95b55,32'h3f5a1b69,32'h3f630269, 32'h3f536e2a,32'h3f69afa8, 32'h3f484d6b,32'h3f74d067,// invsqrt(1.3231) = 0.8694 +32'h3f313ea4,32'h3f96c113,32'h3f9ce84d, 32'h3f9223a7,32'h3fa185b9, 32'h3f8a729e,32'h3fa936c2,// invsqrt(0.6924) = 1.2018 +32'h3fc28a37,32'h3f4b8046,32'h3f53cea6, 32'h3f45457d,32'h3f5a096f, 32'h3f3ae384,32'h3f646b68,// invsqrt(1.5198) = 0.8111 +32'h3f6d891f,32'h3f823964,32'h3f878a1a, 32'h3f7c79b8,32'h3f8b86a2, 32'h3f6f2ff1,32'h3f922b85,// invsqrt(0.9279) = 1.0381 +32'h42ec2492,32'h3db8b505,32'h3dc03f06, 32'h3db30d86,32'h3dc5e686, 32'h3da9a105,32'h3dcf5307,// invsqrt(118.0714) = 0.0920 +32'h3f6db78c,32'h3f822cac,32'h3f877cdd, 32'h3f7c6110,32'h3f8b7902, 32'h3f6f1896,32'h3f921d3f,// invsqrt(0.9286) = 1.0377 +32'h40bd3a2d,32'h3ece5686,32'h3ed6c28c, 32'h3ec80582,32'h3edd1390, 32'h3ebd7e7b,32'h3ee79a97,// invsqrt(5.9134) = 0.4112 +32'h3f1c465f,32'h3fa08ce8,32'h3fa71a7e, 32'h3f9ba2b7,32'h3fac04af, 32'h3f9371bb,32'h3fb435ab,// invsqrt(0.6104) = 1.2799 +32'h3fc15cfb,32'h3f4c1e8c,32'h3f547362, 32'h3f45deeb,32'h3f5ab303, 32'h3f3b74de,32'h3f651d10,// invsqrt(1.5107) = 0.8136 +32'h418a48a8,32'h3e715f03,32'h3e7b3919, 32'h3e69fb74,32'h3e814e54, 32'h3e5daad9,32'h3e8776a1,// invsqrt(17.2855) = 0.2405 +32'h4035cd13,32'h3f14da63,32'h3f1aedc0, 32'h3f104bde,32'h3f1f7c46, 32'h3f08b3aa,32'h3f27147a,// invsqrt(2.8406) = 0.5933 +32'h3f12bf8e,32'h3fa5ae07,32'h3fac7137, 32'h3fa09ba4,32'h3fb1839a, 32'h3f9827a9,32'h3fb9f795,// invsqrt(0.5732) = 1.3208 +32'h3f63643a,32'h3f8518e2,32'h3f8a879c, 32'h3f8105d5,32'h3f8e9aa9, 32'h3f7476d8,32'h3f956512,// invsqrt(0.8882) = 1.0610 +32'h3f7e4e33,32'h3f7bb6e7,32'h3f82fe88, 32'h3f740249,32'h3f86d8d8, 32'h3f672a96,32'h3f8d44b1,// invsqrt(0.9934) = 1.0033 +32'h42151f1a,32'h3e245b2b,32'h3e2b1086, 32'h3e1f5328,32'h3e30188a, 32'h3e16f076,32'h3e387b3c,// invsqrt(37.2804) = 0.1638 +32'h3f3eeedd,32'h3f913ff1,32'h3f972da7, 32'h3f8ccda8,32'h3f9b9ff0, 32'h3f856484,32'h3fa30914,// invsqrt(0.7458) = 1.1579 +32'h4180186e,32'h3e7ac95a,32'h3e8282e8, 32'h3e731c01,32'h3e865995, 32'h3e66506c,32'h3e8cbf5f,// invsqrt(16.0119) = 0.2499 +32'h4098554e,32'h3ee5f8aa,32'h3eef5ba1, 32'h3edeee70,32'h3ef665da, 32'h3ed332bb,32'h3f0110c8,// invsqrt(4.7604) = 0.4583 +32'h3f8c4dfe,32'h3f6fa067,32'h3f796842, 32'h3f684a84,32'h3f805f13, 32'h3f5c10b3,32'h3f867bfc,// invsqrt(1.0961) = 0.9551 +32'h3e285ba3,32'h401aae8a,32'h4020fece, 32'h4015f257,32'h4025bb01, 32'h400e0e01,32'h402d9f57,// invsqrt(0.1644) = 2.4662 +32'h3f5afac4,32'h3f87a129,32'h3f8d2a59, 32'h3f837a44,32'h3f91513e, 32'h3f791d8f,32'h3f983cbb,// invsqrt(0.8554) = 1.0812 +32'h3e4a9388,32'h400d038b,32'h4012c4fe, 32'h4008b274,32'h40171614, 32'h400180a4,32'h401e47e4,// invsqrt(0.1978) = 2.2483 +32'h3fb3ff86,32'h3f538fcb,32'h3f5c3265, 32'h3f4d15d7,32'h3f62ac59, 32'h3f424a96,32'h3f6d779a,// invsqrt(1.4062) = 0.8433 +32'h3f05a916,32'h3fad9a17,32'h3fb4b00d, 32'h3fa8499e,32'h3fba0086, 32'h3f9f6e2a,32'h3fc2dbfa,// invsqrt(0.5221) = 1.3839 +32'h40258ded,32'h3f1bfc6c,32'h3f225a51, 32'h3f173600,32'h3f2720bc, 32'h3f0f40a1,32'h3f2f161b,// invsqrt(2.5868) = 0.6218 +32'h408f7988,32'h3eecf6ea,32'h3ef6a2f4, 32'h3ee5b5e3,32'h3efde3fb, 32'h3ed99ed7,32'h3f04fd83,// invsqrt(4.4836) = 0.4723 +32'h402f1b1b,32'h3f17ac0e,32'h3f1ddce0, 32'h3f130771,32'h3f22817d, 32'h3f0b4a6b,32'h3f2a3e83,// invsqrt(2.7360) = 0.6046 +32'h3f767116,32'h3f7fb2ec,32'h3f85115c, 32'h3f77df14,32'h3f88fb48, 32'h3f6ad357,32'h3f8f8127,// invsqrt(0.9627) = 1.0192 +32'h3ecc2586,32'h3fc6a7bc,32'h3fcec37b, 32'h3fc092ee,32'h3fd4d84a, 32'h3fb67040,32'h3fdefaf8,// invsqrt(0.3987) = 1.5837 +32'h3e7cf615,32'h3ffc61e3,32'h40035783, 32'h3ff4a808,32'h40073470, 32'h3fe7c79c,32'h400da4a6,// invsqrt(0.2470) = 2.0120 +32'h40f14b67,32'h3eb6b987,32'h3ebe2ed0, 32'h3eb1218f,32'h3ec3c6c7, 32'h3ea7cef4,32'h3ecd1963,// invsqrt(7.5405) = 0.3642 +32'h400bd870,32'h3f29b834,32'h3f30a599, 32'h3f248627,32'h3f35d7a5, 32'h3f1bdd69,32'h3f3e8063,// invsqrt(2.1851) = 0.6765 +32'h40576467,32'h3f08c11b,32'h3f0e560d, 32'h3f049166,32'h3f1285c2, 32'h3efb2e71,32'h3f197ff0,// invsqrt(3.3655) = 0.5451 +32'h3e9a547c,32'h3fe47a90,32'h3fedcdee, 32'h3fdd7c09,32'h3ff4cc75, 32'h3fd1d3d2,32'h40003a56,// invsqrt(0.3014) = 1.8214 +32'h3f4f1604,32'h3f8b784f,32'h3f9129a1, 32'h3f873352,32'h3f956e9e, 32'h3f8015ac,32'h3f9c8c44,// invsqrt(0.8089) = 1.1118 +32'h3f6146d8,32'h3f85b870,32'h3f8b2dae, 32'h3f81a081,32'h3f8f459d, 32'h3f759be8,32'h3f96182a,// invsqrt(0.8800) = 1.0660 +32'h3de42a73,32'h403be880,32'h404393f2, 32'h403627e9,32'h40495489, 32'h402c919a,32'h4052ead8,// invsqrt(0.1114) = 2.9960 +32'h3f72cdbb,32'h3f80cdc1,32'h3f860f9f, 32'h3f79b8b5,32'h3f8a0106, 32'h3f6c940a,32'h3f90935b,// invsqrt(0.9485) = 1.0268 +32'h3f0a6e03,32'h3faa95cf,32'h3fb18c40, 32'h3fa55cfb,32'h3fb6c515, 32'h3f9ca8ee,32'h3fbf7922,// invsqrt(0.5407) = 1.3599 +32'h3f0d4401,32'h3fa8dd41,32'h3fafc1b6, 32'h3fa3b1e8,32'h3fb4ed0e, 32'h3f9b1455,32'h3fbd8aa1,// invsqrt(0.5518) = 1.3462 +32'h3f85b05b,32'h3f757bee,32'h3f7f80fc, 32'h3f6df822,32'h3f838264, 32'h3f6171d0,32'h3f89c58d,// invsqrt(1.0444) = 0.9785 +32'h3fe488e0,32'h3f3bc1aa,32'h3f436b88, 32'h3f360245,32'h3f492aed, 32'h3f2c6df0,32'h3f52bf42,// invsqrt(1.7854) = 0.7484 +32'h3fe5dd53,32'h3f3b366c,32'h3f42da9a, 32'h3f357b49,32'h3f4895bd, 32'h3f2bee10,32'h3f5222f7,// invsqrt(1.7958) = 0.7462 +32'h4022ecb0,32'h3f1d3d6a,32'h3f23a869, 32'h3f186d2a,32'h3f2878a8, 32'h3f10676b,32'h3f307e67,// invsqrt(2.5457) = 0.6268 +32'h40824e8f,32'h3ef8a63d,32'h3f01662f, 32'h3ef109a4,32'h3f05347c, 32'h3ee459f9,32'h3f0b8c51,// invsqrt(4.0721) = 0.4956 +32'h405a4e83,32'h3f07d6a0,32'h3f0d6200, 32'h3f03ae19,32'h3f118a87, 32'h3ef97fc3,32'h3f1878be,// invsqrt(3.4110) = 0.5414 +32'h3e42eafa,32'h400fc1e5,32'h4015a003, 32'h400b5b4e,32'h401a069a, 32'h400405a8,32'h40215c40,// invsqrt(0.1903) = 2.2921 +32'h3f50cecd,32'h3f8ae4cc,32'h3f909018, 32'h3f86a453,32'h3f94d091, 32'h3f7f1c67,32'h3f9be6b1,// invsqrt(0.8157) = 1.1073 +32'h3f715dbf,32'h3f812fcc,32'h3f8675aa, 32'h3f7a76ca,32'h3f8a6a11, 32'h3f6d481d,32'h3f910167,// invsqrt(0.9428) = 1.0299 +32'h3f3c6acd,32'h3f92375f,32'h3f982f2f, 32'h3f8dbd83,32'h3f9ca90b, 32'h3f8647c0,32'h3fa41ece,// invsqrt(0.7360) = 1.1656 +32'h4086ffb7,32'h3ef44a46,32'h3efe42dc, 32'h3eeccfd7,32'h3f02dea6, 32'h3ee0591c,32'h3f091a03,// invsqrt(4.2187) = 0.4869 +32'h3f7f9bf6,32'h3f7b125b,32'h3f82a8e6, 32'h3f7362c6,32'h3f8680b1, 32'h3f669378,32'h3f8ce858,// invsqrt(0.9985) = 1.0008 +32'h4021ff46,32'h3f1db078,32'h3f24202a, 32'h3f18dcb3,32'h3f28f3ef, 32'h3f10d116,32'h3f30ff8c,// invsqrt(2.5312) = 0.6285 +32'h3fb7e26a,32'h3f51506b,32'h3f59db89, 32'h3f4ae814,32'h3f6043e0, 32'h3f403a2e,32'h3f6af1c6,// invsqrt(1.4366) = 0.8343 +32'h4017b622,32'h3f22f27a,32'h3f29991c, 32'h3f1df581,32'h3f2e9615, 32'h3f15a537,32'h3f36e65f,// invsqrt(2.3705) = 0.6495 +32'h40942c6c,32'h3ee92d50,32'h3ef2b1c6, 32'h3ee209f7,32'h3ef9d51f, 32'h3ed62464,32'h3f02dd59,// invsqrt(4.6304) = 0.4647 +32'h3bd0c25d,32'h414472c1,32'h414c7771, 32'h413e6f3e,32'h41527af4, 32'h41346963,32'h415c80cf,// invsqrt(0.0064) = 12.5286 +32'h401cc6e8,32'h3f204b0a,32'h3f26d5f0, 32'h3f1b62dd,32'h3f2bbe1d, 32'h3f13353d,32'h3f33ebbd,// invsqrt(2.4496) = 0.6389 +32'h413ac2ea,32'h3e92dcf0,32'h3e98db81, 32'h3e8e5e02,32'h3e9d5a6e, 32'h3e86dfcc,32'h3ea4d8a4,// invsqrt(11.6726) = 0.2927 +32'h3e1cd3fc,32'h4020445b,32'h4026cefb, 32'h401b5c62,32'h402bb6f4, 32'h40132f1a,32'h4033e43c,// invsqrt(0.1532) = 2.5553 +32'h404ccccd,32'h3f0c3f06,32'h3f11f874, 32'h3f07f3f4,32'h3f164386, 32'h3f00cc2a,32'h3f1d6b50,// invsqrt(3.2000) = 0.5590 +32'h3feeb860,32'h3f37b512,32'h3f3f34a0, 32'h3f321568,32'h3f44d44a, 32'h3f28b5f6,32'h3f4e33bc,// invsqrt(1.8650) = 0.7323 +32'h3fc1821c,32'h3f4c0af6,32'h3f545f00, 32'h3f45cbee,32'h3f5a9e08, 32'h3f3b62e2,32'h3f650714,// invsqrt(1.5118) = 0.8133 +32'h3ed2829f,32'h3fc3a129,32'h3fcb9d4a, 32'h3fbda410,32'h3fd19a62, 32'h3fb3a8e6,32'h3fdb958c,// invsqrt(0.4112) = 1.5595 +32'h3efdf19b,32'h3fb21da0,32'h3fb962c0, 32'h3faca9c7,32'h3fbed699, 32'h3fa3935e,32'h3fc7ed02,// invsqrt(0.4960) = 1.4199 +32'h401a396b,32'h3f219d3f,32'h3f2835f3, 32'h3f1caab8,32'h3f2d287a, 32'h3f146bd6,32'h3f35675c,// invsqrt(2.4098) = 0.6442 +32'h3fa8bea0,32'h3f5a8098,32'h3f636bb8, 32'h3f53d040,32'h3f6a1c10, 32'h3f48aa57,32'h3f7541f9,// invsqrt(1.3183) = 0.8709 +32'h3f6769d6,32'h3f83ef7f,32'h3f895216, 32'h3f7fcb19,32'h3f8d5c07, 32'h3f72549f,32'h3f941745,// invsqrt(0.9040) = 1.0518 +32'h3fb619d5,32'h3f52562f,32'h3f5aebfd, 32'h3f4be5d5,32'h3f615c57, 32'h3f412a94,32'h3f6c1798,// invsqrt(1.4227) = 0.8384 +32'h40c0695f,32'h3ecc9f9a,32'h3ed4f9b6, 32'h3ec65c06,32'h3edb3d4a, 32'h3ebbeb64,32'h3ee5adec,// invsqrt(6.0129) = 0.4078 +32'h3e82c249,32'h3ff8381d,32'h40012ce0, 32'h3ff09ee2,32'h4004f97d, 32'h3fe3f4d6,32'h400b4e83,// invsqrt(0.2554) = 1.9788 +32'h4096b7a5,32'h3ee73369,32'h3ef0a339, 32'h3ee01f8d,32'h3ef7b715, 32'h3ed453c9,32'h3f01c16d,// invsqrt(4.7099) = 0.4608 +32'h408bb45c,32'h3ef02405,32'h3ef9f13f, 32'h3ee8ca1a,32'h3f00a595, 32'h3edc8992,32'h3f06c5d9,// invsqrt(4.3658) = 0.4786 +32'h3f68b5ba,32'h3f839148,32'h3f88f006, 32'h3f7f1470,32'h3f8cf716, 32'h3f71a793,32'h3f93ad84,// invsqrt(0.9090) = 1.0488 +32'h3f501309,32'h3f8b2369,32'h3f90d143, 32'h3f86e105,32'h3f9513a7, 32'h3f7f8f68,32'h3f9c2cf8,// invsqrt(0.8128) = 1.1092 +32'h3f79f0a0,32'h3f7de739,32'h3f842220, 32'h3f762173,32'h3f880503, 32'h3f692d29,32'h3f8e7f27,// invsqrt(0.9763) = 1.0121 +32'h405f377b,32'h3f065609,32'h3f0bd1b6, 32'h3f023948,32'h3f0fee78, 32'h3ef6bd60,32'h3f16c910,// invsqrt(3.4878) = 0.5355 +32'h3e9384fe,32'h3fe9b17e,32'h3ff33b59, 32'h3fe28a19,32'h3ffa62bd, 32'h3fd69dc7,32'h40032788,// invsqrt(0.2881) = 1.8630 +32'h3e924177,32'h3feab368,32'h3ff447cb, 32'h3fe3841f,32'h3ffb7715, 32'h3fd78aa4,32'h4003b848,// invsqrt(0.2857) = 1.8710 +32'h3d1761ea,32'h40a31fc7,32'h40a9c843, 32'h409e216b,32'h40aec69f, 32'h4095ced1,32'h40b71939,// invsqrt(0.0370) = 5.2017 +32'h411fef08,32'h3e9eb40d,32'h3ea52e57, 32'h3e99d856,32'h3eaa0a0e, 32'h3e91bf7a,32'h3eb222ea,// invsqrt(9.9959) = 0.3163 +32'h412ea822,32'h3e97ddf1,32'h3e9e10cc, 32'h3e9337cd,32'h3ea2b6f1, 32'h3e8b783c,32'h3eaa7682,// invsqrt(10.9160) = 0.3027 +32'h3fc6bdd4,32'h3f4956a5,32'h3f518e6d, 32'h3f432ccf,32'h3f57b843, 32'h3f38e715,32'h3f61fdfd,// invsqrt(1.5527) = 0.8025 +32'h3e577352,32'h4008bc5f,32'h400e511f, 32'h40048ccf,32'h401280af, 32'h3ffb25be,32'h40197a9f,// invsqrt(0.2104) = 2.1801 +32'h3f57ef09,32'h3f88952e,32'h3f8e2854, 32'h3f8466d1,32'h3f9256b1, 32'h3f7addc2,32'h3f994ea1,// invsqrt(0.8435) = 1.0888 +32'h3f2cb102,32'h3f98ba8b,32'h3f9ef667, 32'h3f940da6,32'h3fa3a34c, 32'h3f8c42d3,32'h3fab6e1f,// invsqrt(0.6746) = 1.2175 +32'h3f49e9c3,32'h3f8d3ec6,32'h3f9302a5, 32'h3f88ebe0,32'h3f97558c, 32'h3f81b70a,32'h3f9e8a62,// invsqrt(0.7887) = 1.1260 +32'h3f4f1182,32'h3f8b79d4,32'h3f912b35, 32'h3f8734ca,32'h3f95703e, 32'h3f801710,32'h3f9c8df8,// invsqrt(0.8089) = 1.1119 +32'h4201595f,32'h3e3078ad,32'h3e37ac9f, 32'h3e2b11b7,32'h3e3d1395, 32'h3e2210c9,32'h3e461483,// invsqrt(32.3373) = 0.1759 +32'h3cef7087,32'h40b76e5f,32'h40beeb0b, 32'h40b1d0df,32'h40c4888b, 32'h40a87509,32'h40cde461,// invsqrt(0.0292) = 5.8492 +32'h3eaa157b,32'h3fd9a3ee,32'h3fe2860c, 32'h3fd2fa57,32'h3fe92fa3, 32'h3fc7dfb0,32'h3ff44a4a,// invsqrt(0.3322) = 1.7350 +32'h3f9e0c0c,32'h3f61c6a9,32'h3f6afdcb, 32'h3f5add51,32'h3f71e723, 32'h3f4f5867,32'h3f7d6c0d,// invsqrt(1.2347) = 0.8999 +32'h40bcdb0e,32'h3ece8a76,32'h3ed6f89a, 32'h3ec837db,32'h3edd4b35, 32'h3ebdae2e,32'h3ee7d4e2,// invsqrt(5.9017) = 0.4116 +32'h3ffd9b19,32'h3f323bfe,32'h3f39825c, 32'h3f2cc738,32'h3f3ef722, 32'h3f23af42,32'h3f480f18,// invsqrt(1.9813) = 0.7104 +32'h3f94afed,32'h3f68c61c,32'h3f72465c, 32'h3f61a5ec,32'h3f79668c, 32'h3f55c59c,32'h3f82a36e,// invsqrt(1.1616) = 0.9278 +32'h4096376c,32'h3ee79601,32'h3ef109d7, 32'h3ee07f20,32'h3ef820b8, 32'h3ed4ae54,32'h3f01f8c2,// invsqrt(4.6943) = 0.4615 +32'h3ebfdd23,32'h3fccea54,32'h3fd5477c, 32'h3fc6a476,32'h3fdb8d5a, 32'h3fbc3004,32'h3fe601cc,// invsqrt(0.3747) = 1.6336 +32'h3efa274f,32'h3fb375c3,32'h3fbac8f0, 32'h3fadf762,32'h3fc04752, 32'h3fa4cf6b,32'h3fc96f49,// invsqrt(0.4886) = 1.4306 +32'h3f8c03cc,32'h3f6fdfdc,32'h3f79aa4e, 32'h3f688807,32'h3f808111, 32'h3f5c4af9,32'h3f869f98,// invsqrt(1.0939) = 0.9561 +32'h3e379e52,32'h40141d57,32'h401a28fc, 32'h400f949a,32'h401eb1b8, 32'h4008060b,32'h40264047,// invsqrt(0.1793) = 2.3615 +32'h3ec591df,32'h3fc9ef42,32'h3fd22d44, 32'h3fc3c0c0,32'h3fd85bc6, 32'h3fb9733c,32'h3fe2a94a,// invsqrt(0.3859) = 1.6098 +32'h40346829,32'h3f156d59,32'h3f1b86b5, 32'h3f10da53,32'h3f2019bb, 32'h3f093aa0,32'h3f27b96e,// invsqrt(2.8189) = 0.5956 +32'h3f62b649,32'h3f854be7,32'h3f8abcb7, 32'h3f81374b,32'h3f8ed153, 32'h3f74d48e,32'h3f959e57,// invsqrt(0.8856) = 1.0626 +32'h40d47ea9,32'h3ec2b6c1,32'h3ecaa951, 32'h3ebcc0d5,32'h3ed09f3d, 32'h3eb2d1a2,32'h3eda8e70,// invsqrt(6.6405) = 0.3881 +32'h40861c35,32'h3ef51925,32'h3eff1a2b, 32'h3eed9860,32'h3f034d78, 32'h3ee11717,32'h3f098e1c,// invsqrt(4.1909) = 0.4885 +32'h41b30914,32'h3e542135,32'h3e5cc9bf, 32'h3e4da2ce,32'h3e634826, 32'h3e42d021,32'h3e6e1ad3,// invsqrt(22.3794) = 0.2114 +32'h3dce0c24,32'h4045bc9d,32'h404dcec3, 32'h403faf01,32'h4053dc5f, 32'h40359852,32'h405df30e,// invsqrt(0.1006) = 3.1527 +32'h3f52195c,32'h3f8a775d,32'h3f901e31, 32'h3f863a3d,32'h3f945b51, 32'h3f7e5366,32'h3f9b6bdb,// invsqrt(0.8207) = 1.1038 +32'h3fff8413,32'h3f319121,32'h3f38d086, 32'h3f2c2196,32'h3f3e4012, 32'h3f231258,32'h3f474f50,// invsqrt(1.9962) = 0.7078 +32'h3fe4e75a,32'h3f3b9ae7,32'h3f43432f, 32'h3f35dcb1,32'h3f490165, 32'h3f2c4a57,32'h3f5293bf,// invsqrt(1.7883) = 0.7478 +32'h3beaf434,32'h41392c82,32'h4140bb62, 32'h41338159,32'h4146668b, 32'h412a0ec0,32'h414fd924,// invsqrt(0.0072) = 11.8096 +32'h40013394,32'h3f30927b,32'h3f37c77b, 32'h3f2b2abb,32'h3f3d2f3b, 32'h3f22287c,32'h3f46317b,// invsqrt(2.0188) = 0.7038 +32'h3f6e0e15,32'h3f821501,32'h3f87643b, 32'h3f7c332c,32'h3f8b5fa6, 32'h3f6eed1c,32'h3f9202ae,// invsqrt(0.9299) = 1.0370 +32'h3fc5cb41,32'h3f49d1f5,32'h3f520ec5, 32'h3f43a458,32'h3f583c62, 32'h3f395854,32'h3f628866,// invsqrt(1.5453) = 0.8044 +32'h40a67503,32'h3edbffa3,32'h3ee4fa66, 32'h3ed54392,32'h3eebb678, 32'h3eca0a1e,32'h3ef6efec,// invsqrt(5.2018) = 0.4385 +32'h3eb9e021,32'h3fd030a6,32'h3fd8b006, 32'h3fc9d11e,32'h3fdf0f8e, 32'h3fbf31e7,32'h3fe9aec5,// invsqrt(0.3630) = 1.6597 +32'h403d957d,32'h3f11c403,32'h3f17b71d, 32'h3f0d4daf,32'h3f1c2d71, 32'h3f05ddce,32'h3f239d52,// invsqrt(2.9622) = 0.5810 +32'h3fa24911,32'h3f5eceea,32'h3f67e708, 32'h3f57fcd3,32'h3f6eb91f, 32'h3f4c9ead,32'h3f7a1745,// invsqrt(1.2679) = 0.8881 +32'h3d934b6a,32'h4069df26,32'h40736adf, 32'h4062b65c,32'h407a93aa, 32'h4056c7b6,32'h40834128,// invsqrt(0.0719) = 3.7288 +32'h3f30d3f5,32'h3f96ee86,32'h3f9d179c, 32'h3f924fb7,32'h3fa1b66b, 32'h3f8a9c5c,32'h3fa969c6,// invsqrt(0.6907) = 1.2032 +32'h407a0321,32'h3efdddd3,32'h3f041d3c, 32'h3ef61857,32'h3f07fffa, 32'h3ee92489,32'h3f0e79e2,// invsqrt(3.9064) = 0.5060 +32'h3e7c5ddf,32'h3ffcadf4,32'h40037f19, 32'h3ff4f1c4,32'h40075d30, 32'h3fe80d77,32'h400dcf57,// invsqrt(0.2465) = 2.0143 +32'h4085197d,32'h3ef606e7,32'h3f0008d1, 32'h3eee7edb,32'h3f03ccd7, 32'h3ee1f171,32'h3f0a138c,// invsqrt(4.1594) = 0.4903 +32'h3f921f41,32'h3f6acee0,32'h3f746462, 32'h3f639ebf,32'h3f7b9483, 32'h3f57a3de,32'h3f83c7b2,// invsqrt(1.1416) = 0.9359 +32'h422cbc89,32'h3e18b573,32'h3e1ef119, 32'h3e1408b6,32'h3e239dd6, 32'h3e0c3e25,32'h3e2b6867,// invsqrt(43.1841) = 0.1522 +32'h3f8c1f78,32'h3f6fc82c,32'h3f7991a6, 32'h3f687111,32'h3f807461, 32'h3f5c3538,32'h3f86924d,// invsqrt(1.0947) = 0.9558 +32'h3f56d88d,32'h3f88ed96,32'h3f8e8458, 32'h3f84bc84,32'h3f92b56a, 32'h3f7b8023,32'h3f99b1dc,// invsqrt(0.8392) = 1.0916 +32'h40ca3130,32'h3ec79cf0,32'h3ecfc2b0, 32'h3ec1809f,32'h3ed5df01, 32'h3eb7516e,32'h3ee00e32,// invsqrt(6.3185) = 0.3978 +32'h400d1ffd,32'h3f28f2cb,32'h3f2fd822, 32'h3f23c6cb,32'h3f350423, 32'h3f1b281e,32'h3f3da2d0,// invsqrt(2.2051) = 0.6734 +32'h4053716b,32'h3f0a0687,32'h3f0fa8c1, 32'h3f05ccdc,32'h3f13e26c, 32'h3efd8427,32'h3f1aed34,// invsqrt(3.3038) = 0.5502 +32'h422a1e41,32'h3e19e125,32'h3e202907, 32'h3e152b3b,32'h3e24def1, 32'h3e0d5161,32'h3e2cb8cb,// invsqrt(42.5295) = 0.1533 +32'h3f24a3a3,32'h3f9c6b41,32'h3fa2cdad, 32'h3f97a171,32'h3fa7977d, 32'h3f8fa66b,32'h3faf9283,// invsqrt(0.6431) = 1.2470 +32'h3fca133c,32'h3f47abba,32'h3f4fd216, 32'h3f418ef6,32'h3f55eeda, 32'h3f375f04,32'h3f601ecc,// invsqrt(1.5787) = 0.7959 +32'h40aa6c86,32'h3ed96c52,32'h3ee24c2c, 32'h3ed2c46f,32'h3ee8f40f, 32'h3ec7ac9f,32'h3ef40bdf,// invsqrt(5.3257) = 0.4333 +32'h3f0d8353,32'h3fa8b775,32'h3faf9a5f, 32'h3fa38d45,32'h3fb4c48f, 32'h3f9af19f,32'h3fbd6035,// invsqrt(0.5528) = 1.3450 +32'h4036e312,32'h3f146916,32'h3f1a77d4, 32'h3f0fde09,32'h3f1f02e1, 32'h3f084b9c,32'h3f26954e,// invsqrt(2.8576) = 0.5916 +32'h3e38c11e,32'h4013a898,32'h4019af7a, 32'h400f236f,32'h401e34a3, 32'h40079ad5,32'h4025bd3d,// invsqrt(0.1804) = 2.3543 +32'h3e7c27b5,32'h3ffcc916,32'h40038d37, 32'h3ff50c12,32'h40076bb9, 32'h3fe82662,32'h400dde91,// invsqrt(0.2462) = 2.0152 +32'h3e553e90,32'h400970f8,32'h400f0d18, 32'h40053be1,32'h4013422f, 32'h3ffc7175,32'h401a4556,// invsqrt(0.2082) = 2.1913 +32'h3eece1cf,32'h3fb86b2f,32'h3fbff22c, 32'h3fb2c5f2,32'h3fc5976a, 32'h3fa95d36,32'h3fcf0026,// invsqrt(0.4627) = 1.4702 +32'h3fc9c509,32'h3f47d268,32'h3f4ffa58, 32'h3f41b475,32'h3f56184b, 32'h3f37828a,32'h3f604a36,// invsqrt(1.5763) = 0.7965 +32'h3f85ab17,32'h3f7580c4,32'h3f7f8606, 32'h3f6dfcd3,32'h3f8384fb, 32'h3f617641,32'h3f89c844,// invsqrt(1.0443) = 0.9786 +32'h3ecc94c6,32'h3fc671b1,32'h3fce8b3b, 32'h3fc05e8a,32'h3fd49e62, 32'h3fb63e9d,32'h3fdebe4f,// invsqrt(0.3996) = 1.5820 +32'h3fbd2874,32'h3f4e6031,32'h3f56cc9b, 32'h3f480ee1,32'h3f5d1deb, 32'h3f3d875c,32'h3f67a570,// invsqrt(1.4778) = 0.8226 +32'h41111000,32'h3ea6a3c3,32'h3ead70f9, 32'h3ea189d9,32'h3eb28ae3, 32'h3e990955,32'h3ebb0b67,// invsqrt(9.0664) = 0.3321 +32'h3e8e1ca9,32'h3fee1916,32'h3ff7d0f7, 32'h3fe6cf2c,32'h3fff1ae0, 32'h3fdaa952,32'h4005a05d,// invsqrt(0.2776) = 1.8981 +32'h40c4d748,32'h3eca4ee1,32'h3ed290cb, 32'h3ec41d72,32'h3ed8c23a, 32'h3eb9cb0d,32'h3ee3149f,// invsqrt(6.1513) = 0.4032 +32'h3f8423a6,32'h3f76eb5a,32'h3f807fb4, 32'h3f6f5c4f,32'h3f844739, 32'h3f62c33e,32'h3f8a93c2,// invsqrt(1.0323) = 0.9842 +32'h3f47ce40,32'h3f8dfcf8,32'h3f93c89a, 32'h3f89a43f,32'h3f982153, 32'h3f8265b5,32'h3f9f5fdd,// invsqrt(0.7805) = 1.1319 +32'h3e657297,32'h40047fdf,32'h4009e85c, 32'h40007183,32'h400df6b9, 32'h3ff35dd0,32'h4014b954,// invsqrt(0.2241) = 2.1126 +32'h4083e488,32'h3ef72668,32'h3f009e6f, 32'h3eef958e,32'h3f0466dc, 32'h3ee2f979,32'h3f0ab4e6,// invsqrt(4.1216) = 0.4926 +32'h40166a81,32'h3f23a5b8,32'h3f2a53ab, 32'h3f1ea343,32'h3f2f5621, 32'h3f1649d3,32'h3f37af91,// invsqrt(2.3503) = 0.6523 +32'h3f946fb0,32'h3f68f875,32'h3f727ac3, 32'h3f61d6bb,32'h3f799c7d, 32'h3f55f3d9,32'h3f82bfaf,// invsqrt(1.1597) = 0.9286 +32'h3e18fa39,32'h40224583,32'h4028e516, 32'h401d4dd6,32'h402ddcc4, 32'h4015065f,32'h4036243b,// invsqrt(0.1494) = 2.5872 +32'h3e03910e,32'h402efa57,32'h40361eae, 32'h40299f15,32'h403b79ef, 32'h4020b1a8,32'h4044675c,// invsqrt(0.1285) = 2.7898 +32'h3f19fcd0,32'h3fa1bd0a,32'h3fa8570a, 32'h3f9cc98a,32'h3fad4a8a, 32'h3f948909,32'h3fb58b0b,// invsqrt(0.6015) = 1.2894 +32'h4061b114,32'h3f0598f4,32'h3f0b0ce9, 32'h3f0181fc,32'h3f0f23e0, 32'h3ef56213,32'h3f15f4d3,// invsqrt(3.5264) = 0.5325 +32'h3fe62075,32'h3f3b1b1b,32'h3f42be2b, 32'h3f3560ce,32'h3f487878, 32'h3f2bd4f9,32'h3f52044d,// invsqrt(1.7979) = 0.7458 +32'h3e9a239c,32'h3fe49ec6,32'h3fedf3a0, 32'h3fdd9f24,32'h3ff4f342, 32'h3fd1f514,32'h40004ea9,// invsqrt(0.3011) = 1.8225 +32'h3f0ba1a4,32'h3fa9d97e,32'h3fb0c83f, 32'h3fa4a66c,32'h3fb5fb50, 32'h3f9bfbfb,32'h3fbea5c1,// invsqrt(0.5454) = 1.3540 +32'h3dff9b6c,32'h40318905,32'h4038c815, 32'h402c19b9,32'h403e3761, 32'h40230ae5,32'h40474635,// invsqrt(0.1248) = 2.8306 +32'h409ca81f,32'h3ee2c694,32'h3eec0828, 32'h3edbd566,32'h3ef2f956, 32'h3ed0436e,32'h3efe8b4e,// invsqrt(4.8955) = 0.4520 +32'h41560279,32'h3e893201,32'h3e8ecb8f, 32'h3e84fed8,32'h3e92feb8, 32'h3e7bfdce,32'h3e99fea9,// invsqrt(13.3756) = 0.2734 +32'h4133480c,32'h3e95e53a,32'h3e9c037c, 32'h3e914e8a,32'h3ea09a2c, 32'h3e89a8b8,32'h3ea83ffe,// invsqrt(11.2051) = 0.2987 +32'h40905e62,32'h3eec3acf,32'h3ef5df2b, 32'h3ee4ff8a,32'h3efd1a70, 32'h3ed8f217,32'h3f0493f2,// invsqrt(4.5115) = 0.4708 +32'h40447f65,32'h3f0f2da9,32'h3f1505ba, 32'h3f0acb9b,32'h3f1967c7, 32'h3f037d86,32'h3f20b5dc,// invsqrt(3.0703) = 0.5707 +32'h40ebb3b5,32'h3eb8e139,32'h3ec06d07, 32'h3eb3385e,32'h3ec615e2, 32'h3ea9c99d,32'h3ecf84a3,// invsqrt(7.3657) = 0.3685 +32'h3f4e1b78,32'h3f8bccfb,32'h3f9181c1, 32'h3f878566,32'h3f95c956, 32'h3f80636e,32'h3f9ceb4e,// invsqrt(0.8051) = 1.1145 +32'h3ff186b4,32'h3f36a317,32'h3f3e1775, 32'h3f310bcf,32'h3f43aebd, 32'h3f27ba59,32'h3f4d0033,// invsqrt(1.8869) = 0.7280 +32'h3f12819e,32'h3fa5d109,32'h3fac95a6, 32'h3fa0bd93,32'h3fb1a91b, 32'h3f9847ce,32'h3fba1ee0,// invsqrt(0.5723) = 1.3219 +32'h40c57aab,32'h3ec9fb1f,32'h3ed2399d, 32'h3ec3cc40,32'h3ed8687c, 32'h3eb97e21,32'h3ee2b69b,// invsqrt(6.1712) = 0.4025 +32'h3f166ae0,32'h3fa3a585,32'h3faa5375, 32'h3f9ea310,32'h3faf55ea, 32'h3f9649a4,32'h3fb7af56,// invsqrt(0.5876) = 1.3046 +32'h3f01ebbf,32'h3fb01528,32'h3fb7450a, 32'h3faab13e,32'h3fbca8f4, 32'h3fa1b563,32'h3fc5a4cf,// invsqrt(0.5075) = 1.4037 +32'h3f46d486,32'h3f8e5607,32'h3f94254b, 32'h3f89fa94,32'h3f9880be, 32'h3f82b77e,32'h3f9fc3d4,// invsqrt(0.7767) = 1.1347 +32'h3f07d2cb,32'h3fac36cf,32'h3fb33e46, 32'h3fa6f137,32'h3fb883df, 32'h3f9e27e4,32'h3fc14d33,// invsqrt(0.5306) = 1.3729 +32'h3ec4401b,32'h3fca9cbe,32'h3fd2e1d5, 32'h3fc468ec,32'h3fd915a6, 32'h3fba128e,32'h3fe36c04,// invsqrt(0.3833) = 1.6152 +32'h3f8926e7,32'h3f725d75,32'h3f7c41ec, 32'h3f6af21a,32'h3f81d6a3, 32'h3f5e9485,32'h3f88056e,// invsqrt(1.0715) = 0.9661 +32'h3da2baf5,32'h405e80e4,32'h406795d4, 32'h4057b131,32'h406e6587, 32'h404c5706,32'h4079bfb2,// invsqrt(0.0795) = 3.5476 +32'h3e2369c0,32'h401d0133,32'h402369bd, 32'h401832cc,32'h40283824, 32'h4010301f,32'h40303ad1,// invsqrt(0.1596) = 2.5033 +32'h3e2822dd,32'h401ac8a5,32'h402119fb, 32'h40160ba6,32'h4025d6fa, 32'h400e25fb,32'h402dbca5,// invsqrt(0.1642) = 2.4679 +32'h3ebdf87d,32'h3fcdef12,32'h3fd656de, 32'h3fc7a138,32'h3fdca4b8, 32'h3fbd1f79,32'h3fe72677,// invsqrt(0.3710) = 1.6417 +32'h3ec5194d,32'h3fca2cfc,32'h3fd26d84, 32'h3fc3fc96,32'h3fd89dea, 32'h3fb9abed,32'h3fe2ee93,// invsqrt(0.3850) = 1.6117 +32'h40325095,32'h3f164d1a,32'h3f1c6f98, 32'h3f11b33b,32'h3f210977, 32'h3f0a081d,32'h3f28b495,// invsqrt(2.7862) = 0.5991 +32'h402f2932,32'h3f17a5f4,32'h3f1dd686, 32'h3f130187,32'h3f227af3, 32'h3f0b44d0,32'h3f2a37aa,// invsqrt(2.7369) = 0.6045 +32'h3f56417a,32'h3f891dd4,32'h3f8eb68e, 32'h3f84eb48,32'h3f92e91a, 32'h3f7bd8bf,32'h3f99e803,// invsqrt(0.8369) = 1.0931 +32'h3fa4b7eb,32'h3f5d2818,32'h3f662ef4, 32'h3f5662f2,32'h3f6cf41a, 32'h3f4b1a5f,32'h3f783cad,// invsqrt(1.2869) = 0.8815 +32'h3fa01b12,32'h3f6051dd,32'h3f6979c7, 32'h3f5973ee,32'h3f7057b6, 32'h3f4e020a,32'h3f7bc99a,// invsqrt(1.2508) = 0.8941 +32'h3f8876a4,32'h3f72f9c8,32'h3f7ce4a2, 32'h3f6b89a6,32'h3f822a62, 32'h3f5f2416,32'h3f885d2a,// invsqrt(1.0661) = 0.9685 +32'h3d87385c,32'h40741716,32'h407e0d94, 32'h406c9e37,32'h4082c339, 32'h40602a19,32'h4088fd48,// invsqrt(0.0660) = 3.8917 +32'h3ee8a0cb,32'h3fba18e5,32'h3fc1b16b, 32'h3fb46680,32'h3fc763d0, 32'h3faae7d7,32'h3fd0e279,// invsqrt(0.4544) = 1.4836 +32'h3fe7bbf0,32'h3f3a74b3,32'h3f4210f9, 32'h3f34bf7e,32'h3f47c62e, 32'h3f2b3c27,32'h3f514985,// invsqrt(1.8104) = 0.7432 +32'h3f8159b6,32'h3f799124,32'h3f81e06e, 32'h3f71ed5a,32'h3f85b253, 32'h3f6531b3,32'h3f8c1026,// invsqrt(1.0106) = 0.9948 +32'h3ec50c73,32'h3fca3394,32'h3fd27460, 32'h3fc402fa,32'h3fd8a4fa, 32'h3fb9b1fb,32'h3fe2f5f9,// invsqrt(0.3849) = 1.6119 +32'h409dcd39,32'h3ee1f396,32'h3eeb2c8d, 32'h3edb08de,32'h3ef21746, 32'h3ecf81aa,32'h3efd9e7a,// invsqrt(4.9313) = 0.4503 +32'h3fd0ea2e,32'h3f446008,32'h3f4c63f3, 32'h3f3e5d17,32'h3f5266e3, 32'h3f345830,32'h3f5c6bca,// invsqrt(1.6321) = 0.7827 +32'h3fefe5c5,32'h3f374187,32'h3f3ebc5d, 32'h3f31a566,32'h3f44587e, 32'h3f284bda,32'h3f4db20a,// invsqrt(1.8742) = 0.7305 +32'h3f015ee2,32'h3fb074eb,32'h3fb7a8b5, 32'h3fab0e12,32'h3fbd0f8e, 32'h3fa20d55,32'h3fc6104b,// invsqrt(0.5054) = 1.4067 +32'h4030515d,32'h3f172661,32'h3f1d51bd, 32'h3f1285db,32'h3f21f243, 32'h3f0acfa7,32'h3f29a877,// invsqrt(2.7550) = 0.6025 +32'h3e74fe32,32'h40003a18,32'h400575ef, 32'h3ff89a6c,32'h400962d0, 32'h3feb84d2,32'h400fed9d,// invsqrt(0.2393) = 2.0444 +32'h3fcccff9,32'h3f465501,32'h3f4e6d60, 32'h3f4042bb,32'h3f547fa7, 32'h3f362446,32'h3f5e9e1c,// invsqrt(1.6001) = 0.7905 +32'h40a52177,32'h3edce15f,32'h3ee5e558, 32'h3ed61e63,32'h3eeca853, 32'h3ecad96c,32'h3ef7ed4b,// invsqrt(5.1603) = 0.4402 +32'h3e14516e,32'h4024ccf9,32'h402b86f9, 32'h401fc179,32'h40309279, 32'h401758fa,32'h4038faf8,// invsqrt(0.1448) = 2.6276 +32'h3fd5f1cd,32'h3f420d93,32'h3f49f93b, 32'h3f3c1cd5,32'h3f4fe9f9, 32'h3f323643,32'h3f59d08b,// invsqrt(1.6714) = 0.7735 +32'h3f790bbd,32'h3f7e5bcb,32'h3f845eca, 32'h3f769274,32'h3f884376, 32'h3f699838,32'h3f8ec094,// invsqrt(0.9728) = 1.0139 +32'h3f3218ee,32'h3f966493,32'h3f9c8807, 32'h3f91c9fc,32'h3fa1229e, 32'h3f8a1dac,32'h3fa8ceee,// invsqrt(0.6957) = 1.1989 +32'h40292296,32'h3f1a5376,32'h3f20a002, 32'h3f159a0c,32'h3f25596c, 32'h3f0dba5c,32'h3f2d391c,// invsqrt(2.6427) = 0.6151 +32'h3fa3e2a1,32'h3f5db7d3,32'h3f66c48d, 32'h3f56ee47,32'h3f6d8e19, 32'h3f4b9e5e,32'h3f78de02,// invsqrt(1.2804) = 0.8838 +32'h40bc81a4,32'h3ecebb6c,32'h3ed72b90, 32'h3ec86751,32'h3edd7fab, 32'h3ebddb25,32'h3ee80bd7,// invsqrt(5.8908) = 0.4120 +32'h3ea8d34f,32'h3fda7335,32'h3fe35dc9, 32'h3fd3c345,32'h3fea0db9, 32'h3fc89e0c,32'h3ff532f2,// invsqrt(0.3297) = 1.7415 +32'h402d25f4,32'h3f1886ef,32'h3f1ec0af, 32'h3f13db9e,32'h3f236c00, 32'h3f0c136e,32'h3f2b3430,// invsqrt(2.7054) = 0.6080 +32'h3e6178bc,32'h4005a9a4,32'h400b1e48, 32'h4001922a,32'h400f35c2, 32'h3ff580bb,32'h4016078f,// invsqrt(0.2202) = 2.1311 +32'h3fd7e1ba,32'h3f412e2f,32'h3f4910b9, 32'h3f3b4448,32'h3f4efaa0, 32'h3f31691c,32'h3f58d5cc,// invsqrt(1.6866) = 0.7700 +32'h3f3da1aa,32'h3f91bf55,32'h3f97b23f, 32'h3f8d4926,32'h3f9c286e, 32'h3f85d983,32'h3fa39811,// invsqrt(0.7407) = 1.1619 +32'h3f0abe3c,32'h3faa6477,32'h3fb158e5, 32'h3fa52d25,32'h3fb69037, 32'h3f9c7b9d,32'h3fbf41bf,// invsqrt(0.5420) = 1.3584 +32'h40504f57,32'h3f0b0f44,32'h3f10bc4c, 32'h3f06cd7e,32'h3f14fe12, 32'h3eff6a68,32'h3f1c165c,// invsqrt(3.2548) = 0.5543 +32'h3fa0f7ee,32'h3f5fb7c4,32'h3f68d964, 32'h3f58de8d,32'h3f6fb29b, 32'h3f4d7485,32'h3f7b1ca3,// invsqrt(1.2576) = 0.8917 +32'h3fdb2be4,32'h3f3fb9a2,32'h3f478cf8, 32'h3f39db23,32'h3f4d6b77, 32'h3f3012f8,32'h3f5733a2,// invsqrt(1.7123) = 0.7642 +32'h3d060244,32'h40ad604a,32'h40b473e4, 32'h40a81196,32'h40b9c298, 32'h409f3915,32'h40c29b19,// invsqrt(0.0327) = 5.5286 +32'h3f15abfd,32'h3fa40dbe,32'h3faabff0, 32'h3f9f0819,32'h3fafc595, 32'h3f96a95b,32'h3fb82453,// invsqrt(0.5847) = 1.3078 +32'h40099e04,32'h3f2b1688,32'h3f32123a, 32'h3f25d9c3,32'h3f374eff, 32'h3f1d1f24,32'h3f40099e,// invsqrt(2.1503) = 0.6820 +32'h3dcc21c0,32'h4046a992,32'h404ec564, 32'h404094b5,32'h4054da41, 32'h403671ef,32'h405efd07,// invsqrt(0.0997) = 3.1674 +32'h3ff405e2,32'h3f35b347,32'h3f3d1ddd, 32'h3f302358,32'h3f42adcc, 32'h3f26de1d,32'h3f4bf307,// invsqrt(1.9064) = 0.7243 +32'h3fea3713,32'h3f397737,32'h3f410924, 32'h3f33c9c4,32'h3f46b696, 32'h3f2a535c,32'h3f502cfe,// invsqrt(1.8298) = 0.7393 +32'h3e89ba3e,32'h3ff1dbae,32'h3ffbbada, 32'h3fea744d,32'h4001911d, 32'h3fde1d57,32'h4007bc99,// invsqrt(0.2690) = 1.9281 +32'h3fd065a5,32'h3f449e70,32'h3f4ca4e7, 32'h3f3e9996,32'h3f52a9c0, 32'h3f349180,32'h3f5cb1d6,// invsqrt(1.6281) = 0.7837 +32'h3f8e0d61,32'h3f6e25e4,32'h3f77de4b, 32'h3f66db96,32'h3f7f2898, 32'h3f5ab515,32'h3f85a78d,// invsqrt(1.1098) = 0.9493 +32'h4056a089,32'h3f08ff73,32'h3f0e96f0, 32'h3f04cdd6,32'h3f12c88e, 32'h3efba0f4,32'h3f19c5ea,// invsqrt(3.3535) = 0.5461 +32'h3e3ccec9,32'h401210a3,32'h401806dd, 32'h400d97f6,32'h401c7f8a, 32'h4006242d,32'h4023f353,// invsqrt(0.1844) = 2.3288 +32'h3fca1e53,32'h3f47a640,32'h3f4fcc62, 32'h3f4189a7,32'h3f55e8fb, 32'h3f3759fc,32'h3f6018a6,// invsqrt(1.5791) = 0.7958 +32'h3fbdb78b,32'h3f4e124e,32'h3f567b8a, 32'h3f47c360,32'h3f5cca78, 32'h3f3d3fd4,32'h3f674e04,// invsqrt(1.4822) = 0.8214 +32'h3b884536,32'h417325d6,32'h417d127b, 32'h416bb459,32'h418241fb, 32'h415f4c8a,32'h418875e3,// invsqrt(0.0042) = 15.5069 +32'h3f950017,32'h3f688776,32'h3f720527, 32'h3f616930,32'h3f79236c, 32'h3f558c13,32'h3f828044,// invsqrt(1.1641) = 0.9269 +32'h3f67c274,32'h3f83d643,32'h3f8937d3, 32'h3f7f9a2e,32'h3f8d40ff, 32'h3f722647,32'h3f93faf2,// invsqrt(0.9053) = 1.0510 +32'h421170a4,32'h3e266c5c,32'h3e2d3750, 32'h3e215425,32'h3e324f87, 32'h3e18d674,32'h3e3acd38,// invsqrt(36.3600) = 0.1658 +32'h3f11926a,32'h3fa6590d,32'h3fad2337, 32'h3fa1416d,32'h3fb23ad7, 32'h3f98c4b8,32'h3fbab78c,// invsqrt(0.5686) = 1.3261 +32'h3f385ff4,32'h3f93cf7c,32'h3f99d7f4, 32'h3f8f4922,32'h3f9e5e4e, 32'h3f87be8c,32'h3fa5e8e4,// invsqrt(0.7202) = 1.1783 +32'h3f990ecc,32'h3f656d25,32'h3f6eca6b, 32'h3f5e6731,32'h3f75d05f, 32'h3f52b29a,32'h3f80c27b,// invsqrt(1.1958) = 0.9145 +32'h3eb658bf,32'h3fd231e3,32'h3fdac635, 32'h3fcbc2a5,32'h3fe13573, 32'h3fc1093e,32'h3febeeda,// invsqrt(0.3561) = 1.6757 +32'h3da77e93,32'h405b50f7,32'h40644499, 32'h40549a3e,32'h406afb52, 32'h404969b4,32'h40762bdc,// invsqrt(0.0818) = 3.4968 +32'h4076e1f4,32'h3eff7873,32'h3f04f2ed, 32'h3ef7a664,32'h3f08dbf4, 32'h3eea9da2,32'h3f0f6055,// invsqrt(3.8575) = 0.5091 +32'h3fa92cd0,32'h3f5a3964,32'h3f63219c, 32'h3f538b3a,32'h3f69cfc6, 32'h3f4868f3,32'h3f74f20d,// invsqrt(1.3217) = 0.8698 +32'h4027a908,32'h3f1b00d8,32'h3f215478, 32'h3f164220,32'h3f261330, 32'h3f0e5997,32'h3f2dfbb9,// invsqrt(2.6197) = 0.6178 +32'h3f077625,32'h3fac71aa,32'h3fb37b87, 32'h3fa72a44,32'h3fb8c2ec, 32'h3f9e5def,32'h3fc18f41,// invsqrt(0.5291) = 1.3747 +32'h3eb22779,32'h3fd4a75b,32'h3fdd555f, 32'h3fce24d8,32'h3fe3d7e2, 32'h3fc34b54,32'h3feeb166,// invsqrt(0.3480) = 1.6953 +32'h403ba99f,32'h3f12828d,32'h3f187d6f, 32'h3f0e0664,32'h3f1cf998, 32'h3f068ccb,32'h3f247331,// invsqrt(2.9322) = 0.5840 +32'h404a45c5,32'h3f0d1ea3,32'h3f12e131, 32'h3f08ccb8,32'h3f17331c, 32'h3f019986,32'h3f1e664e,// invsqrt(3.1605) = 0.5625 +32'h3f389ab8,32'h3f93b7f3,32'h3f99bf75, 32'h3f8f3251,32'h3f9e4517, 32'h3f87a8ef,32'h3fa5ce79,// invsqrt(0.7211) = 1.1776 +32'h40459ee7,32'h3f0ec55c,32'h3f14992c, 32'h3f0a6681,32'h3f18f807, 32'h3f031dbd,32'h3f2040cb,// invsqrt(3.0878) = 0.5691 +32'h3fde4604,32'h3f3e61f0,32'h3f46273e, 32'h3f388df6,32'h3f4bfb38, 32'h3f2ed755,32'h3f55b1d9,// invsqrt(1.7365) = 0.7589 +32'h3f3ee53a,32'h3f91439c,32'h3f973178, 32'h3f8cd136,32'h3f9ba3de, 32'h3f8567e3,32'h3fa30d31,// invsqrt(0.7457) = 1.1580 +32'h3feb5d49,32'h3f390327,32'h3f409058, 32'h3f335943,32'h3f463a3d, 32'h3f29e8c6,32'h3f4faaba,// invsqrt(1.8388) = 0.7375 +32'h4113d596,32'h3ea511f2,32'h3eabcec2, 32'h3ea00456,32'h3eb0dc5e, 32'h3e979851,32'h3eb94863,// invsqrt(9.2396) = 0.3290 +32'h4029581b,32'h3f1a3b11,32'h3f20869f, 32'h3f158267,32'h3f253f49, 32'h3f0da3f6,32'h3f2d1dba,// invsqrt(2.6460) = 0.6148 +32'h3fb6176a,32'h3f525795,32'h3f5aed71, 32'h3f4be730,32'h3f615dd6, 32'h3f412bdc,32'h3f6c192a,// invsqrt(1.4226) = 0.8384 +32'h4098cfc0,32'h3ee59c74,32'h3eeefba8, 32'h3ede950d,32'h3ef6030f, 32'h3ed2de0d,32'h3f00dd08,// invsqrt(4.7754) = 0.4576 +32'h3f92f541,32'h3f6a23ab,32'h3f73b22f, 32'h3f62f8c8,32'h3f7add12, 32'h3f5706a2,32'h3f83679c,// invsqrt(1.1481) = 0.9333 +32'h3f387b0d,32'h3f93c4a0,32'h3f99cca6, 32'h3f8f3e9b,32'h3f9e52ab, 32'h3f87b493,32'h3fa5dcb3,// invsqrt(0.7206) = 1.1780 +32'h3f58ad18,32'h3f88593a,32'h3f8de9ee, 32'h3f842cb3,32'h3f921675, 32'h3f7a6fa4,32'h3f990b56,// invsqrt(0.8464) = 1.0870 +32'h3ebfd0d3,32'h3fccf0e8,32'h3fd54e54, 32'h3fc6aad6,32'h3fdb9466, 32'h3fbc360e,32'h3fe6092e,// invsqrt(0.3746) = 1.6338 +32'h3f148f65,32'h3fa4aa97,32'h3fab632f, 32'h3f9fa024,32'h3fb06da2, 32'h3f973966,32'h3fb8d460,// invsqrt(0.5803) = 1.3127 +32'h3e52d8d0,32'h400a3871,32'h400fdcb5, 32'h4005fd3f,32'h401417e7, 32'h3ffddfd5,32'h401b253b,// invsqrt(0.2059) = 2.2038 +32'h3f425a73,32'h3f8ff74e,32'h3f95d79a, 32'h3f8b8f15,32'h3f9a3fd3, 32'h3f8436b5,32'h3fa19833,// invsqrt(0.7592) = 1.1477 +32'h3fb5409e,32'h3f52d413,32'h3f5b6f04, 32'h3f4c5fde,32'h3f61e338, 32'h3f419e30,32'h3f6ca4e6,// invsqrt(1.4160) = 0.8404 +32'h3ee29e63,32'h3fbc8c6d,32'h3fc43e90, 32'h3fb6c6d1,32'h3fca042b, 32'h3fad2825,32'h3fd3a2d7,// invsqrt(0.4426) = 1.5031 +32'h3ed6ed46,32'h3fc19bec,32'h3fc982f0, 32'h3fbbaea8,32'h3fcf7034, 32'h3fb1cde3,32'h3fd950f9,// invsqrt(0.4198) = 1.5434 +32'h3eeac95f,32'h3fb93d65,32'h3fc0ccf7, 32'h3fb391b8,32'h3fc678a4, 32'h3faa1e43,32'h3fcfec19,// invsqrt(0.4586) = 1.4767 +32'h3e9e9692,32'h3fe163f9,32'h3fea9713, 32'h3fda7da6,32'h3ff17d66, 32'h3fcefdc5,32'h3ffcfd47,// invsqrt(0.3097) = 1.7968 +32'h3f347772,32'h3f956705,32'h3f9b801f, 32'h3f90d431,32'h3fa012f3, 32'h3f8934d0,32'h3fa7b254,// invsqrt(0.7049) = 1.1910 +32'h3fed7486,32'h3f38322e,32'h3f3fb6d7, 32'h3f328eaf,32'h3f455a55, 32'h3f2928db,32'h3f4ec029,// invsqrt(1.8551) = 0.7342 +32'h3fbc3d34,32'h3f4ee0fe,32'h3f5752aa, 32'h3f488bbd,32'h3f5da7eb, 32'h3f3dfda5,32'h3f683603,// invsqrt(1.4706) = 0.8246 +32'h3f596803,32'h3f881e90,32'h3f8dacde, 32'h3f83f3d4,32'h3f91d79a, 32'h3f7a03e3,32'h3f98c97c,// invsqrt(0.8492) = 1.0851 +32'h3ed04e72,32'h3fc4a962,32'h3fccb04c, 32'h3fbea433,32'h3fd2b57b, 32'h3fb49b8e,32'h3fdcbe20,// invsqrt(0.4068) = 1.5678 +32'h41cdd66f,32'h3e45d667,32'h3e4de99b, 32'h3e3fc801,32'h3e53f801, 32'h3e35b001,32'h3e5e1001,// invsqrt(25.7297) = 0.1971 +32'h3e55caa0,32'h400943ec,32'h400ede34, 32'h40051036,32'h401311ea, 32'h3ffc1eb6,32'h401a12c5,// invsqrt(0.2088) = 2.1885 +32'h3f3a1510,32'h3f93217b,32'h3f9922d8, 32'h3f8ea074,32'h3f9da3de, 32'h3f871ebe,32'h3fa52594,// invsqrt(0.7269) = 1.1729 +32'h3f753263,32'h3f802c72,32'h3f8567ba, 32'h3f787ff7,32'h3f895431, 32'h3f6b6bc1,32'h3f8fde4b,// invsqrt(0.9578) = 1.0218 +32'h3dc7af99,32'h4048dc9d,32'h40510f6a, 32'h4042b684,32'h40573584, 32'h40387704,32'h40617504,// invsqrt(0.0975) = 3.2025 +32'h3f13bfe6,32'h3fa51e0f,32'h3fabdb5d, 32'h3fa01013,32'h3fb0e959, 32'h3f97a371,32'h3fb955fb,// invsqrt(0.5771) = 1.3163 +32'h403a64c4,32'h3f130202,32'h3f190218, 32'h3f0e81f3,32'h3f1d8227, 32'h3f0701d8,32'h3f250242,// invsqrt(2.9124) = 0.5860 +32'h3ff1eba7,32'h3f367cf8,32'h3f3defc8, 32'h3f30e6db,32'h3f4385e5, 32'h3f279756,32'h3f4cd56a,// invsqrt(1.8900) = 0.7274 +32'h3f12648d,32'h3fa5e17e,32'h3faca6c8, 32'h3fa0cd88,32'h3fb1babe, 32'h3f9856ec,32'h3fba315a,// invsqrt(0.5718) = 1.3224 +32'h40724441,32'h3f00f248,32'h3f0635a4, 32'h3ef9ff87,32'h3f0a2829, 32'h3eecd721,32'h3f10bc5b,// invsqrt(3.7854) = 0.5140 +32'h3eff0505,32'h3fb1bd58,32'h3fb8fe8a, 32'h3fac4c72,32'h3fbe6f70, 32'h3fa33af2,32'h3fc780f0,// invsqrt(0.4981) = 1.4169 +32'h3ed97e10,32'h3fc076b9,32'h3fc851c5, 32'h3fba926f,32'h3fce360f, 32'h3fb0c09f,32'h3fd807df,// invsqrt(0.4248) = 1.5343 +32'h3d4755d4,32'h408e27d5,32'h4093f537, 32'h4089cdcc,32'h40984f40, 32'h40828d12,32'h409f8ffa,// invsqrt(0.0487) = 4.5330 +32'h3f43a675,32'h3f8f7cf3,32'h3f955841, 32'h3f8b1879,32'h3f99bcbb, 32'h3f83c657,32'h3fa10edd,// invsqrt(0.7643) = 1.1439 +32'h3f97642a,32'h3f66af88,32'h3f7019f6, 32'h3f5f9fb5,32'h3f7729c9, 32'h3f53daac,32'h3f817769,// invsqrt(1.1827) = 0.9195 +32'h3f2b2582,32'h3f996a9e,32'h3f9fadaa, 32'h3f94b855,32'h3fa45ff3, 32'h3f8ce487,32'h3fac33c1,// invsqrt(0.6685) = 1.2230 +32'h4083445e,32'h3ef7bd01,32'h3f00eccf, 32'h3ef0278b,32'h3f04b789, 32'h3ee383c7,32'h3f0b096b,// invsqrt(4.1021) = 0.4937 +32'h4008702c,32'h3f2bd360,32'h3f32d6c8, 32'h3f2690d3,32'h3f381955, 32'h3f1dcc92,32'h3f40dd96,// invsqrt(2.1318) = 0.6849 +32'h3f633a40,32'h3f85252c,32'h3f8a9468, 32'h3f8111c0,32'h3f8ea7d4, 32'h3f748d6c,32'h3f9572de,// invsqrt(0.8876) = 1.0614 +32'h3f21c8ce,32'h3f9dcb02,32'h3fa43bc8, 32'h3f98f66d,32'h3fa9105d, 32'h3f90e974,32'h3fb11d56,// invsqrt(0.6320) = 1.2579 +32'h400a2f26,32'h3f2abc98,32'h3f31b49e, 32'h3f258293,32'h3f36eea3, 32'h3f1ccc8c,32'h3f3fa4ab,// invsqrt(2.1591) = 0.6806 +32'h3f5adf99,32'h3f87a993,32'h3f8d331c, 32'h3f83826d,32'h3f915a43, 32'h3f792d05,32'h3f98462e,// invsqrt(0.8550) = 1.0815 +32'h3ef4ccd6,32'h3fb56962,32'h3fbcd0f4, 32'h3fafdbb6,32'h3fc25ea0, 32'h3fa69a40,32'h3fcba016,// invsqrt(0.4781) = 1.4462 +32'h3cea7163,32'h40b96024,32'h40c0f121, 32'h40b3b368,32'h40c69dde, 32'h40aa3e2c,32'h40d0131a,// invsqrt(0.0286) = 5.9112 +32'h3f095412,32'h3fab4492,32'h3fb24224, 32'h3fa60663,32'h3fb78053, 32'h3f9d496c,32'h3fc03d4b,// invsqrt(0.5364) = 1.3653 +32'h42c6b000,32'h3dc95da6,32'h3dd195b8, 32'h3dc33399,32'h3dd7bfc5, 32'h3db8ed84,32'h3de205da,// invsqrt(99.3438) = 0.1003 +32'h3f3762cb,32'h3f94355f,32'h3f9a41ff, 32'h3f8fabe6,32'h3f9ecb78, 32'h3f881c1e,32'h3fa65b40,// invsqrt(0.7164) = 1.1815 +32'h3efc168f,32'h3fb2c524,32'h3fba111c, 32'h3fad4c2b,32'h3fbf8a15, 32'h3fa42d36,32'h3fc8a90a,// invsqrt(0.4924) = 1.4251 +32'h4196eb50,32'h3e670bd2,32'h3e707a05, 32'h3e5ff92d,32'h3e778cab, 32'h3e542f6e,32'h3e81ab35,// invsqrt(18.8649) = 0.2302 +32'h3edd7999,32'h3fbeb9b8,32'h3fc6829a, 32'h3fb8e30d,32'h3fcc5945, 32'h3faf27f2,32'h3fd61460,// invsqrt(0.4326) = 1.5205 +32'h3e93d50a,32'h3fe97230,32'h3ff2f976, 32'h3fe24cbc,32'h3ffa1eea, 32'h3fd663a4,32'h40030401,// invsqrt(0.2887) = 1.8610 +32'h3e0134a6,32'h403091bf,32'h4037c6b7, 32'h402b2a05,32'h403d2e71, 32'h402227cf,32'h404630a7,// invsqrt(0.1262) = 2.8152 +32'h4265ba52,32'h3e046b2e,32'h3e09d2d2, 32'h3e005d73,32'h3e0de08d, 32'h3df337cd,32'h3e14a21a,// invsqrt(57.4320) = 0.1320 +32'h4080cc07,32'h3efa1a43,32'h3f0227ca, 32'h3ef27247,32'h3f05fbc9, 32'h3ee5afa1,32'h3f0c5d1b,// invsqrt(4.0249) = 0.4985 +32'h3f455f3f,32'h3f8edc60,32'h3f94b120, 32'h3f8a7cd0,32'h3f9910b0, 32'h3f8332e0,32'h3fa05aa0,// invsqrt(0.7710) = 1.1389 +32'h41800ee3,32'h3e7ad2b2,32'h3e8287c5, 32'h3e732510,32'h3e865e96, 32'h3e665901,32'h3e8cc49d,// invsqrt(16.0073) = 0.2499 +32'h40f5d44b,32'h3eb50813,32'h3ebc6bab, 32'h3eaf7d61,32'h3ec1f65d, 32'h3ea640e2,32'h3ecb32dc,// invsqrt(7.6822) = 0.3608 +32'h3d3e0050,32'h40919b03,32'h40978c71, 32'h408d25f1,32'h409c0183, 32'h4085b827,32'h40a36f4d,// invsqrt(0.0464) = 4.6430 +32'h3fc63a8c,32'h3f499945,32'h3f51d3c6, 32'h3f436d66,32'h3f57ffa6, 32'h3f392445,32'h3f6248c7,// invsqrt(1.5487) = 0.8036 +32'h41d47d38,32'h3e42b76a,32'h3e4aaa00, 32'h3e3cc179,32'h3e509ff1, 32'h3e32d23c,32'h3e5a8f2e,// invsqrt(26.5611) = 0.1940 +32'h3f119753,32'h3fa6563f,32'h3fad204c, 32'h3fa13eb5,32'h3fb237d5, 32'h3f98c224,32'h3fbab466,// invsqrt(0.5687) = 1.3260 +32'h3f8575d6,32'h3f75b1ba,32'h3f7fb8fc, 32'h3f6e2c4a,32'h3f839f36, 32'h3f61a338,32'h3f89e3bf,// invsqrt(1.0427) = 0.9793 +32'h3fd4a6ee,32'h3f42a450,32'h3f4a9620, 32'h3f3caef5,32'h3f508b7b, 32'h3f32c0b2,32'h3f5a79be,// invsqrt(1.6613) = 0.7758 +32'h407b1eeb,32'h3efd4e38,32'h3f03d280, 32'h3ef58d21,32'h3f07b30c, 32'h3ee8a0a6,32'h3f0e2949,// invsqrt(3.9238) = 0.5048 +32'h40054333,32'h3f2ddc67,32'h3f34f513, 32'h3f2889e7,32'h3f3a4793, 32'h3f1fab10,32'h3f43266a,// invsqrt(2.0822) = 0.6930 +32'h408f8ccd,32'h3eece702,32'h3ef69266, 32'h3ee5a678,32'h3efdd2f0, 32'h3ed9903c,32'h3f04f496,// invsqrt(4.4859) = 0.4721 +32'h4004518c,32'h3f2e7ae2,32'h3f359a06, 32'h3f292388,32'h3f3af160, 32'h3f203c9c,32'h3f43d84c,// invsqrt(2.0675) = 0.6955 +32'h3ec6d39b,32'h3fc94b9e,32'h3fd182f2, 32'h3fc3221e,32'h3fd7ac72, 32'h3fb8dcf4,32'h3fe1f19c,// invsqrt(0.3883) = 1.6047 +32'h3f8177c2,32'h3f79742d,32'h3f81d15b, 32'h3f71d145,32'h3f85a2cf, 32'h3f651719,32'h3f8bffe5,// invsqrt(1.0115) = 0.9943 +32'h3f6e6309,32'h3f81fdd1,32'h3f874c19, 32'h3f7c0638,32'h3f8b46ce, 32'h3f6ec286,32'h3f91e8a7,// invsqrt(0.9312) = 1.0363 +32'h3fb6e094,32'h3f51e3c5,32'h3f5a74e7, 32'h3f4b76eb,32'h3f60e1c1, 32'h3f40c181,32'h3f6b972b,// invsqrt(1.4287) = 0.8366 +32'h3d19a92d,32'h40a1e908,32'h40a884d4, 32'h409cf42f,32'h40ad79ad, 32'h4094b170,32'h40b5bc6c,// invsqrt(0.0375) = 5.1630 +32'h40106d9d,32'h3f270157,32'h3f2dd25f, 32'h3f21e490,32'h3f32ef26, 32'h3f195f45,32'h3f3b7471,// invsqrt(2.2567) = 0.6657 +32'h3f80a67b,32'h3f7a3ec0,32'h3f823ac7, 32'h3f7295a5,32'h3f860f54, 32'h3f65d123,32'h3f8c7195,// invsqrt(1.0051) = 0.9975 +32'h40723962,32'h3f00f52c,32'h3f0638a6, 32'h3efa0521,32'h3f0a2b41, 32'h3eecdc70,32'h3f10bf9a,// invsqrt(3.7848) = 0.5140 +32'h3f0df372,32'h3fa874c6,32'h3faf54f8, 32'h3fa34ca1,32'h3fb47d1d, 32'h3f9ab462,32'h3fbd155c,// invsqrt(0.5545) = 1.3429 +32'h40175fda,32'h3f2320e4,32'h3f29c96a, 32'h3f1e227f,32'h3f2ec7cf, 32'h3f15cfd6,32'h3f371a78,// invsqrt(2.3652) = 0.6502 +32'h3ea2689a,32'h3fdeb947,32'h3fe7d083, 32'h3fd7e7da,32'h3feea1f0, 32'h3fcc8ace,32'h3ff9fefc,// invsqrt(0.3172) = 1.7755 +32'h402ce71c,32'h3f18a2a5,32'h3f1edd87, 32'h3f13f67b,32'h3f2389b1, 32'h3f0c2ce1,32'h3f2b534b,// invsqrt(2.7016) = 0.6084 +32'h437fed73,32'h3d7aea5f,32'h3d829418, 32'h3d733c04,32'h3d866b46, 32'h3d666ec1,32'h3d8cd1e8,// invsqrt(255.9275) = 0.0625 +32'h3ef9554c,32'h3fb3c148,32'h3fbb1789, 32'h3fae4096,32'h3fc0983a, 32'h3fa514c4,32'h3fc9c40c,// invsqrt(0.4870) = 1.4330 +32'h412c62b3,32'h3e98dd38,32'h3e9f1a7e, 32'h3e942f43,32'h3ea3c873, 32'h3e8c62ac,32'h3eab950a,// invsqrt(10.7741) = 0.3047 +32'h3f492f4e,32'h3f8d802b,32'h3f9346b5, 32'h3f892b44,32'h3f979b9c, 32'h3f81f318,32'h3f9ed3c8,// invsqrt(0.7859) = 1.1280 +32'h4125b2e6,32'h3e9beb04,32'h3ea24833, 32'h3e972520,32'h3ea70e16, 32'h3e8f30a5,32'h3eaf0291,// invsqrt(10.3562) = 0.3107 +32'h3f3c3d8b,32'h3f9248f2,32'h3f984179, 32'h3f8dce8c,32'h3f9cbbde, 32'h3f8657e3,32'h3fa43287,// invsqrt(0.7353) = 1.1662 +32'h401ec4d3,32'h3f1f48d2,32'h3f25c92e, 32'h3f1a688d,32'h3f2aa973, 32'h3f12481a,32'h3f32c9e6,// invsqrt(2.4808) = 0.6349 +32'h3eedb046,32'h3fb81b05,32'h3fbf9ebd, 32'h3fb2783c,32'h3fc54186, 32'h3fa91397,32'h3fcea62b,// invsqrt(0.4642) = 1.4677 +32'h3e9f0d5f,32'h3fe10fbc,32'h3fea3f66, 32'h3fda2bfd,32'h3ff12325, 32'h3fceb069,32'h3ffc9eb9,// invsqrt(0.3106) = 1.7942 +32'h3eff76ff,32'h3fb195ad,32'h3fb8d541, 32'h3fac25fe,32'h3fbe44f0, 32'h3fa31685,32'h3fc75469,// invsqrt(0.4990) = 1.4157 +32'h3f82546f,32'h3f78a0a3,32'h3f816345, 32'h3f710435,32'h3f85317c, 32'h3f6454d4,32'h3f8b892c,// invsqrt(1.0182) = 0.9910 +32'h4107588e,32'h3eac8483,32'h3eb38f25, 32'h3ea73c89,32'h3eb8d71f, 32'h3e9e6f3f,32'h3ec1a469,// invsqrt(8.4591) = 0.3438 +32'h3f282d86,32'h3f9ac3be,32'h3fa114df, 32'h3f9606e4,32'h3fa5d1b8, 32'h3f8e2179,32'h3fadb723,// invsqrt(0.6569) = 1.2338 +32'h3ed87f43,32'h3fc0e7d9,32'h3fc8c784, 32'h3fbb0019,32'h3fceaf45, 32'h3fb12884,32'h3fd886da,// invsqrt(0.4228) = 1.5378 +32'h3f245123,32'h3f9c9281,32'h3fa2f686, 32'h3f97c77c,32'h3fa7c18a, 32'h3f8fca76,32'h3fafbe90,// invsqrt(0.6419) = 1.2482 +32'h421285e0,32'h3e25cea0,32'h3e2c9324, 32'h3e20bb3d,32'h3e31a687, 32'h3e184598,32'h3e3a1c2c,// invsqrt(36.6307) = 0.1652 +32'h3f132b05,32'h3fa5717e,32'h3fac3235, 32'h3fa060f6,32'h3fb142be, 32'h3f97f011,32'h3fb9b3a3,// invsqrt(0.5749) = 1.3189 +32'h3f89c4d4,32'h3f71d263,32'h3f7bb12d, 32'h3f6a6b4b,32'h3f818c23, 32'h3f5e14ce,32'h3f87b761,// invsqrt(1.0763) = 0.9639 +32'h3da84cf8,32'h405aca53,32'h4063b875, 32'h405417b9,32'h406a6b0f, 32'h4048ee0d,32'h407594bb,// invsqrt(0.0822) = 3.4884 +32'h409069ee,32'h3eec315d,32'h3ef5d556, 32'h3ee4f661,32'h3efd1051, 32'h3ed8e96a,32'h3f048ea4,// invsqrt(4.5129) = 0.4707 +32'h40035c83,32'h3f2f1d52,32'h3f364316, 32'h3f29c0fe,32'h3f3b9f6a, 32'h3f20d1c8,32'h3f448ea0,// invsqrt(2.0525) = 0.6980 +32'h3fac1392,32'h3f586069,32'h3f613554, 32'h3f51c0ba,32'h3f67d504, 32'h3f46b696,32'h3f72df29,// invsqrt(1.3443) = 0.8625 +32'h3f9b4b54,32'h3f63c4b1,32'h3f6d10a3, 32'h3f5ccbbb,32'h3f740999, 32'h3f512ccc,32'h3f7fa888,// invsqrt(1.2132) = 0.9079 +32'h3f2f4810,32'h3f979899,32'h3f9dc89f, 32'h3f92f494,32'h3fa26ca4, 32'h3f8b388c,32'h3faa28ac,// invsqrt(0.6847) = 1.2085 +32'h4013deb0,32'h3f250cdd,32'h3f2bc979, 32'h3f1fff69,32'h3f30d6ed, 32'h3f1793a7,32'h3f3942af,// invsqrt(2.3105) = 0.6579 +32'h3f5fda24,32'h3f862532,32'h3f8b9ee0, 32'h3f8209ef,32'h3f8fba23, 32'h3f7663aa,32'h3f96923d,// invsqrt(0.8744) = 1.0694 +32'h3ca33657,32'h40de2cba,32'h40e73e3a, 32'h40d75f9a,32'h40ee0b5a, 32'h40cc09bb,32'h40f96139,// invsqrt(0.0199) = 7.0847 +32'h3f273828,32'h3f9b3520,32'h3fa18ae2, 32'h3f9674ce,32'h3fa64b34, 32'h3f8e899a,32'h3fae3668,// invsqrt(0.6532) = 1.2373 +32'h3db71202,32'h4051c76d,32'h405a5767, 32'h404b5b72,32'h4060c362, 32'h4040a779,32'h406b775b,// invsqrt(0.0894) = 3.3447 +32'h3d824fe7,32'h4078a4f5,32'h40816585, 32'h40710865,32'h408533cc, 32'h406458cc,32'h408b8b99,// invsqrt(0.0636) = 3.9644 +32'h3e62aa2e,32'h40054f76,32'h400ac06c, 32'h40013abf,32'h400ed523, 32'h3ff4db18,32'h4015a256,// invsqrt(0.2214) = 2.1255 +32'h40c8aa74,32'h3ec85ee9,32'h3ed08c95, 32'h3ec23ca9,32'h3ed6aed5, 32'h3eb80392,32'h3ee0e7ec,// invsqrt(6.2708) = 0.3993 +32'h3ec3f477,32'h3fcac3d5,32'h3fd30a85, 32'h3fc48ed1,32'h3fd93f89, 32'h3fba3675,32'h3fe397e5,// invsqrt(0.3827) = 1.6164 +32'h3f792c7a,32'h3f7e4b15,32'h3f845618, 32'h3f768240,32'h3f883a82, 32'h3f6988df,32'h3f8eb733,// invsqrt(0.9733) = 1.0136 +32'h3f3b9ebd,32'h3f9286cd,32'h3f9881db, 32'h3f8e0a83,32'h3f9cfe25, 32'h3f8690b2,32'h3fa477f6,// invsqrt(0.7329) = 1.1681 +32'h3f059524,32'h3fada70c,32'h3fb4bd8a, 32'h3fa8562e,32'h3fba0e68, 32'h3f9f7a10,32'h3fc2ea86,// invsqrt(0.5218) = 1.3843 +32'h3fb6b45e,32'h3f51fd28,32'h3f5a8f54, 32'h3f4b8f88,32'h3f60fcf4, 32'h3f40d8d1,32'h3f6bb3ab,// invsqrt(1.4274) = 0.8370 +32'h3fc9c81b,32'h3f47d0e3,32'h3f4ff8c3, 32'h3f41b2fc,32'h3f5616aa, 32'h3f378124,32'h3f604882,// invsqrt(1.5764) = 0.7965 +32'h3f8a1ffe,32'h3f718288,32'h3f7b5e11, 32'h3f6a1de3,32'h3f81615c, 32'h3f5dcb78,32'h3f878a91,// invsqrt(1.0791) = 0.9627 +32'h4121e868,32'h3e9dbb9b,32'h3ea42bc1, 32'h3e98e77f,32'h3ea8ffdd, 32'h3e90db50,32'h3eb10c0c,// invsqrt(10.1192) = 0.3144 +32'h3e800c5b,32'h3ffad52d,32'h40028910, 32'h3ff32777,32'h40065fea, 32'h3fe65b49,32'h400cc602,// invsqrt(0.2501) = 1.9996 +32'h40bc3dc5,32'h3ecee0ae,32'h3ed75257, 32'h3ec88b6f,32'h3edda795, 32'h3ebdfd5b,32'h3ee835a9,// invsqrt(5.8825) = 0.4123 +32'h3e47e498,32'h400df508,32'h4013c057, 32'h40099c8e,32'h401818d2, 32'h40025e6b,32'h401f56f5,// invsqrt(0.1952) = 2.2633 +32'h4002c395,32'h3f2f839a,32'h3f36ad8c, 32'h3f2a2425,32'h3f3c0d01, 32'h3f212fb8,32'h3f45016f,// invsqrt(2.0432) = 0.6996 +32'h3f591111,32'h3f8839d0,32'h3f8dc93c, 32'h3f840e3f,32'h3f91f4cd, 32'h3f7a35f1,32'h3f98e813,// invsqrt(0.8479) = 1.0860 +32'h41460cff,32'h3e8e9da8,32'h3e946fd8, 32'h3e8a4003,32'h3e98cd7d, 32'h3e82f946,32'h3ea0143a,// invsqrt(12.3782) = 0.2842 +32'h3d233019,32'h409d1cec,32'h40a38698, 32'h40984dac,32'h40a855d8, 32'h40904995,32'h40b059ef,// invsqrt(0.0398) = 5.0100 +32'h3f812c5d,32'h3f79bcef,32'h3f81f738, 32'h3f7217ce,32'h3f85c9c9, 32'h3f6559eb,32'h3f8c28ba,// invsqrt(1.0092) = 0.9954 +32'h3f5fb692,32'h3f862fdb,32'h3f8ba9f9, 32'h3f821445,32'h3f8fc58f, 32'h3f76773f,32'h3f969e35,// invsqrt(0.8739) = 1.0697 +32'h3edcb741,32'h3fbf0d9d,32'h3fc6d9ed, 32'h3fb93462,32'h3fccb328, 32'h3faf74fe,32'h3fd6728c,// invsqrt(0.4311) = 1.5231 +32'h409289f1,32'h3eea7957,32'h3ef40b5b, 32'h3ee34bd5,32'h3efb38dd, 32'h3ed75550,32'h3f0397b1,// invsqrt(4.5793) = 0.4673 +32'h3f9ea716,32'h3f61583d,32'h3f6a8add, 32'h3f5a7246,32'h3f7170d4, 32'h3f4ef2ff,32'h3f7cf01b,// invsqrt(1.2395) = 0.8982 +32'h3f9acaa1,32'h3f64234e,32'h3f6d731c, 32'h3f5d2772,32'h3f746ef8, 32'h3f5183b0,32'h3f80095d,// invsqrt(1.2093) = 0.9094 +32'h3fb2533f,32'h3f548d40,32'h3f5d3a32, 32'h3f4e0b89,32'h3f63bbe9, 32'h3f43335a,32'h3f6e9418,// invsqrt(1.3932) = 0.8472 +32'h4004d13e,32'h3f2e26ed,32'h3f3542a4, 32'h3f28d225,32'h3f3a976d, 32'h3f1fef82,32'h3f437a10,// invsqrt(2.0753) = 0.6942 +32'h3fd40f15,32'h3f42e9f4,32'h3f4ade9a, 32'h3f3cf277,32'h3f50d617, 32'h3f3300a6,32'h3f5ac7e8,// invsqrt(1.6567) = 0.7769 +32'h3fa96194,32'h3f5a1764,32'h3f62fe39, 32'h3f536a44,32'h3f69ab58, 32'h3f4849b9,32'h3f74cbe3,// invsqrt(1.3233) = 0.8693 +32'h3f68159c,32'h3f83bea2,32'h3f891f3b, 32'h3f7f6c60,32'h3f8d27ae, 32'h3f71fae1,32'h3f93e06d,// invsqrt(0.9066) = 1.0503 +32'h3e9c31bb,32'h3fe31c76,32'h3fec618a, 32'h3fdc28a6,32'h3ff3555a, 32'h3fd0924d,32'h3ffeebb3,// invsqrt(0.3051) = 1.8105 +32'h3fc544af,32'h3f4a16c0,32'h3f52565f, 32'h3f43e708,32'h3f588616, 32'h3f399781,32'h3f62d59d,// invsqrt(1.5412) = 0.8055 +32'h3f5ac025,32'h3f87b354,32'h3f8d3d42, 32'h3f838be1,32'h3f9164b5, 32'h3f793eed,32'h3f98511f,// invsqrt(0.8545) = 1.0818 +32'h4055c04a,32'h3f09473d,32'h3f0ee1a8, 32'h3f05136d,32'h3f131579, 32'h3efc24cf,32'h3f1a167e,// invsqrt(3.3399) = 0.5472 +32'h3e977b0b,32'h3fe69e1c,32'h3ff007d4, 32'h3fdf8ed2,32'h3ff7171e, 32'h3fd3caac,32'h40016da2,// invsqrt(0.2959) = 1.8385 +32'h3e801783,32'h3ffaca40,32'h40028360, 32'h3ff31ce0,32'h40065a10, 32'h3fe65140,32'h400cbfe0,// invsqrt(0.2502) = 1.9993 +32'h3e166868,32'h4023a6dd,32'h402a54db, 32'h401ea45e,32'h402f575a, 32'h40164ae0,32'h4037b0d8,// invsqrt(0.1469) = 2.6092 +32'h3f501c39,32'h3f8b2057,32'h3f90ce11, 32'h3f86de0b,32'h3f95105d, 32'h3f7f89c4,32'h3f9c2986,// invsqrt(0.8129) = 1.1091 +32'h3fd2c5b5,32'h3f438204,32'h3f4b7ce0, 32'h3f3d85e0,32'h3f517904, 32'h3f338c4d,32'h3f5b7297,// invsqrt(1.6467) = 0.7793 +32'h400ed160,32'h3f27f1b1,32'h3f2ecc89, 32'h3f22cd8f,32'h3f33f0ab, 32'h3f1a3c00,32'h3f3c823a,// invsqrt(2.2315) = 0.6694 +32'h3f3244fa,32'h3f9651fe,32'h3f9c74b0, 32'h3f91b7f9,32'h3fa10eb5, 32'h3f8a0c9b,32'h3fa8ba13,// invsqrt(0.6964) = 1.1983 +32'h3f0a0072,32'h3faad97a,32'h3fb1d2ae, 32'h3fa59e93,32'h3fb70d95, 32'h3f9ce712,32'h3fbfc516,// invsqrt(0.5391) = 1.3620 +32'h3edcc308,32'h3fbf0885,32'h3fc6d49f, 32'h3fb92f71,32'h3fccadb3, 32'h3faf7050,32'h3fd66cd4,// invsqrt(0.4312) = 1.5229 +32'h3f2fd5c3,32'h3f975b78,32'h3f9d88ff, 32'h3f92b952,32'h3fa22b24, 32'h3f8b0068,32'h3fa9e40e,// invsqrt(0.6869) = 1.2066 +32'h3f810c76,32'h3f79dbcb,32'h3f820748, 32'h3f7235b8,32'h3f85da51, 32'h3f657642,32'h3f8c3a0c,// invsqrt(1.0082) = 0.9959 +32'h40160af9,32'h3f23d9c9,32'h3f2a89db, 32'h3f1ed5bb,32'h3f2f8de9, 32'h3f1679a3,32'h3f37ea01,// invsqrt(2.3444) = 0.6531 +32'h3f3835e1,32'h3f93e05c,32'h3f99e984, 32'h3f8f597e,32'h3f9e7062, 32'h3f87ce0b,32'h3fa5fbd5,// invsqrt(0.7196) = 1.1789 +32'h3f83aa10,32'h3f775d42,32'h3f80bafb, 32'h3f6fcabb,32'h3f84843f, 32'h3f632bd9,32'h3f8ad3af,// invsqrt(1.0286) = 0.9860 +32'h3e73faa8,32'h40007e3a,32'h4005bcd9, 32'h3ff91e84,32'h4009abd0, 32'h3fec01f7,32'h40103a17,// invsqrt(0.2383) = 2.0487 +32'h3f38f0bd,32'h3f939594,32'h3f999baf, 32'h3f8f1100,32'h3f9e2044, 32'h3f87895f,32'h3fa5a7e5,// invsqrt(0.7224) = 1.1765 +32'h3eb6803b,32'h3fd21b25,32'h3fdaae89, 32'h3fcbac99,32'h3fe11d15, 32'h3fc0f45b,32'h3febd553,// invsqrt(0.3564) = 1.6750 +32'h400478a8,32'h3f2e611e,32'h3f357f35, 32'h3f290a8e,32'h3f3ad5c6, 32'h3f2024f3,32'h3f43bb61,// invsqrt(2.0699) = 0.6951 +32'h4022c606,32'h3f1d5015,32'h3f23bbd8, 32'h3f187f44,32'h3f288caa, 32'h3f107892,32'h3f30935d,// invsqrt(2.5433) = 0.6270 +32'h3d81e87f,32'h407907d7,32'h408198fa, 32'h40716841,32'h408568c6, 32'h4064b39c,32'h408bc318,// invsqrt(0.0634) = 3.9705 +32'h3ec2c23b,32'h3fcb6300,32'h3fd3b02e, 32'h3fc5291c,32'h3fd9ea12, 32'h3fbac8a2,32'h3fe44a8d,// invsqrt(0.3804) = 1.6214 +32'h3fd74f03,32'h3f416ff5,32'h3f49552f, 32'h3f3b840b,32'h3f4f4119, 32'h3f31a583,32'h3f591fa1,// invsqrt(1.6821) = 0.7710 +32'h3fd3e740,32'h3f42fc45,32'h3f4af1ab, 32'h3f3d0438,32'h3f50e9b8, 32'h3f331179,32'h3f5adc77,// invsqrt(1.6555) = 0.7772 +32'h3d93cfd4,32'h4069764e,32'h4072fdbe, 32'h406250b9,32'h407a2353, 32'h4056676c,32'h40830650,// invsqrt(0.0722) = 3.7223 +32'h3f73b8e0,32'h3f808f90,32'h3f85cee4, 32'h3f794021,32'h3f89be63, 32'h3f6c21cf,32'h3f904d8d,// invsqrt(0.9520) = 1.0249 +32'h400cb065,32'h3f2935bf,32'h3f301dd1, 32'h3f2407b1,32'h3f354bdf, 32'h3f1b659a,32'h3f3dedf6,// invsqrt(2.1983) = 0.6745 +32'h4117284b,32'h3ea33edc,32'h3ea9e89c, 32'h3e9e3f8c,32'h3eaee7ec, 32'h3e95eb5c,32'h3eb73c1c,// invsqrt(9.4473) = 0.3253 +32'h3f7887fd,32'h3f7e9f2d,32'h3f8481db, 32'h3f76d3c6,32'h3f88678f, 32'h3f69d61a,32'h3f8ee665,// invsqrt(0.9708) = 1.0149 +32'h3f9287c0,32'h3f6a7b18,32'h3f740d2e, 32'h3f634d88,32'h3f7b3abe, 32'h3f5756ec,32'h3f8398ad,// invsqrt(1.1448) = 0.9346 +32'h3f4e4881,32'h3f8bbdb7,32'h3f9171dd, 32'h3f87769a,32'h3f95b8fa, 32'h3f805569,32'h3f9cda2b,// invsqrt(0.8058) = 1.1140 +32'h41e98618,32'h3e39bd71,32'h3e41523c, 32'h3e340dd8,32'h3e4701d4, 32'h3e2a93da,32'h3e507bd2,// invsqrt(29.1905) = 0.1851 +32'h3d5c9507,32'h408722cd,32'h408ca6d5, 32'h4082ffc7,32'h4090c9db, 32'h40783578,32'h4097aee6,// invsqrt(0.0539) = 4.3092 +32'h3bc09aec,32'h414c8546,32'h4154de4e, 32'h41464280,32'h415b2114, 32'h413bd336,32'h4165905e,// invsqrt(0.0059) = 13.0434 +32'h3fd8802b,32'h3f40e772,32'h3f48c718, 32'h3f3affb5,32'h3f4eaed5, 32'h3f312824,32'h3f588666,// invsqrt(1.6914) = 0.7689 +32'h4078d366,32'h3efe7895,32'h3f046dc6, 32'h3ef6ae5c,32'h3f0852e2, 32'h3ee9b2a8,32'h3f0ed0bc,// invsqrt(3.8879) = 0.5072 +32'h40f936b8,32'h3eb3cc4e,32'h3ebb2303, 32'h3eae4b47,32'h3ec0a40b, 32'h3ea51ee5,32'h3ec9d06d,// invsqrt(7.7879) = 0.3583 +32'h3fb570bd,32'h3f52b81c,32'h3f5b51e8, 32'h3f4c44c2,32'h3f61c542, 32'h3f418482,32'h3f6c8582,// invsqrt(1.4175) = 0.8399 +32'h3f7a32a0,32'h3f7dc5b9,32'h3f8410b1, 32'h3f7600fa,32'h3f87f311, 32'h3f690e66,32'h3f8e6c5b,// invsqrt(0.9773) = 1.0115 +32'h40a4fd57,32'h3edcf98c,32'h3ee5fe82, 32'h3ed635d3,32'h3eecc23b, 32'h3ecaefa0,32'h3ef8086e,// invsqrt(5.1559) = 0.4404 +32'h3f99b6be,32'h3f64efad,32'h3f6e47d4, 32'h3f5ded91,32'h3f7549f1, 32'h3f523f61,32'h3f807c11,// invsqrt(1.2009) = 0.9125 +32'h40077777,32'h3f2c70d3,32'h3f337aa7, 32'h3f272974,32'h3f38c206, 32'h3f1e5d2a,32'h3f418e50,// invsqrt(2.1167) = 0.6873 +32'h3d9b1e4a,32'h4063e5c0,32'h406d330c, 32'h405cebc7,32'h40742d05, 32'h40514b29,32'h407fcda3,// invsqrt(0.0757) = 3.6336 +32'h3e9ac6be,32'h3fe4262b,32'h3fed7617, 32'h3fdd2a39,32'h3ff47209, 32'h3fd18651,32'h40000af9,// invsqrt(0.3023) = 1.8188 +32'h3f6c08b7,32'h3f82a344,32'h3f87f84c, 32'h3f7d46fc,32'h3f8bf812, 32'h3f6ff268,32'h3f92a25c,// invsqrt(0.9220) = 1.0414 +32'h3fbaf019,32'h3f4f98fe,32'h3f58122c, 32'h3f493e1a,32'h3f5e6d10, 32'h3f3ea6a0,32'h3f69048a,// invsqrt(1.4605) = 0.8275 +32'h40c1daee,32'h3ecbdc32,32'h3ed42e53, 32'h3ec59e98,32'h3eda6bec, 32'h3ebb37ef,32'h3ee4d295,// invsqrt(6.0580) = 0.4063 +32'h3e3409f1,32'h4015946d,32'h401baf62, 32'h40110036,32'h4020439a, 32'h40095e84,32'h4027e54c,// invsqrt(0.1758) = 2.3849 +32'h3e4fb973,32'h400b4166,32'h4010f07a, 32'h4006fe17,32'h401533c9, 32'h3fffc67d,32'h401c4ea2,// invsqrt(0.2029) = 2.2203 +32'h3f8a3822,32'h3f716d70,32'h3f7b481c, 32'h3f6a096f,32'h3f81560e, 32'h3f5db819,32'h3f877eba,// invsqrt(1.0798) = 0.9623 +32'h3f119d95,32'h3fa652ac,32'h3fad1c94, 32'h3fa13b3e,32'h3fb23402, 32'h3f98bedd,32'h3fbab063,// invsqrt(0.5688) = 1.3259 +32'h3fbade23,32'h3f4fa2f7,32'h3f581c8f, 32'h3f4947c6,32'h3f5e77c0, 32'h3f3eafc9,32'h3f690fbd,// invsqrt(1.4599) = 0.8276 +32'h3f016589,32'h3fb07062,32'h3fb7a3fd, 32'h3fab09ad,32'h3fbd0ab1, 32'h3fa2092a,32'h3fc60b34,// invsqrt(0.5055) = 1.4066 +32'h41a400d8,32'h3e5da365,32'h3e66af4a, 32'h3e56da7a,32'h3e6d7836, 32'h3e4b8b9c,32'h3e78c714,// invsqrt(20.5004) = 0.2209 +32'h3f3db3fd,32'h3f91b84b,32'h3f97aaeb, 32'h3f8d4253,32'h3f9c20e3, 32'h3f85d30c,32'h3fa3902a,// invsqrt(0.7410) = 1.1617 +32'h3fc65e2c,32'h3f49872a,32'h3f51c0ee, 32'h3f435bd8,32'h3f57ec40, 32'h3f3913a4,32'h3f623474,// invsqrt(1.5497) = 0.8033 +32'h3dc76016,32'h404904a7,32'h40513916, 32'h4042dd53,32'h40576069, 32'h40389bc8,32'h4061a1f4,// invsqrt(0.0974) = 3.2050 +32'h3ebcbeb5,32'h3fce99f8,32'h3fd708be, 32'h3fc846e3,32'h3fdd5bd3, 32'h3fbdbc6c,32'h3fe7e64b,// invsqrt(0.3686) = 1.6470 +32'h3f992c65,32'h3f6556fa,32'h3f6eb357, 32'h3f5e51b3,32'h3f75b89d, 32'h3f529e3e,32'h3f80b609,// invsqrt(1.1967) = 0.9141 +32'h3dc7b1dc,32'h4048db7a,32'h40510e3c, 32'h4042b56a,32'h4057344c, 32'h403875f8,32'h406173be,// invsqrt(0.0975) = 3.2024 +32'h3f22b3f4,32'h3f9d58d2,32'h3fa3c4ef, 32'h3f9887bb,32'h3fa89605, 32'h3f908096,32'h3fb09d2a,// invsqrt(0.6356) = 1.2544 +32'h3e99f4b3,32'h3fe4c198,32'h3fee17dc, 32'h3fddc0e4,32'h3ff51890, 32'h3fd2150e,32'h40006233,// invsqrt(0.3007) = 1.8236 +32'h3fcf0a1c,32'h3f454330,32'h3f4d5062, 32'h3f3f394c,32'h3f535a46, 32'h3f3528ce,32'h3f5d6ac4,// invsqrt(1.6175) = 0.7863 +32'h3ec1910f,32'h3fcc0314,32'h3fd456cc, 32'h3fc5c44a,32'h3fda9596, 32'h3fbb5ba5,32'h3fe4fe3b,// invsqrt(0.3781) = 1.6264 +32'h41a4a6a9,32'h3e5d33af,32'h3e663b04, 32'h3e566e2e,32'h3e6d0084, 32'h3e4b2503,32'h3e7849af,// invsqrt(20.5814) = 0.2204 +32'h3e1b6e3b,32'h4020fc63,32'h40278e86, 32'h401c0ec8,32'h402c7c20, 32'h4013d81c,32'h4034b2cc,// invsqrt(0.1518) = 2.5667 +32'h3f88e74b,32'h3f7295bc,32'h3f7c7c80, 32'h3f6b28a9,32'h3f81f4c9, 32'h3f5ec835,32'h3f882504,// invsqrt(1.0696) = 0.9669 +32'h3e191a44,32'h40223487,32'h4028d368, 32'h401d3d5f,32'h402dca91, 32'h4014f6c6,32'h4036112a,// invsqrt(0.1495) = 2.5862 +32'h3ee694e1,32'h3fbaebd9,32'h3fc28cfb, 32'h3fb532fe,32'h3fc845d6, 32'h3faba993,32'h3fd1cf41,// invsqrt(0.4504) = 1.4901 +32'h3fa9528d,32'h3f5a2111,32'h3f63084b, 32'h3f5373a5,32'h3f69b5b7, 32'h3f48529d,32'h3f74d6bf,// invsqrt(1.3228) = 0.8695 +32'h3fff95f1,32'h3f318aec,32'h3f38ca10, 32'h3f2c1b91,32'h3f3e396b, 32'h3f230ca5,32'h3f474857,// invsqrt(1.9968) = 0.7077 +32'h3d3cdec3,32'h40920a75,32'h4098006f, 32'h408d91f9,32'h409c78eb, 32'h40861e80,32'h40a3ec64,// invsqrt(0.0461) = 4.6569 +32'h40387532,32'h3f13c6f9,32'h3f19cf18, 32'h3f0f40e1,32'h3f1e552f, 32'h3f07b6ba,32'h3f25df56,// invsqrt(2.8822) = 0.5890 +32'h3e26ed16,32'h401b5802,32'h4021af32, 32'h4016969f,32'h40267095, 32'h400ea9a4,32'h402e5d90,// invsqrt(0.1630) = 2.4768 +32'h3f337cd2,32'h3f95cf2f,32'h3f9bec8a, 32'h3f91392c,32'h3fa0828e, 32'h3f89947a,32'h3fa82740,// invsqrt(0.7011) = 1.1943 +32'h3f016190,32'h3fb07317,32'h3fb7a6cf, 32'h3fab0c4d,32'h3fbd0d99, 32'h3fa20ba8,32'h3fc60e3f,// invsqrt(0.5054) = 1.4066 +32'h4016849f,32'h3f239785,32'h3f2a44e3, 32'h3f1e957e,32'h3f2f46ea, 32'h3f163cc8,32'h3f379fa0,// invsqrt(2.3518) = 0.6521 +32'h3f7e74a4,32'h3f7ba3e3,32'h3f82f4a3, 32'h3f73efd9,32'h3f86cea7, 32'h3f67191f,32'h3f8d3a05,// invsqrt(0.9940) = 1.0030 +32'h3e5a3cc9,32'h4007dc25,32'h400d67be, 32'h4003b372,32'h40119070, 32'h3ff989e5,32'h40187ef0,// invsqrt(0.2131) = 2.1661 +32'h3f6593ab,32'h3f847654,32'h3f89de6c, 32'h3f806842,32'h3f8dec7e, 32'h3f734c46,32'h3f94ae9d,// invsqrt(0.8968) = 1.0560 +32'h3ff4ccfc,32'h3f356954,32'h3f3cd0e5, 32'h3f2fdba8,32'h3f425e92, 32'h3f269a34,32'h3f4ba006,// invsqrt(1.9125) = 0.7231 +32'h3fa2d180,32'h3f5e717c,32'h3f6785cb, 32'h3f57a242,32'h3f6e5506, 32'h3f4c48e0,32'h3f79ae68,// invsqrt(1.2720) = 0.8867 +32'h3f2f43c4,32'h3f979a75,32'h3f9dca8f, 32'h3f92f662,32'h3fa26ea2, 32'h3f8b3a42,32'h3faa2ac2,// invsqrt(0.6846) = 1.2086 +32'h3e914d71,32'h3feb782a,32'h3ff51495, 32'h3fe442db,32'h3ffc49e5, 32'h3fd83f56,32'h400426b5,// invsqrt(0.2838) = 1.8771 +32'h402ee2e8,32'h3f17c46b,32'h3f1df63b, 32'h3f131f0f,32'h3f229b97, 32'h3f0b60cb,32'h3f2a59db,// invsqrt(2.7326) = 0.6049 +32'h40b980b9,32'h3ed06629,32'h3ed8e7b7, 32'h3eca04fe,32'h3edf48e2, 32'h3ebf630b,32'h3ee9ead5,// invsqrt(5.7970) = 0.4153 +32'h3e1640a0,32'h4023bc85,32'h402a6b66, 32'h401eb95d,32'h402f6e8f, 32'h40165ec4,32'h4037c928,// invsqrt(0.1467) = 2.6106 +32'h3fc8f61b,32'h3f48392e,32'h3f506550, 32'h3f421815,32'h3f568669, 32'h3f37e0ec,32'h3f60bd92,// invsqrt(1.5700) = 0.7981 +32'h3fb70b9c,32'h3f51cb18,32'h3f5a5b38, 32'h3f4b5f00,32'h3f60c750, 32'h3f40aad7,32'h3f6b7b79,// invsqrt(1.4300) = 0.8362 +32'h3fd8b395,32'h3f40d08e,32'h3f48af46, 32'h3f3ae985,32'h3f4e964f, 32'h3f31131f,32'h3f586cb5,// invsqrt(1.6930) = 0.7686 +32'h3eff55f1,32'h3fb1a12b,32'h3fb8e137, 32'h3fac3122,32'h3fbe5140, 32'h3fa32112,32'h3fc76150,// invsqrt(0.4987) = 1.4161 +32'h3f41be12,32'h3f90315c,32'h3f961407, 32'h3f8bc75c,32'h3f9a7e08, 32'h3f846c07,32'h3fa1d95d,// invsqrt(0.7568) = 1.1495 +32'h3f048f63,32'h3fae522a,32'h3fb56fa4, 32'h3fa8fc0f,32'h3fbac5bf, 32'h3fa01736,32'h3fc3aa98,// invsqrt(0.5178) = 1.3897 +32'h3f0add7a,32'h3faa514b,32'h3fb144f1, 32'h3fa51a90,32'h3fb67bac, 32'h3f9c6a01,32'h3fbf2c3b,// invsqrt(0.5424) = 1.3578 +32'h40df64a2,32'h3ebde7a7,32'h3ec5a7f7, 32'h3eb8176b,32'h3ecb7833, 32'h3eae6707,32'h3ed52897,// invsqrt(6.9810) = 0.3785 +32'h417ce94a,32'h3e7c6845,32'h3e835ad6, 32'h3e74ae38,32'h3e8737dc, 32'h3e67cd79,32'h3e8da83c,// invsqrt(15.8070) = 0.2515 +32'h3e8fbcc2,32'h3fecbf7a,32'h3ff66940, 32'h3fe58025,32'h3ffda895, 32'h3fd96bed,32'h4004de66,// invsqrt(0.2807) = 1.8873 +32'h3d354509,32'h40951234,32'h409b27d8, 32'h409081f9,32'h409fb813, 32'h4088e6ec,32'h40a75320,// invsqrt(0.0443) = 4.7535 +32'h3f5bd459,32'h3f875df9,32'h3f8ce46b, 32'h3f833923,32'h3f910941, 32'h3f78a227,32'h3f97f151,// invsqrt(0.8587) = 1.0791 +32'h40a57bee,32'h3edca4f7,32'h3ee5a679, 32'h3ed5e3d5,32'h3eec679b, 32'h3ecaa1f2,32'h3ef7a97e,// invsqrt(5.1714) = 0.4397 +32'h4066e8d5,32'h3f041454,32'h3f09786d, 32'h3f000943,32'h3f0d837f, 32'h3ef29848,32'h3f14409e,// invsqrt(3.6080) = 0.5265 +32'h3f88eb85,32'h3f7291fe,32'h3f7c789a, 32'h3f6b2508,32'h3f81f2c8, 32'h3f5ec4c4,32'h3f8822ea,// invsqrt(1.0697) = 0.9669 +32'h3f3f613b,32'h3f911484,32'h3f970074, 32'h3f8ca38f,32'h3f9b7169, 32'h3f853ca3,32'h3fa2d855,// invsqrt(0.7476) = 1.1566 +32'h3d2b5f81,32'h409950a6,32'h409f92a2, 32'h40949f29,32'h40a4441f, 32'h408cccad,32'h40ac169b,// invsqrt(0.0418) = 4.8889 +32'h3fbc0d9d,32'h3f4efb29,32'h3f576de7, 32'h3f48a51b,32'h3f5dc3f5, 32'h3f3e15ae,32'h3f685362,// invsqrt(1.4692) = 0.8250 +32'h3febfddb,32'h3f38c42b,32'h3f404eca, 32'h3f331c35,32'h3f45f6c1, 32'h3f29aeef,32'h3f4f6407,// invsqrt(1.8437) = 0.7365 +32'h4020613a,32'h3f1e7b83,32'h3f24f37d, 32'h3f19a186,32'h3f29cd7a, 32'h3f118b8d,32'h3f31e373,// invsqrt(2.5059) = 0.6317 +32'h3eb54c36,32'h3fd2cd55,32'h3fdb67ff, 32'h3fcc5955,32'h3fe1dbff, 32'h3fc197ff,32'h3fec9d55,// invsqrt(0.3541) = 1.6805 +32'h3f92f4b1,32'h3f6a241e,32'h3f73b2a8, 32'h3f62f938,32'h3f7add8e, 32'h3f57070c,32'h3f8367dd,// invsqrt(1.1481) = 0.9333 +32'h3f820c9b,32'h3f78e542,32'h3f8186fb, 32'h3f7146bb,32'h3f85563f, 32'h3f6493d9,32'h3f8bafaf,// invsqrt(1.0160) = 0.9921 +32'h3e11c8c1,32'h40263a0a,32'h402d02f0, 32'h4021235d,32'h4032199d, 32'h4018a83d,32'h403a94bd,// invsqrt(0.1424) = 2.6503 +32'h3f9a5425,32'h3f647ad0,32'h3f6dce32, 32'h3f5d7c47,32'h3f74ccbb, 32'h3f51d40e,32'h3f803a7a,// invsqrt(1.2057) = 0.9107 +32'h3f1096b7,32'h3fa6e998,32'h3fadb9a9, 32'h3fa1cd8c,32'h3fb2d5b6, 32'h3f994977,32'h3fbb59cb,// invsqrt(0.5648) = 1.3306 +32'h3ffb25f2,32'h3f331ab2,32'h3f3a6a28, 32'h3f2d9f1b,32'h3f3fe5bf, 32'h3f247bc8,32'h3f490912,// invsqrt(1.9621) = 0.7139 +32'h3f92d961,32'h3f6a39e3,32'h3f73c94f, 32'h3f630e51,32'h3f7af4e1, 32'h3f571b0a,32'h3f837414,// invsqrt(1.1473) = 0.9336 +32'h3fff8dcf,32'h3f318dc0,32'h3f38cd01, 32'h3f2c1e4e,32'h3f3e3c72, 32'h3f230f3d,32'h3f474b83,// invsqrt(1.9965) = 0.7077 +32'h3feb4f49,32'h3f3908a8,32'h3f409612, 32'h3f335e98,32'h3f464022, 32'h3f29edd4,32'h3f4fb0e6,// invsqrt(1.8384) = 0.7375 +32'h3e8834fc,32'h3ff33451,32'h3ffd218d, 32'h3febc263,32'h400249bd, 32'h3fdf59d7,32'h40087e03,// invsqrt(0.2660) = 1.9388 +32'h40fdb24c,32'h3eb233d8,32'h3eb979e0, 32'h3eacbf51,32'h3ebeee67, 32'h3ea3a7c6,32'h3ec805f2,// invsqrt(7.9280) = 0.3552 +32'h3e4e96c4,32'h400ba33d,32'h4011564f, 32'h40075cef,32'h40159c9d, 32'h40003d19,32'h401cbc73,// invsqrt(0.2017) = 2.2264 +32'h3f008abb,32'h3fb1064d,32'h3fb84007, 32'h3fab9b02,32'h3fbdab52, 32'h3fa292d9,32'h3fc6b37b,// invsqrt(0.5021) = 1.4112 +32'h3f901fc0,32'h3f6c6e1e,32'h3f761492, 32'h3f653147,32'h3f7d5169, 32'h3f592136,32'h3f84b0bd,// invsqrt(1.1260) = 0.9424 +32'h403246b7,32'h3f165142,32'h3f1c73ec, 32'h3f11b743,32'h3f210deb, 32'h3f0a0bee,32'h3f28b940,// invsqrt(2.7856) = 0.5992 +32'h4049e474,32'h3f0d40a2,32'h3f130494, 32'h3f08edad,32'h3f175789, 32'h3f01b8bf,32'h3f1e8c77,// invsqrt(3.1546) = 0.5630 +32'h40428ebd,32'h3f0fe3f4,32'h3f15c376, 32'h3f0b7c52,32'h3f1a2b18, 32'h3f0424f0,32'h3f21827a,// invsqrt(3.0400) = 0.5735 +32'h40030580,32'h3f2f576e,32'h3f367f92, 32'h3f29f953,32'h3f3bddad, 32'h3f210726,32'h3f44cfda,// invsqrt(2.0472) = 0.6989 +32'h3f0c423f,32'h3fa97823,32'h3fb062eb, 32'h3fa4480d,32'h3fb59301, 32'h3f9ba293,32'h3fbe387b,// invsqrt(0.5479) = 1.3510 +32'h3f01990f,32'h3fb04d4b,32'h3fb77f78, 32'h3faae7aa,32'h3fbce51a, 32'h3fa1e8f2,32'h3fc5e3d2,// invsqrt(0.5062) = 1.4055 +32'h40b6a392,32'h3ed206d0,32'h3eda9960, 32'h3ecb98e4,32'h3ee1074c, 32'h3ec0e1af,32'h3eebbe81,// invsqrt(5.7075) = 0.4186 +32'h3e002aa2,32'h4031489e,32'h4038850c, 32'h402bdb4a,32'h403df260, 32'h4022cfc0,32'h4046fdea,// invsqrt(0.1252) = 2.8266 +32'h3edb8ebb,32'h3fbf8e75,32'h3fc76007, 32'h3fb9b148,32'h3fcd3d34, 32'h3fafeb51,32'h3fd7032b,// invsqrt(0.4288) = 1.5271 +32'h3ce63e00,32'h40bb0f1a,32'h40c2b1ad, 32'h40b5552b,32'h40c86b9b, 32'h40abc9f3,32'h40d1f6d3,// invsqrt(0.0281) = 5.9649 +32'h3f95ca1c,32'h3f67ea72,32'h3f7161bb, 32'h3f60d0fc,32'h3f787b32, 32'h3f54fbe2,32'h3f822826,// invsqrt(1.1702) = 0.9244 +32'h3fc8a96f,32'h3f485f6b,32'h3f508d1c, 32'h3f423d27,32'h3f56af61, 32'h3f38040a,32'h3f60e87e,// invsqrt(1.5677) = 0.7987 +32'h3ea7c54e,32'h3fdb22b7,32'h3fe41475, 32'h3fd46d68,32'h3feac9c4, 32'h3fc93f3a,32'h3ff5f7f2,// invsqrt(0.3277) = 1.7469 +32'h41d7d237,32'h3e413520,32'h3e4917f2, 32'h3e3b4b02,32'h3e4f0210, 32'h3e316f7b,32'h3e58dd97,// invsqrt(26.9776) = 0.1925 +32'h3f889fa4,32'h3f72d551,32'h3f7cbead, 32'h3f6b664c,32'h3f8216d9, 32'h3f5f0299,32'h3f8848b3,// invsqrt(1.0674) = 0.9679 +32'h40387c35,32'h3f13c42a,32'h3f19cc2b, 32'h3f0f3e28,32'h3f1e522c, 32'h3f07b426,32'h3f25dc2e,// invsqrt(2.8826) = 0.5890 +32'h40418a57,32'h3f1044a0,32'h3f162814, 32'h3f0bda09,32'h3f1a92ab, 32'h3f047db7,32'h3f21eefd,// invsqrt(3.0241) = 0.5750 +32'h3efcbaa8,32'h3fb28b11,32'h3fb9d4a9, 32'h3fad13df,32'h3fbf4bdb, 32'h3fa3f7e0,32'h3fc867da,// invsqrt(0.4936) = 1.4233 +32'h40e1355d,32'h3ebd2351,32'h3ec4db9d, 32'h3eb75917,32'h3ecaa5d7, 32'h3eadb2b8,32'h3ed44c36,// invsqrt(7.0378) = 0.3769 +32'h3f5df5d6,32'h3f86b73c,32'h3f8c36e0, 32'h3f829780,32'h3f90569c, 32'h3f776fe6,32'h3f973629,// invsqrt(0.8670) = 1.0739 +32'h3d702b8d,32'h4081820b,32'h4086cb45, 32'h407b163f,32'h408ac230, 32'h406ddf2e,32'h40915db9,// invsqrt(0.0586) = 4.1297 +32'h3f5b764d,32'h3f877af7,32'h3f8d0299, 32'h3f83553e,32'h3f912852, 32'h3f78d768,32'h3f9811dc,// invsqrt(0.8573) = 1.0800 +32'h3c8eb33e,32'h40ed9b54,32'h40f74e14, 32'h40e65545,32'h40fe9423, 32'h40da35d5,32'h410559c9,// invsqrt(0.0174) = 7.5767 +32'h3e18a61f,32'h40227231,32'h40291396, 32'h401d7924,32'h402e0ca2, 32'h40152f66,32'h40365660,// invsqrt(0.1491) = 2.5900 +32'h3fc7d211,32'h3f48cb4a,32'h3f50fd61, 32'h3f42a5b7,32'h3f5722f3, 32'h3f386719,32'h3f616191,// invsqrt(1.5611) = 0.8004 +32'h3e5c9757,32'h40072217,32'h400ca618, 32'h4002ff17,32'h4010c919, 32'h3ff8342b,32'h4017ae1a,// invsqrt(0.2154) = 2.1545 +32'h3f74e506,32'h3f8040af,32'h3f857ccb, 32'h3f78a734,32'h3f8969e0, 32'h3f6b90ee,32'h3f8ff503,// invsqrt(0.9566) = 1.0224 +32'h3edc581e,32'h3fbf36d8,32'h3fc704d6, 32'h3fb95c59,32'h3fccdf55, 32'h3faf9adb,32'h3fd6a0d3,// invsqrt(0.4304) = 1.5243 +32'h3fbdc3c9,32'h3f4e0ba8,32'h3f5674a0, 32'h3f47bcef,32'h3f5cc359, 32'h3f3d39ba,32'h3f67468e,// invsqrt(1.4825) = 0.8213 +32'h3fcb01da,32'h3f47363f,32'h3f4f57cf, 32'h3f411d13,32'h3f5570fb, 32'h3f36f320,32'h3f5f9aee,// invsqrt(1.5860) = 0.7941 +32'h41bd4c6c,32'h3e4e4c94,32'h3e56b832, 32'h3e47fbde,32'h3e5d08e8, 32'h3e3d7559,32'h3e678f6d,// invsqrt(23.6623) = 0.2056 +32'h3f695bd0,32'h3f83626e,32'h3f88bf43, 32'h3f7eb99a,32'h3f8cc4e3, 32'h3f715185,32'h3f9378ee,// invsqrt(0.9116) = 1.0474 +32'h3fdf86f1,32'h3f3dd913,32'h3f4598cb, 32'h3f380949,32'h3f4b6895, 32'h3f2e59a4,32'h3f55183a,// invsqrt(1.7463) = 0.7567 +32'h40720417,32'h3f01035e,32'h3f06476d, 32'h3efa20a8,32'h3f0a3a78, 32'h3eecf684,32'h3f10cf8a,// invsqrt(3.7815) = 0.5142 +32'h3f74820c,32'h3f805aa1,32'h3f8597cd, 32'h3f78d982,32'h3f8985ad, 32'h3f6bc096,32'h3f901223,// invsqrt(0.9551) = 1.0232 +32'h3f6583e8,32'h3f847ae0,32'h3f89e328, 32'h3f806caa,32'h3f8df15e, 32'h3f7354a1,32'h3f94b3b8,// invsqrt(0.8965) = 1.0561 +32'h3f314ee8,32'h3f96ba29,32'h3f9ce11b, 32'h3f921cf4,32'h3fa17e50, 32'h3f8a6c45,32'h3fa92eff,// invsqrt(0.6926) = 1.2016 +32'h3ef72b06,32'h3fb48a64,32'h3fbbe8da, 32'h3faf038a,32'h3fc16fb4, 32'h3fa5cd76,32'h3fcaa5c9,// invsqrt(0.4828) = 1.4393 +32'h3f2445d0,32'h3f9c97e6,32'h3fa2fc24, 32'h3f97ccb8,32'h3fa7c752, 32'h3f8fcf6b,32'h3fafc49f,// invsqrt(0.6417) = 1.2484 +32'h3d83873d,32'h40777dff,32'h4080cc05, 32'h406fea77,32'h408495c8, 32'h406349ea,32'h408ae60f,// invsqrt(0.0642) = 3.9460 +32'h3eb18d9a,32'h3fd5036c,32'h3fddb532, 32'h3fce7e18,32'h3fe43a86, 32'h3fc39fe1,32'h3fef18bd,// invsqrt(0.3468) = 1.6981 +32'h3fd1e53b,32'h3f43ea74,32'h3f4be992, 32'h3f3deb1c,32'h3f51e8ea, 32'h3f33ec36,32'h3f5be7d0,// invsqrt(1.6398) = 0.7809 +32'h3f8c06df,32'h3f6fdd3a,32'h3f79a790, 32'h3f68857a,32'h3f807fa8, 32'h3f5c488e,32'h3f869e1e,// invsqrt(1.0940) = 0.9561 +32'h3f8dd9b4,32'h3f6e5141,32'h3f780b6d, 32'h3f67059f,32'h3f7f570f, 32'h3f5adce8,32'h3f85bfe3,// invsqrt(1.1082) = 0.9499 +32'h3e47edd5,32'h400df1c1,32'h4013bced, 32'h4009995f,32'h4018154f, 32'h40025b68,32'h401f5346,// invsqrt(0.1952) = 2.2631 +32'h3f4fdab2,32'h3f8b3643,32'h3f90e4e3, 32'h3f86f34c,32'h3f9527da, 32'h3f7fb209,32'h3f9c4222,// invsqrt(0.8119) = 1.1098 +32'h3f28821f,32'h3f9a9cdf,32'h3fa0ec6b, 32'h3f95e136,32'h3fa5a814, 32'h3f8dfdc8,32'h3fad8b82,// invsqrt(0.6582) = 1.2326 +32'h413688c6,32'h3e948dc7,32'h3e9a9e03, 32'h3e90019a,32'h3e9f2a30, 32'h3e886d4e,32'h3ea6be7c,// invsqrt(11.4084) = 0.2961 +32'h3f7303d7,32'h3f80bf69,32'h3f8600b2, 32'h3f799ce7,32'h3f89f1a8, 32'h3f6c79b3,32'h3f908343,// invsqrt(0.9493) = 1.0264 +32'h3e9f3057,32'h3fe0f703,32'h3fea25aa, 32'h3fda1405,32'h3ff108a7, 32'h3fce99b4,32'h3ffc82f8,// invsqrt(0.3109) = 1.7934 +32'h3f8c3aa7,32'h3f6fb0ed,32'h3f797974, 32'h3f685a87,32'h3f8067ec, 32'h3f5c1fde,32'h3f868541,// invsqrt(1.0955) = 0.9554 +32'h3de31ad1,32'h403c58be,32'h404408c6, 32'h403694b8,32'h4049cccc, 32'h402cf8af,32'h405368d5,// invsqrt(0.1109) = 3.0030 +32'h3eb6e09d,32'h3fd1e3c0,32'h3fda74e2, 32'h3fcb76e7,32'h3fe0e1bb, 32'h3fc0c17c,32'h3feb9726,// invsqrt(0.3572) = 1.6732 +32'h3f7a4c31,32'h3f7db8c3,32'h3f8409f3, 32'h3f75f469,32'h3f87ec1f, 32'h3f69027f,32'h3f8e6515,// invsqrt(0.9777) = 1.0113 +32'h3f1ae5e9,32'h3fa1432a,32'h3fa7d830, 32'h3f9c5364,32'h3facc7f6, 32'h3f94191c,32'h3fb5023e,// invsqrt(0.6051) = 1.2856 +32'h3f4fa5cb,32'h3f8b47fe,32'h3f90f756, 32'h3f87047b,32'h3f953ad9, 32'h3f7fd298,32'h3f9c5608,// invsqrt(0.8111) = 1.1103 +32'h3efe248b,32'h3fb20bc5,32'h3fb9502b, 32'h3fac9878,32'h3fbec378, 32'h3fa382f9,32'h3fc7d8f7,// invsqrt(0.4964) = 1.4194 +32'h40746bd8,32'h3f006076,32'h3f059dde, 32'h3ef8e4d0,32'h3f098bec, 32'h3eebcb4c,32'h3f1018ae,// invsqrt(3.8191) = 0.5117 +32'h3f8c1aa1,32'h3f6fcc50,32'h3f7995f6, 32'h3f687514,32'h3f807699, 32'h3f5c3906,32'h3f8694a0,// invsqrt(1.0946) = 0.9558 +32'h40c4311f,32'h3ecaa47a,32'h3ed2e9e2, 32'h3ec4706c,32'h3ed91df0, 32'h3eba19a9,32'h3ee374b3,// invsqrt(6.1310) = 0.4039 +32'h3fa990dc,32'h3f59f8fa,32'h3f62de91, 32'h3f534cc8,32'h3f698ac2, 32'h3f482dcb,32'h3f74a9bf,// invsqrt(1.3247) = 0.8688 +32'h3f7d5fc4,32'h3f7c2d3b,32'h3f833c1c, 32'h3f7474fe,32'h3f87183b, 32'h3f679741,32'h3f8d871a,// invsqrt(0.9897) = 1.0052 +32'h3e026a88,32'h402fbf7c,32'h4036ebe0, 32'h402a5e32,32'h403c4d2a, 32'h402166b6,32'h404544a6,// invsqrt(0.1274) = 2.8021 +32'h3f0507fc,32'h3fae0315,32'h3fb51d55, 32'h3fa8af66,32'h3fba7104, 32'h3f9fce96,32'h3fc351d4,// invsqrt(0.5197) = 1.3872 +32'h3f45633f,32'h3f8edaed,32'h3f94af9e, 32'h3f8a7b69,32'h3f990f23, 32'h3f83318c,32'h3fa05900,// invsqrt(0.7710) = 1.1388 +32'h41581f21,32'h3e8885fa,32'h3e8e1882, 32'h3e845814,32'h3e924668, 32'h3e7ac1d6,32'h3e993d91,// invsqrt(13.5076) = 0.2721 +32'h3f01ff5f,32'h3fb007dd,32'h3fb73735, 32'h3faaa45c,32'h3fbc9ab6, 32'h3fa1a92e,32'h3fc595e4,// invsqrt(0.5078) = 1.4033 +32'h3f93b3d8,32'h3f698c6a,32'h3f7314c2, 32'h3f626628,32'h3f7a3b04, 32'h3f567bba,32'h3f8312b9,// invsqrt(1.1539) = 0.9309 +32'h3f5d084a,32'h3f86ff8c,32'h3f8c8224, 32'h3f82dd9a,32'h3f90a416, 32'h3f77f4b8,32'h3f978754,// invsqrt(0.8634) = 1.0762 +32'h3f937927,32'h3f69badf,32'h3f73451d, 32'h3f629331,32'h3f7a6ccb, 32'h3f56a665,32'h3f832ccc,// invsqrt(1.1521) = 0.9316 +32'h401388ea,32'h3f253cd0,32'h3f2bfb61, 32'h3f202de5,32'h3f310a4d, 32'h3f17bfb0,32'h3f397882,// invsqrt(2.3052) = 0.6586 +32'h3cbb292c,32'h40cf7954,32'h40d7f138, 32'h40c91f69,32'h40de4b23, 32'h40be898c,32'h40e8e100,// invsqrt(0.0228) = 6.6159 +32'h3dbf32df,32'h404d457d,32'h4055a65e, 32'h4046fcd5,32'h405bef07, 32'h403c83bd,32'h4066681f,// invsqrt(0.0934) = 3.2728 +32'h3fde69ff,32'h3f3e5289,32'h3f461736, 32'h3f387f08,32'h3f4beab8, 32'h3f2ec930,32'h3f55a090,// invsqrt(1.7376) = 0.7586 +32'h40d02f51,32'h3ec4b816,32'h3eccbf99, 32'h3ebeb273,32'h3ed2c53b, 32'h3eb4a90e,32'h3edccea0,// invsqrt(6.5058) = 0.3921 +32'h408549ef,32'h3ef5da2d,32'h3effe315, 32'h3eee537f,32'h3f03b4e1, 32'h3ee1c85e,32'h3f09fa72,// invsqrt(4.1653) = 0.4900 +32'h3ed99d6b,32'h3fc068da,32'h3fc84356, 32'h3fba84fd,32'h3fce2733, 32'h3fb0b3e2,32'h3fd7f84e,// invsqrt(0.4250) = 1.5339 +32'h408e8ba3,32'h3eedbc54,32'h3ef7706d, 32'h3ee67543,32'h3efeb77f, 32'h3eda5424,32'h3f056c4f,// invsqrt(4.4545) = 0.4738 +32'h40df542e,32'h3ebdeea6,32'h3ec5af3f, 32'h3eb81e33,32'h3ecb7fb1, 32'h3eae6d73,32'h3ed53071,// invsqrt(6.9790) = 0.3785 +32'h3f67f615,32'h3f83c796,32'h3f89288c, 32'h3f7f7dba,32'h3f8d3145, 32'h3f720b52,32'h3f93ea79,// invsqrt(0.9061) = 1.0505 +32'h3f34cf3c,32'h3f9542bb,32'h3f9b5a5b, 32'h3f90b104,32'h3f9fec12, 32'h3f89137d,32'h3fa78999,// invsqrt(0.7063) = 1.1899 +32'h3f132ada,32'h3fa57196,32'h3fac324e, 32'h3fa0610c,32'h3fb142d8, 32'h3f97f027,32'h3fb9b3bd,// invsqrt(0.5749) = 1.3189 +32'h41056083,32'h3eadc94b,32'h3eb4e12f, 32'h3ea87760,32'h3eba331a, 32'h3e9f9984,32'h3ec310f6,// invsqrt(8.3361) = 0.3464 +32'h3fc07ff4,32'h3f4c9399,32'h3f54ed37, 32'h3f465063,32'h3f5b306d, 32'h3f3be05e,32'h3f65a072,// invsqrt(1.5039) = 0.8154 +32'h4038da60,32'h3f139e82,32'h3f19a4fa, 32'h3f0f19a8,32'h3f1e29d4, 32'h3f079191,32'h3f25b1eb,// invsqrt(2.8883) = 0.5884 +32'h3c5184b1,32'h410aa875,32'h4110514a, 32'h410669d4,32'h41148fea, 32'h40fead91,32'h411ba2f5,// invsqrt(0.0128) = 8.8430 +32'h40122e7d,32'h3f260028,32'h3f2cc6b2, 32'h3f20eb41,32'h3f31db99, 32'h3f187315,32'h3f3a53c5,// invsqrt(2.2841) = 0.6617 +32'h3ec9aaf9,32'h3fc7df51,32'h3fd007c7, 32'h3fc1c0f8,32'h3fd62620, 32'h3fb78e64,32'h3fe058b4,// invsqrt(0.3939) = 1.5934 +32'h408caf84,32'h3eef4d4b,32'h3ef911c1, 32'h3ee7f9f2,32'h3f00328d, 32'h3edbc45f,32'h3f064d56,// invsqrt(4.3964) = 0.4769 +32'h3e43ad93,32'h400f7a57,32'h4015558a, 32'h400b15f2,32'h4019b9f0, 32'h4003c3f2,32'h40210bf0,// invsqrt(0.1911) = 2.2876 +32'h3f2214a7,32'h3f9da612,32'h3fa41556, 32'h3f98d29e,32'h3fa8e8ca, 32'h3f90c788,32'h3fb0f3e0,// invsqrt(0.6331) = 1.2568 +32'h4001e445,32'h3f301a39,32'h3f374a51, 32'h3f2ab628,32'h3f3cae62, 32'h3f21ba0b,32'h3f45aa7f,// invsqrt(2.0296) = 0.7019 +32'h3ef0ec80,32'h3fb6dd80,32'h3fbe5442, 32'h3fb1446f,32'h3fc3ed53, 32'h3fa7effe,32'h3fcd41c4,// invsqrt(0.4706) = 1.4578 +32'h400bd43b,32'h3f29bac1,32'h3f30a841, 32'h3f2488a1,32'h3f35da61, 32'h3f1bdfc1,32'h3f3e8341,// invsqrt(2.1848) = 0.6765 +32'h3f88aace,32'h3f72cb65,32'h3f7cb459, 32'h3f6b5cad,32'h3f821188, 32'h3f5ef97c,32'h3f884321,// invsqrt(1.0677) = 0.9678 +32'h3d5ae268,32'h4087a8b4,32'h408d3234, 32'h40838195,32'h40915953, 32'h40792b6a,32'h40984533,// invsqrt(0.0534) = 4.3259 +32'h3f6bc566,32'h3f82b5e9,32'h3f880bb3, 32'h3f7d6b21,32'h3f8c0c0c, 32'h3f7014a6,32'h3f92b749,// invsqrt(0.9210) = 1.0420 +32'h3eaff802,32'h3fd5f85c,32'h3fdeb422, 32'h3fcf6b89,32'h3fe540f5, 32'h3fc480d2,32'h3ff02bac,// invsqrt(0.3437) = 1.7058 +32'h3f7fed1b,32'h3f7aea8a,32'h3f82942e, 32'h3f733c2e,32'h3f866b5d, 32'h3f666ee8,32'h3f8cd200,// invsqrt(0.9997) = 1.0001 +32'h3eeec0ec,32'h3fb7b1c8,32'h3fbf3134, 32'h3fb21238,32'h3fc4d0c4, 32'h3fa8b2f1,32'h3fce300b,// invsqrt(0.4663) = 1.4644 +32'h3f6a2a54,32'h3f832871,32'h3f8882e9, 32'h3f7e492f,32'h3f8c86c2, 32'h3f70e704,32'h3f9337d8,// invsqrt(0.9147) = 1.0456 +32'h41fe7524,32'h3e31ef90,32'h3e3932d0, 32'h3e2c7d21,32'h3e3ea53f, 32'h3e236912,32'h3e47b94e,// invsqrt(31.8072) = 0.1773 +32'h3eed4f0d,32'h3fb840b8,32'h3fbfc5f8, 32'h3fb29cc7,32'h3fc569e9, 32'h3fa93636,32'h3fced07a,// invsqrt(0.4635) = 1.4689 +32'h3f56fada,32'h3f88e2a9,32'h3f8e78f9, 32'h3f84b1ed,32'h3f92a9b5, 32'h3f7b6c12,32'h3f99a599,// invsqrt(0.8398) = 1.0912 +32'h3fe8bea4,32'h3f3a0cf6,32'h3f41a500, 32'h3f345aee,32'h3f475708, 32'h3f2adce2,32'h3f50d514,// invsqrt(1.8183) = 0.7416 +32'h3f4a53db,32'h3f8d19b9,32'h3f92dc15, 32'h3f88c7f5,32'h3f972dd9, 32'h3f819503,32'h3f9e60cb,// invsqrt(0.7903) = 1.1248 +32'h3fa2dd82,32'h3f5e6949,32'h3f677d41, 32'h3f579a4e,32'h3f6e4c3c, 32'h3f4c4158,32'h3f79a532,// invsqrt(1.2724) = 0.8865 +32'h3f35305c,32'h3f951ab5,32'h3f9b30b1, 32'h3f908a37,32'h3f9fc12f, 32'h3f88eebb,32'h3fa75cab,// invsqrt(0.7078) = 1.1887 +32'h3e0819c1,32'h402c09e4,32'h40330f85, 32'h4026c5ac,32'h403853be, 32'h401dfea3,32'h40411ac7,// invsqrt(0.1329) = 2.7430 +32'h41217102,32'h3e9df5e4,32'h3ea4686a, 32'h3e991fff,32'h3ea93e4f, 32'h3e9110d6,32'h3eb14d78,// invsqrt(10.0901) = 0.3148 +32'h3fa8ef8d,32'h3f5a60f2,32'h3f634ac7, 32'h3f53b191,32'h3f69fa27, 32'h3f488d46,32'h3f751e72,// invsqrt(1.3198) = 0.8705 +32'h3f284b74,32'h3f9ab5fa,32'h3fa1068c, 32'h3f95f98c,32'h3fa5c2fa, 32'h3f8e14d6,32'h3fada7b0,// invsqrt(0.6574) = 1.2333 +32'h3fc4331a,32'h3f4aa374,32'h3f52e8d2, 32'h3f446f6e,32'h3f591cd8, 32'h3f3a18b9,32'h3f63738d,// invsqrt(1.5328) = 0.8077 +32'h3f4f868b,32'h3f8b527a,32'h3f910240, 32'h3f870ea5,32'h3f954615, 32'h3f7fe5db,32'h3f9c61cd,// invsqrt(0.8106) = 1.1107 +32'h3fac5c99,32'h3f58328e,32'h3f61059a, 32'h3f519446,32'h3f67a3e2, 32'h3f468c78,32'h3f72abb0,// invsqrt(1.3466) = 0.8618 +32'h3f7c6525,32'h3f7caa50,32'h3f837d34, 32'h3f74ee3e,32'h3f875b3d, 32'h3f680a20,32'h3f8dcd4c,// invsqrt(0.9859) = 1.0071 +32'h3f0f82db,32'h3fa789b7,32'h3fae6051, 32'h3fa268c4,32'h3fb38144, 32'h3f99dc84,32'h3fbc0d84,// invsqrt(0.5606) = 1.3356 +32'h4081ec26,32'h3ef90457,32'h3f019728, 32'h3ef164dd,32'h3f0566e6, 32'h3ee4b065,32'h3f0bc121,// invsqrt(4.0601) = 0.4963 +32'h3f8fe981,32'h3f6c9aa9,32'h3f7642ee, 32'h3f655c74,32'h3f7d8122, 32'h3f594a1d,32'h3f84c9bc,// invsqrt(1.1243) = 0.9431 +32'h3e2c3749,32'h4018f07b,32'h401f2e8b, 32'h401441f0,32'h4023dd16, 32'h400c745c,32'h402baaaa,// invsqrt(0.1682) = 2.4384 +32'h3f8ad056,32'h3f70e8f1,32'h3f7abe34, 32'h3f6988fe,32'h3f810f13, 32'h3f5d3e6a,32'h3f87345d,// invsqrt(1.0845) = 0.9603 +32'h3f3120e2,32'h3f96cdbc,32'h3f9cf57b, 32'h3f922fee,32'h3fa1934a, 32'h3f8a7e40,32'h3fa944f8,// invsqrt(0.6919) = 1.2022 +32'h3e8a2c67,32'h3ff177b0,32'h3ffb52c6, 32'h3fea135e,32'h40015b8c, 32'h3fddc182,32'h4007847a,// invsqrt(0.2699) = 1.9250 +32'h3f5dd888,32'h3f86c021,32'h3f8c4023, 32'h3f82a020,32'h3f906024, 32'h3f77803d,32'h3f974025,// invsqrt(0.8666) = 1.0742 +32'h3f81d93f,32'h3f791676,32'h3f81a097, 32'h3f71766e,32'h3f85709b, 32'h3f64c10a,32'h3f8bcb4d,// invsqrt(1.0144) = 0.9929 +32'h3f7c0945,32'h3f7cd859,32'h3f839529, 32'h3f751ade,32'h3f8773e7, 32'h3f683467,32'h3f8de722,// invsqrt(0.9845) = 1.0078 +32'h3efc7623,32'h3fb2a34a,32'h3fb9eddf, 32'h3fad2b59,32'h3fbf65cf, 32'h3fa40e1f,32'h3fc88309,// invsqrt(0.4931) = 1.4241 +32'h4029987c,32'h3f1a1dc8,32'h3f206824, 32'h3f156603,32'h3f251fe9, 32'h3f0d8911,32'h3f2cfcdb,// invsqrt(2.6499) = 0.6143 +32'h40cc490a,32'h3ec69677,32'h3eceb181, 32'h3ec0822f,32'h3ed4c5c9, 32'h3eb66063,32'h3edee795,// invsqrt(6.3839) = 0.3958 +32'h3ef3c292,32'h3fb5cc5c,32'h3fbd37f8, 32'h3fb03ba8,32'h3fc2c8ac, 32'h3fa6f526,32'h3fcc0f2e,// invsqrt(0.4761) = 1.4493 +32'h3f5e6666,32'h3f869520,32'h3f8c1360, 32'h3f827670,32'h3f903210, 32'h3f773140,32'h3f970fe0,// invsqrt(0.8687) = 1.0729 +32'h3e964706,32'h3fe789fb,32'h3ff0fd54, 32'h3fe07379,32'h3ff813d7, 32'h3fd4a34a,32'h4001f203,// invsqrt(0.2935) = 1.8458 +32'h3e2d1719,32'h40188d7a,32'h401ec780, 32'h4013e1f7,32'h40237303, 32'h400c1970,32'h402b3b8a,// invsqrt(0.1690) = 2.4323 +32'h3f7f631c,32'h3f7b2e4b,32'h3f82b770, 32'h3f737ddb,32'h3f868fa8, 32'h3f66ad21,32'h3f8cf806,// invsqrt(0.9976) = 1.0012 +32'h3f63fdce,32'h3f84ec06,32'h3f8a58ec, 32'h3f80da59,32'h3f8e6a99, 32'h3f742473,32'h3f9532b8,// invsqrt(0.8906) = 1.0596 +32'h3fce0f82,32'h3f45baff,32'h3f4dcd14, 32'h3f3fad70,32'h3f53daa4, 32'h3f3596d6,32'h3f5df13e,// invsqrt(1.6098) = 0.7881 +32'h3f56ae85,32'h3f88fafd,32'h3f8e924b, 32'h3f84c982,32'h3f92c3c6, 32'h3f7b98c1,32'h3f99c0e8,// invsqrt(0.8386) = 1.0920 +32'h3ff55203,32'h3f35381e,32'h3f3c9dac, 32'h3f2fabf3,32'h3f4229d7, 32'h3f266d01,32'h3f4b68c9,// invsqrt(1.9166) = 0.7223 +32'h408e5dbb,32'h3eede2a6,32'h3ef7984e, 32'h3ee69a67,32'h3efee08d, 32'h3eda7754,32'h3f0581d0,// invsqrt(4.4489) = 0.4741 +32'h3f8e2692,32'h3f6e10c9,32'h3f77c853, 32'h3f66c720,32'h3f7f11fc, 32'h3f5aa1b3,32'h3f859bb4,// invsqrt(1.1106) = 0.9489 +32'h3f9efc2f,32'h3f611be6,32'h3f6a4c0f, 32'h3f5a37c8,32'h3f71302e, 32'h3f4ebb95,32'h3f7cac61,// invsqrt(1.2421) = 0.8973 +32'h40d99f23,32'h3ec06818,32'h3ec8428c, 32'h3eba8441,32'h3ece2663, 32'h3eb0b330,32'h3ed7f774,// invsqrt(6.8007) = 0.3835 +32'h3f9056a7,32'h3f6c4122,32'h3f75e5c0, 32'h3f6505ab,32'h3f7d2137, 32'h3f58f7e6,32'h3f84977e,// invsqrt(1.1276) = 0.9417 +32'h3f949b76,32'h3f68d623,32'h3f72570a, 32'h3f61b575,32'h3f7977b7, 32'h3f55d454,32'h3f82ac6c,// invsqrt(1.1610) = 0.9281 +32'h3d047898,32'h40ae6129,32'h40b57f3f, 32'h40a90a98,32'h40bad5d0, 32'h40a024fc,32'h40c3bb6c,// invsqrt(0.0323) = 5.5606 +32'h4007e1d3,32'h3f2c2d49,32'h3f33345b, 32'h3f26e7fb,32'h3f3879a9, 32'h3f1e1f23,32'h3f414281,// invsqrt(2.1232) = 0.6863 +32'h3f8e5fd0,32'h3f6de0e8,32'h3f77967f, 32'h3f6698b8,32'h3f7edeb0, 32'h3f5a75bc,32'h3f8580d6,// invsqrt(1.1123) = 0.9482 +32'h3fe6c0e6,32'h3f3ada04,32'h3f427a6c, 32'h3f3521b5,32'h3f4832bb, 32'h3f2b9932,32'h3f51bb3e,// invsqrt(1.8028) = 0.7448 +32'h3e537c6f,32'h400a02ef,32'h400fa503, 32'h4005c960,32'h4013de92, 32'h3ffd7d8d,32'h401ae92c,// invsqrt(0.2065) = 2.2004 +32'h40a2f71c,32'h3ede57d0,32'h3ee76b12, 32'h3ed7895f,32'h3eee3983, 32'h3ecc314c,32'h3ef99196,// invsqrt(5.0927) = 0.4431 +32'h3f43c35c,32'h3f8f725b,32'h3f954d3b, 32'h3f8b0e34,32'h3f99b162, 32'h3f83bc9d,32'h3fa102f9,// invsqrt(0.7647) = 1.1435 +32'h3f163a06,32'h3fa3c01e,32'h3faa6f24, 32'h3f9ebcd9,32'h3faf7269, 32'h3f966211,32'h3fb7cd31,// invsqrt(0.5868) = 1.3054 +32'h3fadcf54,32'h3f574b80,32'h3f60151d, 32'h3f50b44b,32'h3f66ac53, 32'h3f45b847,32'h3f71a857,// invsqrt(1.3579) = 0.8582 +32'h3ea1335e,32'h3fdf8e82,32'h3fe8ae72, 32'h3fd8b68e,32'h3fef8666, 32'h3fcd4ea1,32'h3ffaee53,// invsqrt(0.3148) = 1.7822 +32'h3f9bccab,32'h3f636613,32'h3f6cae28, 32'h3f5c7002,32'h3f73a438, 32'h3f50d5e7,32'h3f7f3e53,// invsqrt(1.2172) = 0.9064 +32'h3e5435b3,32'h4009c6a3,32'h400f6641, 32'h40058eec,32'h40139df8, 32'h3ffd0ecd,32'h401aa57d,// invsqrt(0.2072) = 2.1967 +32'h3e165100,32'h4023b39a,32'h402a621e, 32'h401eb0b7,32'h402f6501, 32'h40165693,32'h4037bf25,// invsqrt(0.1468) = 2.6100 +32'h3f56a6e8,32'h3f88fd6b,32'h3f8e94d3, 32'h3f84cbdd,32'h3f92c661, 32'h3f7b9d38,32'h3f99c3a2,// invsqrt(0.8385) = 1.0921 +32'h3f88d640,32'h3f72a4d7,32'h3f7c8c39, 32'h3f6b374e,32'h3f81fce1, 32'h3f5ed614,32'h3f882d7e,// invsqrt(1.0690) = 0.9672 +32'h40b42ed4,32'h3ed37403,32'h3edc157b, 32'h3eccfae9,32'h3ee28e95, 32'h3ec23112,32'h3eed586c,// invsqrt(5.6307) = 0.4214 +32'h3fec7297,32'h3f38968a,32'h3f401f4c, 32'h3f32eff9,32'h3f45c5dd, 32'h3f298507,32'h3f4f30cf,// invsqrt(1.8472) = 0.7358 +32'h3fb9cf00,32'h3f503a3f,32'h3f58ba03, 32'h3f49da6c,32'h3f5f19d6, 32'h3f3f3ab7,32'h3f69b98b,// invsqrt(1.4516) = 0.8300 +32'h3f3f3d8c,32'h3f91220d,32'h3f970e8b, 32'h3f8cb0af,32'h3f9b7fe9, 32'h3f854911,32'h3fa2e787,// invsqrt(0.7470) = 1.1570 +32'h3ebf4813,32'h3fcd3a1d,32'h3fd59a86, 32'h3fc6f1cd,32'h3fdbe2d5, 32'h3fbc7949,32'h3fe65b59,// invsqrt(0.3736) = 1.6361 +32'h3e423039,32'h401006f4,32'h4015e7e4, 32'h400b9e40,32'h401a5098, 32'h40044514,32'h4021a9c4,// invsqrt(0.1896) = 2.2964 +32'h4055130b,32'h3f097f01,32'h3f0f1bb3, 32'h3f05497c,32'h3f135138, 32'h3efc8b3c,32'h3f1a5516,// invsqrt(3.3293) = 0.5481 +32'h4086687f,32'h3ef4d38c,32'h3efed1bc, 32'h3eed54e9,32'h3f032830, 32'h3ee0d72d,32'h3f09670d,// invsqrt(4.2003) = 0.4879 +32'h3f3a93ab,32'h3f92ef87,32'h3f98eedb, 32'h3f8e7008,32'h3f9d6e5a, 32'h3f86f0df,32'h3fa4ed83,// invsqrt(0.7288) = 1.1714 +32'h3f629226,32'h3f855688,32'h3f8ac7c6, 32'h3f814198,32'h3f8edcb6, 32'h3f74e813,32'h3f95aa44,// invsqrt(0.8850) = 1.0630 +32'h3e846814,32'h3ff6ab83,32'h40005e7b, 32'h3fef1e6d,32'h40042506, 32'h3fe2889d,32'h400a6fee,// invsqrt(0.2586) = 1.9664 +32'h3f78235c,32'h3f7ed2c9,32'h3f849cb7, 32'h3f7705ce,32'h3f888335, 32'h3f6a0580,32'h3f8f035c,// invsqrt(0.9693) = 1.0157 +32'h3f372811,32'h3f944d20,32'h3f9a5ab8, 32'h3f8fc2ed,32'h3f9ee4eb, 32'h3f8831ee,32'h3fa675ea,// invsqrt(0.7155) = 1.1822 +32'h3f5983cd,32'h3f8815de,32'h3f8da3d2, 32'h3f83eb67,32'h3f91ce49, 32'h3f79f3eb,32'h3f98bfba,// invsqrt(0.8497) = 1.0849 +32'h4029d0fe,32'h3f1a0422,32'h3f204d72, 32'h3f154d26,32'h3f25046e, 32'h3f0d7183,32'h3f2ce011,// invsqrt(2.6534) = 0.6139 +32'h40dfce79,32'h3ebdbaba,32'h3ec57935, 32'h3eb7ebdf,32'h3ecb4811, 32'h3eae3dc6,32'h3ed4f62a,// invsqrt(6.9940) = 0.3781 +32'h3e859ab7,32'h3ff58fcf,32'h3fff95ad, 32'h3fee0b68,32'h40038d0a, 32'h3fe18411,32'h4009d0b5,// invsqrt(0.2609) = 1.9576 +32'h3e8638dd,32'h3ff4fef9,32'h3ffefeef, 32'h3fed7f01,32'h40033f73, 32'h3fe0ff0f,32'h40097f6d,// invsqrt(0.2622) = 1.9531 +32'h3f8fdfc7,32'h3f6ca2a8,32'h3f764b42, 32'h3f656436,32'h3f7d89b4, 32'h3f595176,32'h3f84ce3a,// invsqrt(1.1240) = 0.9432 +32'h3feb2477,32'h3f391980,32'h3f40a79a, 32'h3f336eec,32'h3f46522e, 32'h3f29fd4c,32'h3f4fc3ce,// invsqrt(1.8371) = 0.7378 +32'h3fe1acdd,32'h3f3cf137,32'h3f44a777, 32'h3f372886,32'h3f4a7028, 32'h3f2d84b5,32'h3f5413f9,// invsqrt(1.7631) = 0.7531 +32'h3e8ef012,32'h3fed68c0,32'h3ff71970, 32'h3fe6243d,32'h3ffe5df3, 32'h3fda0762,32'h40053d67,// invsqrt(0.2792) = 1.8926 +32'h3e84e698,32'h3ff635fe,32'h40002153, 32'h3feeac81,32'h4003e611, 32'h3fe21cb0,32'h400a2dfa,// invsqrt(0.2596) = 1.9628 +32'h3e5b68c8,32'h40077f23,32'h400d06f1, 32'h4003594a,32'h40112cca, 32'h3ff8df12,32'h4018168b,// invsqrt(0.2143) = 2.1603 +32'h3f4c05bd,32'h3f8c8360,32'h3f923f98, 32'h3f883636,32'h3f968cc2, 32'h3f810af0,32'h3f9db808,// invsqrt(0.7970) = 1.1202 +32'h3f1e1ab7,32'h3f9f9e6b,32'h3fa62246, 32'h3f9abb88,32'h3fab052a, 32'h3f9296b6,32'h3fb329fc,// invsqrt(0.6176) = 1.2725 +32'h4534f555,32'h3c953304,32'h3c9b49ff, 32'h3c90a1c8,32'h3c9fdb3c, 32'h3c89050f,32'h3ca777f5,// invsqrt(2895.3333) = 0.0186 +32'h3f37191d,32'h3f94532e,32'h3f9a6106, 32'h3f8fc8cc,32'h3f9eeb68, 32'h3f88377e,32'h3fa67cb6,// invsqrt(0.7152) = 1.1824 +32'h3f9fdc78,32'h3f607dc5,32'h3f69a779, 32'h3f599e7d,32'h3f7086c1, 32'h3f4e2a5c,32'h3f7bfae2,// invsqrt(1.2489) = 0.8948 +32'h3f8a9ec7,32'h3f7113fd,32'h3f7aeb03, 32'h3f69b2ba,32'h3f812623, 32'h3f5d65f3,32'h3f874c86,// invsqrt(1.0830) = 0.9609 +32'h3e29f742,32'h4019f2cb,32'h40203b65, 32'h40153c57,32'h4024f1d9, 32'h400d6196,32'h402ccc9a,// invsqrt(0.1660) = 2.4545 +32'h3f24205f,32'h3f9ca9c2,32'h3fa30eba, 32'h3f97de08,32'h3fa7da74, 32'h3f8fdfd1,32'h3fafd8ab,// invsqrt(0.6411) = 1.2489 +32'h3e82cb85,32'h3ff82f59,32'h40012850, 32'h3ff09664,32'h4004f4cb, 32'h3fe3ecca,32'h400b4998,// invsqrt(0.2555) = 1.9785 +32'h416474d7,32'h3e84c961,32'h3e8a34dd, 32'h3e80b8c4,32'h3e8e457a, 32'h3e73e4d1,32'h3e950bd5,// invsqrt(14.2785) = 0.2646 +32'h3fe8e455,32'h3f39fde7,32'h3f419553, 32'h3f344c55,32'h3f4746e5, 32'h3f2acf0d,32'h3f50c42d,// invsqrt(1.8195) = 0.7414 +32'h3e985c6f,32'h3fe5f348,32'h3fef5608, 32'h3fdee939,32'h3ff66017, 32'h3fd32dca,32'h40010dc3,// invsqrt(0.2976) = 1.8331 +32'h4092275f,32'h3eeac85b,32'h3ef45d99, 32'h3ee3986e,32'h3efb8d86, 32'h3ed79de1,32'h3f03c40a,// invsqrt(4.5673) = 0.4679 +32'h3ed08169,32'h3fc49158,32'h3fcc9746, 32'h3fbe8ce5,32'h3fd29bb9, 32'h3fb4857a,32'h3fdca324,// invsqrt(0.4072) = 1.5670 +32'h3ea59c0d,32'h3fdc8f90,32'h3fe59032, 32'h3fd5cf16,32'h3fec50ac, 32'h3fca8e4a,32'h3ff79178,// invsqrt(0.3235) = 1.7583 +32'h3e071dbe,32'h402caa0a,32'h4033b634, 32'h402760ea,32'h4038ff54, 32'h401e91b6,32'h4041ce89,// invsqrt(0.1319) = 2.7529 +32'h3dba0c3c,32'h405017f8,32'h40589655, 32'h4049b931,32'h405ef51b, 32'h403f1b3c,32'h40699310,// invsqrt(0.0908) = 3.3178 +32'h3f0f47e3,32'h3fa7ac2d,32'h3fae842f, 32'h3fa28a2c,32'h3fb3a630, 32'h3f99fc29,32'h3fbc3433,// invsqrt(0.5597) = 1.3367 +32'h415c422d,32'h3e873c35,32'h3e8cc147, 32'h3e831868,32'h3e90e514, 32'h3e786423,32'h3e97cb6b,// invsqrt(13.7662) = 0.2695 +32'h3fd3af93,32'h3f4315e7,32'h3f4b0c59, 32'h3f3d1d12,32'h3f51052e, 32'h3f332903,32'h3f5af93d,// invsqrt(1.6538) = 0.7776 +32'h3f22dc43,32'h3f9d4558,32'h3fa3b0aa, 32'h3f9874db,32'h3fa88127, 32'h3f906eb4,32'h3fb0874e,// invsqrt(0.6362) = 1.2538 +32'h3fbdd00c,32'h3f4e0501,32'h3f566db2, 32'h3f47b67b,32'h3f5cbc37, 32'h3f3d339d,32'h3f673f15,// invsqrt(1.4829) = 0.8212 +32'h3fa81a13,32'h3f5aeb6f,32'h3f63daec, 32'h3f5437d2,32'h3f6a8e8a, 32'h3f490c76,32'h3f75b9e6,// invsqrt(1.3133) = 0.8726 +32'h3eeea744,32'h3fb7bba8,32'h3fbf3b7a, 32'h3fb21bca,32'h3fc4db58, 32'h3fa8bc02,32'h3fce3b20,// invsqrt(0.4661) = 1.4647 +32'h3e637231,32'h400514cc,32'h400a835c, 32'h400101e0,32'h400e9648, 32'h3ff46f57,32'h4015607c,// invsqrt(0.2221) = 2.1218 +32'h3d9b46a4,32'h4063c821,32'h406d1437, 32'h405ccf10,32'h40740d48, 32'h40512ff4,32'h407fac64,// invsqrt(0.0758) = 3.6317 +32'h3febac16,32'h3f38e436,32'h3f407024, 32'h3f333b44,32'h3f461916, 32'h3f29cc5c,32'h3f4f87fe,// invsqrt(1.8412) = 0.7370 +32'h3fdc396a,32'h3f3f442c,32'h3f4712b5, 32'h3f396944,32'h3f4ced9c, 32'h3f2fa718,32'h3f56afc8,// invsqrt(1.7205) = 0.7624 +32'h3f99ca0b,32'h3f64e14f,32'h3f6e38df, 32'h3f5ddfa3,32'h3f753a8b, 32'h3f52322e,32'h3f807400,// invsqrt(1.2015) = 0.9123 +32'h41a7efae,32'h3e5b070f,32'h3e63f7ad, 32'h3e545299,32'h3e6aac23, 32'h3e4925d5,32'h3e75d8e7,// invsqrt(20.9920) = 0.2183 +32'h430c2cf9,32'h3da984ff,32'h3db0704d, 32'h3da45484,32'h3db5a0c8, 32'h3d9bae62,32'h3dbe46ea,// invsqrt(140.1757) = 0.0845 +32'h3f683c46,32'h3f83b3aa,32'h3f8913d1, 32'h3f7f571c,32'h3f8d1bee, 32'h3f71e6bc,32'h3f93d41e,// invsqrt(0.9072) = 1.0499 +32'h41b436b2,32'h3e536f66,32'h3e5c10ae, 32'h3e4cf670,32'h3e6289a4, 32'h3e422cd6,32'h3e6d533e,// invsqrt(22.5267) = 0.2107 +32'h3f33e861,32'h3f95a261,32'h3f9bbde7, 32'h3f910dbc,32'h3fa0528c, 32'h3f896b54,32'h3fa7f4f4,// invsqrt(0.7028) = 1.1929 +32'h3eaf9e30,32'h3fd62f0d,32'h3fdeed0e, 32'h3fcfa08d,32'h3fe57b8f, 32'h3fc4b30d,32'h3ff0690f,// invsqrt(0.3430) = 1.7075 +32'h42127a32,32'h3e25d53c,32'h3e2c9a06, 32'h3e20c1a6,32'h3e31ad9c, 32'h3e184baa,32'h3e3a2398,// invsqrt(36.6193) = 0.1653 +32'h3faa186c,32'h3f59a20c,32'h3f628417, 32'h3f52f884,32'h3f692da0, 32'h3f47ddf7,32'h3f74482d,// invsqrt(1.3289) = 0.8675 +32'h3f8d8ebc,32'h3f6e9054,32'h3f784d13, 32'h3f6742c4,32'h3f7f9aa2, 32'h3f5b16d4,32'h3f85e349,// invsqrt(1.1059) = 0.9509 +32'h40600141,32'h3f06197b,32'h3f0b92af, 32'h3f01fe94,32'h3f0fad96, 32'h3ef64e26,32'h3f168517,// invsqrt(3.5001) = 0.5345 +32'h3f6b2b37,32'h3f82e0bb,32'h3f883845, 32'h3f7dbe26,32'h3f8c39ed, 32'h3f70634d,32'h3f92e75a,// invsqrt(0.9186) = 1.0434 +32'h40374377,32'h3f144209,32'h3f1a4f2e, 32'h3f0fb82e,32'h3f1ed90a, 32'h3f0827c0,32'h3f266978,// invsqrt(2.8635) = 0.5910 +32'h3f332f46,32'h3f95ef97,32'h3f9c0e44, 32'h3f915894,32'h3fa0a546, 32'h3f89b23c,32'h3fa84b9e,// invsqrt(0.6999) = 1.1953 +32'h3feabea4,32'h3f3941a1,32'h3f40d15f, 32'h3f3395d3,32'h3f467d2d, 32'h3f2a2226,32'h3f4ff0da,// invsqrt(1.8339) = 0.7384 +32'h3e3be200,32'h40126c90,32'h4018668c, 32'h400df114,32'h401ce208, 32'h40067899,32'h40245a83,// invsqrt(0.1835) = 2.3346 +32'h42a52517,32'h3ddcdef2,32'h3de5e2d2, 32'h3dd61c0a,32'h3deca5ba, 32'h3dcad732,32'h3df7ea92,// invsqrt(82.5724) = 0.1100 +32'h3f23f7cb,32'h3f9cbd23,32'h3fa322e5, 32'h3f97f0d1,32'h3fa7ef37, 32'h3f8ff19d,32'h3fafee6b,// invsqrt(0.6405) = 1.2495 +32'h3f4b4e72,32'h3f8cc2a9,32'h3f928177, 32'h3f88738f,32'h3f96d091, 32'h3f81450e,32'h3f9dff12,// invsqrt(0.7942) = 1.1221 +32'h4006c9f6,32'h3f2cdfab,32'h3f33ee06, 32'h3f2794e8,32'h3f3938ca, 32'h3f1ec2f6,32'h3f420abc,// invsqrt(2.1061) = 0.6891 +32'h411a8abe,32'h3ea172b4,32'h3ea809ac, 32'h3e9c817a,32'h3eacfae6, 32'h3e9444c5,32'h3eb5379b,// invsqrt(9.6589) = 0.3218 +32'h3e8d83a3,32'h3fee99ae,32'h3ff856d0, 32'h3fe74bd5,32'h3fffa4a9, 32'h3fdb1f6c,32'h4005e889,// invsqrt(0.2764) = 1.9021 +32'h3f02f0d6,32'h3faf6543,32'h3fb68df7, 32'h3faa06bc,32'h3fbbec7e, 32'h3fa113da,32'h3fc4df60,// invsqrt(0.5115) = 1.3982 +32'h3ebe36f7,32'h3fcdcd3d,32'h3fd633a7, 32'h3fc7806c,32'h3fdc8078, 32'h3fbd0067,32'h3fe7007d,// invsqrt(0.3715) = 1.6406 +32'h4008c06b,32'h3f2ba0ef,32'h3f32a247, 32'h3f265fed,32'h3f37e349, 32'h3f1d9e3f,32'h3f40a4f7,// invsqrt(2.1367) = 0.6841 +32'h3fc8d92a,32'h3f48479b,32'h3f507453, 32'h3f422611,32'h3f5695dd, 32'h3f37ee2b,32'h3f60cdc3,// invsqrt(1.5691) = 0.7983 +32'h4171502c,32'h3e81336e,32'h3e867972, 32'h3e7a7dd5,32'h3e8a6df6, 32'h3e6d4eca,32'h3e91057b,// invsqrt(15.0821) = 0.2575 +32'h4008358b,32'h3f2bf857,32'h3f32fd41, 32'h3f26b4a8,32'h3f3840f0, 32'h3f1dee84,32'h3f410714,// invsqrt(2.1283) = 0.6855 +32'h3f50b5e4,32'h3f8aed15,32'h3f9098b8, 32'h3f86ac5b,32'h3f94d973, 32'h3f7f2ba0,32'h3f9beffe,// invsqrt(0.8153) = 1.1075 +32'h40176e21,32'h3f231933,32'h3f29c169, 32'h3f1e1b0a,32'h3f2ebf92, 32'h3f15c8c6,32'h3f3711d6,// invsqrt(2.3661) = 0.6501 +32'h41b395ba,32'h3e53ce13,32'h3e5c7338, 32'h3e4d5236,32'h3e62ef14, 32'h3e4283c8,32'h3e6dbd82,// invsqrt(22.4481) = 0.2111 +32'h3cebeb31,32'h40b8cb7a,32'h40c05665, 32'h40b3234a,32'h40c5fe96, 32'h40a9b5a5,32'h40cf6c3b,// invsqrt(0.0288) = 5.8927 +32'h3f44b27a,32'h3f8f1b10,32'h3f94f25e, 32'h3f8ab994,32'h3f9953da, 32'h3f836c72,32'h3fa0a0fc,// invsqrt(0.7683) = 1.1408 +32'h3f0f95f7,32'h3fa77e91,32'h3fae54b6, 32'h3fa25df4,32'h3fb37552, 32'h3f99d246,32'h3fbc0100,// invsqrt(0.5609) = 1.3353 +32'h3f676f88,32'h3f83eddf,32'h3f895065, 32'h3f7fc7f4,32'h3f8d5a4a, 32'h3f7251a4,32'h3f941572,// invsqrt(0.9040) = 1.0517 +32'h3f9233ea,32'h3f6abe49,32'h3f74531d, 32'h3f638eaa,32'h3f7b82bc, 32'h3f5794a1,32'h3f83be62,// invsqrt(1.1422) = 0.9357 +32'h3ff4d501,32'h3f35665c,32'h3f3ccdce, 32'h3f2fd8c7,32'h3f425b63, 32'h3f269779,32'h3f4b9cb1,// invsqrt(1.9128) = 0.7231 +32'h401aa032,32'h3f216780,32'h3f27fe03, 32'h3f1c769f,32'h3f2ceee5, 32'h3f143a7b,32'h3f352b09,// invsqrt(2.4160) = 0.6434 +32'h3f9d9277,32'h3f621db3,32'h3f6b5861, 32'h3f5b31b0,32'h3f724464, 32'h3f4fa856,32'h3f7dcdbe,// invsqrt(1.2310) = 0.9013 +32'h3fb9f8cd,32'h3f5022d7,32'h3f58a1a6, 32'h3f49c3bb,32'h3f5f00c1, 32'h3f3f2538,32'h3f699f44,// invsqrt(1.4529) = 0.8296 +32'h404f7b7f,32'h3f0b562f,32'h3f11061d, 32'h3f07123e,32'h3f154a0e, 32'h3effecab,32'h3f1c65f7,// invsqrt(3.2419) = 0.5554 +32'h4055df79,32'h3f093d3b,32'h3f0ed73d, 32'h3f0509b9,32'h3f130abf, 32'h3efc126c,32'h3f1a0b42,// invsqrt(3.3418) = 0.5470 +32'h3f62f20a,32'h3f853a59,32'h3f8aaa72, 32'h3f812647,32'h3f8ebe85, 32'h3f74b451,32'h3f958aa3,// invsqrt(0.8865) = 1.0621 +32'h3ff56c85,32'h3f352e54,32'h3f3c937c, 32'h3f2fa276,32'h3f421f5a, 32'h3f266404,32'h3f4b5dcc,// invsqrt(1.9174) = 0.7222 +32'h40ab9afd,32'h3ed8ac61,32'h3ee18465, 32'h3ed20a5e,32'h3ee82668, 32'h3ec6fc59,32'h3ef3346d,// invsqrt(5.3627) = 0.4318 +32'h3da962d3,32'h405a1696,32'h4062fd63, 32'h4053697d,32'h4069aa7d, 32'h404848fd,32'h4074cafd,// invsqrt(0.0827) = 3.4772 +32'h3ef4f366,32'h3fb55b1a,32'h3fbcc216, 32'h3fafcddd,32'h3fc24f53, 32'h3fa68d22,32'h3fcb900e,// invsqrt(0.4784) = 1.4458 +32'h4017122e,32'h3f234ace,32'h3f29f50a, 32'h3f1e4b20,32'h3f2ef4b8, 32'h3f15f654,32'h3f374984,// invsqrt(2.3605) = 0.6509 +32'h40c3f79b,32'h3ecac235,32'h3ed308d3, 32'h3ec48d3d,32'h3ed93dcb, 32'h3eba34f7,32'h3ee39611,// invsqrt(6.1240) = 0.4041 +32'h3e87d1f6,32'h3ff38ce9,32'h3ffd7dc3, 32'h3fec1845,32'h40027934, 32'h3fdfab34,32'h4008afbc,// invsqrt(0.2653) = 1.9416 +32'h3f4dcc19,32'h3f8be7ed,32'h3f919dcd, 32'h3f879f85,32'h3f95e635, 32'h3f807c2d,32'h3f9d098d,// invsqrt(0.8039) = 1.1153 +32'h3fee6142,32'h3f37d6a0,32'h3f3f578d, 32'h3f3235ef,32'h3f44f83f, 32'h3f28d4c8,32'h3f4e5966,// invsqrt(1.8623) = 0.7328 +32'h3fb9ae63,32'h3f504c87,32'h3f58cd0a, 32'h3f49ec25,32'h3f5f2d6d, 32'h3f3f4b82,32'h3f69ce10,// invsqrt(1.4506) = 0.8303 +32'h404d512b,32'h3f0c11c9,32'h3f11c95f, 32'h3f07c819,32'h3f16130f, 32'h3f00a29f,32'h3f1d3889,// invsqrt(3.2081) = 0.5583 +32'h409a2d68,32'h3ee49782,32'h3eedec10, 32'h3edd9819,32'h3ef4eb79, 32'h3ed1ee68,32'h3f004a95,// invsqrt(4.8180) = 0.4556 +32'h3f86926a,32'h3f74ad67,32'h3f7eaa08, 32'h3f6d2fef,32'h3f8313c1, 32'h3f60b426,32'h3f8951a5,// invsqrt(1.0513) = 0.9753 +32'h40bbec0b,32'h3ecf0da5,32'h3ed78124, 32'h3ec8b706,32'h3eddd7c4, 32'h3ebe26a8,32'h3ee86822,// invsqrt(5.8726) = 0.4127 +32'h401b49a8,32'h3f210f57,32'h3f27a240, 32'h3f1c2127,32'h3f2c906f, 32'h3f13e984,32'h3f34c813,// invsqrt(2.4264) = 0.6420 +32'h400c4ee2,32'h3f297082,32'h3f305afa, 32'h3f2440a8,32'h3f358ad4, 32'h3f1b9b91,32'h3f3e2feb,// invsqrt(2.1923) = 0.6754 +32'h3ff2793b,32'h3f3647a9,32'h3f3db84d, 32'h3f30b32e,32'h3f434cc8, 32'h3f276662,32'h3f4c9994,// invsqrt(1.8943) = 0.7266 +32'h3f539a92,32'h3f89f91b,32'h3f8f9ac8, 32'h3f85bfd8,32'h3f93d40a, 32'h3f7d6b7f,32'h3f9ade23,// invsqrt(0.8266) = 1.0999 +32'h406c4538,32'h3f029289,32'h3f07e6e2, 32'h3efd268b,32'h3f0be624, 32'h3eefd3ac,32'h3f128f94,// invsqrt(3.6917) = 0.5205 +32'h3f246a13,32'h3f9c86a0,32'h3fa2ea2a, 32'h3f97bbfa,32'h3fa7b4d0, 32'h3f8fbf8e,32'h3fafb13c,// invsqrt(0.6422) = 1.2478 +32'h3e5ae10c,32'h4007a920,32'h400d32a4, 32'h400381fd,32'h401159c7, 32'h3ff92c30,32'h401845ac,// invsqrt(0.2137) = 2.1630 +32'h3f6c8a28,32'h3f827f80,32'h3f87d313, 32'h3f7d01a6,32'h3f8bd1c1, 32'h3f6fb0b8,32'h3f927a38,// invsqrt(0.9240) = 1.0403 +32'h3d722f23,32'h4080f7e7,32'h40863b7d, 32'h407a0a6c,32'h408a2e2e, 32'h406ce174,32'h4090c2aa,// invsqrt(0.0591) = 4.1125 +32'h40a7925f,32'h3edb4402,32'h3ee4371c, 32'h3ed48dae,32'h3eeaed70, 32'h3ec95dce,32'h3ef61d51,// invsqrt(5.2366) = 0.4370 +32'h3f4c761e,32'h3f8c5cbd,32'h3f921762, 32'h3f8810c2,32'h3f96635e, 32'h3f80e775,32'h3f9d8cab,// invsqrt(0.7987) = 1.1190 +32'h3ea9e5a2,32'h3fd9c291,32'h3fe2a5f0, 32'h3fd3180b,32'h3fe95077, 32'h3fc7fbd4,32'h3ff46cae,// invsqrt(0.3318) = 1.7360 +32'h3f1b55ec,32'h3fa108fb,32'h3fa79ba1, 32'h3f9c1afd,32'h3fac899f, 32'h3f93e3ad,32'h3fb4c0ef,// invsqrt(0.6068) = 1.2838 +32'h3f83bd2b,32'h3f774b51,32'h3f80b1a5, 32'h3f6fb957,32'h3f847aa3, 32'h3f631b60,32'h3f8ac99e,// invsqrt(1.0292) = 0.9857 +32'h3fa88619,32'h3f5aa53a,32'h3f6391da, 32'h3f53f3c3,32'h3f6a4351, 32'h3f48cbfc,32'h3f756b18,// invsqrt(1.3166) = 0.8715 +32'h3f4c3724,32'h3f8c7260,32'h3f922de7, 32'h3f8825bc,32'h3f967a8c, 32'h3f80fb54,32'h3f9da4f4,// invsqrt(0.7977) = 1.1196 +32'h40008033,32'h3f310d8e,32'h3f384794, 32'h3f2ba20a,32'h3f3db318, 32'h3f229982,32'h3f46bba0,// invsqrt(2.0078) = 0.7057 +32'h3ef143e4,32'h3fb6bc5f,32'h3fbe31c6, 32'h3fb12451,32'h3fc3c9d3, 32'h3fa7d190,32'h3fcd1c94,// invsqrt(0.4712) = 1.4568 +32'h3f30f52d,32'h3f96e05b,32'h3f9d08dc, 32'h3f9241fa,32'h3fa1a73c, 32'h3f8a8f58,32'h3fa959de,// invsqrt(0.6912) = 1.2028 +32'h4117c93e,32'h3ea2e838,32'h3ea98e6e, 32'h3e9deb8f,32'h3eae8b17, 32'h3e959bcb,32'h3eb6dadb,// invsqrt(9.4866) = 0.3247 +32'h3f81f753,32'h3f78f9a2,32'h3f819196, 32'h3f715a7b,32'h3f856129, 32'h3f64a690,32'h3f8bbb1f,// invsqrt(1.0154) = 0.9924 +32'h3f4587c0,32'h3f8ecdba,32'h3f94a1e0, 32'h3f8a6e9c,32'h3f9900fe, 32'h3f83256c,32'h3fa04a2e,// invsqrt(0.7716) = 1.1384 +32'h3f96fd39,32'h3f66fe1e,32'h3f706bc2, 32'h3f5febe4,32'h3f777dfc, 32'h3f5422d8,32'h3f81a384,// invsqrt(1.1796) = 0.9207 +32'h3fb8c6c6,32'h3f50ceeb,32'h3f5954c1, 32'h3f4a6a8b,32'h3f5fb921, 32'h3f3fc341,32'h3f6a606b,// invsqrt(1.4436) = 0.8323 +32'h3ec21c74,32'h3fcbb9c7,32'h3fd40a80, 32'h3fc57d3b,32'h3fda470b, 32'h3fbb1853,32'h3fe4abf3,// invsqrt(0.3791) = 1.6241 +32'h3ca55121,32'h40dcc185,32'h40e5c431, 32'h40d5ff83,32'h40ec8633, 32'h40cabc2c,32'h40f7c98b,// invsqrt(0.0202) = 7.0394 +32'h3f02123f,32'h3faffb17,32'h3fb729e9, 32'h3faa97fa,32'h3fbc8d06, 32'h3fa19d73,32'h3fc5878d,// invsqrt(0.5081) = 1.4029 +32'h40f38116,32'h3eb5e4cc,32'h3ebd5167, 32'h3eb05359,32'h3ec2e2db, 32'h3ea70b97,32'h3ecc2a9d,// invsqrt(7.6095) = 0.3625 +32'h3f436a54,32'h3f8f9305,32'h3f956f39, 32'h3f8b2ddd,32'h3f99d461, 32'h3f83da9c,32'h3fa127a2,// invsqrt(0.7633) = 1.1446 +32'h3f530fa1,32'h3f8a267d,32'h3f8fca05, 32'h3f85ebd7,32'h3f9404ab, 32'h3f7dbedb,32'h3f9b1114,// invsqrt(0.8245) = 1.1013 +32'h3f5c5438,32'h3f8736ab,32'h3f8cbb83, 32'h3f831309,32'h3f90df25, 32'h3f7859f6,32'h3f97c533,// invsqrt(0.8607) = 1.0779 +32'h40fa2998,32'h3eb374f1,32'h3ebac815, 32'h3eadf696,32'h3ec04670, 32'h3ea4cea9,32'h3ec96e5d,// invsqrt(7.8176) = 0.3577 +32'h3e759d0e,32'h40001099,32'h40054abf, 32'h3ff849fa,32'h4009365b, 32'h3feb389c,32'h400fbf0a,// invsqrt(0.2399) = 2.0419 +32'h4030083a,32'h3f1745c4,32'h3f1d7268, 32'h3f12a448,32'h3f2213e4, 32'h3f0aec7a,32'h3f29cbb2,// invsqrt(2.7505) = 0.6030 +32'h3dd9799f,32'h404078b0,32'h404853d1, 32'h403a9456,32'h404e382a, 32'h4030c26d,32'h40580a13,// invsqrt(0.1062) = 3.0687 +32'h410ba19e,32'h3ea9d981,32'h3eb0c843, 32'h3ea4a670,32'h3eb5fb54, 32'h3e9bfbff,32'h3ebea5c5,// invsqrt(8.7270) = 0.3385 +32'h403b4b16,32'h3f12a782,32'h3f18a3e6, 32'h3f0e2a38,32'h3f1d2130, 32'h3f06aebc,32'h3f249cac,// invsqrt(2.9265) = 0.5846 +32'h3e72e837,32'h4000c6bb,32'h40060850, 32'h3ff9ab18,32'h4009f980, 32'h3fec8724,32'h40108b7a,// invsqrt(0.2372) = 2.0532 +32'h429d5b4d,32'h3de24552,32'h3deb819e, 32'h3ddb5818,32'h3df26ed8, 32'h3dcfccb9,32'h3dfdfa37,// invsqrt(78.6783) = 0.1127 +32'h40291398,32'h3f1a5a4d,32'h3f20a722, 32'h3f15a0af,32'h3f2560c1, 32'h3f0dc0a6,32'h3f2d40ca,// invsqrt(2.6418) = 0.6152 +32'h3f19716f,32'h3fa2066e,32'h3fa8a36e, 32'h3f9d10af,32'h3fad992d, 32'h3f94cc70,32'h3fb5dd6c,// invsqrt(0.5994) = 1.2917 +32'h40d89c10,32'h3ec0db06,32'h3ec8ba2a, 32'h3ebaf3aa,32'h3ecea186, 32'h3eb11cbc,32'h3ed87874,// invsqrt(6.7691) = 0.3844 +32'h3f833204,32'h3f77ce54,32'h3f80f5d3, 32'h3f703857,32'h3f84c0d2, 32'h3f6393b0,32'h3f8b1325,// invsqrt(1.0250) = 0.9877 +32'h3f20c2fe,32'h3f9e4b4b,32'h3fa4c14e, 32'h3f9972c8,32'h3fa999d0, 32'h3f915f44,32'h3fb1ad54,// invsqrt(0.6280) = 1.2619 +32'h3fe472a7,32'h3f3bcacc,32'h3f437508, 32'h3f360b1e,32'h3f4934b6, 32'h3f2c7653,32'h3f52c981,// invsqrt(1.7847) = 0.7485 +32'h41202207,32'h3e9e9ac6,32'h3ea51407, 32'h3e99bfd4,32'h3ea9eef8, 32'h3e91a842,32'h3eb2068a,// invsqrt(10.0083) = 0.3161 +32'h3f35b1de,32'h3f94e588,32'h3f9af959, 32'h3f9056aa,32'h3f9f8836, 32'h3f88bde5,32'h3fa720fb,// invsqrt(0.7097) = 1.1870 +32'h3f70b6d1,32'h3f815c8f,32'h3f86a441, 32'h3f7acd92,32'h3f8a9a07, 32'h3f6d9a55,32'h3f9133a6,// invsqrt(0.9403) = 1.0313 +32'h40c5d93f,32'h3ec9cad2,32'h3ed20758, 32'h3ec39d6e,32'h3ed834bc, 32'h3eb951c6,32'h3ee28064,// invsqrt(6.1828) = 0.4022 +32'h4182aa52,32'h3e784edf,32'h3e8138b8, 32'h3e70b4f2,32'h3e8505ae, 32'h3e6409bd,32'h3e8b5b49,// invsqrt(16.3332) = 0.2474 +32'h3dc53f13,32'h404a199f,32'h4052595d, 32'h4043e9d1,32'h4058892b, 32'h40399a25,32'h4062d8d7,// invsqrt(0.0963) = 3.2223 +32'h4006ebcc,32'h3f2cc9fd,32'h3f33d775, 32'h3f277fe3,32'h3f39218f, 32'h3f1eaf0d,32'h3f41f265,// invsqrt(2.1081) = 0.6887 +32'h3fbdcbe7,32'h3f4e0740,32'h3f56700a, 32'h3f47b8aa,32'h3f5cbea0, 32'h3f3d35ae,32'h3f67419c,// invsqrt(1.4828) = 0.8212 +32'h3f49ca94,32'h3f8d49b0,32'h3f930e00, 32'h3f88f674,32'h3f97613c, 32'h3f81c10f,32'h3f9e96a1,// invsqrt(0.7882) = 1.1263 +32'h3e83126f,32'h3ff7ec2d,32'h4001055b, 32'h3ff05546,32'h4004d0cf, 32'h3fe3af1a,32'h400b23e5,// invsqrt(0.2560) = 1.9764 +32'h3f406091,32'h3f90b41c,32'h3f969c1e, 32'h3f8c461c,32'h3f9b0a1e, 32'h3f84e41a,32'h3fa26c20,// invsqrt(0.7515) = 1.1536 +32'h3f5f5bdd,32'h3f864b18,32'h3f8bc652, 32'h3f822eac,32'h3f8fe2be, 32'h3f76a946,32'h3f96bcc7,// invsqrt(0.8725) = 1.0706 +32'h3f9e6bbb,32'h3f618270,32'h3f6ab6c8, 32'h3f5a9b2e,32'h3f719e0a, 32'h3f4f19c0,32'h3f7d1f78,// invsqrt(1.2377) = 0.8989 +32'h3e93fe67,32'h3fe9518e,32'h3ff2d77f, 32'h3fe22d1a,32'h3ff9fbf4, 32'h3fd645ad,32'h4002f1b1,// invsqrt(0.2891) = 1.8600 +32'h3f2f4b19,32'h3f979749,32'h3f9dc742, 32'h3f92f34f,32'h3fa26b3d, 32'h3f8b3759,32'h3faa2733,// invsqrt(0.6847) = 1.2085 +32'h3e8ee37e,32'h3fed7333,32'h3ff7244f, 32'h3fe62e5e,32'h3ffe6924, 32'h3fda10fa,32'h40054344,// invsqrt(0.2791) = 1.8929 +32'h4004594a,32'h3f2e75c7,32'h3f3594b5, 32'h3f291e94,32'h3f3aebe8, 32'h3f2037eb,32'h3f43d291,// invsqrt(2.0679) = 0.6954 +32'h3fab2e87,32'h3f58f0fb,32'h3f61cbcb, 32'h3f524cde,32'h3f686fe8, 32'h3f473b59,32'h3f73816d,// invsqrt(1.3374) = 0.8647 +32'h41176936,32'h3ea31bd9,32'h3ea9c42b, 32'h3e9e1d9c,32'h3eaec268, 32'h3e95cb35,32'h3eb714cf,// invsqrt(9.4632) = 0.3251 +32'h3fa05b4d,32'h3f6024ec,32'h3f694b00, 32'h3f59485d,32'h3f70278f, 32'h3f4dd8c4,32'h3f7b9728,// invsqrt(1.2528) = 0.8934 +32'h3f105e31,32'h3fa70a42,32'h3faddba8, 32'h3fa1ed36,32'h3fb2f8b4, 32'h3f996776,32'h3fbb7e74,// invsqrt(0.5639) = 1.3316 +32'h402e8239,32'h3f17ee70,32'h3f1e21f7, 32'h3f1347ca,32'h3f22c89c, 32'h3f0b8761,32'h3f2a8905,// invsqrt(2.7267) = 0.6056 +32'h3ef79d44,32'h3fb460b9,32'h3fbbbd7d, 32'h3faedb26,32'h3fc14310, 32'h3fa5a732,32'h3fca7704,// invsqrt(0.4836) = 1.4380 +32'h3fbe8d30,32'h3f4d9ea8,32'h3f56032c, 32'h3f475345,32'h3f5c4e8f, 32'h3f3cd59f,32'h3f66cc35,// invsqrt(1.4887) = 0.8196 +32'h3eead721,32'h3fb937f8,32'h3fc0c750, 32'h3fb38c75,32'h3fc672d3, 32'h3faa1947,32'h3fcfe601,// invsqrt(0.4587) = 1.4766 +32'h3f80c44f,32'h3f7a21c2,32'h3f822bb1, 32'h3f72798b,32'h3f85ffcd, 32'h3f65b683,32'h3f8c6150,// invsqrt(1.0060) = 0.9970 +32'h3e53f395,32'h4009dc1f,32'h400f7c9d, 32'h4005a3c0,32'h4013b4fc, 32'h3ffd3643,32'h401abd9b,// invsqrt(0.2070) = 2.1980 +32'h3f902f82,32'h3f6c6132,32'h3f76071f, 32'h3f6524c0,32'h3f7d4390, 32'h3f591557,32'h3f84a97c,// invsqrt(1.1264) = 0.9422 +32'h3f90c99f,32'h3f6be343,32'h3f75840c, 32'h3f64aaab,32'h3f7cbca3, 32'h3f58a1b0,32'h3f8462cf,// invsqrt(1.1312) = 0.9402 +32'h3ebe80d5,32'h3fcda553,32'h3fd60a1d, 32'h3fc759bc,32'h3fdc55b4, 32'h3fbcdbbf,32'h3fe6d3b1,// invsqrt(0.3721) = 1.6394 +32'h3f9318e2,32'h3f6a074e,32'h3f7394aa, 32'h3f62dd49,32'h3f7abeaf, 32'h3f56ec96,32'h3f8357b1,// invsqrt(1.1492) = 0.9328 +32'h3ea4bb95,32'h3fdd25a2,32'h3fe62c64, 32'h3fd66090,32'h3fecf176, 32'h3fcb181c,32'h3ff839ea,// invsqrt(0.3217) = 1.7630 +32'h3fa72163,32'h3f5b8e13,32'h3f648433, 32'h3f54d57b,32'h3f6b3ccb, 32'h3f49a1d3,32'h3f767073,// invsqrt(1.3057) = 0.8751 +32'h3f8eaecd,32'h3f6d9f07,32'h3f7751ed, 32'h3f6658da,32'h3f7e981a, 32'h3f5a393b,32'h3f855bdd,// invsqrt(1.1147) = 0.9472 +32'h3f986942,32'h3f65e99b,32'h3f6f4bf5, 32'h3f5edfd8,32'h3f7655b8, 32'h3f5324e7,32'h3f810854,// invsqrt(1.1907) = 0.9164 +32'h3c2e788d,32'h4117f2a6,32'h411e2659, 32'h41134bdf,32'h4122cd1f, 32'h410b8b3f,32'h412a8dbf,// invsqrt(0.0106) = 9.6906 +32'h3fa8b8f4,32'h3f5a8444,32'h3f636f8a, 32'h3f53d3cf,32'h3f6a1fff, 32'h3f48adb6,32'h3f754618,// invsqrt(1.3181) = 0.8710 +32'h3ee93a70,32'h3fb9db8f,32'h3fc17195, 32'h3fb42b0b,32'h3fc72219, 32'h3faaaf83,32'h3fd09da1,// invsqrt(0.4555) = 1.4816 +32'h3ebd8e50,32'h3fce28b6,32'h3fd692dd, 32'h3fc7d91a,32'h3fdce27a, 32'h3fbd5469,32'h3fe7672b,// invsqrt(0.3702) = 1.6435 +32'h3fd31a6a,32'h3f435ac7,32'h3f4b5409, 32'h3f3d5fd6,32'h3f514efa, 32'h3f336844,32'h3f5b468c,// invsqrt(1.6492) = 0.7787 +32'h3f888772,32'h3f72ead4,32'h3f7cd510, 32'h3f6b7b26,32'h3f82225f, 32'h3f5f165a,32'h3f8854c5,// invsqrt(1.0666) = 0.9683 +32'h3edb8cc5,32'h3fbf8f50,32'h3fc760eb, 32'h3fb9b21c,32'h3fcd3e20, 32'h3fafec1b,32'h3fd70421,// invsqrt(0.4288) = 1.5271 +32'h3f025b86,32'h3fafc99a,32'h3fb6f666, 32'h3faa6800,32'h3fbc5800, 32'h3fa17000,32'h3fc55000,// invsqrt(0.5092) = 1.4014 +32'h3c0f8db9,32'h41278360,32'h412e59b7, 32'h4122629e,32'h41337a78, 32'h4119d6b0,32'h413c0666,// invsqrt(0.0088) = 10.6832 +32'h3f298b89,32'h3f9a23ab,32'h3fa06e45, 32'h3f956bb8,32'h3fa52638, 32'h3f8d8e79,32'h3fad0377,// invsqrt(0.6623) = 1.2288 +32'h3df9eebc,32'h40338a12,32'h403ade12, 32'h402e0b11,32'h40405d13, 32'h4024e210,32'h40498614,// invsqrt(0.1220) = 2.8626 +32'h3e7dceff,32'h3ffbf5f3,32'h40031f57, 32'h3ff43f66,32'h4006fa9d, 32'h3fe7647b,32'h400d6812,// invsqrt(0.2479) = 2.0086 +32'h3dec286c,32'h4038b384,32'h40403d74, 32'h40330c0f,32'h4045e4e9, 32'h40299fa3,32'h404f5155,// invsqrt(0.1153) = 2.9449 +32'h3f6b8800,32'h3f82c6f1,32'h3f881d6e, 32'h3f7d8c28,32'h3f8c1e4c, 32'h3f7033f0,32'h3f92ca68,// invsqrt(0.9200) = 1.0425 +32'h3fc60842,32'h3f49b2dd,32'h3f51ee69, 32'h3f438634,32'h3f581b12, 32'h3f393bc6,32'h3f626580,// invsqrt(1.5471) = 0.8040 +32'h3f54fb44,32'h3f8986ae,32'h3f8f23af, 32'h3f8550ec,32'h3f935970, 32'h3f7c9953,32'h3f9a5db3,// invsqrt(0.8320) = 1.0963 +32'h3d767feb,32'h407fab3b,32'h40850d5b, 32'h4077d79f,32'h4088f729, 32'h406acc46,32'h408f7cd5,// invsqrt(0.0602) = 4.0764 +32'h3e9a4401,32'h3fe486c4,32'h3feddaa2, 32'h3fdd87dd,32'h3ff4d989, 32'h3fd1df08,32'h4000412f,// invsqrt(0.3013) = 1.8218 +32'h3f25d700,32'h3f9bda0a,32'h3fa23688, 32'h3f9714ac,32'h3fa6fbe6, 32'h3f8f210e,32'h3faeef84,// invsqrt(0.6478) = 1.2424 +32'h40785375,32'h3efeba1a,32'h3f048fdf, 32'h3ef6ede0,32'h3f0875fc, 32'h3ee9eed4,32'h3f0ef582,// invsqrt(3.8801) = 0.5077 +32'h3e1bbac0,32'h4020d4d1,32'h40276557, 32'h401be86d,32'h402c51bb, 32'h4013b3c5,32'h40348663,// invsqrt(0.1521) = 2.5643 +32'h4094f171,32'h3ee892e4,32'h3ef2110d, 32'h3ee17446,32'h3ef92fac, 32'h3ed59693,32'h3f0286af,// invsqrt(4.6545) = 0.4635 +32'h3ff2f55c,32'h3f361913,32'h3f3d87cf, 32'h3f308605,32'h3f431add, 32'h3f273b99,32'h3f4c6549,// invsqrt(1.8981) = 0.7258 +32'h3f649c82,32'h3f84bddb,32'h3f8a28df, 32'h3f80ad98,32'h3f8e3922, 32'h3f73cfa7,32'h3f94fee6,// invsqrt(0.8930) = 1.0582 +32'h3f29e4a2,32'h3f99fb3b,32'h3fa0442d, 32'h3f954485,32'h3fa4fae3, 32'h3f8d6955,32'h3facd613,// invsqrt(0.6636) = 1.2275 +32'h3f15bdf9,32'h3fa403e4,32'h3faab5ae, 32'h3f9efe8c,32'h3fafbb06, 32'h3f96a04e,32'h3fb81944,// invsqrt(0.5849) = 1.3075 +32'h3fe0e5fc,32'h3f3d44af,32'h3f44fe58, 32'h3f377970,32'h3f4ac996, 32'h3f2dd15c,32'h3f5471aa,// invsqrt(1.7570) = 0.7544 +32'h3e5f7766,32'h400642d2,32'h400bbdb6, 32'h400226a7,32'h400fd9e1, 32'h3ff69a14,32'h4016b37e,// invsqrt(0.2182) = 2.1406 +32'h3f1440f1,32'h3fa4d623,32'h3fab9082, 32'h3f9fca5b,32'h3fb09c49, 32'h3f976163,32'h3fb90541,// invsqrt(0.5791) = 1.3141 +32'h409ebe12,32'h3ee147ec,32'h3eea79e1, 32'h3eda6275,32'h3ef15f59, 32'h3ecee403,32'h3efcddcb,// invsqrt(4.9607) = 0.4490 +32'h40683a66,32'h3f03b433,32'h3f09145f, 32'h3eff5824,32'h3f0d1c80, 32'h3ef1e7b7,32'h3f13d4b7,// invsqrt(3.6286) = 0.5250 +32'h40462a78,32'h3f0e930c,32'h3f1464ce, 32'h3f0a35bb,32'h3f18c21f, 32'h3f02ef88,32'h3f200852,// invsqrt(3.0963) = 0.5683 +32'h3f051fba,32'h3fadf390,32'h3fb50d2d, 32'h3fa8a059,32'h3fba6063, 32'h3f9fc055,32'h3fc34067,// invsqrt(0.5200) = 1.3867 +32'h3e0f4b19,32'h4027aa4d,32'h402e823b, 32'h4022885a,32'h4033a42e, 32'h4019fa70,32'h403c3218,// invsqrt(0.1399) = 2.6732 +32'h3f241a34,32'h3f9cacb3,32'h3fa311ca, 32'h3f97e0e2,32'h3fa7dd9c, 32'h3f8fe286,32'h3fafdbf8,// invsqrt(0.6410) = 1.2490 +32'h40d8d2ff,32'h3ec0c296,32'h3ec8a0bc, 32'h3ebadbfa,32'h3ece8758, 32'h3eb1064b,32'h3ed85d07,// invsqrt(6.7758) = 0.3842 +32'h3ff27444,32'h3f364987,32'h3f3dba3e, 32'h3f30b4fd,32'h3f434ec7, 32'h3f276818,32'h3f4c9bac,// invsqrt(1.8942) = 0.7266 +32'h3f888956,32'h3f72e925,32'h3f7cd351, 32'h3f6b7985,32'h3f822179, 32'h3f5f14cf,32'h3f8853d4,// invsqrt(1.0667) = 0.9682 +32'h411f037d,32'h3e9f296c,32'h3ea5a880, 32'h3e9a4a1d,32'h3eaa87cf, 32'h3e922b44,32'h3eb2a6a8,// invsqrt(9.9384) = 0.3172 +32'h3f34f74f,32'h3f953234,32'h3f9b4926, 32'h3f90a0fe,32'h3f9fda5c, 32'h3f89044f,32'h3fa7770b,// invsqrt(0.7069) = 1.1894 +32'h40aa8015,32'h3ed95fda,32'h3ee23f31, 32'h3ed2b858,32'h3ee8e6b2, 32'h3ec7a12b,32'h3ef3fddf,// invsqrt(5.3281) = 0.4332 +32'h3f10eb78,32'h3fa6b8c2,32'h3fad86d4, 32'h3fa19e34,32'h3fb2a162, 32'h3f991c9d,32'h3fbb22f9,// invsqrt(0.5661) = 1.3291 +32'h4006bb7c,32'h3f2ce8f5,32'h3f33f7b0, 32'h3f279de8,32'h3f3942bc, 32'h3f1ecb7d,32'h3f421527,// invsqrt(2.1052) = 0.6892 +32'h41086fc9,32'h3eabd39e,32'h3eb2d708, 32'h3ea6910f,32'h3eb81997, 32'h3e9dcccb,32'h3ec0dddb,// invsqrt(8.5273) = 0.3424 +32'h3fe060fd,32'h3f3d7cbe,32'h3f4538b1, 32'h3f37afc8,32'h3f4b05a8, 32'h3f2e04d9,32'h3f54b097,// invsqrt(1.7530) = 0.7553 +32'h3fa3a5cb,32'h3f5de105,32'h3f66ef6d, 32'h3f571636,32'h3f6dba3c, 32'h3f4bc433,32'h3f790c3f,// invsqrt(1.2785) = 0.8844 +32'h3f29fffc,32'h3f99eed7,32'h3fa03749, 32'h3f953882,32'h3fa4ed9e, 32'h3f8d5df5,32'h3facc82b,// invsqrt(0.6641) = 1.2271 +32'h3f1ead4e,32'h3f9f549f,32'h3fa5d577, 32'h3f9a73fe,32'h3faab618, 32'h3f9252f0,32'h3fb2d726,// invsqrt(0.6198) = 1.2702 +32'h3f3bf911,32'h3f926394,32'h3f985d32, 32'h3f8de85e,32'h3f9cd868, 32'h3f867059,32'h3fa4506d,// invsqrt(0.7343) = 1.1670 +32'h4106de7e,32'h3eacd282,32'h3eb3e054, 32'h3ea78826,32'h3eb92ab0, 32'h3e9eb6e0,32'h3ec1fbf6,// invsqrt(8.4293) = 0.3444 +32'h3e7fc103,32'h3ffb002b,32'h40029f6f, 32'h3ff35124,32'h400676f2, 32'h3fe682c4,32'h400cde22,// invsqrt(0.2498) = 2.0010 +32'h3f8730f0,32'h3f741dc9,32'h3f7e148e, 32'h3f6ca4b7,32'h3f82c6d1, 32'h3f603041,32'h3f89010b,// invsqrt(1.0562) = 0.9730 +32'h3ffca6dd,32'h3f32920f,32'h3f39dbf1, 32'h3f2d1aa6,32'h3f3f535a, 32'h3f23fe4d,32'h3f486fb3,// invsqrt(1.9738) = 0.7118 +32'h403b4d50,32'h3f12a6a3,32'h3f18a2fd, 32'h3f0e295f,32'h3f1d2041, 32'h3f06adee,32'h3f249bb2,// invsqrt(2.9266) = 0.5845 +32'h40283953,32'h3f1abe50,32'h3f210f39, 32'h3f1601a1,32'h3f25cbe7, 32'h3f0e1c7d,32'h3f2db10b,// invsqrt(2.6285) = 0.6168 +32'h3f375048,32'h3f943cda,32'h3f9a49c9, 32'h3f8fb328,32'h3f9ed37c, 32'h3f8822fd,32'h3fa663a7,// invsqrt(0.7161) = 1.1817 +32'h3fb330b2,32'h3f5409c0,32'h3f5cb155, 32'h3f4d8c11,32'h3f632f05, 32'h3f42ba97,32'h3f6e007f,// invsqrt(1.3999) = 0.8452 +32'h3f2ead44,32'h3f97dbb6,32'h3f9e0e7a, 32'h3f9335a4,32'h3fa2b48c, 32'h3f8b762f,32'h3faa7401,// invsqrt(0.6823) = 1.2106 +32'h419ebf17,32'h3e614733,32'h3e6a7921, 32'h3e5a61c2,32'h3e715e92, 32'h3e4ee359,32'h3e7cdcfb,// invsqrt(19.8433) = 0.2245 +32'h3f9dd219,32'h3f61f019,32'h3f6b28eb, 32'h3f5b057c,32'h3f721388, 32'h3f4f7e75,32'h3f7d9a8f,// invsqrt(1.2330) = 0.9006 +32'h3eb99ce3,32'h3fd05659,32'h3fd8d743, 32'h3fc9f5aa,32'h3fdf37f2, 32'h3fbf5486,32'h3fe9d916,// invsqrt(0.3625) = 1.6609 +32'h40bbc2bc,32'h3ecf246b,32'h3ed798d7, 32'h3ec8cd19,32'h3eddf029, 32'h3ebe3b91,32'h3ee881b1,// invsqrt(5.8675) = 0.4128 +32'h3e438246,32'h400f8a3a,32'h40156612, 32'h400b2557,32'h4019caf5, 32'h4003d289,32'h40211dc3,// invsqrt(0.1909) = 2.2886 +32'h3eccd1fc,32'h3fc65408,32'h3fce6c5c, 32'h3fc041c9,32'h3fd47e9b, 32'h3fb62360,32'h3fde9d04,// invsqrt(0.4000) = 1.5811 +32'h3e99c2ca,32'h3fe4e6b5,32'h3fee3e7e, 32'h3fdde4df,32'h3ff54055, 32'h3fd23724,32'h40007708,// invsqrt(0.3003) = 1.8248 +32'h3eba3350,32'h3fd00220,32'h3fd87f9a, 32'h3fc9a405,32'h3fdeddb5, 32'h3fbf072d,32'h3fe97a8d,// invsqrt(0.3637) = 1.6582 +32'h3faafd37,32'h3f591041,32'h3f61ec59, 32'h3f526b30,32'h3f68916a, 32'h3f475812,32'h3f73a488,// invsqrt(1.3359) = 0.8652 +32'h3dfa0022,32'h403383d2,32'h403ad792, 32'h402e0503,32'h40405661, 32'h4024dc53,32'h40497f11,// invsqrt(0.1221) = 2.8622 +32'h3ee7066e,32'h3fbabde3,32'h3fc25d26, 32'h3fb50672,32'h3fc81498, 32'h3fab7f5e,32'h3fd19bac,// invsqrt(0.4512) = 1.4887 +32'h3fa92882,32'h3f5a3c2b,32'h3f632480, 32'h3f538dea,32'h3f69d2c0, 32'h3f486b80,32'h3f74f52a,// invsqrt(1.3215) = 0.8699 +32'h4077b6b4,32'h3eff0aa6,32'h3f04b9c9, 32'h3ef73bf4,32'h3f08a122, 32'h3eea38cc,32'h3f0f22b6,// invsqrt(3.8705) = 0.5083 +32'h3f007128,32'h3fb117ec,32'h3fb8525e, 32'h3fabac16,32'h3fbdbe34, 32'h3fa2a308,32'h3fc6c742,// invsqrt(0.5017) = 1.4118 +32'h3ebf6674,32'h3fcd29d3,32'h3fd58992, 32'h3fc6e203,32'h3fdbd161, 32'h3fbc6a53,32'h3fe64911,// invsqrt(0.3738) = 1.6355 +32'h400d6181,32'h3f28cba2,32'h3f2faf5f, 32'h3f23a0d3,32'h3f34da2d, 32'h3f1b0426,32'h3f3d76da,// invsqrt(2.2091) = 0.6728 +32'h3f1c82ef,32'h3fa06dd5,32'h3fa6fa27, 32'h3f9b8498,32'h3fabe364, 32'h3f935531,32'h3fb412cb,// invsqrt(0.6114) = 1.2789 +32'h3f8cfff7,32'h3f6f08fc,32'h3f78caa9, 32'h3f67b7bc,32'h3f800df5, 32'h3f5b85a4,32'h3f862701,// invsqrt(1.1016) = 0.9528 +32'h3f59c0be,32'h3f8802d1,32'h3f8d8fff, 32'h3f83d8f0,32'h3f91b9e0, 32'h3f79d0ee,32'h3f98aa59,// invsqrt(0.8506) = 1.0843 +32'h3e84af02,32'h3ff6698c,32'h40003c27, 32'h3feede7b,32'h400401b0, 32'h3fe24c08,32'h400a4ae9,// invsqrt(0.2591) = 1.9644 +32'h3faa0a36,32'h3f59ab24,32'h3f628d8e, 32'h3f530155,32'h3f69375d, 32'h3f47e650,32'h3f745262,// invsqrt(1.3284) = 0.8676 +32'h40465ac4,32'h3f0e81b0,32'h3f1452bc, 32'h3f0a24e6,32'h3f18af86, 32'h3f02df97,32'h3f1ff4d5,// invsqrt(3.0993) = 0.5680 +32'h3fc17ec3,32'h3f4c0cb9,32'h3f5460d6, 32'h3f45cda4,32'h3f5a9fec, 32'h3f3b6481,32'h3f65090f,// invsqrt(1.5117) = 0.8133 +32'h3f9d167c,32'h3f6276dd,32'h3f6bb52f, 32'h3f5b881f,32'h3f72a3ed, 32'h3f4ffa39,32'h3f7e31d3,// invsqrt(1.2272) = 0.9027 +32'h400625e5,32'h3f2d4942,32'h3f345bec, 32'h3f27fb43,32'h3f39a9eb, 32'h3f1f23ee,32'h3f428140,// invsqrt(2.0961) = 0.6907 +32'h3e21c4db,32'h401dccef,32'h40243dc9, 32'h4018f84b,32'h4029126d, 32'h4010eb39,32'h40311f7f,// invsqrt(0.1580) = 2.5160 +32'h3f3e0128,32'h3f919ab0,32'h3f978c1b, 32'h3f8d25a1,32'h3f9c012b, 32'h3f85b7dc,32'h3fa36ef0,// invsqrt(0.7422) = 1.1607 +32'h43fae79e,32'h3d3330f0,32'h3d3a814e, 32'h3d2db4aa,32'h3d3ffd94, 32'h3d249036,32'h3d492209,// invsqrt(501.8095) = 0.0446 +32'h3f9b8e49,32'h3f6393a7,32'h3f6cdd99, 32'h3f5c9c32,32'h3f73d50e, 32'h3f50ffc3,32'h3f7f717d,// invsqrt(1.2153) = 0.9071 +32'h3f117abc,32'h3fa66696,32'h3fad314e, 32'h3fa14e8c,32'h3fb24958, 32'h3f98d127,32'h3fbac6bd,// invsqrt(0.5683) = 1.3265 +32'h3ef5b351,32'h3fb51438,32'h3fbc7850, 32'h3faf8927,32'h3fc20361, 32'h3fa64c0a,32'h3fcb407e,// invsqrt(0.4799) = 1.4436 +32'h3e499dd8,32'h400d595c,32'h40131e50, 32'h400905a5,32'h40177207, 32'h4001cf74,32'h401ea838,// invsqrt(0.1969) = 2.2537 +32'h3f8aef36,32'h3f70ce2a,32'h3f7aa256, 32'h3f696f0a,32'h3f8100bb, 32'h3f5d25d3,32'h3f872556,// invsqrt(1.0854) = 0.9598 +32'h3eb65d95,32'h3fd22f1a,32'h3fdac34f, 32'h3fcbbff1,32'h3fe13277, 32'h3fc106af,32'h3febebb9,// invsqrt(0.3562) = 1.6756 +32'h3fe8948c,32'h3f3a1dcb,32'h3f41b685, 32'h3f346b40,32'h3f476910, 32'h3f2aec57,32'h3f50e7f9,// invsqrt(1.8170) = 0.7419 +32'h3f40294e,32'h3f90c8ea,32'h3f96b1c4, 32'h3f8c5a46,32'h3f9b2068, 32'h3f84f735,32'h3fa28379,// invsqrt(0.7506) = 1.1542 +32'h3f904df4,32'h3f6c4841,32'h3f75ed29, 32'h3f650c92,32'h3f7d28d8, 32'h3f58fe70,32'h3f849b7d,// invsqrt(1.1274) = 0.9418 +32'h3fbbddd2,32'h3f4f157c,32'h3f57894c, 32'h3f48be9f,32'h3f5de029, 32'h3f3e2dda,32'h3f6870ee,// invsqrt(1.4677) = 0.8254 +32'h3f5b64b3,32'h3f878066,32'h3f8d0840, 32'h3f835a82,32'h3f912e24, 32'h3f78e162,32'h3f9817f5,// invsqrt(0.8570) = 1.0802 +32'h3f79675a,32'h3f7e2d0f,32'h3f844678, 32'h3f766526,32'h3f882a6d, 32'h3f696d4d,32'h3f8ea65a,// invsqrt(0.9742) = 1.0131 +32'h3eb6d09b,32'h3fd1ecf0,32'h3fda7e72, 32'h3fcb7fcf,32'h3fe0eb93, 32'h3fc0c9ec,32'h3feba176,// invsqrt(0.3571) = 1.6735 +32'h3eb9ff69,32'h3fd01f24,32'h3fd89dcc, 32'h3fc9c025,32'h3fdefccb, 32'h3fbf21d2,32'h3fe99b1e,// invsqrt(0.3633) = 1.6591 +32'h3fc61aad,32'h3f49a97c,32'h3f51e4a6, 32'h3f437d1d,32'h3f581105, 32'h3f393329,32'h3f625af9,// invsqrt(1.5477) = 0.8038 +32'h3fef4f25,32'h3f377b2a,32'h3f3ef85b, 32'h3f31dd46,32'h3f449640, 32'h3f2880c9,32'h3f4df2bd,// invsqrt(1.8696) = 0.7314 +32'h3fd42f9a,32'h3f42db04,32'h3f4acf0e, 32'h3f3ce3fc,32'h3f50c616, 32'h3f32f2ee,32'h3f5ab724,// invsqrt(1.6577) = 0.7767 +32'h3fad89c4,32'h3f5776a3,32'h3f604202, 32'h3f50de1b,32'h3f66da89, 32'h3f45dfe3,32'h3f71d8c1,// invsqrt(1.3558) = 0.8588 +32'h3fce7cdf,32'h3f45869b,32'h3f4d968d, 32'h3f3f7aa6,32'h3f53a282, 32'h3f3566b8,32'h3f5db670,// invsqrt(1.6132) = 0.7873 +32'h3ecf8b5d,32'h3fc505ba,32'h3fcd1068, 32'h3fbefdb7,32'h3fd3186b, 32'h3fb4f05c,32'h3fdd25c6,// invsqrt(0.4054) = 1.5707 +32'h3fd0efc9,32'h3f445d65,32'h3f4c6135, 32'h3f3e5a89,32'h3f526411, 32'h3f3455c5,32'h3f5c68d5,// invsqrt(1.6323) = 0.7827 +32'h42b55242,32'h3dd2c9d1,32'h3ddb6457, 32'h3dcc55ed,32'h3de1d83b, 32'h3dc194c5,32'h3dec9963,// invsqrt(90.6607) = 0.1050 +32'h3e472785,32'h400e385b,32'h40140669, 32'h4009ddd0,32'h401860f4, 32'h40029c3f,32'h401fa285,// invsqrt(0.1945) = 2.2675 +32'h40d99631,32'h3ec06c0c,32'h3ec846aa, 32'h3eba8816,32'h3ece2aa0, 32'h3eb0b6d2,32'h3ed7fbe4,// invsqrt(6.7996) = 0.3835 +32'h40a7221c,32'h3edb8d99,32'h3ee483b5, 32'h3ed4d505,32'h3eeb3c49, 32'h3ec9a163,32'h3ef66feb,// invsqrt(5.2229) = 0.4376 +32'h400a12f2,32'h3f2ace07,32'h3f31c6c3, 32'h3f25937a,32'h3f370150, 32'h3f1cdc8e,32'h3f3fb83c,// invsqrt(2.1574) = 0.6808 +32'h3e49aa62,32'h400d54f7,32'h401319bd, 32'h40090162,32'h40176d52, 32'h4001cb6b,32'h401ea349,// invsqrt(0.1969) = 2.2534 +32'h3f0706d3,32'h3facb8b1,32'h3fb3c574, 32'h3fa76f1e,32'h3fb90f06, 32'h3f9e9f2a,32'h3fc1defa,// invsqrt(0.5274) = 1.3769 +32'h3d2ab991,32'h40999b16,32'h409fe01c, 32'h4094e751,32'h40a493e1, 32'h408d110a,32'h40ac6a28,// invsqrt(0.0417) = 4.8981 +32'h403a9fff,32'h3f12eaac,32'h3f18e9ce, 32'h3f0e6b54,32'h3f1d6926, 32'h3f06ec6a,32'h3f24e810,// invsqrt(2.9160) = 0.5856 +32'h3e68d2e9,32'h40038909,32'h4008e771, 32'h3fff0474,32'h400cee40, 32'h3ff1986e,32'h4013a443,// invsqrt(0.2274) = 2.0972 +32'h3f9599af,32'h3f680ff9,32'h3f7188c9, 32'h3f60f55c,32'h3f78a366, 32'h3f551e57,32'h3f823d35,// invsqrt(1.1688) = 0.9250 +32'h3f8b136d,32'h3f70aece,32'h3f7a81b2, 32'h3f6950a3,32'h3f80efee, 32'h3f5d0906,32'h3f8713bd,// invsqrt(1.0865) = 0.9594 +32'h3fda3ac1,32'h3f402372,32'h3f47fb18, 32'h3f3a41b5,32'h3f4ddcd5, 32'h3f307424,32'h3f57aa66,// invsqrt(1.7049) = 0.7659 +32'h3e1faa03,32'h401ed656,32'h40255206, 32'h4019f992,32'h402a2eca, 32'h4011def6,32'h40324966,// invsqrt(0.1559) = 2.5325 +32'h40456b5f,32'h3f0ed7fd,32'h3f14ac8f, 32'h3f0a788f,32'h3f190bfd, 32'h3f032ed9,32'h3f2055b3,// invsqrt(3.0847) = 0.5694 +32'h3f1e1fd0,32'h3f9f9bd9,32'h3fa61f99, 32'h3f9ab909,32'h3fab0269, 32'h3f92945a,32'h3fb32718,// invsqrt(0.6177) = 1.2724 +32'h3fbc96a7,32'h3f4eafe8,32'h3f571f94, 32'h3f485c28,32'h3f5d7354, 32'h3f3dd091,32'h3f67feeb,// invsqrt(1.4733) = 0.8238 +32'h40bc3b0e,32'h3ecee22c,32'h3ed753e4, 32'h3ec88ce1,32'h3edda92f, 32'h3ebdfeba,32'h3ee83756,// invsqrt(5.8822) = 0.4123 +32'h3ece382f,32'h3fc5a77e,32'h3fcdb8c8, 32'h3fbf9a88,32'h3fd3c5be, 32'h3fb584ec,32'h3fdddb5a,// invsqrt(0.4028) = 1.5757 +32'h411064cf,32'h3ea7066e,32'h3eadd7ac, 32'h3ea1e980,32'h3eb2f49a, 32'h3e9963f2,32'h3ebb7a28,// invsqrt(9.0246) = 0.3329 +32'h3e789477,32'h3ffe98ca,32'h40047e88, 32'h3ff6cd93,32'h40086422, 32'h3fe9d03b,32'h400ee2cf,// invsqrt(0.2428) = 2.0296 +32'h3f3c78a7,32'h3f9231ff,32'h3f982997, 32'h3f8db84e,32'h3f9ca348, 32'h3f8642d0,32'h3fa418c6,// invsqrt(0.7362) = 1.1655 +32'h3f48191b,32'h3f8de267,32'h3f93acf3, 32'h3f898a7e,32'h3f9804dc, 32'h3f824d4f,32'h3f9f420b,// invsqrt(0.7816) = 1.1311 +32'h3f9270c8,32'h3f6a8d7b,32'h3f742051, 32'h3f635f5a,32'h3f7b4e72, 32'h3f5767cf,32'h3f83a2fe,// invsqrt(1.1441) = 0.9349 +32'h3eb8f08c,32'h3fd0b755,32'h3fd93c33, 32'h3fca53ad,32'h3fdf9fdb, 32'h3fbfad97,32'h3fea45f1,// invsqrt(0.3612) = 1.6639 +32'h3e704287,32'h40017bda,32'h4006c4d3, 32'h3ffb0a3d,32'h400abb8e, 32'h3fedd3ce,32'h401156c5,// invsqrt(0.2346) = 2.0645 +32'h3f554dff,32'h3f896bff,32'h3f8f07eb, 32'h3f85370f,32'h3f933cdb, 32'h3f7c6853,32'h3f9a3fc1,// invsqrt(0.8332) = 1.0955 +32'h3f2571fa,32'h3f9c0998,32'h3fa26806, 32'h3f9742c5,32'h3fa72ed9, 32'h3f8f4cba,32'h3faf24e4,// invsqrt(0.6463) = 1.2439 +32'h40de5ed3,32'h3ebe5751,32'h3ec61c2f, 32'h3eb883aa,32'h3ecbefd6, 32'h3eaecd93,32'h3ed5a5ed,// invsqrt(6.9491) = 0.3793 +32'h412a2006,32'h3e99e058,32'h3ea02832, 32'h3e952a75,32'h3ea4de15, 32'h3e8d50a4,32'h3eacb7e6,// invsqrt(10.6328) = 0.3067 +32'h3f822099,32'h3f78d223,32'h3f817d08, 32'h3f713431,32'h3f854c00, 32'h3f64824a,32'h3f8ba4f4,// invsqrt(1.0166) = 0.9918 +32'h3f811281,32'h3f79d5f2,32'h3f82043c, 32'h3f72300c,32'h3f85d72f, 32'h3f6570e3,32'h3f8c36c4,// invsqrt(1.0084) = 0.9958 +32'h3fdd0ea0,32'h3f3ee7d8,32'h3f46b29d, 32'h3f390fc5,32'h3f4c8ab1, 32'h3f2f524f,32'h3f564827,// invsqrt(1.7270) = 0.7609 +32'h3f6b5e1a,32'h3f82d294,32'h3f88298b, 32'h3f7da2b8,32'h3f8c2ac4, 32'h3f704950,32'h3f92d778,// invsqrt(0.9194) = 1.0429 +32'h400a7332,32'h3f2a929e,32'h3f3188ee, 32'h3f2559e2,32'h3f36c1aa, 32'h3f1ca5ff,32'h3f3f758d,// invsqrt(2.1633) = 0.6799 +32'h3fd79db0,32'h3f414ca7,32'h3f49306f, 32'h3f3b61d1,32'h3f4f1b45, 32'h3f318517,32'h3f58f7ff,// invsqrt(1.6845) = 0.7705 +32'h3fd88762,32'h3f40e43b,32'h3f48c3c1, 32'h3f3afc98,32'h3f4eab64, 32'h3f312531,32'h3f5882cb,// invsqrt(1.6916) = 0.7689 +32'h3f9340ab,32'h3f69e7af,32'h3f7373c1, 32'h3f62bea2,32'h3f7a9cce, 32'h3f56cf8c,32'h3f8345f2,// invsqrt(1.1504) = 0.9323 +32'h3f28925a,32'h3f9a956e,32'h3fa0e4ac, 32'h3f95da00,32'h3fa5a01a, 32'h3f8df6f2,32'h3fad8328,// invsqrt(0.6585) = 1.2323 +32'h40bf6d87,32'h3ecd2608,32'h3ed585a0, 32'h3ec6de56,32'h3edbcd52, 32'h3ebc66d8,32'h3ee644d0,// invsqrt(5.9821) = 0.4089 +32'h3ff15b30,32'h3f36b38d,32'h3f3e2897, 32'h3f311bc4,32'h3f43c060, 32'h3f27c977,32'h3f4d12ad,// invsqrt(1.8856) = 0.7282 +32'h3fa15976,32'h3f5f741c,32'h3f6892f9, 32'h3f589cf7,32'h3f6f6a1f, 32'h3f4d3664,32'h3f7ad0b3,// invsqrt(1.2605) = 0.8907 +32'h405a2749,32'h3f07e2d6,32'h3f0d6eb5, 32'h3f03b9ef,32'h3f11979d, 32'h3ef99631,32'h3f188673,// invsqrt(3.4086) = 0.5416 +32'h3e0dc25a,32'h402891ef,32'h402f7351, 32'h402368e5,32'h40349c5b, 32'h401acf2a,32'h403d3616,// invsqrt(0.1384) = 2.6877 +32'h3f83fb76,32'h3f7710ef,32'h3f809343, 32'h3f6f80be,32'h3f845b5b, 32'h3f62e5c1,32'h3f8aa8da,// invsqrt(1.0311) = 0.9848 +32'h3f02b84a,32'h3faf8b2f,32'h3fb6b56f, 32'h3faa2b7e,32'h3fbc1520, 32'h3fa136ae,32'h3fc509f1,// invsqrt(0.5106) = 1.3994 +32'h3e1a3f5a,32'h40219a23,32'h402832b7, 32'h401ca7b4,32'h402d2526, 32'h401468fc,32'h403563de,// invsqrt(0.1506) = 2.5766 +32'h3fbbad54,32'h3f4f303b,32'h3f57a523, 32'h3f48d88d,32'h3f5dfcd1, 32'h3f3e466a,32'h3f688ef4,// invsqrt(1.4662) = 0.8258 +32'h405826a4,32'h3f08839b,32'h3f0e160a, 32'h3f0455c8,32'h3f1243de, 32'h3efabd7c,32'h3f193ae8,// invsqrt(3.3774) = 0.5441 +32'h41249af6,32'h3e9c6f60,32'h3ea2d1f6, 32'h3e97a56f,32'h3ea79be7, 32'h3e8faa34,32'h3eaf9722,// invsqrt(10.2878) = 0.3118 +32'h3ececb2d,32'h3fc56132,32'h3fcd6f9c, 32'h3fbf5662,32'h3fd37a6c, 32'h3fb5445d,32'h3fdd8c71,// invsqrt(0.4039) = 1.5735 +32'h3f90c52e,32'h3f6be6e1,32'h3f7587d1, 32'h3f64ae2e,32'h3f7cc084, 32'h3f58a503,32'h3f8464d7,// invsqrt(1.1310) = 0.9403 +32'h3fac6606,32'h3f582ca5,32'h3f60ff73, 32'h3f518e8b,32'h3f679d8d, 32'h3f46870b,32'h3f72a50d,// invsqrt(1.3469) = 0.8617 +32'h408dd0e3,32'h3eee58a9,32'h3ef81323, 32'h3ee70cce,32'h3eff5efe, 32'h3edae3b5,32'h3f05c40b,// invsqrt(4.4317) = 0.4750 +32'h3f975ccb,32'h3f66b526,32'h3f701fce, 32'h3f5fa527,32'h3f772fcd, 32'h3f53dfd4,32'h3f817a90,// invsqrt(1.1825) = 0.9196 +32'h3faa1d3d,32'h3f599ef7,32'h3f6280e2, 32'h3f52f588,32'h3f692a52, 32'h3f47db22,32'h3f7444b8,// invsqrt(1.3290) = 0.8674 +32'h40937a80,32'h3ee9b9ce,32'h3ef34400, 32'h3ee29228,32'h3efa6ba6, 32'h3ed6a56a,32'h3f032c32,// invsqrt(4.6087) = 0.4658 +32'h3faf6e9f,32'h3f564c15,32'h3f5f0b45, 32'h3f4fbcb1,32'h3f659aa9, 32'h3f44cdb5,32'h3f7089a5,// invsqrt(1.3706) = 0.8542 +32'h3ea4bbeb,32'h3fdd2568,32'h3fe62c29, 32'h3fd66059,32'h3fecf139, 32'h3fcb17e8,32'h3ff839aa,// invsqrt(0.3217) = 1.7630 +32'h3ed2e632,32'h3fc372f5,32'h3fcb6d33, 32'h3fbd7746,32'h3fd168e2, 32'h3fb37e78,32'h3fdb61b0,// invsqrt(0.4119) = 1.5581 +32'h3e995cd8,32'h3fe532bd,32'h3fee8da1, 32'h3fde2e93,32'h3ff591cb, 32'h3fd27cf7,32'h4000a1b3,// invsqrt(0.2995) = 1.8272 +32'h3f3149f7,32'h3f96bc42,32'h3f9ce34a, 32'h3f921efc,32'h3fa18090, 32'h3f8a6e32,32'h3fa9315a,// invsqrt(0.6925) = 1.2017 +32'h3f7a40f8,32'h3f7dbe74,32'h3f840ce8, 32'h3f75f9ed,32'h3f87ef2b, 32'h3f6907b8,32'h3f8e6846,// invsqrt(0.9776) = 1.0114 +32'h3eed0d1c,32'h3fb85a57,32'h3fbfe0a3, 32'h3fb2b59d,32'h3fc5855d, 32'h3fa94dbd,32'h3fceed3d,// invsqrt(0.4630) = 1.4696 +32'h400a1025,32'h3f2acfc3,32'h3f31c891, 32'h3f259528,32'h3f37032c, 32'h3f1cde26,32'h3f3fba2e,// invsqrt(2.1572) = 0.6808 +32'h3ea06816,32'h3fe01bfd,32'h3fe941b3, 32'h3fd93fb4,32'h3ff01dfc, 32'h3fcdd08f,32'h3ffb8d21,// invsqrt(0.3133) = 1.7866 +32'h3eed99a7,32'h3fb823c9,32'h3fbfa7db, 32'h3fb280bb,32'h3fc54ae9, 32'h3fa91ba3,32'h3fceb001,// invsqrt(0.4641) = 1.4680 +32'h3fabd2a3,32'h3f588948,32'h3f615fde, 32'h3f51e858,32'h3f6800ce, 32'h3f46dc1e,32'h3f730d08,// invsqrt(1.3424) = 0.8631 +32'h4126a782,32'h3e9b786c,32'h3ea1d0ee, 32'h3e96b60b,32'h3ea6934f, 32'h3e8ec768,32'h3eae81f2,// invsqrt(10.4159) = 0.3099 +32'h3f8067ea,32'h3f7a7bb0,32'h3f825a7d, 32'h3f72d0b7,32'h3f862ff9, 32'h3f660919,32'h3f8c93c8,// invsqrt(1.0032) = 0.9984 +32'h3ff198a8,32'h3f369c4d,32'h3f3e1065, 32'h3f31053b,32'h3f43a777, 32'h3f27b41d,32'h3f4cf895,// invsqrt(1.8875) = 0.7279 +32'h40bce11c,32'h3ece8727,32'h3ed6f529, 32'h3ec834a6,32'h3edd47aa, 32'h3ebdab24,32'h3ee7d12c,// invsqrt(5.9025) = 0.4116 +32'h3f82d5f7,32'h3f782571,32'h3f812329, 32'h3f708cc9,32'h3f84ef7d, 32'h3f63e3b1,32'h3f8b4409,// invsqrt(1.0222) = 0.9891 +32'h3f9715b1,32'h3f66eb69,32'h3f705849, 32'h3f5fd9c1,32'h3f7769f1, 32'h3f5411aa,32'h3f819904,// invsqrt(1.1803) = 0.9204 +32'h3f9cf714,32'h3f628d83,32'h3f6bccc2, 32'h3f5b9e14,32'h3f72bc32, 32'h3f500f06,32'h3f7e4b40,// invsqrt(1.2263) = 0.9030 +32'h3f63a8fe,32'h3f8504c6,32'h3f8a72af, 32'h3f80f258,32'h3f8e851e, 32'h3f7451ea,32'h3f954e81,// invsqrt(0.8893) = 1.0604 +32'h3f28d5fa,32'h3f9a7675,32'h3fa0c46f, 32'h3f95bbf9,32'h3fa57eeb, 32'h3f8dda80,32'h3fad6064,// invsqrt(0.6595) = 1.2314 +32'h4016c214,32'h3f23762a,32'h3f2a222c, 32'h3f1e7529,32'h3f2f232d, 32'h3f161e27,32'h3f377a2f,// invsqrt(2.3556) = 0.6516 +32'h3f96899f,32'h3f6756be,32'h3f70c800, 32'h3f6041cd,32'h3f77dcf1, 32'h3f54743c,32'h3f81d541,// invsqrt(1.1761) = 0.9221 +32'h3ec1a337,32'h3fcbf984,32'h3fd44cd7, 32'h3fc5bb04,32'h3fda8b56, 32'h3fbb52dc,32'h3fe4f37e,// invsqrt(0.3782) = 1.6261 +32'h3ecef526,32'h3fc54d2d,32'h3fcd5ac7, 32'h3fbf42fa,32'h3fd364fa, 32'h3fb531fb,32'h3fdd75f9,// invsqrt(0.4042) = 1.5729 +32'h40255cf7,32'h3f1c1381,32'h3f227258, 32'h3f174c61,32'h3f273979, 32'h3f0f55d5,32'h3f2f3005,// invsqrt(2.5838) = 0.6221 +32'h3f86240b,32'h3f7511fc,32'h3f7f12b8, 32'h3f6d916f,32'h3f8349a2, 32'h3f611084,32'h3f898a18,// invsqrt(1.0480) = 0.9768 +32'h3f5eb182,32'h3f867e6c,32'h3f8bfbbe, 32'h3f82606e,32'h3f9019bc, 32'h3f77078c,32'h3f96f664,// invsqrt(0.8699) = 1.0722 +32'h3f70bd7c,32'h3f815ac4,32'h3f86a264, 32'h3f7aca19,32'h3f8a981b, 32'h3f6d970a,32'h3f9131a3,// invsqrt(0.9404) = 1.0312 +32'h43103f88,32'h3da71c01,32'h3dadee21, 32'h3da1fe6a,32'h3db30bb8, 32'h3d9977c2,32'h3dbb9260,// invsqrt(144.2482) = 0.0833 +32'h3e559867,32'h4009540e,32'h400eeefe, 32'h40051fd9,32'h40132333, 32'h3ffc3c58,32'h401a24e0,// invsqrt(0.2086) = 2.1895 +32'h3f94ee10,32'h3f689588,32'h3f7213cc, 32'h3f6176d5,32'h3f79327f, 32'h3f5598ff,32'h3f82882a,// invsqrt(1.1635) = 0.9271 +32'h3ec761dc,32'h3fc903c2,32'h3fd13828, 32'h3fc2dc76,32'h3fd75f74, 32'h3fb89af6,32'h3fe1a0f4,// invsqrt(0.3894) = 1.6025 +32'h3f79b494,32'h3f7e05be,32'h3f843203, 32'h3f763f0a,32'h3f88155d, 32'h3f694932,32'h3f8e9049,// invsqrt(0.9754) = 1.0125 +32'h4112b183,32'h3ea5b5f5,32'h3eac7977, 32'h3ea0a353,32'h3eb18c19, 32'h3e982ef1,32'h3eba007b,// invsqrt(9.1683) = 0.3303 +32'h421e6bd4,32'h3e1f7589,32'h3e25f7b9, 32'h3e1a93e6,32'h3e2ad95c, 32'h3e12712a,32'h3e32fc18,// invsqrt(39.6053) = 0.1589 +32'h405525cd,32'h3f0978f4,32'h3f0f1566, 32'h3f05439e,32'h3f134abc, 32'h3efc801e,32'h3f1a4e4b,// invsqrt(3.3304) = 0.5480 +32'h3ea3a407,32'h3fdde237,32'h3fe6f0ad, 32'h3fd71760,32'h3fedbb84, 32'h3fcbc54d,32'h3ff90d97,// invsqrt(0.3196) = 1.7688 +32'h3f2fefca,32'h3f975045,32'h3f9d7d57, 32'h3f92ae77,32'h3fa21f25, 32'h3f8af620,32'h3fa9d77c,// invsqrt(0.6873) = 1.2063 +32'h3f7aeca1,32'h3f7d6799,32'h3f83dfb6, 32'h3f75a5bc,32'h3f87c0a4, 32'h3f68b7f5,32'h3f8e3788,// invsqrt(0.9802) = 1.0101 +32'h3faf228a,32'h3f567a9c,32'h3f5f3bb2, 32'h3f4fe9cb,32'h3f65cc83, 32'h3f44f870,32'h3f70bdde,// invsqrt(1.3682) = 0.8549 +32'h40b833b6,32'h3ed12235,32'h3ed9ab71, 32'h3ecabb48,32'h3ee0125e, 32'h3ec00fbe,32'h3eeabde8,// invsqrt(5.7563) = 0.4168 +32'h3dd5d511,32'h40421a9d,32'h404a06cd, 32'h403c2979,32'h404ff7f1, 32'h4032423c,32'h4059df2e,// invsqrt(0.1044) = 3.0948 +32'h3eab761c,32'h3fd8c3ad,32'h3fe19ca5, 32'h3fd220f4,32'h3fe83f5e, 32'h3fc711be,32'h3ff34e94,// invsqrt(0.3349) = 1.7280 +32'h411d34fb,32'h3ea012e2,32'h3ea69b7e, 32'h3e9b2c6e,32'h3eab81f2, 32'h3e9301ab,32'h3eb3acb5,// invsqrt(9.8254) = 0.3190 +32'h3f5023af,32'h3f8b1dd8,32'h3f90cb78, 32'h3f86dba0,32'h3f950db0, 32'h3f7f852e,32'h3f9c26b9,// invsqrt(0.8130) = 1.1090 +32'h4262b635,32'h3e054bed,32'h3e0abcbd, 32'h3e013751,32'h3e0ed159, 32'h3df4d499,32'h3e159e5e,// invsqrt(56.6779) = 0.1328 +32'h3f820d1a,32'h3f78e4c9,32'h3f8186bc, 32'h3f714645,32'h3f8555fe, 32'h3f64936a,32'h3f8baf6b,// invsqrt(1.0160) = 0.9921 +32'h3f6e0378,32'h3f8217e8,32'h3f87673f, 32'h3f7c38ca,32'h3f8b62c1, 32'h3f6ef26f,32'h3f9205ee,// invsqrt(0.9297) = 1.0371 +32'h40f12acc,32'h3eb6c5e0,32'h3ebe3baa, 32'h3eb12d88,32'h3ec3d402, 32'h3ea7da4b,32'h3ecd273f,// invsqrt(7.5365) = 0.3643 +32'h3eb618ab,32'h3fd256db,32'h3fdaecb0, 32'h3fcbe67c,32'h3fe15d10, 32'h3fc12b32,32'h3fec185a,// invsqrt(0.3557) = 1.6768 +32'h3ff252b8,32'h3f365624,32'h3f3dc75f, 32'h3f30c138,32'h3f435c4c, 32'h3f2773af,32'h3f4ca9d5,// invsqrt(1.8931) = 0.7268 +32'h3ff2379d,32'h3f366058,32'h3f3dd1fe, 32'h3f30cb1c,32'h3f43673a, 32'h3f277d0d,32'h3f4cb549,// invsqrt(1.8923) = 0.7269 +32'h3ee503e8,32'h3fbb8f34,32'h3fc33702, 32'h3fb5d15a,32'h3fc8f4dc, 32'h3fac3f98,32'h3fd2869e,// invsqrt(0.4473) = 1.4952 +32'h3f9fdff6,32'h3f607b51,32'h3f69a4eb, 32'h3f599c1c,32'h3f708420, 32'h3f4e281b,32'h3f7bf821,// invsqrt(1.2490) = 0.8948 +32'h3f8d4003,32'h3f6ed2c5,32'h3f78923b, 32'h3f67832d,32'h3f7fe1d3, 32'h3f5b53da,32'h3f860893,// invsqrt(1.1035) = 0.9519 +32'h3ed27ff4,32'h3fc3a266,32'h3fcb9e94, 32'h3fbda544,32'h3fd19bb6, 32'h3fb3aa0a,32'h3fdb96f0,// invsqrt(0.4111) = 1.5596 +32'h3f83723a,32'h3f7791c6,32'h3f80d650, 32'h3f6ffda4,32'h3f84a061, 32'h3f635c14,32'h3f8af129,// invsqrt(1.0269) = 0.9868 +32'h4052770c,32'h3f0a5888,32'h3f0ffe1a, 32'h3f061c5a,32'h3f143a48, 32'h3efe1ac5,32'h3f1b4940,// invsqrt(3.2885) = 0.5514 +32'h400133ce,32'h3f309253,32'h3f37c751, 32'h3f2b2a94,32'h3f3d2f10, 32'h3f222857,32'h3f46314d,// invsqrt(2.0188) = 0.7038 +32'h3faf3760,32'h3f566ddb,32'h3f5f2e6b, 32'h3f4fdd6e,32'h3f65bed8, 32'h3f44ecb9,32'h3f70af8d,// invsqrt(1.3689) = 0.8547 +32'h40169dc7,32'h3f2389db,32'h3f2a36ab, 32'h3f1e8840,32'h3f2f3846, 32'h3f16303c,32'h3f37904a,// invsqrt(2.3534) = 0.6519 +32'h4040098a,32'h3f10d4e3,32'h3f16be3a, 32'h3f0c65e0,32'h3f1b2d3c, 32'h3f050233,32'h3f2290e9,// invsqrt(3.0006) = 0.5773 +32'h402f1182,32'h3f17b036,32'h3f1de134, 32'h3f130b79,32'h3f2285f1, 32'h3f0b4e3c,32'h3f2a432e,// invsqrt(2.7354) = 0.6046 +32'h3f5ec401,32'h3f8678d6,32'h3f8bf5ee, 32'h3f825b04,32'h3f9013c0, 32'h3f76fd4a,32'h3f96f01f,// invsqrt(0.8702) = 1.0720 +32'h402eec4c,32'h3f17c058,32'h3f1df1fd, 32'h3f131b1b,32'h3f229739, 32'h3f0b5d0c,32'h3f2a5548,// invsqrt(2.7332) = 0.6049 +32'h3fa2d8aa,32'h3f5e6c98,32'h3f6780b3, 32'h3f579d83,32'h3f6e4fc7, 32'h3f4c4461,32'h3f79a8e9,// invsqrt(1.2722) = 0.8866 +32'h3e8fd30e,32'h3fecad1f,32'h3ff65625, 32'h3fe56e5a,32'h3ffd94ea, 32'h3fd95b12,32'h4004d419,// invsqrt(0.2809) = 1.8868 +32'h3faa47e6,32'h3f5983b3,32'h3f626481, 32'h3f52db19,32'h3f690d1b, 32'h3f47c217,32'h3f74261d,// invsqrt(1.3303) = 0.8670 +32'h3f432b21,32'h3f8faa42,32'h3f95876a, 32'h3f8b4465,32'h3f99ed47, 32'h3f83eff4,32'h3fa141b8,// invsqrt(0.7624) = 1.1453 +32'h3f16ca2c,32'h3fa371c7,32'h3faa1d9a, 32'h3f9e70e7,32'h3faf1e79, 32'h3f961a1e,32'h3fb77542,// invsqrt(0.5890) = 1.3030 +32'h3f9657da,32'h3f677d06,32'h3f70efd7, 32'h3f6066e8,32'h3f7805f4, 32'h3f549763,32'h3f81eabc,// invsqrt(1.1746) = 0.9227 +32'h3cfba561,32'h40b2ed53,32'h40ba3aee, 32'h40ad731f,32'h40bfb523, 32'h40a4521e,32'h40c8d624,// invsqrt(0.0307) = 5.7056 +32'h3fcc74e7,32'h3f468128,32'h3f4e9b54, 32'h3f406d88,32'h3f54aef4, 32'h3f364cd1,32'h3f5ecfab,// invsqrt(1.5973) = 0.7912 +32'h3f0d2912,32'h3fa8ed5c,32'h3fafd27a, 32'h3fa3c186,32'h3fb4fe50, 32'h3f9b2320,32'h3fbd9cb6,// invsqrt(0.5514) = 1.3467 +32'h3e10f3d0,32'h4026b3f6,32'h402d81d6, 32'h4021998e,32'h40329c3e, 32'h40191835,32'h403b1d97,// invsqrt(0.1416) = 2.6579 +32'h3eaf2648,32'h3fd67851,32'h3fdf394f, 32'h3fcfe792,32'h3fe5ca0e, 32'h3fc4f655,32'h3ff0bb4b,// invsqrt(0.3421) = 1.7097 +32'h4080ff27,32'h3ef9e8ae,32'h3f020dfd, 32'h3ef24236,32'h3f05e139, 32'h3ee58218,32'h3f0c4148,// invsqrt(4.0311) = 0.4981 +32'h404f9e2b,32'h3f0b4a8c,32'h3f10fa00, 32'h3f0706f6,32'h3f153d96, 32'h3effd74b,32'h3f1c58e7,// invsqrt(3.2440) = 0.5552 +32'h3f02a987,32'h3faf9519,32'h3fb6bfc1, 32'h3faa351b,32'h3fbc1fbf, 32'h3fa13fc8,32'h3fc51512,// invsqrt(0.5104) = 1.3997 +32'h3f05f60e,32'h3fad6830,32'h3fb47c1e, 32'h3fa8193f,32'h3fb9cb0f, 32'h3f9f4056,32'h3fc2a3f8,// invsqrt(0.5233) = 1.3824 +32'h3f397c56,32'h3f935e01,32'h3f9961d7, 32'h3f8edb20,32'h3f9de4b8, 32'h3f875654,32'h3fa56984,// invsqrt(0.7246) = 1.1748 +32'h3f0a64f3,32'h3faa9b65,32'h3fb19211, 32'h3fa56265,32'h3fb6cb11, 32'h3f9cae0f,32'h3fbf7f67,// invsqrt(0.5406) = 1.3601 +32'h3f15128b,32'h3fa46218,32'h3fab17ba, 32'h3f9f59dd,32'h3fb01ff5, 32'h3f96f6d2,32'h3fb88300,// invsqrt(0.5823) = 1.3105 +32'h3db1a5a0,32'h4054f504,32'h405da634, 32'h404e7021,32'h40642b17, 32'h404392a6,32'h406f0892,// invsqrt(0.0867) = 3.3954 +32'h3f32d1b3,32'h3f9616cc,32'h3f9c3714, 32'h3f917e97,32'h3fa0cf49, 32'h3f89d63e,32'h3fa877a2,// invsqrt(0.6985) = 1.1965 +32'h40f6be08,32'h3eb4b23f,32'h3ebc1257, 32'h3eaf2a2e,32'h3ec19a68, 32'h3ea5f210,32'h3ecad286,// invsqrt(7.7107) = 0.3601 +32'h3d8e0e99,32'h406e24de,32'h4077dd3b, 32'h4066da99,32'h407f2781, 32'h405ab425,32'h4085a6fa,// invsqrt(0.0694) = 3.7969 +32'h3f8aa20a,32'h3f711127,32'h3f7ae80f, 32'h3f69affa,32'h3f81249e, 32'h3f5d6358,32'h3f874aef,// invsqrt(1.0831) = 0.9609 +32'h3e433ffa,32'h400fa297,32'h40157f6e, 32'h400b3cf5,32'h4019e50f, 32'h4003e8e8,32'h4021391c,// invsqrt(0.1907) = 2.2901 +32'h3e26b267,32'h401b7358,32'h4021cba4, 32'h4016b11e,32'h40268dde, 32'h400ec2be,32'h402e7c3e,// invsqrt(0.1628) = 2.4785 +32'h3f3555f1,32'h3f950b41,32'h3f9b209c, 32'h3f907b3c,32'h3f9fb0a0, 32'h3f88e089,32'h3fa74b53,// invsqrt(0.7083) = 1.1882 +32'h3fa06a3b,32'h3f601a7d,32'h3f694025, 32'h3f593e40,32'h3f701c62, 32'h3f4dcf2f,32'h3f7b8b73,// invsqrt(1.2532) = 0.8933 +32'h3f79f4ae,32'h3f7de52a,32'h3f84210e, 32'h3f761f74,32'h3f8803e8, 32'h3f692b45,32'h3f8e7e00,// invsqrt(0.9764) = 1.0120 +32'h3fa2e14f,32'h3f5e66b1,32'h3f677a8e, 32'h3f5797ca,32'h3f6e4974, 32'h3f4c3ef6,32'h3f79a249,// invsqrt(1.2725) = 0.8865 +32'h3dae81e8,32'h4056dd3c,32'h405fa258, 32'h40504966,32'h4066362e, 32'h40455303,32'h40712c91,// invsqrt(0.0852) = 3.4258 +32'h3fa4c3d6,32'h3f5d2018,32'h3f6626a0, 32'h3f565b31,32'h3f6ceb87, 32'h3f4b1306,32'h3f7833b2,// invsqrt(1.2872) = 0.8814 +32'h3eb27ed3,32'h3fd4734c,32'h3fdd1f30, 32'h3fcdf261,32'h3fe3a01b, 32'h3fc31b85,32'h3fee76f7,// invsqrt(0.3486) = 1.6936 +32'h3fbf399e,32'h3f4d41df,32'h3f55a299, 32'h3f46f953,32'h3f5beb25, 32'h3f3c8069,32'h3f66640f,// invsqrt(1.4939) = 0.8181 +32'h3f5cc434,32'h3f87145c,32'h3f8c97cd, 32'h3f82f1c6,32'h3f90ba62, 32'h3f781af1,32'h3f979eb0,// invsqrt(0.8624) = 1.0768 +32'h410f4fd9,32'h3ea7a785,32'h3eae7f57, 32'h3ea285a8,32'h3eb3a134, 32'h3e99f7e3,32'h3ebc2ef9,// invsqrt(8.9570) = 0.3341 +32'h401f9bd5,32'h3f1edd65,32'h3f25595e, 32'h3f1a0069,32'h3f2a3659, 32'h3f11e571,32'h3f325151,// invsqrt(2.4939) = 0.6332 +32'h3f834f7a,32'h3f77b286,32'h3f80e75b, 32'h3f701d63,32'h3f84b1ed, 32'h3f637a28,32'h3f8b038a,// invsqrt(1.0259) = 0.9873 +32'h3e35447d,32'h4015126d,32'h401b2813, 32'h40108230,32'h401fb850, 32'h4008e720,32'h40275360,// invsqrt(0.1770) = 2.3768 +32'h3e98d446,32'h3fe5990e,32'h3feef81f, 32'h3fde91c3,32'h3ff5ff6b, 32'h3fd2daee,32'h4000db20,// invsqrt(0.2985) = 1.8303 +32'h40852d47,32'h3ef5f49f,32'h3efffe9b, 32'h3eee6d22,32'h3f03c30c, 32'h3ee1e0a7,32'h3f0a094a,// invsqrt(4.1618) = 0.4902 +32'h413f867e,32'h3e910667,32'h3e96f1c4, 32'h3e8c95e1,32'h3e9b6249, 32'h3e852fac,32'h3ea2c87e,// invsqrt(11.9703) = 0.2890 +32'h4086bc1e,32'h3ef48787,32'h3efe829c, 32'h3eed0b37,32'h3f02ff76, 32'h3ee0915c,32'h3f093c63,// invsqrt(4.2105) = 0.4873 +32'h40bad119,32'h3ecfaa36,32'h3ed82419, 32'h3ec94ecc,32'h3ede7f84, 32'h3ebeb671,32'h3ee917df,// invsqrt(5.8380) = 0.4139 +32'h3f3ba9b1,32'h3f928286,32'h3f987d67, 32'h3f8e065e,32'h3f9cf990, 32'h3f868cc5,32'h3fa47329,// invsqrt(0.7331) = 1.1680 +32'h3fd6e280,32'h3f41a0c6,32'h3f4987fe, 32'h3f3bb35d,32'h3f4f7567, 32'h3f31d258,32'h3f59566c,// invsqrt(1.6788) = 0.7718 +32'h3fb7323a,32'h3f51b4fa,32'h3f5a4433, 32'h3f4b498e,32'h3f60af9e, 32'h3f409687,32'h3f6b62a5,// invsqrt(1.4312) = 0.8359 +32'h428b80cc,32'h3df05062,32'h3dfa1f6c, 32'h3de8f51b,32'h3e00bd59, 32'h3ddcb250,32'h3e06debf,// invsqrt(69.7516) = 0.1197 +32'h3ed09838,32'h3fc48698,32'h3fcc8c17, 32'h3fbe827a,32'h3fd29036, 32'h3fb47b9c,32'h3fdc9714,// invsqrt(0.4074) = 1.5667 +32'h417882ac,32'h3e7ea1e7,32'h3e848347, 32'h3e76d66a,32'h3e886905, 32'h3e69d89b,32'h3e8ee7ed,// invsqrt(15.5319) = 0.2537 +32'h3fde4c77,32'h3f3e5f2d,32'h3f46245d, 32'h3f388b48,32'h3f4bf842, 32'h3f2ed4cb,32'h3f55aebf,// invsqrt(1.7367) = 0.7588 +32'h3ffcd663,32'h3f328146,32'h3f39ca78, 32'h3f2d0a61,32'h3f3f415d, 32'h3f23eee2,32'h3f485cdc,// invsqrt(1.9753) = 0.7115 +32'h4036edd0,32'h3f1464bb,32'h3f1a734a, 32'h3f0fd9cf,32'h3f1efe35, 32'h3f08479b,32'h3f269069,// invsqrt(2.8583) = 0.5915 +32'h3f9d9366,32'h3f621d08,32'h3f6b57b0, 32'h3f5b310b,32'h3f7243ad, 32'h3f4fa7b9,32'h3f7dccff,// invsqrt(1.2311) = 0.9013 +32'h3eb85282,32'h3fd110bc,32'h3fd99941, 32'h3fcaaa58,32'h3fdfffa4, 32'h3fbfffb1,32'h3feaaa4b,// invsqrt(0.3600) = 1.6667 +32'h40b6e1e4,32'h3ed1e304,32'h3eda741e, 32'h3ecb7630,32'h3ee0e0f2, 32'h3ec0c0cf,32'h3eeb9653,// invsqrt(5.7151) = 0.4183 +32'h3fc32ab9,32'h3f4b2c86,32'h3f53777c, 32'h3f44f44e,32'h3f59afb4, 32'h3f3a969a,32'h3f640d68,// invsqrt(1.5247) = 0.8098 +32'h3ec38fe2,32'h3fcaf7f3,32'h3fd340c3, 32'h3fc4c156,32'h3fd97760, 32'h3fba6652,32'h3fe3d264,// invsqrt(0.3820) = 1.6181 +32'h3da77851,32'h405b5510,32'h406448dc, 32'h40549e36,32'h406affb6, 32'h40496d77,32'h40763075,// invsqrt(0.0818) = 3.4970 +32'h3f23fcf3,32'h3f9cbaac,32'h3fa32056, 32'h3f97ee6e,32'h3fa7ec94, 32'h3f8fef5a,32'h3fafeba8,// invsqrt(0.6406) = 1.2494 +32'h3f90a73c,32'h3f6bff4b,32'h3f75a139, 32'h3f64c5d8,32'h3f7cdaac, 32'h3f58bb6f,32'h3f84728b,// invsqrt(1.1301) = 0.9407 +32'h3d02caea,32'h40af7eaf,32'h40b6a86d, 32'h40aa1f60,32'h40bc07bc, 32'h40a12b33,32'h40c4fbe9,// invsqrt(0.0319) = 5.5961 +32'h3ce5a391,32'h40bb4df5,32'h40c2f319, 32'h40b5921a,32'h40c8aef4, 32'h40ac03ad,32'h40d23d61,// invsqrt(0.0280) = 5.9727 +32'h3f9d640b,32'h3f623f09,32'h3f6b7b14, 32'h3f5b5201,32'h3f72681d, 32'h3f4fc6f4,32'h3f7df32a,// invsqrt(1.2296) = 0.9018 +32'h3fc7a7ba,32'h3f48e093,32'h3f511389, 32'h3f42ba5a,32'h3f5739c2, 32'h3f387aa6,32'h3f617976,// invsqrt(1.5598) = 0.8007 +32'h3ec0ed15,32'h3fcc59b5,32'h3fd4b0f5, 32'h3fc61844,32'h3fdaf266, 32'h3fbbab33,32'h3fe55f77,// invsqrt(0.3768) = 1.6291 +32'h3ea5ddcc,32'h3fdc63d5,32'h3fe562af, 32'h3fd5a4b2,32'h3fec21d2, 32'h3fca6622,32'h3ff76062,// invsqrt(0.3240) = 1.7569 +32'h3f8ad424,32'h3f70e5a3,32'h3f7abac4, 32'h3f6985cb,32'h3f810d4e, 32'h3f5d3b62,32'h3f873283,// invsqrt(1.0846) = 0.9602 +32'h3e730c33,32'h4000bd33,32'h4005fe64, 32'h3ff9989b,32'h4009ef48, 32'h3fec75a1,32'h401080c6,// invsqrt(0.2374) = 2.0526 +32'h3f989d4b,32'h3f65c266,32'h3f6f2326, 32'h3f5eb9d6,32'h3f762bb6, 32'h3f5300e5,32'h3f80f253,// invsqrt(1.1923) = 0.9158 +32'h3f82dadb,32'h3f7820ce,32'h3f8120bf, 32'h3f70884a,32'h3f84ed01, 32'h3f63df6f,32'h3f8b416e,// invsqrt(1.0223) = 0.9890 +32'h40680463,32'h3f03c386,32'h3f092452, 32'h3eff75da,32'h3f0d2ceb, 32'h3ef203dc,32'h3f13e5ea,// invsqrt(3.6253) = 0.5252 +32'h3ef0d40c,32'h3fb6e6c8,32'h3fbe5deb, 32'h3fb14d6f,32'h3fc3f745, 32'h3fa7f884,32'h3fcd4c30,// invsqrt(0.4704) = 1.4581 +32'h3f828bbb,32'h3f786bf5,32'h3f8147db, 32'h3f70d124,32'h3f851543, 32'h3f642473,32'h3f8b6b9c,// invsqrt(1.0199) = 0.9902 +32'h3f622d9d,32'h3f857427,32'h3f8ae69b, 32'h3f815e4f,32'h3f8efc73, 32'h3f751e7b,32'h3f95cb84,// invsqrt(0.8835) = 1.0639 +32'h4006fbcd,32'h3f2cbfbe,32'h3f33cccc, 32'h3f2775f5,32'h3f391695, 32'h3f1ea5a4,32'h3f41e6e6,// invsqrt(2.1091) = 0.6886 +32'h3e30bdc2,32'h4016f800,32'h401d2179, 32'h401258e7,32'h4021c093, 32'h400aa510,32'h4029746a,// invsqrt(0.1726) = 2.4070 +32'h3fd1dd0d,32'h3f43ee45,32'h3f4bed8c, 32'h3f3deed1,32'h3f51ed01, 32'h3f33efb8,32'h3f5bec1a,// invsqrt(1.6396) = 0.7810 +32'h3ef0df20,32'h3fb6e294,32'h3fbe598a, 32'h3fb1495b,32'h3fc3f2c3, 32'h3fa7f4a7,32'h3fcd4777,// invsqrt(0.4705) = 1.4579 +32'h412a7d12,32'h3e99b654,32'h3e9ffc77, 32'h3e9501ba,32'h3ea4b112, 32'h3e8d2a0f,32'h3eac88bd,// invsqrt(10.6555) = 0.3063 +32'h41406bb2,32'h3e90afed,32'h3e9697c3, 32'h3e8c420d,32'h3e9b05a3, 32'h3e84e042,32'h3ea2676e,// invsqrt(12.0263) = 0.2884 +32'h3fa6ffac,32'h3f5ba43b,32'h3f649b43, 32'h3f54eaf5,32'h3f6b5489, 32'h3f49b62c,32'h3f768952,// invsqrt(1.3047) = 0.8755 +32'h40093f98,32'h3f2b5158,32'h3f324f70, 32'h3f2612c5,32'h3f378e03, 32'h3f1d5527,32'h3f404ba1,// invsqrt(2.1445) = 0.6829 +32'h3e26307e,32'h401bb00e,32'h40220ad5, 32'h4016ebf8,32'h4026ceea, 32'h400efa7f,32'h402ec063,// invsqrt(0.1623) = 2.4823 +32'h3fc3d415,32'h3f4ad498,32'h3f531bf6, 32'h3f449f10,32'h3f59517e, 32'h3f3a45da,32'h3f63aab5,// invsqrt(1.5299) = 0.8085 +32'h3f2e7833,32'h3f97f2cd,32'h3f9e2681, 32'h3f934c05,32'h3fa2cd49, 32'h3f8b8b63,32'h3faa8deb,// invsqrt(0.6815) = 1.2113 +32'h3f78e0be,32'h3f7e71c3,32'h3f846a39, 32'h3f76a7bf,32'h3f884f3a, 32'h3f69ac64,32'h3f8ecce8,// invsqrt(0.9722) = 1.0142 +32'h3f93c4a5,32'h3f697f23,32'h3f7306f1, 32'h3f62594a,32'h3f7a2cca, 32'h3f566f89,32'h3f830b46,// invsqrt(1.1544) = 0.9307 +32'h3e8b7eff,32'h3ff051f0,32'h3ffa2109, 32'h3fe8f69c,32'h4000be2e, 32'h3fdcb3bc,32'h4006df9e,// invsqrt(0.2725) = 1.9158 +32'h435226ca,32'h3d8a72f0,32'h3d901997, 32'h3d8635f4,32'h3d945694, 32'h3d7e4b47,32'h3d9b66e4,// invsqrt(210.1515) = 0.0690 +32'h402f8c01,32'h3f177b40,32'h3f1daa14, 32'h3f12d822,32'h3f224d32, 32'h3f0b1d99,32'h3f2a07bb,// invsqrt(2.7429) = 0.6038 +32'h406c35de,32'h3f0296c7,32'h3f07eb4d, 32'h3efd2ec6,32'h3f0beab1, 32'h3eefdb78,32'h3f129458,// invsqrt(3.6908) = 0.5205 +32'h3f802abc,32'h3f7ab771,32'h3f827996, 32'h3f730aa5,32'h3f864ffd, 32'h3f663ffa,32'h3f8cb552,// invsqrt(1.0013) = 0.9993 +32'h3f83d2dd,32'h3f7736f7,32'h3f80a70e, 32'h3f6fa59c,32'h3f846fbb, 32'h3f6308ae,32'h3f8abe32,// invsqrt(1.0299) = 0.9854 +32'h3f697cfa,32'h3f835919,32'h3f88b58d, 32'h3f7ea784,32'h3f8cbae4, 32'h3f714062,32'h3f936e75,// invsqrt(0.9121) = 1.0471 +32'h3e7f90ad,32'h3ffb17e6,32'h4002abc9, 32'h3ff36826,32'h400683a9, 32'h3fe69890,32'h400ceb74,// invsqrt(0.2496) = 2.0017 +32'h3fd2306c,32'h3f43c766,32'h3f4bc516, 32'h3f3dc921,32'h3f51c35b, 32'h3f33cc05,32'h3f5bc077,// invsqrt(1.6421) = 0.7804 +32'h41df7a4f,32'h3e3dde71,32'h3e459e61, 32'h3e380e7d,32'h3e4b6e55, 32'h3e2e5e92,32'h3e551e40,// invsqrt(27.9347) = 0.1892 +32'h3e488099,32'h400dbdc4,32'h401386d1, 32'h400966fa,32'h4017dd9a, 32'h40022ba9,32'h401f18eb,// invsqrt(0.1958) = 2.2599 +32'h3fe5fa22,32'h3f3b2ab1,32'h3f42ce65, 32'h3f356fea,32'h3f48892c, 32'h3f2be34a,32'h3f5215cc,// invsqrt(1.7967) = 0.7460 +32'h3f934b1f,32'h3f69df62,32'h3f736b1c, 32'h3f62b695,32'h3f7a93e9, 32'h3f56c7ec,32'h3f834149,// invsqrt(1.1507) = 0.9322 +32'h40252ab2,32'h3f1c2b40,32'h3f228b0e, 32'h3f176365,32'h3f2752e9, 32'h3f0f6ba3,32'h3f2f4aab,// invsqrt(2.5807) = 0.6225 +32'h3ead12fe,32'h3fd7c085,32'h3fe08ee8, 32'h3fd125ba,32'h3fe729b2, 32'h3fc623bd,32'h3ff22baf,// invsqrt(0.3380) = 1.7200 +32'h3f5feca9,32'h3f861fa6,32'h3f8b991a, 32'h3f82048e,32'h3f8fb432, 32'h3f76597a,32'h3f968c03,// invsqrt(0.8747) = 1.0692 +32'h3dcdcd93,32'h4045daa9,32'h404dee09, 32'h403fcc21,32'h4053fc91, 32'h4035b3ea,32'h405e14c8,// invsqrt(0.1005) = 3.1546 +32'h3f606a1f,32'h3f85fa22,32'h3f8b720f, 32'h3f81e031,32'h3f8f8c01, 32'h3f761493,32'h3f9661e8,// invsqrt(0.8766) = 1.0681 +32'h3f8f805e,32'h3f6cf145,32'h3f769d14, 32'h3f65b06b,32'h3f7dddef, 32'h3f5999a8,32'h3f84fa59,// invsqrt(1.1211) = 0.9444 +32'h408cb715,32'h3eef46dc,32'h3ef90b0e, 32'h3ee7f3b6,32'h3f002f1a, 32'h3edbbe76,32'h3f0649ba,// invsqrt(4.3973) = 0.4769 +32'h3d0d23fa,32'h40a8f068,32'h40afd5a6, 32'h40a3c47a,32'h40b50194, 32'h409b25ed,32'h40bda021,// invsqrt(0.0345) = 5.3871 +32'h3f9171c7,32'h3f6b5abf,32'h3f74f5f5, 32'h3f642656,32'h3f7c2a5e, 32'h3f582451,32'h3f841632,// invsqrt(1.1363) = 0.9381 +32'h3f0587a9,32'h3fadafd0,32'h3fb4c6aa, 32'h3fa85ead,32'h3fba17cd, 32'h3f9f821d,32'h3fc2f45d,// invsqrt(0.5216) = 1.3846 +32'h3f8c59df,32'h3f6f9643,32'h3f795db3, 32'h3f6840ae,32'h3f8059a4, 32'h3f5c0762,32'h3f86764a,// invsqrt(1.0965) = 0.9550 +32'h3efbd058,32'h3fb2de0f,32'h3fba2b0a, 32'h3fad6452,32'h3fbfa4c6, 32'h3fa44418,32'h3fc8c500,// invsqrt(0.4918) = 1.4259 +32'h3f8af317,32'h3f70cace,32'h3f7a9ed6, 32'h3f696bc8,32'h3f80feee, 32'h3f5d22bd,32'h3f872374,// invsqrt(1.0855) = 0.9598 +32'h3f83b5d7,32'h3f775232,32'h3f80b53a, 32'h3f6fc002,32'h3f847e52, 32'h3f6321b1,32'h3f8acd7a,// invsqrt(1.0290) = 0.9858 +32'h4085afd7,32'h3ef57c67,32'h3eff817b, 32'h3eedf898,32'h3f0382a5, 32'h3ee1723f,32'h3f09c5d1,// invsqrt(4.1777) = 0.4892 +32'h4083d592,32'h3ef7346d,32'h3f00a5bb, 32'h3eefa326,32'h3f046e5f, 32'h3ee3065a,32'h3f0abcc5,// invsqrt(4.1198) = 0.4927 +32'h3f58922d,32'h3f8861b3,32'h3f8df2bf, 32'h3f8434e9,32'h3f921f89, 32'h3f7a7f33,32'h3f9914d8,// invsqrt(0.8460) = 1.0872 +32'h3e38d5df,32'h4013a04e,32'h4019a6da, 32'h400f1b66,32'h401e2bc2, 32'h40079338,32'h4025b3f0,// invsqrt(0.1805) = 2.3537 +32'h3eb9f694,32'h3fd02415,32'h3fd8a2f1, 32'h3fc9c4f0,32'h3fdf0216, 32'h3fbf265c,32'h3fe9a0aa,// invsqrt(0.3632) = 1.6593 +32'h3f303436,32'h3f9732e1,32'h3f9d5ec1, 32'h3f9291fa,32'h3fa1ffa8, 32'h3f8adb22,32'h3fa9b680,// invsqrt(0.6883) = 1.2053 +32'h3f7539cd,32'h3f802a82,32'h3f8565b6, 32'h3f787c35,32'h3f89521d, 32'h3f6b6832,32'h3f8fdc1f,// invsqrt(0.9579) = 1.0217 +32'h3fa8b27f,32'h3f5a8873,32'h3f6373e5, 32'h3f53d7dd,32'h3f6a247b, 32'h3f48b18e,32'h3f754aca,// invsqrt(1.3179) = 0.8711 +32'h3f1cb5ab,32'h3fa053db,32'h3fa6df1d, 32'h3f9b6b69,32'h3fabc78f, 32'h3f933d56,32'h3fb3f5a2,// invsqrt(0.6121) = 1.2781 +32'h40829761,32'h3ef860e0,32'h3f014217, 32'h3ef0c667,32'h3f050f54, 32'h3ee41a46,32'h3f0b6564,// invsqrt(4.0810) = 0.4950 +32'h3f32d007,32'h3f961780,32'h3f9c37ce, 32'h3f917f45,32'h3fa0d009, 32'h3f89d6e3,32'h3fa8786b,// invsqrt(0.6985) = 1.1965 +32'h3ffc0779,32'h3f32ca7e,32'h3f3a16ac, 32'h3f2d515a,32'h3f3f8fd0, 32'h3f243220,32'h3f48af0a,// invsqrt(1.9690) = 0.7127 +32'h3f92a80a,32'h3f6a6146,32'h3f73f24e, 32'h3f633480,32'h3f7b1f14, 32'h3f573f36,32'h3f838a2f,// invsqrt(1.1458) = 0.9342 +32'h3d133812,32'h40a56a29,32'h40ac2a93, 32'h40a059d9,32'h40b13ae3, 32'h4097e955,32'h40b9ab67,// invsqrt(0.0359) = 5.2747 +32'h3ed8c1e7,32'h3fc0ca30,32'h3fc8a8a4, 32'h3fbae358,32'h3fce8f7c, 32'h3fb10d46,32'h3fd8658e,// invsqrt(0.4234) = 1.5369 +32'h3fd56493,32'h3f424dbf,32'h3f4a3c06, 32'h3f3c5b0b,32'h3f502ebb, 32'h3f327133,32'h3f5a1893,// invsqrt(1.6671) = 0.7745 +32'h3e06c40f,32'h402ce374,32'h4033f1f6, 32'h40279892,32'h40393cd8, 32'h401ec670,32'h40420efa,// invsqrt(0.1316) = 2.7565 +32'h3f004ca2,32'h3fb1311f,32'h3fb86c98, 32'h3fabc483,32'h3fbdd933, 32'h3fa2ba2c,32'h3fc6e38b,// invsqrt(0.5012) = 1.4126 +32'h3f7b8767,32'h3f7d1997,32'h3f83b71d, 32'h3f755a1c,32'h3f8796da, 32'h3f687051,32'h3f8e0bc0,// invsqrt(0.9825) = 1.0088 +32'h40536b77,32'h3f0a0879,32'h3f0faac7, 32'h3f05cebe,32'h3f13e482, 32'h3efd87ba,32'h3f1aef63,// invsqrt(3.3034) = 0.5502 +32'h4016624f,32'h3f23aa2e,32'h3f2a5850, 32'h3f1ea795,32'h3f2f5ae9, 32'h3f164dec,32'h3f37b492,// invsqrt(2.3498) = 0.6524 +32'h3f018850,32'h3fb058b0,32'h3fb78b54, 32'h3faaf2b5,32'h3fbcf14f, 32'h3fa1f368,32'h3fc5f09c,// invsqrt(0.5060) = 1.4058 +32'h3e202d38,32'h401e953b,32'h40250e43, 32'h4019ba75,32'h4029e909, 32'h4011a32c,32'h40320052,// invsqrt(0.1564) = 2.5284 +32'h3fd535ae,32'h3f42631c,32'h3f4a5242, 32'h3f3c6fc0,32'h3f50459e, 32'h3f3284d1,32'h3f5a308d,// invsqrt(1.6657) = 0.7748 +32'h4054ed18,32'h3f098b41,32'h3f0f2873, 32'h3f05555c,32'h3f135e58, 32'h3efca1bc,32'h3f1a62d6,// invsqrt(3.3270) = 0.5482 +32'h3ff75696,32'h3f347a7d,32'h3f3bd84e, 32'h3f2ef421,32'h3f415eab, 32'h3f25bedc,32'h3f4a93f0,// invsqrt(1.9323) = 0.7194 +32'h3f8d2eaa,32'h3f6ee171,32'h3f78a180, 32'h3f679165,32'h3f7ff18b, 32'h3f5b6152,32'h3f8610cf,// invsqrt(1.1030) = 0.9522 +32'h3f819164,32'h3f795b7f,32'h3f81c483, 32'h3f71b958,32'h3f859596, 32'h3f65006f,32'h3f8bf20b,// invsqrt(1.0122) = 0.9939 +32'h409f1de2,32'h3ee1040f,32'h3eea333e, 32'h3eda20aa,32'h3ef116a2, 32'h3ecea5af,32'h3efc919d,// invsqrt(4.9724) = 0.4485 +32'h3fb76b85,32'h3f519437,32'h3f5a2219, 32'h3f4b29cc,32'h3f608c84, 32'h3f407871,32'h3f6b3ddf,// invsqrt(1.4330) = 0.8354 +32'h40362639,32'h3f14b5f2,32'h3f1ac7d2, 32'h3f10288a,32'h3f1f553a, 32'h3f089232,32'h3f26eb92,// invsqrt(2.8461) = 0.5928 +32'h401a9adb,32'h3f216a4a,32'h3f2800ea, 32'h3f1c7952,32'h3f2cf1e2, 32'h3f143d0b,32'h3f352e29,// invsqrt(2.4157) = 0.6434 +32'h3f9be19f,32'h3f6356ca,32'h3f6c9e40, 32'h3f5c6132,32'h3f7393d8, 32'h3f50c7de,32'h3f7f2d2c,// invsqrt(1.2178) = 0.9062 +32'h427974ae,32'h3dfe2645,32'h3e0442f0, 32'h3df65e91,32'h3e0826c9, 32'h3de96710,32'h3e0ea28a,// invsqrt(62.3639) = 0.1266 +32'h3e261adb,32'h401bba31,32'h40221563, 32'h4016f5cd,32'h4026d9c7, 32'h400f03cf,32'h402ecbc5,// invsqrt(0.1622) = 2.4829 +32'h3f8ee4dc,32'h3f6d7210,32'h3f772321, 32'h3f662d45,32'h3f7e67ed, 32'h3f5a0ff0,32'h3f8542a1,// invsqrt(1.1164) = 0.9465 +32'h3fc04795,32'h3f4cb194,32'h3f550c6c, 32'h3f466d73,32'h3f5b508d, 32'h3f3bfbe6,32'h3f65c21a,// invsqrt(1.5022) = 0.8159 +32'h3f41cba2,32'h3f902c51,32'h3f960ec7, 32'h3f8bc278,32'h3f9a78a0, 32'h3f846764,32'h3fa1d3b4,// invsqrt(0.7570) = 1.1493 +32'h3ff30a90,32'h3f361121,32'h3f3d7f8b, 32'h3f307e52,32'h3f43125a, 32'h3f27344d,32'h3f4c5c5f,// invsqrt(1.8988) = 0.7257 +32'h3fc76df7,32'h3f48fda8,32'h3f5131ce, 32'h3f42d68b,32'h3f5758eb, 32'h3f38955c,32'h3f619a1b,// invsqrt(1.5580) = 0.8011 +32'h4005f059,32'h3f2d6be2,32'h3f347ff6, 32'h3f281cd3,32'h3f39cf05, 32'h3f1f43bb,32'h3f42a81d,// invsqrt(2.0928) = 0.6913 +32'h40d42343,32'h3ec2e0ae,32'h3ecad4f4, 32'h3ebce97a,32'h3ed0cc28, 32'h3eb2f822,32'h3edabd80,// invsqrt(6.6293) = 0.3884 +32'h3ffe9de6,32'h3f31e152,32'h3f3923fc, 32'h3f2c6f52,32'h3f3e95fc, 32'h3f235bfd,32'h3f47a951,// invsqrt(1.9892) = 0.7090 +32'h3efbd2e0,32'h3fb2dd29,32'h3fba2a1b, 32'h3fad6374,32'h3fbfa3d0, 32'h3fa44345,32'h3fc8c3ff,// invsqrt(0.4918) = 1.4259 +32'h3ef55482,32'h3fb53732,32'h3fbc9cb6, 32'h3fafab0e,32'h3fc228da, 32'h3fa66c28,32'h3fcb67c0,// invsqrt(0.4792) = 1.4446 +32'h3f9d3036,32'h3f626454,32'h3f6ba1e4, 32'h3f5b7627,32'h3f729011, 32'h3f4fe933,32'h3f7e1d05,// invsqrt(1.2280) = 0.9024 +32'h3df89f75,32'h403402f8,32'h403b5be8, 32'h402e8044,32'h4040de9c, 32'h40255118,32'h404a0dc8,// invsqrt(0.1214) = 2.8701 +32'h3f6431b1,32'h3f84dce9,32'h3f8a4931, 32'h3f80cbb3,32'h3f8e5a67, 32'h3f7408b1,32'h3f9521c2,// invsqrt(0.8914) = 1.0592 +32'h3ed02f44,32'h3fc4b81c,32'h3fccbfa0, 32'h3fbeb279,32'h3fd2c543, 32'h3fb4a915,32'h3fdccea7,// invsqrt(0.4066) = 1.5682 +32'h3d9a4e69,32'h40647f0f,32'h406dd29d, 32'h405d8065,32'h4074d147, 32'h4051d7f4,32'h40803cdc,// invsqrt(0.0753) = 3.6431 +32'h3fc6bb61,32'h3f4957e2,32'h3f518fb8, 32'h3f432e03,32'h3f57b997, 32'h3f38e838,32'h3f61ff62,// invsqrt(1.5526) = 0.8025 +32'h3fccab6f,32'h3f4666b5,32'h3f4e7fcc, 32'h3f4053e3,32'h3f54929d, 32'h3f363486,32'h3f5eb1fa,// invsqrt(1.5990) = 0.7908 +32'h3fa05c05,32'h3f60246b,32'h3f694a7a, 32'h3f5947e0,32'h3f702706, 32'h3f4dd84e,32'h3f7b9698,// invsqrt(1.2528) = 0.8934 +32'h40ffa9a2,32'h3eb18416,32'h3eb8c2f2, 32'h3eac14f1,32'h3ebe3217, 32'h3ea3065d,32'h3ec740ab,// invsqrt(7.9895) = 0.3538 +32'h3fbfc5ae,32'h3f4cf6dc,32'h3f555487, 32'h3f46b09c,32'h3f5b9ac8, 32'h3f3c3b87,32'h3f660fdd,// invsqrt(1.4982) = 0.8170 +32'h40af3aa1,32'h3ed66bdd,32'h3edf2c59, 32'h3ecfdb80,32'h3ee5bcb6, 32'h3ec4eae5,32'h3ef0ad51,// invsqrt(5.4759) = 0.4273 +32'h400779c7,32'h3f2c6f5a,32'h3f33791e, 32'h3f272806,32'h3f38c072, 32'h3f1e5bd0,32'h3f418ca8,// invsqrt(2.1168) = 0.6873 +32'h3e7ea632,32'h3ffb8b66,32'h4002e7e4, 32'h3ff3d81b,32'h4006c188, 32'h3fe702a1,32'h400d2c46,// invsqrt(0.2487) = 2.0053 +32'h3fa4e09e,32'h3f5d0cca,32'h3f66128a, 32'h3f56487b,32'h3f6cd6d9, 32'h3f4b014c,32'h3f781e08,// invsqrt(1.2881) = 0.8811 +32'h3f8adbe0,32'h3f70deee,32'h3f7ab3c8, 32'h3f697f4a,32'h3f8109b6, 32'h3f5d3538,32'h3f872ebf,// invsqrt(1.0848) = 0.9601 +32'h3e9a5850,32'h3fe477ba,32'h3fedcafc, 32'h3fdd794a,32'h3ff4c96c, 32'h3fd1d138,32'h400038bf,// invsqrt(0.3015) = 1.8213 +32'h3fcbdb1c,32'h3f46cbfb,32'h3f4ee935, 32'h3f40b610,32'h3f54ff20, 32'h3f369189,32'h3f5f23a7,// invsqrt(1.5926) = 0.7924 +32'h40420abc,32'h3f1014dd,32'h3f15f65f, 32'h3f0babbc,32'h3f1a5f80, 32'h3f0451db,32'h3f21b961,// invsqrt(3.0319) = 0.5743 +32'h406c9b61,32'h3f027ac0,32'h3f07ce21, 32'h3efcf870,32'h3f0bccaa, 32'h3eefa7ff,32'h3f1274e3,// invsqrt(3.6970) = 0.5201 +32'h3ec870f2,32'h3fc87ba5,32'h3fd0aa7d, 32'h3fc25883,32'h3fd6cd9f, 32'h3fb81df6,32'h3fe1082c,// invsqrt(0.3915) = 1.5982 +32'h3f8d6134,32'h3f6eb6bb,32'h3f78750b, 32'h3f6767fe,32'h3f7fc3c8, 32'h3f5b3a19,32'h3f85f8d6,// invsqrt(1.1045) = 0.9515 +32'h3f625a43,32'h3f8566fd,32'h3f8ad8e7, 32'h3f81518c,32'h3f8eee58, 32'h3f75064d,32'h3f95bcbd,// invsqrt(0.8842) = 1.0635 +32'h391c3564,32'h42a095a2,32'h42a72393, 32'h429bab2c,32'h42ac0e08, 32'h429379be,32'h42b43f76,// invsqrt(0.0001) = 81.9309 +32'h3ec76401,32'h3fc902ad,32'h3fd13707, 32'h3fc2db69,32'h3fd75e4b, 32'h3fb899f7,32'h3fe19fbd,// invsqrt(0.3894) = 1.6024 +32'h3f9da7d7,32'h3f620e5f,32'h3f6b486d, 32'h3f5b22d4,32'h3f7233f8, 32'h3f4f9a42,32'h3f7dbc8a,// invsqrt(1.2317) = 0.9011 +32'h3f3ca72d,32'h3f921ff7,32'h3f9816d3, 32'h3f8da6d3,32'h3f9c8ff7, 32'h3f863241,32'h3fa40489,// invsqrt(0.7369) = 1.1649 +32'h3f3be431,32'h3f926bb6,32'h3f9865a8, 32'h3f8df040,32'h3f9ce11e, 32'h3f8677d1,32'h3fa4598d,// invsqrt(0.7340) = 1.1673 +32'h3b6745ce,32'h4183f9c5,32'h41895cc8, 32'h417fdf07,32'h418d670b, 32'h41726780,32'h419422ce,// invsqrt(0.0035) = 16.8336 +32'h3f7b4344,32'h3f7d3be5,32'h3f83c8f7, 32'h3f757b5e,32'h3f87a93b, 32'h3f688fd3,32'h3f8e1f01,// invsqrt(0.9815) = 1.0094 +32'h4194557c,32'h3e690d08,32'h3e72902c, 32'h3e61eaac,32'h3e79b288, 32'h3e5606be,32'h3e82cb3b,// invsqrt(18.5417) = 0.2322 +32'h3f6eccdd,32'h3f81e100,32'h3f872e1a, 32'h3f7bce59,32'h3f8b27ee, 32'h3f6e8d97,32'h3f91c84e,// invsqrt(0.9328) = 1.0354 +32'h3f84e823,32'h3f763491,32'h3f802095, 32'h3f6eab1f,32'h3f83e54e, 32'h3f621b60,32'h3f8a2d2d,// invsqrt(1.0383) = 0.9814 +32'h3e1b02fc,32'h4021340a,32'h4027c872, 32'h401c44bb,32'h402cb7c1, 32'h40140b38,32'h4034f144,// invsqrt(0.1514) = 2.5702 +32'h3eaaa5a7,32'h3fd947eb,32'h3fe22648, 32'h3fd2a125,32'h3fe8cd0d, 32'h3fc78b30,32'h3ff3e302,// invsqrt(0.3333) = 1.7322 +32'h3eb29de8,32'h3fd460cf,32'h3fdd0bf1, 32'h3fcde075,32'h3fe38c4b, 32'h3fc30a8a,32'h3fee6236,// invsqrt(0.3489) = 1.6931 +32'h3f7cdaa1,32'h3f7c6f96,32'h3f835ea5, 32'h3f74b551,32'h3f873bc8, 32'h3f67d432,32'h3f8dac57,// invsqrt(0.9877) = 1.0062 +32'h4135c822,32'h3e94dc69,32'h3e9aefdb, 32'h3e904dd4,32'h3e9f7e70, 32'h3e88b585,32'h3ea716bf,// invsqrt(11.3614) = 0.2967 +32'h4158a7a9,32'h3e885aef,32'h3e8debb5, 32'h3e842e5b,32'h3e921849, 32'h3e7a72c7,32'h3e990d41,// invsqrt(13.5409) = 0.2718 +32'h3ff8b95f,32'h3f33f997,32'h3f3b5225, 32'h3f2e772c,32'h3f40d490, 32'h3f25487b,32'h3f4a0341,// invsqrt(1.9432) = 0.7174 +32'h3f4ab4ff,32'h3f8cf7e6,32'h3f92b8e0, 32'h3f88a72b,32'h3f97099b, 32'h3f8175f3,32'h3f9e3ad3,// invsqrt(0.7918) = 1.1238 +32'h3e063b17,32'h402d3b93,32'h40344dae, 32'h4027edff,32'h40399b43, 32'h401f175e,32'h404271e4,// invsqrt(0.1311) = 2.7620 +32'h3ea8e291,32'h3fda6957,32'h3fe35384, 32'h3fd3b9b4,32'h3fea0326, 32'h3fc894fc,32'h3ff527de,// invsqrt(0.3299) = 1.7412 +32'h3eba5d64,32'h3fcfeaa3,32'h3fd86727, 32'h3fc98d40,32'h3fdec48a, 32'h3fbef19b,32'h3fe9602f,// invsqrt(0.3640) = 1.6575 +32'h3f883389,32'h3f73359c,32'h3f7d22e6, 32'h3f6bc3a4,32'h3f824a6f, 32'h3f5f5b07,32'h3f887ebd,// invsqrt(1.0641) = 0.9694 +32'h40abde8c,32'h3ed881c7,32'h3ee1580e, 32'h3ed1e112,32'h3ee7f8c4, 32'h3ec6d53a,32'h3ef3049c,// invsqrt(5.3709) = 0.4315 +32'h3fad1710,32'h3f57bdfb,32'h3f608c44, 32'h3f512345,32'h3f6726fb, 32'h3f46216a,32'h3f7228d6,// invsqrt(1.3523) = 0.8599 +32'h3f9b17a2,32'h3f63eaa4,32'h3f6d3822, 32'h3f5cf084,32'h3f743242, 32'h3f514fa6,32'h3f7fd320,// invsqrt(1.2117) = 0.9085 +32'h409dcca6,32'h3ee1f400,32'h3eeb2cfa, 32'h3edb0944,32'h3ef217b6, 32'h3ecf820a,32'h3efd9ef0,// invsqrt(4.9312) = 0.4503 +32'h3f73ce24,32'h3f8089f4,32'h3f85c90e, 32'h3f793542,32'h3f89b861, 32'h3f6c1782,32'h3f904741,// invsqrt(0.9524) = 1.0247 +32'h3f1144f1,32'h3fa68563,32'h3fad515c, 32'h3fa16c67,32'h3fb26a57, 32'h3f98ed6f,32'h3fbae94f,// invsqrt(0.5675) = 1.3275 +32'h4003205e,32'h3f2f4576,32'h3f366cde, 32'h3f29e7e8,32'h3f3bca6c, 32'h3f20f6a6,32'h3f44bbae,// invsqrt(2.0489) = 0.6986 +32'h40743687,32'h3f006e78,32'h3f05ac73, 32'h3ef8fffa,32'h3f099aef, 32'h3eebe508,32'h3f102868,// invsqrt(3.8158) = 0.5119 +32'h4003cc7a,32'h3f2ed2e1,32'h3f35f59b, 32'h3f2978d4,32'h3f3b4fa8, 32'h3f208d6b,32'h3f443b11,// invsqrt(2.0594) = 0.6968 +32'h3ddc39b6,32'h403f440b,32'h40471293, 32'h40396925,32'h404ced79, 32'h402fa6fa,32'h4056afa4,// invsqrt(0.1075) = 3.0495 +32'h3fdbb9a8,32'h3f3f7bbe,32'h3f474c8d, 32'h3f399f24,32'h3f4d2928, 32'h3f2fda22,32'h3f56ee2a,// invsqrt(1.7166) = 0.7632 +32'h3cd3409a,32'h40c3491e,32'h40cb41a8, 32'h40bd4eb8,32'h40d13c0e, 32'h40b3580c,32'h40db32ba,// invsqrt(0.0258) = 6.2272 +32'h3e1fdc3a,32'h401ebd62,32'h4025380e, 32'h4019e162,32'h402a140e, 32'h4011c80c,32'h40322d64,// invsqrt(0.1561) = 2.5309 +32'h3eef2a07,32'h3fb78967,32'h3fbf072c, 32'h3fb1eb12,32'h3fc4a580, 32'h3fa88ddb,32'h3fce02b7,// invsqrt(0.4671) = 1.4631 +32'h3f877243,32'h3f73e2e4,32'h3f7dd741, 32'h3f6c6b9f,32'h3f82a744, 32'h3f5ffa2b,32'h3f88dffe,// invsqrt(1.0582) = 0.9721 +32'h3f8959e6,32'h3f723072,32'h3f7c1314, 32'h3f6ac679,32'h3f81be86, 32'h3f5e6b30,32'h3f87ec2b,// invsqrt(1.0731) = 0.9654 +32'h3fb60f44,32'h3f525c4a,32'h3f5af258, 32'h3f4bebc0,32'h3f6162e2, 32'h3f41302f,32'h3f6c1e73,// invsqrt(1.4223) = 0.8385 +32'h3f745d42,32'h3f80644b,32'h3f85a1db, 32'h3f78ec3e,32'h3f899007, 32'h3f6bd255,32'h3f901cfb,// invsqrt(0.9545) = 1.0235 +32'h3f8cb4a8,32'h3f6f48ec,32'h3f790d34, 32'h3f67f5b6,32'h3f803035, 32'h3f5bc05b,32'h3f864ae2,// invsqrt(1.0993) = 0.9538 +32'h3fb4776e,32'h3f534976,32'h3f5be932, 32'h3f4cd1a9,32'h3f6260ff, 32'h3f4209ff,32'h3f6d28a9,// invsqrt(1.4099) = 0.8422 +32'h402ca9cd,32'h3f18bdbb,32'h3f1ef9b9, 32'h3f1410be,32'h3f23a6b6, 32'h3f0c45c1,32'h3f2b71b3,// invsqrt(2.6979) = 0.6088 +32'h4131745d,32'h3e96aa3f,32'h3e9cd08b, 32'h3e920d86,32'h3ea16d44, 32'h3e8a5da8,32'h3ea91d22,// invsqrt(11.0909) = 0.3003 +32'h3f959967,32'h3f681030,32'h3f718903, 32'h3f60f592,32'h3f78a3a2, 32'h3f551e8b,32'h3f823d55,// invsqrt(1.1687) = 0.9250 +32'h3f6e14c9,32'h3f82132c,32'h3f876253, 32'h3f7c2fa0,32'h3f8b5db0, 32'h3f6ee9c0,32'h3f9200a0,// invsqrt(0.9300) = 1.0369 +32'h3ebcb511,32'h3fce9f3f,32'h3fd70e3d, 32'h3fc84c01,32'h3fdd617b, 32'h3fbdc145,32'h3fe7ec37,// invsqrt(0.3686) = 1.6472 +32'h40692991,32'h3f037095,32'h3f08cdff, 32'h3efed50d,32'h3f0cd40e, 32'h3ef16b85,32'h3f1388d1,// invsqrt(3.6432) = 0.5239 +32'h3f917d34,32'h3f6b5181,32'h3f74ec57, 32'h3f641d60,32'h3f7c2078, 32'h3f581bd4,32'h3f841102,// invsqrt(1.1366) = 0.9380 +32'h3f3d6059,32'h3f91d875,32'h3f97cc65, 32'h3f8d6181,32'h3f9c4359, 32'h3f85f095,32'h3fa3b445,// invsqrt(0.7398) = 1.1627 +32'h3ef1802b,32'h3fb6a58f,32'h3fbe1a08, 32'h3fb10e35,32'h3fc3b163, 32'h3fa7bc9e,32'h3fcd02fa,// invsqrt(0.4717) = 1.4560 +32'h3dd41a4a,32'h4042e4ce,32'h404ad93e, 32'h403ced79,32'h4050d093, 32'h4032fbec,32'h405ac220,// invsqrt(0.1036) = 3.1074 +32'h4087778d,32'h3ef3de21,32'h3efdd24d, 32'h3eec6701,32'h3f02a4b6, 32'h3edff5cb,32'h3f08dd51,// invsqrt(4.2333) = 0.4860 +32'h3f21622d,32'h3f9dfd26,32'h3fa46ff8, 32'h3f992708,32'h3fa94616, 32'h3f911781,32'h3fb1559d,// invsqrt(0.6304) = 1.2595 +32'h3f8d37b5,32'h3f6ed9cb,32'h3f78998a, 32'h3f6789fb,32'h3f7fe959, 32'h3f5b5a4c,32'h3f860c84,// invsqrt(1.1033) = 0.9521 +32'h3f753364,32'h3f802c2e,32'h3f856774, 32'h3f787f74,32'h3f8953e8, 32'h3f6b6b45,32'h3f8fde00,// invsqrt(0.9578) = 1.0218 +32'h4088f360,32'h3ef28b09,32'h3efc715d, 32'h3eeb1e4a,32'h3f01ef0e, 32'h3edebe61,32'h3f081f02,// invsqrt(4.2797) = 0.4834 +32'h3d82d096,32'h40782a8b,32'h408125d0, 32'h407091bb,32'h4084f238, 32'h4063e861,32'h408b46e6,// invsqrt(0.0639) = 3.9567 +32'h404fb5a0,32'h3f0b42af,32'h3f10f1d0, 32'h3f06ff55,32'h3f153529, 32'h3effc8d8,32'h3f1c5012,// invsqrt(3.2455) = 0.5551 +32'h3f9121b0,32'h3f6b9ba6,32'h3f753984, 32'h3f646541,32'h3f7c6fe9, 32'h3f585fec,32'h3f843a9f,// invsqrt(1.1338) = 0.9391 +32'h42129507,32'h3e25c60e,32'h3e2c8a38, 32'h3e20b2ee,32'h3e319d58, 32'h3e183db9,32'h3e3a128d,// invsqrt(36.6455) = 0.1652 +32'h3f69d3d4,32'h3f8340b2,32'h3f889c26, 32'h3f7e7834,32'h3f8ca0be, 32'h3f71138f,32'h3f935310,// invsqrt(0.9134) = 1.0463 +32'h3f2f09a9,32'h3f97b39d,32'h3f9de4bd, 32'h3f930ec4,32'h3fa28996, 32'h3f8b515c,32'h3faa46fe,// invsqrt(0.6837) = 1.2094 +32'h3f168f92,32'h3fa39192,32'h3faa3eb2, 32'h3f9e8fba,32'h3faf408a, 32'h3f963752,32'h3fb798f2,// invsqrt(0.5881) = 1.3040 +32'h3f82a3ba,32'h3f785523,32'h3f813bfb, 32'h3f70bb05,32'h3f85090a, 32'h3f640f7e,32'h3f8b5ecd,// invsqrt(1.0206) = 0.9898 +32'h3dc8b299,32'h40485ad8,32'h4050885a, 32'h404238b8,32'h4056aa7a, 32'h4037ffd6,32'h4060e35c,// invsqrt(0.0980) = 3.1944 +32'h4019fce1,32'h3f21bd01,32'h3f285701, 32'h3f1cc981,32'h3f2d4a81, 32'h3f148901,32'h3f358b01,// invsqrt(2.4061) = 0.6447 +32'h3fcae989,32'h3f47422e,32'h3f4f643a, 32'h3f4128a5,32'h3f557dc3, 32'h3f36fe15,32'h3f5fa853,// invsqrt(1.5853) = 0.7942 +32'h3f87e36c,32'h3f737d43,32'h3f7d6d79, 32'h3f6c0919,32'h3f8270d1, 32'h3f5f9cd5,32'h3f88a6f4,// invsqrt(1.0616) = 0.9705 +32'h421b00ea,32'h3e21351d,32'h3e27c991, 32'h3e1c45c6,32'h3e2cb8e8, 32'h3e140c35,32'h3e34f279,// invsqrt(38.7509) = 0.1606 +32'h3fb770d1,32'h3f519130,32'h3f5a1ef4, 32'h3f4b26de,32'h3f608946, 32'h3f4075aa,32'h3f6b3a7a,// invsqrt(1.4331) = 0.8353 +32'h401ec983,32'h3f1f4678,32'h3f25c6bc, 32'h3f1a6646,32'h3f2aa6ee, 32'h3f1245f1,32'h3f32c743,// invsqrt(2.4810) = 0.6349 +32'h403dc245,32'h3f11b2cf,32'h3f17a535, 32'h3f0d3d02,32'h3f1c1b02, 32'h3f05ce02,32'h3f238a02,// invsqrt(2.9650) = 0.5807 +32'h40cb4e6e,32'h3ec710b7,32'h3ecf30be, 32'h3ec0f8b1,32'h3ed548c3, 32'h3eb6d0a7,32'h3edf70cd,// invsqrt(6.3533) = 0.3967 +32'h40f9fdaf,32'h3eb384b3,32'h3ebad87c, 32'h3eae05dd,32'h3ec05753, 32'h3ea4dd22,32'h3ec9800e,// invsqrt(7.8122) = 0.3578 +32'h400fe2b5,32'h3f2751e0,32'h3f2e2632, 32'h3f2232a2,32'h3f334570, 32'h3f19a93b,32'h3f3bced7,// invsqrt(2.2482) = 0.6669 +32'h3ef5896b,32'h3fb523ab,32'h3fbc8863, 32'h3faf9820,32'h3fc213ee, 32'h3fa65a3a,32'h3fcb51d5,// invsqrt(0.4796) = 1.4440 +32'h3e8c9159,32'h3fef66f7,32'h3ff92c79, 32'h3fe812d5,32'h4000404d, 32'h3fdbdbf2,32'h40065bbf,// invsqrt(0.2745) = 1.9085 +32'h4017809b,32'h3f230f41,32'h3f29b70f, 32'h3f1e1166,32'h3f2eb4ea, 32'h3f15bfa4,32'h3f3706ac,// invsqrt(2.3672) = 0.6500 +32'h3f7248aa,32'h3f80f11b,32'h3f86346b, 32'h3f79fd3f,32'h3f8a26e6, 32'h3f6cd4f9,32'h3f90bb0a,// invsqrt(0.9464) = 1.0279 +32'h3f1b20f0,32'h3fa12479,32'h3fa7b83f, 32'h3f9c35a4,32'h3faca714, 32'h3f93fcec,32'h3fb4dfcc,// invsqrt(0.6060) = 1.2846 +32'h3f177680,32'h3fa314b1,32'h3fa9bcb9, 32'h3f9e16ac,32'h3faebabe, 32'h3f95c4a3,32'h3fb70cc7,// invsqrt(0.5917) = 1.3001 +32'h3f34359d,32'h3f95824c,32'h3f9b9c84, 32'h3f90eea3,32'h3fa0302d, 32'h3f894dde,32'h3fa7d0f2,// invsqrt(0.7039) = 1.1919 +32'h3fb89160,32'h3f50ed1e,32'h3f59742e, 32'h3f4a87d1,32'h3f5fd97b, 32'h3f3fdefc,32'h3f6a8250,// invsqrt(1.4419) = 0.8328 +32'h40152d81,32'h3f24533c,32'h3f2b0844, 32'h3f1f4b76,32'h3f30100a, 32'h3f16e92d,32'h3f387253,// invsqrt(2.3309) = 0.6550 +32'h3fc43130,32'h3f4aa471,32'h3f52e9d9, 32'h3f447063,32'h3f591de7, 32'h3f3a19a1,32'h3f6374a9,// invsqrt(1.5328) = 0.8077 +32'h4020b58f,32'h3f1e51e8,32'h3f24c830, 32'h3f197932,32'h3f29a0e6, 32'h3f116558,32'h3f31b4c0,// invsqrt(2.5111) = 0.6311 +32'h401ebe54,32'h3f1f4c14,32'h3f25cc92, 32'h3f1a6bb5,32'h3f2aacf1, 32'h3f124b18,32'h3f32cd8f,// invsqrt(2.4804) = 0.6350 +32'h3f80366f,32'h3f7aac00,32'h3f8273a2, 32'h3f72ff8d,32'h3f8649db, 32'h3f663578,32'h3f8caee6,// invsqrt(1.0017) = 0.9992 +32'h3f9eed73,32'h3f612655,32'h3f6a56eb, 32'h3f5a41e5,32'h3f713b5b, 32'h3f4ec52a,32'h3f7cb816,// invsqrt(1.2416) = 0.8974 +32'h3d980e66,32'h40662e42,32'h406f936a, 32'h405f2265,32'h40769f47, 32'h405363f4,32'h40812edc,// invsqrt(0.0742) = 3.6700 +32'h3fa280f2,32'h3f5ea898,32'h3f67bf26, 32'h3f57d7ad,32'h3f6e9011, 32'h3f4c7b7c,32'h3f79ec42,// invsqrt(1.2696) = 0.8875 +32'h41008732,32'h3eb108bc,32'h3eb84290, 32'h3eab9d5e,32'h3ebdadee, 32'h3ea29515,32'h3ec6b637,// invsqrt(8.0330) = 0.3528 +32'h4096314c,32'h3ee79aba,32'h3ef10ec2, 32'h3ee083b4,32'h3ef825c8, 32'h3ed4b2ab,32'h3f01fb68,// invsqrt(4.6935) = 0.4616 +32'h40023b9f,32'h3f2fdf20,32'h3f370cce, 32'h3f2a7cde,32'h3f3c6f10, 32'h3f2183c5,32'h3f456829,// invsqrt(2.0349) = 0.7010 +32'h3e6a64e4,32'h4003180e,32'h400871da, 32'h3ffe2969,32'h400c7533, 32'h3ff0c8ea,32'h40132573,// invsqrt(0.2289) = 2.0901 +32'h42188e95,32'h3e227eb9,32'h3e2920a1, 32'h3e1d854b,32'h3e2e1a0f, 32'h3e153ae8,32'h3e366472,// invsqrt(38.1392) = 0.1619 +32'h3fe145ca,32'h3f3d1c6c,32'h3f44d470, 32'h3f375268,32'h3f4a9e74, 32'h3f2dac63,32'h3f544479,// invsqrt(1.7599) = 0.7538 +32'h3f5196c8,32'h3f8aa279,32'h3f904b10, 32'h3f866407,32'h3f948981, 32'h3f7ea294,32'h3f9b9c3e,// invsqrt(0.8187) = 1.1052 +32'h3f2d2fca,32'h3f98829a,32'h3f9ebc2e, 32'h3f93d76c,32'h3fa3675c, 32'h3f8c0f74,32'h3fab2f54,// invsqrt(0.6765) = 1.2158 +32'h3f572b0f,32'h3f88d353,32'h3f8e6903, 32'h3f84a30f,32'h3f929947, 32'h3f7b4fe7,32'h3f999462,// invsqrt(0.8405) = 1.0908 +32'h3fc3d326,32'h3f4ad514,32'h3f531c78, 32'h3f449f89,32'h3f595203, 32'h3f3a464c,32'h3f63ab40,// invsqrt(1.5299) = 0.8085 +32'h40356a10,32'h3f1502fc,32'h3f1b1802, 32'h3f107339,32'h3f1fa7c5, 32'h3f08d8f2,32'h3f27420c,// invsqrt(2.8346) = 0.5940 +32'h3fed94e9,32'h3f38259f,32'h3f3fa9c5, 32'h3f328283,32'h3f454ce1, 32'h3f291d53,32'h3f4eb211,// invsqrt(1.8561) = 0.7340 +32'h3f32fcf4,32'h3f9604a9,32'h3f9c2433, 32'h3f916d02,32'h3fa0bbda, 32'h3f89c596,32'h3fa86346,// invsqrt(0.6992) = 1.1959 +32'h3f8fb25a,32'h3f6cc80c,32'h3f76722c, 32'h3f658874,32'h3f7db1c4, 32'h3f5973cc,32'h3f84e336,// invsqrt(1.1226) = 0.9438 +32'h3f33f657,32'h3f959c93,32'h3f9bb7dd, 32'h3f91081c,32'h3fa04c54, 32'h3f8965ff,32'h3fa7ee71,// invsqrt(0.7030) = 1.1927 +32'h3f64bd6f,32'h3f84b44d,32'h3f8a1eed, 32'h3f80a455,32'h3f8e2ee5, 32'h3f73be1b,32'h3f94f42d,// invsqrt(0.8935) = 1.0579 +32'h3d9e5907,32'h40618fc1,32'h406ac4a5, 32'h405aa817,32'h4071ac4f, 32'h404f25fb,32'h407d2e6b,// invsqrt(0.0773) = 3.5963 +32'h3f2c9cb1,32'h3f98c388,32'h3f9effc2, 32'h3f94165d,32'h3fa3aced, 32'h3f8c4b14,32'h3fab7836,// invsqrt(0.6743) = 1.2178 +32'h3f02cce2,32'h3faf7d5d,32'h3fb6a70d, 32'h3faa1e19,32'h3fbc0651, 32'h3fa129fc,32'h3fc4fa6e,// invsqrt(0.5109) = 1.3990 +32'h410de87d,32'h3ea87b47,32'h3eaf5bbd, 32'h3ea352ef,32'h3eb48415, 32'h3e9aba5b,32'h3ebd1ca9,// invsqrt(8.8693) = 0.3358 +32'h3f4f4795,32'h3f8b67a1,32'h3f911845, 32'h3f872327,32'h3f955cbf, 32'h3f80065b,32'h3f9c798b,// invsqrt(0.8097) = 1.1113 +32'h3f4921e6,32'h3f8d84e2,32'h3f934b9d, 32'h3f892fd6,32'h3f97a0aa, 32'h3f81f76d,32'h3f9ed913,// invsqrt(0.7857) = 1.1282 +32'h3ebebc74,32'h3fcd852c,32'h3fd5e8a6, 32'h3fc73a91,32'h3fdc3341, 32'h3fbcbe38,32'h3fe6af9a,// invsqrt(0.3725) = 1.6384 +32'h401b8360,32'h3f20f170,32'h3f278322, 32'h3f1c042c,32'h3f2c7066, 32'h3f13ce0e,32'h3f34a684,// invsqrt(2.4299) = 0.6415 +32'h3f6f460b,32'h3f81c019,32'h3f870bdb, 32'h3f7b8e8e,32'h3f8b04ad, 32'h3f6e5128,32'h3f91a360,// invsqrt(0.9347) = 1.0344 +32'h3f729559,32'h3f80dcb8,32'h3f861f33, 32'h3f79d5ba,32'h3f8a110f, 32'h3f6caf88,32'h3f90a428,// invsqrt(0.9476) = 1.0273 +32'h3f7b61ed,32'h3f7d2c74,32'h3f83c0ee, 32'h3f756c66,32'h3f87a0f5, 32'h3f6881a4,32'h3f8e1656,// invsqrt(0.9820) = 1.0091 +32'h3f9b1226,32'h3f63eeac,32'h3f6d3c54, 32'h3f5cf46d,32'h3f743693, 32'h3f51535a,32'h3f7fd7a6,// invsqrt(1.2115) = 0.9085 +32'h3ef4e53c,32'h3fb56059,32'h3fbcc78b, 32'h3fafd2f3,32'h3fc254f1, 32'h3fa691f3,32'h3fcb95f1,// invsqrt(0.4783) = 1.4459 +32'h3f3b357a,32'h3f92aff9,32'h3f98acb5, 32'h3f8e326c,32'h3f9d2a42, 32'h3f86b682,32'h3fa4a62c,// invsqrt(0.7313) = 1.1694 +32'h3f5f4f39,32'h3f864ee5,32'h3f8bca47, 32'h3f82325b,32'h3f8fe6d1, 32'h3f76b041,32'h3f96c10b,// invsqrt(0.8723) = 1.0707 +32'h3f26a317,32'h3f9b7a7c,32'h3fa1d314, 32'h3f96b80b,32'h3fa69585, 32'h3f8ec94e,32'h3fae8442,// invsqrt(0.6509) = 1.2395 +32'h3fa26386,32'h3f5ebcc3,32'h3f67d423, 32'h3f57eb3a,32'h3f6ea5ac, 32'h3f4c8e01,32'h3f7a02e5,// invsqrt(1.2687) = 0.8878 +32'h3fa0106e,32'h3f605952,32'h3f69818a, 32'h3f597b28,32'h3f705fb4, 32'h3f4e08e3,32'h3f7bd1f9,// invsqrt(1.2505) = 0.8942 +32'h3f0f2b7d,32'h3fa7bcce,32'h3fae957e, 32'h3fa29a4a,32'h3fb3b802, 32'h3f9a0b6f,32'h3fbc46dd,// invsqrt(0.5593) = 1.3372 +32'h3f993471,32'h3f6550f4,32'h3f6ead12, 32'h3f5e4bdc,32'h3f75b22a, 32'h3f5298b6,32'h3f80b2a8,// invsqrt(1.1969) = 0.9140 +32'h3e83b54f,32'h3ff752b2,32'h4000b57c, 32'h3fefc07e,32'h40047e96, 32'h3fe32226,32'h400acdc2,// invsqrt(0.2572) = 1.9716 +32'h3f52a43b,32'h3f8a49b1,32'h3f8feea8, 32'h3f860df7,32'h3f942a61, 32'h3f7dff82,32'h3f9b3897,// invsqrt(0.8228) = 1.1024 +32'h40028153,32'h3f2fb023,32'h3f36dbe5, 32'h3f2a4f51,32'h3f3c3cb7, 32'h3f21589d,32'h3f45336b,// invsqrt(2.0391) = 0.7003 +32'h3e958a05,32'h3fe81c20,32'h3ff19570, 32'h3fe10124,32'h3ff8b06c, 32'h3fd52981,32'h40024408,// invsqrt(0.2921) = 1.8504 +32'h3e8b7b9f,32'h3ff054d8,32'h3ffa2410, 32'h3fe8f96e,32'h4000bfbd, 32'h3fdcb668,32'h4006e140,// invsqrt(0.2724) = 1.9159 +32'h4040f10a,32'h3f107de5,32'h3f1663b0, 32'h3f0c118e,32'h3f1ad008, 32'h3f04b250,32'h3f222f46,// invsqrt(3.0147) = 0.5759 +32'h3fb0e99d,32'h3f55660f,32'h3f5e1bdb, 32'h3f4eddb6,32'h3f64a434, 32'h3f43fa76,32'h3f6f8774,// invsqrt(1.3821) = 0.8506 +32'h3f80fcf8,32'h3f79eacc,32'h3f820f17, 32'h3f724444,32'h3f85e25b, 32'h3f65840a,32'h3f8c4278,// invsqrt(1.0077) = 0.9962 +32'h4064d53c,32'h3f04ad66,32'h3f0a17be, 32'h3f009da4,32'h3f0e2780, 32'h3ef3b16d,32'h3f14ec6d,// invsqrt(3.5755) = 0.5288 +32'h407d02ff,32'h3efc5b72,32'h3f035429, 32'h3ef4a1ca,32'h3f0730fd, 32'h3ee7c1b2,32'h3f0da109,// invsqrt(3.9533) = 0.5029 +32'h3dd1e196,32'h4043ec27,32'h404beb58, 32'h403decc3,32'h4051eabd, 32'h4033edc6,32'h405be9ba,// invsqrt(0.1025) = 3.1238 +32'h3d88e0b9,32'h40729b8f,32'h407c828f, 32'h406b2e4e,32'h4081f7e8, 32'h405ecd8e,32'h40882848,// invsqrt(0.0668) = 3.8681 +32'h3f909e5a,32'h3f6c068a,32'h3f75a8c4, 32'h3f64ccdf,32'h3f7ce26f, 32'h3f58c216,32'h3f84769c,// invsqrt(1.1298) = 0.9408 +32'h3f5379da,32'h3f8a03c7,32'h3f8fa5e4, 32'h3f85ca31,32'h3f93df79, 32'h3f7d7f19,32'h3f9aea1e,// invsqrt(0.8261) = 1.1002 +32'h409c81a7,32'h3ee2e271,32'h3eec2527, 32'h3edbf068,32'h3ef31730, 32'h3ed05d04,32'h3efeaa94,// invsqrt(4.8908) = 0.4522 +32'h3fe7f93f,32'h3f3a5c0e,32'h3f41f752, 32'h3f34a79a,32'h3f47abc6, 32'h3f2b2585,32'h3f512ddb,// invsqrt(1.8123) = 0.7428 +32'h3f10a999,32'h3fa6deb3,32'h3fadae52, 32'h3fa1c2fc,32'h3fb2ca0a, 32'h3f993f76,32'h3fbb4d90,// invsqrt(0.5651) = 1.3303 +32'h3f398b55,32'h3f93580c,32'h3f995ba4, 32'h3f8ed55a,32'h3f9dde56, 32'h3f8750dc,32'h3fa562d4,// invsqrt(0.7248) = 1.1746 +32'h3f759b25,32'h3f801119,32'h3f854b43, 32'h3f784af1,32'h3f8936e4, 32'h3f6b3986,32'h3f8fbf99,// invsqrt(0.9594) = 1.0209 +32'h3cc23e1e,32'h40cba81e,32'h40d3f820, 32'h40c56c1d,32'h40da3421, 32'h40bb081c,32'h40e49822,// invsqrt(0.0237) = 6.4942 +32'h410a720a,32'h3eaa9354,32'h3eb189ac, 32'h3ea55a93,32'h3eb6c26d, 32'h3e9ca6a6,32'h3ebf765a,// invsqrt(8.6528) = 0.3400 +32'h3f012d51,32'h3fb096c2,32'h3fb7cbee, 32'h3fab2ee0,32'h3fbd33d0, 32'h3fa22c69,32'h3fc63647,// invsqrt(0.5046) = 1.4078 +32'h3ab11343,32'h41d54cf5,32'h41de01bb, 32'h41cec560,32'h41e48950, 32'h41c3e369,32'h41ef6b47,// invsqrt(0.0014) = 27.2067 +32'h3fa1b837,32'h3f5f329c,32'h3f684ecc, 32'h3f585d78,32'h3f6f23f0, 32'h3f4cfa3c,32'h3f7a872c,// invsqrt(1.2634) = 0.8897 +32'h41046212,32'h3eae6ffe,32'h3eb58eb0, 32'h3ea918f9,32'h3ebae5b5, 32'h3ea0329b,32'h3ec3cc13,// invsqrt(8.2739) = 0.3477 +32'h3f3ca8f2,32'h3f921f48,32'h3f98161c, 32'h3f8da629,32'h3f9c8f3b, 32'h3f8631a0,32'h3fa403c4,// invsqrt(0.7370) = 1.1649 +32'h3f9bb04f,32'h3f637ac8,32'h3f6cc3b6, 32'h3f5c8415,32'h3f73ba69, 32'h3f50e8ec,32'h3f7f5592,// invsqrt(1.2163) = 0.9067 +32'h4189e2fa,32'h3e71b7f2,32'h3e7b95a8, 32'h3e6a51a9,32'h3e817df8, 32'h3e5dfc85,32'h3e87a88a,// invsqrt(17.2358) = 0.2409 +32'h3fc2dcf2,32'h3f4b550e,32'h3f53a1ac, 32'h3f451b98,32'h3f59db22, 32'h3f3abbd4,32'h3f643ae6,// invsqrt(1.5224) = 0.8105 +32'h40ae056f,32'h3ed72a06,32'h3edff244, 32'h3ed093d6,32'h3ee68874, 32'h3ec59988,32'h3ef182c2,// invsqrt(5.4382) = 0.4288 +32'h3f60561f,32'h3f86001b,32'h3f8b7846, 32'h3f81e5fb,32'h3f8f9267, 32'h3f761f8b,32'h3f96689c,// invsqrt(0.8763) = 1.0682 +32'h3eaab011,32'h3fd9414a,32'h3fe21f61, 32'h3fd29ab7,32'h3fe8c5f3, 32'h3fc78519,32'h3ff3db91,// invsqrt(0.3334) = 1.7319 +32'h3fa7866f,32'h3f5b4bd2,32'h3f643f3e, 32'h3f549541,32'h3f6af5cf, 32'h3f4964fa,32'h3f762616,// invsqrt(1.3088) = 0.8741 +32'h408ab74f,32'h3ef0feab,32'h3efad4d1, 32'h3ee99e0e,32'h3f011ab7, 32'h3edd525e,32'h3f07408f,// invsqrt(4.3349) = 0.4803 +32'h3c83e1c7,32'h40f728fc,32'h41009fc7, 32'h40ef980e,32'h4104683e, 32'h40e2fbd8,32'h410ab659,// invsqrt(0.0161) = 7.8814 +32'h3f09ba66,32'h3fab04e6,32'h3fb1ffe0, 32'h3fa5c8ab,32'h3fb73c1b, 32'h3f9d0ef3,32'h3fbff5d3,// invsqrt(0.5380) = 1.3634 +32'h3eca690a,32'h3fc78164,32'h3fcfa604, 32'h3fc165eb,32'h3fd5c17d, 32'h3fb73822,32'h3fdfef46,// invsqrt(0.3953) = 1.5904 +32'h417b1b7c,32'h3e7d4ff4,32'h3e83d368, 32'h3e758ed0,32'h3e87b3fa, 32'h3e68a23f,32'h3e8e2a43,// invsqrt(15.6942) = 0.2524 +32'h3f35ee84,32'h3f94ccb4,32'h3f9adf82, 32'h3f903e9a,32'h3f9f6d9c, 32'h3f88a718,32'h3fa7051e,// invsqrt(0.7107) = 1.1862 +32'h3ef37633,32'h3fb5e8dd,32'h3fbd55a3, 32'h3fb0574a,32'h3fc2e736, 32'h3fa70f53,32'h3fcc2f2d,// invsqrt(0.4755) = 1.4502 +32'h3f92a083,32'h3f6a674a,32'h3f73f891, 32'h3f633a55,32'h3f7b2587, 32'h3f5744bd,32'h3f838d90,// invsqrt(1.1455) = 0.9343 +32'h3f5d7ab5,32'h3f86dca9,32'h3f8c5dd4, 32'h3f82bbc8,32'h3f907eb4, 32'h3f77b4a3,32'h3f97602b,// invsqrt(0.8652) = 1.0751 +32'h3fcb1daa,32'h3f47289b,32'h3f4f499c, 32'h3f410fda,32'h3f55625c, 32'h3f36e698,32'h3f5f8b9e,// invsqrt(1.5868) = 0.7938 +32'h3fa2153c,32'h3f5ef287,32'h3f680c19, 32'h3f581f59,32'h3f6edf47, 32'h3f4cbf62,32'h3f7a3f3e,// invsqrt(1.2663) = 0.8887 +32'h3e544e2b,32'h4009beb3,32'h400f5dfe, 32'h4005873a,32'h40139576, 32'h3ffd0038,32'h401a9c94,// invsqrt(0.2073) = 2.1962 +32'h40968be2,32'h3ee75501,32'h3ef0c631, 32'h3ee0401e,32'h3ef7db14, 32'h3ed472a3,32'h3f01d447,// invsqrt(4.7046) = 0.4610 +32'h3e3d0fd0,32'h4011f782,32'h4017ecb6, 32'h400d7f9b,32'h401c649d, 32'h40060d19,32'h4023d71f,// invsqrt(0.1846) = 2.3273 +32'h406170d1,32'h3f05abfd,32'h3f0b20b9, 32'h3f019470,32'h3f0f3846, 32'h3ef5850a,32'h3f160a31,// invsqrt(3.5225) = 0.5328 +32'h3f75396d,32'h3f802a9b,32'h3f8565d0, 32'h3f787c65,32'h3f895238, 32'h3f6b685f,32'h3f8fdc3a,// invsqrt(0.9579) = 1.0217 +32'h3e9ef485,32'h3fe12153,32'h3fea51b5, 32'h3fda3d0a,32'h3ff135fe, 32'h3fcec090,32'h3ffcb278,// invsqrt(0.3105) = 1.7947 +32'h3f844427,32'h3f76cd01,32'h3f806fe9, 32'h3f6f3ee4,32'h3f8436f7, 32'h3f62a75e,32'h3f8a82ba,// invsqrt(1.0333) = 0.9837 +32'h40762326,32'h3effdb65,32'h3f05266b, 32'h3ef80650,32'h3f0910f6, 32'h3eeaf881,32'h3f0f97dd,// invsqrt(3.8459) = 0.5099 +32'h3e43a1b0,32'h400f7eb3,32'h40155a13, 32'h400b1a2b,32'h4019be9b, 32'h4003c7f3,32'h402110d3,// invsqrt(0.1910) = 2.2879 +32'h3f82b746,32'h3f784291,32'h3f813251, 32'h3f70a905,32'h3f84ff17, 32'h3f63fe70,32'h3f8b5461,// invsqrt(1.0212) = 0.9896 +32'h3fd36d2b,32'h3f433488,32'h3f4b2c3a, 32'h3f3d3ac3,32'h3f5125ff, 32'h3f334524,32'h3f5b1b9e,// invsqrt(1.6518) = 0.7781 +32'h40453383,32'h3f0eec36,32'h3f14c19c, 32'h3f0a8c2a,32'h3f1921a8, 32'h3f03416b,32'h3f206c67,// invsqrt(3.0813) = 0.5697 +32'h3ed4bfde,32'h3fc298e8,32'h3fca8a3f, 32'h3fbca3e5,32'h3fd07f41, 32'h3fb2b638,32'h3fda6cef,// invsqrt(0.4155) = 1.5513 +32'h40a57f01,32'h3edca2ea,32'h3ee5a456, 32'h3ed5e1d8,32'h3eec6568, 32'h3ecaa010,32'h3ef7a730,// invsqrt(5.1718) = 0.4397 +32'h3fc3b157,32'h3f4ae698,32'h3f532eb4, 32'h3f44b084,32'h3f5964c8, 32'h3f3a5662,32'h3f63beea,// invsqrt(1.5288) = 0.8088 +32'h4137bea3,32'h3e941050,32'h3e9a1b6d, 32'h3e8f87f9,32'h3e9ea3c3, 32'h3e87fa15,32'h3ea631a7,// invsqrt(11.4840) = 0.2951 +32'h3f1ce236,32'h3fa03d16,32'h3fa6c76b, 32'h3f9b5557,32'h3fabaf2b, 32'h3f93286e,32'h3fb3dc14,// invsqrt(0.6128) = 1.2774 +32'h41179927,32'h3ea3020d,32'h3ea9a951, 32'h3e9e0499,32'h3eaea6c5, 32'h3e95b384,32'h3eb6f7da,// invsqrt(9.4749) = 0.3249 +32'h3f9f6827,32'h3f60cf9d,32'h3f69fca9, 32'h3f59edd4,32'h3f70de72, 32'h3f4e7586,32'h3f7c56c0,// invsqrt(1.2454) = 0.8961 +32'h3fd4166c,32'h3f42e694,32'h3f4adb18, 32'h3f3cef32,32'h3f50d27a, 32'h3f32fd8d,32'h3f5ac41f,// invsqrt(1.6569) = 0.7769 +32'h42247683,32'h3e1c80b5,32'h3e22e401, 32'h3e17b63d,32'h3e27ae79, 32'h3e0fba1f,32'h3e2faa97,// invsqrt(41.1157) = 0.1560 +32'h3f9f470c,32'h3f60e6f9,32'h3f6a14f9, 32'h3f5a0479,32'h3f70f779, 32'h3f4e8afa,32'h3f7c70f8,// invsqrt(1.2444) = 0.8965 +32'h3f36d255,32'h3f946fe1,32'h3f9a7ee5, 32'h3f8fe49e,32'h3f9f0a28, 32'h3f8851d9,32'h3fa69ced,// invsqrt(0.7141) = 1.1833 +32'h3cb4dd8f,32'h40d30dc7,32'h40dbab13, 32'h40cc97ce,32'h40e2210c, 32'h40c1d32f,32'h40ece5ab,// invsqrt(0.0221) = 6.7300 +32'h3fee7e85,32'h3f37cb59,32'h3f3f4bcf, 32'h3f322b00,32'h3f44ec28, 32'h3f28ca6c,32'h3f4e4cbc,// invsqrt(1.8632) = 0.7326 +32'h3eb1571c,32'h3fd52424,32'h3fddd73f, 32'h3fce9dcf,32'h3fe45d93, 32'h3fc3bdec,32'h3fef3d76,// invsqrt(0.3464) = 1.6991 +32'h3d4e1381,32'h408bcfae,32'h40918490, 32'h40878804,32'h4095cc3a, 32'h408065e9,32'h409cee55,// invsqrt(0.0503) = 4.4583 +32'h3fa1d3a6,32'h3f5f1fb0,32'h3f683b1b, 32'h3f584b21,32'h3f6f0fab, 32'h3f4ce8dc,32'h3f7a71f0,// invsqrt(1.2643) = 0.8894 +32'h3f66e607,32'h3f841522,32'h3f897942, 32'h3f800a09,32'h3f8d845b, 32'h3f7299c0,32'h3f944184,// invsqrt(0.9019) = 1.0530 +32'h4058e642,32'h3f084741,32'h3f0dd739, 32'h3f041b47,32'h3f120333, 32'h3efa4ea1,32'h3f18f72a,// invsqrt(3.3891) = 0.5432 +32'h3e296cae,32'h401a31b3,32'h40207cdf, 32'h40157952,32'h40253540, 32'h400d9b5b,32'h402d1337,// invsqrt(0.1655) = 2.4585 +32'h3fd1fe94,32'h3f43dea1,32'h3f4bdd44, 32'h3f3ddfa6,32'h3f51dc3e, 32'h3f33e15a,32'h3f5bda8a,// invsqrt(1.6406) = 0.7807 +32'h3fdc5345,32'h3f3f38f2,32'h3f470706, 32'h3f395e63,32'h3f4ce195, 32'h3f2f9cc9,32'h3f56a32f,// invsqrt(1.7213) = 0.7622 +32'h3ff1e816,32'h3f367e50,32'h3f3df12e, 32'h3f30e829,32'h3f438755, 32'h3f279892,32'h3f4cd6ec,// invsqrt(1.8899) = 0.7274 +32'h3f282760,32'h3f9ac692,32'h3fa117d2, 32'h3f9609a3,32'h3fa5d4c1, 32'h3f8e2413,32'h3fadba51,// invsqrt(0.6569) = 1.2339 +32'h3fa1fe33,32'h3f5f0261,32'h3f681c99, 32'h3f582eb7,32'h3f6ef043, 32'h3f4ccdf0,32'h3f7a510a,// invsqrt(1.2656) = 0.8889 +32'h4040dae8,32'h3f108630,32'h3f166c51, 32'h3f0c1996,32'h3f1ad8ea, 32'h3f04b9ed,32'h3f223893,// invsqrt(3.0134) = 0.5761 +32'h3fb7c107,32'h3f51636e,32'h3f59ef53, 32'h3f4afa82,32'h3f60583e, 32'h3f404ba3,32'h3f6b071d,// invsqrt(1.4356) = 0.8346 +32'h3f88327f,32'h3f73368a,32'h3f7d23de, 32'h3f6bc48b,32'h3f824aee, 32'h3f5f5be2,32'h3f887f43,// invsqrt(1.0640) = 0.9694 +32'h3e662333,32'h40044cff,32'h4009b367, 32'h40004030,32'h400dc036, 32'h3ff3005c,32'h40148038,// invsqrt(0.2247) = 2.1094 +32'h3fcd4201,32'h3f461de2,32'h3f4e3400, 32'h3f400d4b,32'h3f544497, 32'h3f35f1a6,32'h3f5e603c,// invsqrt(1.6036) = 0.7897 +32'h3ec39166,32'h3fcaf729,32'h3fd33ff1, 32'h3fc4c093,32'h3fd97687, 32'h3fba6598,32'h3fe3d182,// invsqrt(0.3820) = 1.6180 +32'h3eba8e37,32'h3fcfcf6d,32'h3fd84ad4, 32'h3fc972de,32'h3fdea762, 32'h3fbed89d,32'h3fe941a3,// invsqrt(0.3644) = 1.6567 +32'h3f993c22,32'h3f654b32,32'h3f6ea715, 32'h3f5e4649,32'h3f75abff, 32'h3f52936d,32'h3f80af6d,// invsqrt(1.1971) = 0.9140 +32'h3fc8e5d3,32'h3f48414b,32'h3f506dc1, 32'h3f421ff2,32'h3f568f1a, 32'h3f37e85f,32'h3f60c6ad,// invsqrt(1.5695) = 0.7982 +32'h403b9c47,32'h3f1287c3,32'h3f1882db, 32'h3f0e0b71,32'h3f1cff2d, 32'h3f069194,32'h3f24790a,// invsqrt(2.9314) = 0.5841 +32'h3f005c89,32'h3fb12625,32'h3fb8612b, 32'h3fabb9e0,32'h3fbdcd70, 32'h3fa2b017,32'h3fc6d739,// invsqrt(0.5014) = 1.4122 +32'h3be8577a,32'h413a363f,32'h4141cff9, 32'h413482f4,32'h41478344, 32'h412b02cc,32'h4151036c,// invsqrt(0.0071) = 11.8758 +32'h3f916d5d,32'h3f6b5e51,32'h3f74f9ad, 32'h3f6429cc,32'h3f7c2e32, 32'h3f582799,32'h3f841833,// invsqrt(1.1362) = 0.9382 +32'h3f910b07,32'h3f6bae0d,32'h3f754cab, 32'h3f647717,32'h3f7c83a1, 32'h3f5870d3,32'h3f8444f3,// invsqrt(1.1331) = 0.9394 +32'h3f4abf6e,32'h3f8cf446,32'h3f92b51a, 32'h3f88a3a7,32'h3f9705b9, 32'h3f81729e,32'h3f9e36c2,// invsqrt(0.7920) = 1.1237 +32'h404c68e7,32'h3f0c6147,32'h3f121c1b, 32'h3f081528,32'h3f16683a, 32'h3f00eb9f,32'h3f1d91c3,// invsqrt(3.1939) = 0.5596 +32'h3f2f3a42,32'h3f979e92,32'h3f9dced6, 32'h3f92fa5e,32'h3fa2730a, 32'h3f8b3e08,32'h3faa2f60,// invsqrt(0.6845) = 1.2087 +32'h3f6655fa,32'h3f843e69,32'h3f89a439, 32'h3f80320d,32'h3f8db095, 32'h3f72e592,32'h3f946fd9,// invsqrt(0.8997) = 1.0542 +32'h4079c790,32'h3efdfc17,32'h3f042cfc, 32'h3ef635ae,32'h3f081031, 32'h3ee94054,32'h3f0e8ade,// invsqrt(3.9028) = 0.5062 +32'h3ef24941,32'h3fb659b4,32'h3fbdcb14, 32'h3fb0c4ac,32'h3fc3601c, 32'h3fa776f4,32'h3fccadd4,// invsqrt(0.4732) = 1.4537 +32'h3fea1cff,32'h3f39818b,32'h3f4113e4, 32'h3f33d3c7,32'h3f46c1a7, 32'h3f2a5cd8,32'h3f503896,// invsqrt(1.8290) = 0.7394 +32'h404ad77b,32'h3f0cebea,32'h3f12ac66, 32'h3f089b8c,32'h3f16fcc4, 32'h3f016af1,32'h3f1e2d5f,// invsqrt(3.1694) = 0.5617 +32'h3f5f4623,32'h3f8651a0,32'h3f8bcd1f, 32'h3f823502,32'h3f8fe9be, 32'h3f76b546,32'h3f96c41d,// invsqrt(0.8722) = 1.0708 +32'h3f7bea76,32'h3f7ce7cf,32'h3f839d35, 32'h3f7529db,32'h3f877c2f, 32'h3f684299,32'h3f8defcf,// invsqrt(0.9840) = 1.0081 +32'h3f7aa267,32'h3f7d8d1d,32'h3f83f33c, 32'h3f75ca1a,32'h3f87d4bd, 32'h3f68da69,32'h3f8e4c96,// invsqrt(0.9790) = 1.0106 +32'h40f98ec9,32'h3eb3ac92,32'h3ebb01fc, 32'h3eae2c83,32'h3ec0820b, 32'h3ea501c0,32'h3ec9acce,// invsqrt(7.7987) = 0.3581 +32'h3f9f7c59,32'h3f60c161,32'h3f69edd7, 32'h3f59e007,32'h3f70cf31, 32'h3f4e6873,32'h3f7c46c5,// invsqrt(1.2460) = 0.8959 +32'h40545d35,32'h3f09b9d2,32'h3f0f58ea, 32'h3f058280,32'h3f13903c, 32'h3efcf743,32'h3f1a971b,// invsqrt(3.3182) = 0.5490 +32'h4023da73,32'h3f1ccb2b,32'h3f233181, 32'h3f17fe6b,32'h3f27fe41, 32'h3f0ffe81,32'h3f2ffe2b,// invsqrt(2.5602) = 0.6250 +32'h3f06628f,32'h3fad2221,32'h3fb43332, 32'h3fa7d554,32'h3fb97ffe, 32'h3f9efffe,32'h3fc25554,// invsqrt(0.5249) = 1.3802 +32'h3fdd4e79,32'h3f3ecc4c,32'h3f4695f2, 32'h3f38f511,32'h3f4c6d2d, 32'h3f2f3902,32'h3f56293c,// invsqrt(1.7290) = 0.7605 +32'h3f564f48,32'h3f891969,32'h3f8eb1f5, 32'h3f84e700,32'h3f92e45e, 32'h3f7bd0a1,32'h3f99e30d,// invsqrt(0.8371) = 1.0929 +32'h3fdbe530,32'h3f3f68c9,32'h3f4738d2, 32'h3f398cc4,32'h3f4d14d8, 32'h3f2fc8b9,32'h3f56d8e3,// invsqrt(1.7179) = 0.7630 +32'h3e86392d,32'h3ff4feb0,32'h3ffefea2, 32'h3fed7eba,32'h40033f4c, 32'h3fe0fecb,32'h40097f43,// invsqrt(0.2622) = 1.9531 +32'h3e4d2a6f,32'h400c1f02,32'h4011d721, 32'h4007d4ea,32'h40162138, 32'h4000aec2,32'h401d4760,// invsqrt(0.2004) = 2.2341 +32'h412b02ca,32'h3e997a30,32'h3e9fbdde, 32'h3e94c76d,32'h3ea470a1, 32'h3e8cf2d3,32'h3eac453b,// invsqrt(10.6882) = 0.3059 +32'h422c2095,32'h3e18fa91,32'h3e1f390a, 32'h3e144bb7,32'h3e23e7e5, 32'h3e0c7da0,32'h3e2bb5fc,// invsqrt(43.0318) = 0.1524 +32'h40f752ec,32'h3eb47bd3,32'h3ebbd9b2, 32'h3eaef56c,32'h3ec1601a, 32'h3ea5c016,32'h3eca9570,// invsqrt(7.7289) = 0.3597 +32'h3fbeace7,32'h3f4d8d8d,32'h3f55f15f, 32'h3f4742b0,32'h3f5c3c3c, 32'h3f3cc5ea,32'h3f66b902,// invsqrt(1.4897) = 0.8193 +32'h3f2c68c4,32'h3f98da88,32'h3f9f17b2, 32'h3f942ca8,32'h3fa3c592, 32'h3f8c6034,32'h3fab9206,// invsqrt(0.6735) = 1.2185 +32'h3d402de5,32'h4090c72f,32'h4096aff7, 32'h408c5898,32'h409b1e8e, 32'h4084f59e,32'h40a28188,// invsqrt(0.0469) = 4.6166 +32'h3f1c45bf,32'h3fa08d3a,32'h3fa71ad4, 32'h3f9ba307,32'h3fac0507, 32'h3f937206,32'h3fb43608,// invsqrt(0.6104) = 1.2799 +32'h3f5c4857,32'h3f873a51,32'h3f8cbf4f, 32'h3f831692,32'h3f90e30e, 32'h3f7860aa,32'h3f97c94b,// invsqrt(0.8605) = 1.0780 +32'h3f78a7ab,32'h3f7e8ef5,32'h3f84796a, 32'h3f76c40c,32'h3f885ede, 32'h3f69c734,32'h3f8edd4a,// invsqrt(0.9713) = 1.0147 +32'h3fff00c2,32'h3f31bed4,32'h3f390016, 32'h3f2c4de2,32'h3f3e7108, 32'h3f233c50,32'h3f47829a,// invsqrt(1.9922) = 0.7085 +32'h3d6e7c58,32'h4081f6eb,32'h408744eb, 32'h407bf8d8,32'h408b3f6a, 32'h406eb5da,32'h4091e0e9,// invsqrt(0.0582) = 4.1443 +32'h3f8f9076,32'h3f6ce3fd,32'h3f768f41, 32'h3f65a38a,32'h3f7dcfb4, 32'h3f598d76,32'h3f84f2e4,// invsqrt(1.1216) = 0.9442 +32'h3f8e9eb0,32'h3f6dac73,32'h3f775fe5, 32'h3f6665dd,32'h3f7ea67b, 32'h3f5a458e,32'h3f856365,// invsqrt(1.1142) = 0.9474 +32'h3fd2a6b2,32'h3f439068,32'h3f4b8bda, 32'h3f3d93d3,32'h3f51886f, 32'h3f339984,32'h3f5b82be,// invsqrt(1.6457) = 0.7795 +32'h3f1a966f,32'h3fa16c99,32'h3fa80351, 32'h3f9c7b8f,32'h3facf45b, 32'h3f943f29,32'h3fb530c1,// invsqrt(0.6039) = 1.2869 +32'h4081a7cd,32'h3ef945f1,32'h3f01b94c, 32'h3ef1a474,32'h3f058a0a, 32'h3ee4eca4,32'h3f0be5f2,// invsqrt(4.0517) = 0.4968 +32'h3eb20ff9,32'h3fd4b563,32'h3fdd63f9, 32'h3fce3272,32'h3fe3e6ea, 32'h3fc35836,32'h3feec126,// invsqrt(0.3478) = 1.6957 +32'h3d0e7452,32'h40a82882,32'h40af0596, 32'h40a302b2,32'h40b42b66, 32'h409a6e58,32'h40bcbfc0,// invsqrt(0.0348) = 5.3622 +32'h3ea8e040,32'h3fda6ad6,32'h3fe35512, 32'h3fd3bb28,32'h3fea04c0, 32'h3fc8965c,32'h3ff5298c,// invsqrt(0.3298) = 1.7412 +32'h3f710003,32'h3f8148e9,32'h3f868fcd, 32'h3f7aa77a,32'h3f8a84f9, 32'h3f6d763d,32'h3f911d97,// invsqrt(0.9414) = 1.0307 +32'h4023cda7,32'h3f1cd14b,32'h3f2337e1, 32'h3f18045b,32'h3f2804d1, 32'h3f100421,32'h3f30050b,// invsqrt(2.5594) = 0.6251 +32'h3f1d701b,32'h3f9ff4d1,32'h3fa67c32, 32'h3f9b0f47,32'h3fab61bb, 32'h3f92e60e,32'h3fb38af4,// invsqrt(0.6150) = 1.2752 +32'h402f230d,32'h3f17a89d,32'h3f1dd94b, 32'h3f13041b,32'h3f227dcd, 32'h3f0b4742,32'h3f2a3aa6,// invsqrt(2.7365) = 0.6045 +32'h3f7fa44f,32'h3f7b0e42,32'h3f82a6c4, 32'h3f735ecc,32'h3f867e7e, 32'h3f668fb4,32'h3f8ce60a,// invsqrt(0.9986) = 1.0007 +32'h41f77333,32'h3e34700e,32'h3e3bcd71, 32'h3e2eea02,32'h3e41537c, 32'h3e25b546,32'h3e4a8839,// invsqrt(30.9312) = 0.1798 +32'h3f3b1864,32'h3f92bb5f,32'h3f98b892, 32'h3f8e3d79,32'h3f9d3679, 32'h3f86c0fa,32'h3fa4b2f8,// invsqrt(0.7308) = 1.1697 +32'h3fa0f831,32'h3f5fb796,32'h3f68d933, 32'h3f58de5f,32'h3f6fb269, 32'h3f4d745a,32'h3f7b1c6e,// invsqrt(1.2576) = 0.8917 +32'h4001acd4,32'h3f303fda,32'h3f37717b, 32'h3f2adaa2,32'h3f3cd6b4, 32'h3f21dc9a,32'h3f45d4bc,// invsqrt(2.0262) = 0.7025 +32'h400fb9ec,32'h3f27699c,32'h3f2e3ee6, 32'h3f2249a4,32'h3f335ede, 32'h3f19bf07,32'h3f3be97b,// invsqrt(2.2457) = 0.6673 +32'h3f873c35,32'h3f74139d,32'h3f7e09f7, 32'h3f6c9ada,32'h3f82c15d, 32'h3f6026e9,32'h3f88fb56,// invsqrt(1.0565) = 0.9729 +32'h3f149587,32'h3fa4a731,32'h3fab5fa5, 32'h3f9f9cd9,32'h3fb069fd, 32'h3f973647,32'h3fb8d08f,// invsqrt(0.5804) = 1.3126 +32'h3f905278,32'h3f6c448f,32'h3f75e951, 32'h3f6508fe,32'h3f7d24e2, 32'h3f58fb0b,32'h3f84996a,// invsqrt(1.1275) = 0.9418 +32'h3f991958,32'h3f65653e,32'h3f6ec230, 32'h3f5e5f87,32'h3f75c7e7, 32'h3f52ab58,32'h3f80be0b,// invsqrt(1.1961) = 0.9144 +32'h421f6131,32'h3e1efa9c,32'h3e2577c6, 32'h3e1a1cbb,32'h3e2a55a7, 32'h3e120046,32'h3e32721c,// invsqrt(39.8449) = 0.1584 +32'h3f9c2dca,32'h3f631f53,32'h3f6c6485, 32'h3f5c2b6d,32'h3f73586b, 32'h3f5094ee,32'h3f7eeeea,// invsqrt(1.2201) = 0.9053 +32'h3fd86d85,32'h3f40efc1,32'h3f48cfbf, 32'h3f3b07c3,32'h3f4eb7bd, 32'h3f312fc6,32'h3f588fba,// invsqrt(1.6908) = 0.7690 +32'h3f4ece4d,32'h3f8b907c,32'h3f9142ca, 32'h3f874ac1,32'h3f958885, 32'h3f802be0,32'h3f9ca766,// invsqrt(0.8078) = 1.1126 +32'h3fd1ecc6,32'h3f43e6ef,32'h3f4be5e9, 32'h3f3de7b3,32'h3f51e525, 32'h3f33e8fb,32'h3f5be3dd,// invsqrt(1.6400) = 0.7809 +32'h3fc9d723,32'h3f47c972,32'h3f4ff104, 32'h3f41abc5,32'h3f560eb1, 32'h3f377a4f,32'h3f604027,// invsqrt(1.5769) = 0.7963 +32'h3f0933d1,32'h3fab58b2,32'h3fb25718, 32'h3fa619e6,32'h3fb795e4, 32'h3f9d5be8,32'h3fc053e2,// invsqrt(0.5359) = 1.3660 +32'h3f86e603,32'h3f74618b,32'h3f7e5b13, 32'h3f6ce665,32'h3f82eb1d, 32'h3f606e7a,32'h3f892712,// invsqrt(1.0539) = 0.9741 +32'h40539bf5,32'h3f09f8a7,32'h3f0f9a4f, 32'h3f05bf68,32'h3f13d38e, 32'h3efd6aaa,32'h3f1adda1,// invsqrt(3.3064) = 0.5499 +32'h403fbec2,32'h3f10f11e,32'h3f16db9c, 32'h3f0c813f,32'h3f1b4b7b, 32'h3f051c20,32'h3f22b09a,// invsqrt(2.9960) = 0.5777 +32'h40d10764,32'h3ec4524f,32'h3ecc55ab, 32'h3ebe4fca,32'h3ed25830, 32'h3eb44b97,32'h3edc5c63,// invsqrt(6.5322) = 0.3913 +32'h4146aaab,32'h3e8e6505,32'h3e9434e5, 32'h3e8a091c,32'h3e9890ce, 32'h3e82c543,32'h3e9fd4a7,// invsqrt(12.4167) = 0.2838 +32'h3f38c1b4,32'h3f93a85c,32'h3f99af3c, 32'h3f8f2335,32'h3f9e3463, 32'h3f879a9e,32'h3fa5bcfa,// invsqrt(0.7217) = 1.1771 +32'h403d6411,32'h3f11d707,32'h3f17cae7, 32'h3f0d601e,32'h3f1c41d0, 32'h3f05ef45,32'h3f23b2a9,// invsqrt(2.9592) = 0.5813 +32'h402098a9,32'h3f1e6026,32'h3f24d704, 32'h3f198701,32'h3f29b029, 32'h3f11726c,32'h3f31c4be,// invsqrt(2.5093) = 0.6313 +32'h3e865beb,32'h3ff4df01,32'h3ffedda9, 32'h3fed6004,32'h40032e53, 32'h3fe0e1b3,32'h40096d7c,// invsqrt(0.2624) = 1.9521 +32'h3f07ad06,32'h3fac4ec6,32'h3fb35737, 32'h3fa70872,32'h3fb89d8c, 32'h3f9e3de6,32'h3fc16819,// invsqrt(0.5300) = 1.3736 +32'h3fa4576b,32'h3f5d68fc,32'h3f66727f, 32'h3f56a1db,32'h3f6d39a1, 32'h3f4b55f8,32'h3f788584,// invsqrt(1.2839) = 0.8825 +32'h3f893290,32'h3f725328,32'h3f7c3734, 32'h3f6ae81f,32'h3f81d11f, 32'h3f5e8b10,32'h3f87ffa6,// invsqrt(1.0719) = 0.9659 +32'h3ee1c598,32'h3fbce6dd,32'h3fc49cb2, 32'h3fb71e7e,32'h3fca6512, 32'h3fad7b34,32'h3fd4085c,// invsqrt(0.4410) = 1.5059 +32'h3e48cec9,32'h400da229,32'h40136a15, 32'h40094c37,32'h4017c007, 32'h4002124f,32'h401ef9ef,// invsqrt(0.1961) = 2.2582 +32'h3f978eaf,32'h3f668f2a,32'h3f6ff846, 32'h3f5f8055,32'h3f77071b, 32'h3f53bcf2,32'h3f81653f,// invsqrt(1.1840) = 0.9190 +32'h3f3e1c9f,32'h3f91902c,32'h3f978128, 32'h3f8d1b6e,32'h3f9bf5e6, 32'h3f85ae33,32'h3fa36321,// invsqrt(0.7426) = 1.1604 +32'h3fc1db47,32'h3f4bdc03,32'h3f542e23, 32'h3f459e6c,32'h3f5a6bba, 32'h3f3b37c4,32'h3f64d262,// invsqrt(1.5145) = 0.8126 +32'h3f6bef4d,32'h3f82aa4d,32'h3f87ff9f, 32'h3f7d54a0,32'h3f8bff9c, 32'h3f6fff54,32'h3f92aa42,// invsqrt(0.9216) = 1.0417 +32'h3eb8c491,32'h3fd0d02a,32'h3fd9560d, 32'h3fca6bc1,32'h3fdfba77, 32'h3fbfc466,32'h3fea61d2,// invsqrt(0.3609) = 1.6646 +32'h3e015207,32'h40307db0,32'h4037b1d6, 32'h402b1693,32'h403d18f3, 32'h40221563,32'h40461a23,// invsqrt(0.1263) = 2.8140 +32'h409ea884,32'h3ee15739,32'h3eea89ce, 32'h3eda714a,32'h3ef16fbe, 32'h3ecef210,32'h3efceef8,// invsqrt(4.9581) = 0.4491 +32'h3f40be4f,32'h3f9090e8,32'h3f96777a, 32'h3f8c23fb,32'h3f9ae467, 32'h3f84c3c6,32'h3fa2449c,// invsqrt(0.7529) = 1.1525 +32'h3eabad39,32'h3fd8a0df,32'h3fe1786b, 32'h3fd1ff36,32'h3fe81a14, 32'h3fc6f1c8,32'h3ff32782,// invsqrt(0.3353) = 1.7269 +32'h3f8acb19,32'h3f70ed7c,32'h3f7ac2ef, 32'h3f698d66,32'h3f811183, 32'h3f5d4297,32'h3f8736ea,// invsqrt(1.0843) = 0.9603 +32'h3f74eb08,32'h3f803f1c,32'h3f857b28, 32'h3f78a427,32'h3f896830, 32'h3f6b8e0a,32'h3f8ff33f,// invsqrt(0.9567) = 1.0224 +32'h3f051d10,32'h3fadf54d,32'h3fb50efd, 32'h3fa8a20a,32'h3fba6240, 32'h3f9fc1ee,32'h3fc3425c,// invsqrt(0.5200) = 1.3868 +32'h3fe94aa9,32'h3f39d518,32'h3f416ada, 32'h3f3424c6,32'h3f471b2c, 32'h3f2aa993,32'h3f50965f,// invsqrt(1.8226) = 0.7407 +32'h3eb19075,32'h3fd501b6,32'h3fddb369, 32'h3fce7c6e,32'h3fe438b0, 32'h3fc39e4e,32'h3fef16d1,// invsqrt(0.3468) = 1.6981 +32'h3cd9bf54,32'h40c059de,32'h40c833be, 32'h40ba7677,32'h40ce1725, 32'h40b0a620,32'h40d7e77c,// invsqrt(0.0266) = 6.1336 +32'h3f97cab5,32'h3f66618f,32'h3f6fc8cf, 32'h3f5f5420,32'h3f76d63e, 32'h3f539311,32'h3f814ba7,// invsqrt(1.1859) = 0.9183 +32'h3f8b6098,32'h3f706c24,32'h3f7a3c50, 32'h3f691004,32'h3f80cc38, 32'h3f5ccbce,32'h3f86ee53,// invsqrt(1.0889) = 0.9583 +32'h401238fc,32'h3f25fa33,32'h3f2cc07e, 32'h3f20e57a,32'h3f31d536, 32'h3f186d9c,32'h3f3a4d14,// invsqrt(2.2847) = 0.6616 +32'h404d96e8,32'h3f0bfa06,32'h3f11b0a2, 32'h3f07b110,32'h3f15f998, 32'h3f008ccc,32'h3f1d1ddc,// invsqrt(3.2123) = 0.5579 +32'h3e2ce9ec,32'h4018a167,32'h401edc3c, 32'h4013f547,32'h4023885b, 32'h400c2bbc,32'h402b51e6,// invsqrt(0.1689) = 2.4335 +32'h3f5b8436,32'h3f8776ac,32'h3f8cfe20, 32'h3f835114,32'h3f9123b8, 32'h3f78cf84,32'h3f980d0a,// invsqrt(0.8575) = 1.0799 +32'h4038a450,32'h3f13b41c,32'h3f19bb76, 32'h3f0f2e99,32'h3f1e40f9, 32'h3f07a568,32'h3f25ca2a,// invsqrt(2.8850) = 0.5887 +32'h3f550b8c,32'h3f89816c,32'h3f8f1e37, 32'h3f854bd4,32'h3f9353d0, 32'h3f7c8fad,32'h3f9a57cd,// invsqrt(0.8322) = 1.0962 +32'h3f5573df,32'h3f895fce,32'h3f8efb3a, 32'h3f852b3d,32'h3f932fcb, 32'h3f7c51ee,32'h3f9a3211,// invsqrt(0.8338) = 1.0951 +32'h3f5911be,32'h3f88399a,32'h3f8dc903, 32'h3f840e0a,32'h3f91f492, 32'h3f7a358c,32'h3f98e7d6,// invsqrt(0.8479) = 1.0860 +32'h3fef4825,32'h3f377dd9,32'h3f3efb26, 32'h3f31dfe0,32'h3f449920, 32'h3f288340,32'h3f4df5c0,// invsqrt(1.8694) = 0.7314 +32'h3d28cec8,32'h409a79c0,32'h40a0c7dc, 32'h4095bf2a,32'h40a58272, 32'h408ddd86,32'h40ad6416,// invsqrt(0.0412) = 4.9259 +32'h3f0b47ae,32'h3faa104f,32'h3fb1014d, 32'h3fa4db90,32'h3fb6360c, 32'h3f9c2e53,32'h3fbee349,// invsqrt(0.5441) = 1.3557 +32'h3e74d560,32'h400044c8,32'h4005810e, 32'h3ff8af25,32'h40096e44, 32'h3feb9874,32'h400ff99c,// invsqrt(0.2391) = 2.0451 +32'h3f65b855,32'h3f846bc1,32'h3f89d36b, 32'h3f805e02,32'h3f8de12a, 32'h3f7338db,32'h3f94a2bf,// invsqrt(0.8973) = 1.0557 +32'h3f990c46,32'h3f656f09,32'h3f6ecc63, 32'h3f5e6906,32'h3f75d266, 32'h3f52b457,32'h3f80c38a,// invsqrt(1.1957) = 0.9145 +32'h3f39e171,32'h3f9335e7,32'h3f99381b, 32'h3f8eb441,32'h3f9db9c1, 32'h3f873181,32'h3fa53c81,// invsqrt(0.7261) = 1.1736 +32'h3f16f6aa,32'h3fa359af,32'h3faa0487, 32'h3f9e598d,32'h3faf04a9, 32'h3f9603ff,32'h3fb75a37,// invsqrt(0.5897) = 1.3022 +32'h3ee56e9c,32'h3fbb6392,32'h3fc30998, 32'h3fb5a70e,32'h3fc8c61c, 32'h3fac1786,32'h3fd255a4,// invsqrt(0.4481) = 1.4939 +32'h3f875a36,32'h3f73f88e,32'h3f7dedce, 32'h3f6c809f,32'h3f82b2df, 32'h3f600e10,32'h3f88ec26,// invsqrt(1.0574) = 0.9725 +32'h40714949,32'h3f013546,32'h3f067b5e, 32'h3efa8169,32'h3f0a6ff0, 32'h3eed522d,32'h3f11078d,// invsqrt(3.7701) = 0.5150 +32'h3f75fd91,32'h3f7feeef,32'h3f853096, 32'h3f781940,32'h3f891b6e, 32'h3f6b0a73,32'h3f8fa2d4,// invsqrt(0.9609) = 1.0201 +32'h3d4f097a,32'h408b7c88,32'h40912e06, 32'h4087376a,32'h40957324, 32'h4080198d,32'h409c9101,// invsqrt(0.0505) = 4.4479 +32'h3f608892,32'h3f85f10d,32'h3f8b689a, 32'h3f81d762,32'h3f8f8244, 32'h3f7603e2,32'h3f9657b5,// invsqrt(0.8771) = 1.0678 +32'h40fa2a61,32'h3eb374a9,32'h3ebac7cb, 32'h3eadf651,32'h3ec04623, 32'h3ea4ce67,32'h3ec96e0d,// invsqrt(7.8177) = 0.3577 +32'h418cc6f3,32'h3e6f395f,32'h3e78fd05, 32'h3e67e6a3,32'h3e8027e1, 32'h3e5bb213,32'h3e864228,// invsqrt(17.5971) = 0.2384 +32'h400e75a1,32'h3f2827bc,32'h3f2f04c9, 32'h3f2301f3,32'h3f342a93, 32'h3f1a6da3,32'h3f3cbee3,// invsqrt(2.2259) = 0.6703 +32'h3f7fd67e,32'h3f7af5a1,32'h3f8299f3, 32'h3f7346ed,32'h3f86714d, 32'h3f667917,32'h3f8cd839,// invsqrt(0.9994) = 1.0003 +32'h3f4dc23f,32'h3f8beb47,32'h3f91a149, 32'h3f87a2c4,32'h3f95e9cc, 32'h3f807f41,32'h3f9d0d4f,// invsqrt(0.8037) = 1.1154 +32'h3eba24f5,32'h3fd00a25,32'h3fd887f3, 32'h3fc9abcb,32'h3fdee64d, 32'h3fbf0e8b,32'h3fe9838d,// invsqrt(0.3636) = 1.6585 +32'h4096b3b1,32'h3ee73672,32'h3ef0a662, 32'h3ee0227e,32'h3ef7ba56, 32'h3ed45693,32'h3f01c321,// invsqrt(4.7094) = 0.4608 +32'h3f43939d,32'h3f8f83dd,32'h3f955f73, 32'h3f8b1f2c,32'h3f99c424, 32'h3f83ccb1,32'h3fa1169f,// invsqrt(0.7640) = 1.1441 +32'h40492ddf,32'h3f0d80ac,32'h3f13473b, 32'h3f092bc1,32'h3f179c27, 32'h3f01f38f,32'h3f1ed459,// invsqrt(3.1434) = 0.5640 +32'h4108bca9,32'h3eaba34a,32'h3eb2a4bb, 32'h3ea66236,32'h3eb7e5d0, 32'h3e9da069,32'h3ec0a79d,// invsqrt(8.5461) = 0.3421 +32'h3f390ea6,32'h3f9389a7,32'h3f998f45, 32'h3f8f0570,32'h3f9e137c, 32'h3f877e6a,32'h3fa59a82,// invsqrt(0.7229) = 1.1762 +32'h3f6d0411,32'h3f825dec,32'h3f87b020, 32'h3f7cc08b,32'h3f8badc6, 32'h3f6f730b,32'h3f925487,// invsqrt(0.9258) = 1.0393 +32'h3f895bc3,32'h3f722ece,32'h3f7c115e, 32'h3f6ac4e2,32'h3f81bda5, 32'h3f5e69ad,32'h3f87eb3f,// invsqrt(1.0731) = 0.9653 +32'h3f86c320,32'h3f74812b,32'h3f7e7bfd, 32'h3f6d050d,32'h3f82fc0e, 32'h3f608b85,32'h3f8938d1,// invsqrt(1.0528) = 0.9746 +32'h3e1c42bb,32'h40208ec7,32'h40271c71, 32'h401ba487,32'h402c06b1, 32'h40137373,32'h403437c5,// invsqrt(0.1526) = 2.5599 +32'h3d59f42a,32'h4087f2c5,32'h408d7f4b, 32'h4083c961,32'h4091a8af, 32'h4079b375,32'h40989856,// invsqrt(0.0532) = 4.3351 +32'h3ebf5d91,32'h3fcd2e96,32'h3fd58e88, 32'h3fc6e6a1,32'h3fdbd67d, 32'h3fbc6eb4,32'h3fe64e6a,// invsqrt(0.3738) = 1.6357 +32'h4001eced,32'h3f30145b,32'h3f374435, 32'h3f2ab078,32'h3f3ca818, 32'h3f21b4a7,32'h3f45a3e9,// invsqrt(2.0301) = 0.7018 +32'h3ea45587,32'h3fdd6a43,32'h3fe673d2, 32'h3fd6a316,32'h3fed3afe, 32'h3fcb5723,32'h3ff886f1,// invsqrt(0.3210) = 1.7651 +32'h3ee5d026,32'h3fbb3bc9,32'h3fc2e030, 32'h3fb5807d,32'h3fc89b7d, 32'h3fabf2fd,32'h3fd228fd,// invsqrt(0.4489) = 1.4926 +32'h400ad1e6,32'h3f2a5865,32'h3f314c55, 32'h3f252172,32'h3f368348, 32'h3f1c7087,32'h3f3f3433,// invsqrt(2.1691) = 0.6790 +32'h40878b72,32'h3ef3cc3b,32'h3efdbfab, 32'h3eec55a7,32'h3f029b20, 32'h3edfe55b,32'h3f08d346,// invsqrt(4.2358) = 0.4859 +32'h3fb6db39,32'h3f51e6d8,32'h3f5a781a, 32'h3f4b79e6,32'h3f60e50c, 32'h3f40c453,32'h3f6b9a9f,// invsqrt(1.4286) = 0.8367 +32'h3fdbbf10,32'h3f3f7963,32'h3f474a19, 32'h3f399cdb,32'h3f4d26a1, 32'h3f2fd7f8,32'h3f56eb84,// invsqrt(1.7168) = 0.7632 +32'h3f31893f,32'h3f96a163,32'h3f9cc752, 32'h3f9204ef,32'h3fa163c5, 32'h3f8a5584,32'h3fa91330,// invsqrt(0.6935) = 1.2008 +32'h40514130,32'h3f0abed0,32'h3f106890, 32'h3f067f81,32'h3f14a7df, 32'h3efed6a3,32'h3f1bbc0e,// invsqrt(3.2696) = 0.5530 +32'h409b747c,32'h3ee3a689,32'h3eecf140, 32'h3edcae7f,32'h3ef3e949, 32'h3ed1111a,32'h3eff86ae,// invsqrt(4.8580) = 0.4537 +32'h3fd6c7ba,32'h3f41acd7,32'h3f49948d, 32'h3f3bbf10,32'h3f4f8254, 32'h3f31dd6d,32'h3f5963f7,// invsqrt(1.6780) = 0.7720 +32'h407ccb39,32'h3efc7747,32'h3f0362a5, 32'h3ef4bcc4,32'h3f073fe6, 32'h3ee7db41,32'h3f0db0a8,// invsqrt(3.9499) = 0.5032 +32'h3f8132fd,32'h3f79b687,32'h3f81f3e3, 32'h3f721198,32'h3f85c65b, 32'h3f65540a,32'h3f8c2522,// invsqrt(1.0094) = 0.9953 +32'h3fe51260,32'h3f3b8948,32'h3f4330d8, 32'h3f35cb9c,32'h3f48ee84, 32'h3f2c3a28,32'h3f527ff8,// invsqrt(1.7896) = 0.7475 +32'h3f86a2ce,32'h3f749e82,32'h3f7e9a88, 32'h3f6d217e,32'h3f830bc6, 32'h3f60a678,32'h3f894949,// invsqrt(1.0518) = 0.9750 +32'h40fcc6ff,32'h3eb286b5,32'h3eb9d020, 32'h3ead0fa6,32'h3ebf4730, 32'h3ea3f3e0,32'h3ec862f6,// invsqrt(7.8993) = 0.3558 +32'h3f1764b7,32'h3fa31e45,32'h3fa9c6b1, 32'h3f9e1ff5,32'h3faec501, 32'h3f95cd6f,32'h3fb71787,// invsqrt(0.5914) = 1.3004 +32'h4028e4e1,32'h3f1a6fa5,32'h3f20bd58, 32'h3f15b55e,32'h3f25779e, 32'h3f0dd43e,32'h3f2d58be,// invsqrt(2.6390) = 0.6156 +32'h3eededb4,32'h3fb8033f,32'h3fbf85fe, 32'h3fb26131,32'h3fc5280d, 32'h3fa8fdc2,32'h3fce8b7c,// invsqrt(0.4647) = 1.4669 +32'h3f14134d,32'h3fa4ef88,32'h3fabaaf0, 32'h3f9fe2f9,32'h3fb0b77f, 32'h3f9778b6,32'h3fb921c2,// invsqrt(0.5784) = 1.3149 +32'h3f6853e4,32'h3f83acf9,32'h3f890cd9, 32'h3f7f4a21,32'h3f8d14c1, 32'h3f71da70,32'h3f93cc9a,// invsqrt(0.9075) = 1.0497 +32'h3ec0f1ee,32'h3fcc5724,32'h3fd4ae4a, 32'h3fc615c7,32'h3fdaefa7, 32'h3fbba8d8,32'h3fe55c96,// invsqrt(0.3768) = 1.6290 +32'h40ad9017,32'h3ed772b6,32'h3ee03dec, 32'h3ed0da4d,32'h3ee6d655, 32'h3ec5dc49,32'h3ef1d459,// invsqrt(5.4238) = 0.4294 +32'h40588b4f,32'h3f0863dc,32'h3f0df500, 32'h3f043702,32'h3f1221da, 32'h3efa832c,32'h3f191746,// invsqrt(3.3835) = 0.5436 +32'h3f4f4587,32'h3f8b6852,32'h3f9118fc, 32'h3f8723d2,32'h3f955d7c, 32'h3f8006fd,32'h3f9c7a51,// invsqrt(0.8097) = 1.1113 +32'h413742ee,32'h3e944241,32'h3e9a4f68, 32'h3e8fb863,32'h3e9ed945, 32'h3e8827f2,32'h3ea669b6,// invsqrt(11.4538) = 0.2955 +32'h3f7e20be,32'h3f7bcd6a,32'h3f830a3f, 32'h3f74181b,32'h3f86e4e6, 32'h3f673f42,32'h3f8d5153,// invsqrt(0.9927) = 1.0037 +32'h3f2a80ea,32'h3f99b499,32'h3f9ffaa9, 32'h3f95000c,32'h3fa4af36, 32'h3f8d2877,32'h3fac86cb,// invsqrt(0.6660) = 1.2253 +32'h403f4486,32'h3f111f67,32'h3f170bc9, 32'h3f0cae1d,32'h3f1b7d13, 32'h3f0546a2,32'h3f22e48e,// invsqrt(2.9886) = 0.5785 +32'h3eb72aff,32'h3fd1b91d,32'h3fda4882, 32'h3fcb4d92,32'h3fe0b40e, 32'h3fc09a55,32'h3feb674b,// invsqrt(0.3577) = 1.6719 +32'h402e8882,32'h3f17ebb3,32'h3f1e1f1d, 32'h3f134523,32'h3f22c5ad, 32'h3f0b84de,32'h3f2a85f2,// invsqrt(2.7271) = 0.6056 +32'h3ed096c7,32'h3fc48746,32'h3fcc8ccc, 32'h3fbe8322,32'h3fd290f0, 32'h3fb47c3b,32'h3fdc97d7,// invsqrt(0.4074) = 1.5667 +32'h3e028151,32'h402fb024,32'h4036dbe6, 32'h402a4f52,32'h403c3cb8, 32'h4021589e,32'h4045336c,// invsqrt(0.1274) = 2.8011 +32'h3f18b650,32'h3fa26994,32'h3fa90aa0, 32'h3f9d70cc,32'h3fae0368, 32'h3f95277e,32'h3fb64cb6,// invsqrt(0.5965) = 1.2947 +32'h3fa0f5e7,32'h3f5fb92d,32'h3f68dadb, 32'h3f58dfea,32'h3f6fb41e, 32'h3f4d75d0,32'h3f7b1e38,// invsqrt(1.2575) = 0.8918 +32'h3ee34719,32'h3fbc4664,32'h3fc3f5ac, 32'h3fb682ee,32'h3fc9b922, 32'h3face7d4,32'h3fd3543c,// invsqrt(0.4439) = 1.5009 +32'h3f665b32,32'h3f843ce9,32'h3f89a2a9, 32'h3f803099,32'h3f8daef9, 32'h3f72e2d0,32'h3f946e2a,// invsqrt(0.8998) = 1.0542 +32'h3e34b4b5,32'h40154db0,32'h401b65c1, 32'h4010bba2,32'h401ff7ce, 32'h40091d8c,32'h402795e4,// invsqrt(0.1765) = 2.3805 +32'h40881b91,32'h3ef34b06,32'h3efd3930, 32'h3eebd866,32'h3f0255e8, 32'h3edf6eb2,32'h3f088ac2,// invsqrt(4.2534) = 0.4849 +32'h3f7f356a,32'h3f7b44c7,32'h3f82c324, 32'h3f7393a8,32'h3f869bb4, 32'h3f66c1c7,32'h3f8d04a4,// invsqrt(0.9969) = 1.0015 +32'h3fb36821,32'h3f53e8fc,32'h3f5c8f3a, 32'h3f4d6c4d,32'h3f630be9, 32'h3f429c7f,32'h3f6ddbb7,// invsqrt(1.4016) = 0.8447 +32'h3f45b873,32'h3f8ebc22,32'h3f948f92, 32'h3f8a5d8f,32'h3f98ee25, 32'h3f831544,32'h3fa03670,// invsqrt(0.7723) = 1.1379 +32'h4030b652,32'h3f16fb2e,32'h3f1d24c7, 32'h3f125bfa,32'h3f21c3fa, 32'h3f0aa7fb,32'h3f2977f9,// invsqrt(2.7611) = 0.6018 +32'h3fdf0bfd,32'h3f3e0d60,32'h3f45cf3a, 32'h3f383bfc,32'h3f4ba09e, 32'h3f2e89ac,32'h3f5552ee,// invsqrt(1.7426) = 0.7575 +32'h3fe6426e,32'h3f3b0d4d,32'h3f42afcd, 32'h3f35536c,32'h3f4869ae, 32'h3f2bc84c,32'h3f51f4ce,// invsqrt(1.7989) = 0.7456 +32'h3f4dcf0f,32'h3f8be6ec,32'h3f919cc2, 32'h3f879e8c,32'h3f95e522, 32'h3f807b42,32'h3f9d086c,// invsqrt(0.8039) = 1.1153 +32'h3f924120,32'h3f6ab3ae,32'h3f744814, 32'h3f638463,32'h3f7b775f, 32'h3f578ae4,32'h3f83b86f,// invsqrt(1.1426) = 0.9355 +32'h3eada970,32'h3fd762fc,32'h3fe02d8e, 32'h3fd0cb0e,32'h3fe6c57c, 32'h3fc5cdd8,32'h3ff1c2b2,// invsqrt(0.3392) = 1.7170 +32'h3f81964b,32'h3f7956c7,32'h3f81c20f, 32'h3f71b4c6,32'h3f85930f, 32'h3f64fc1a,32'h3f8bef65,// invsqrt(1.0124) = 0.9939 +32'h3fb5c609,32'h3f5286a5,32'h3f5b1e6d, 32'h3f4c14cf,32'h3f619043, 32'h3f415715,32'h3f6c4dfd,// invsqrt(1.4201) = 0.8392 +32'h3f0b1ccd,32'h3faa2a83,32'h3fb11c93, 32'h3fa4f4f7,32'h3fb6521f, 32'h3f9c4664,32'h3fbf00b3,// invsqrt(0.5434) = 1.3566 +32'h3f499202,32'h3f8d5d82,32'h3f9322a2, 32'h3f8909ab,32'h3f977679, 32'h3f81d343,32'h3f9eace1,// invsqrt(0.7874) = 1.1270 +32'h3ecab584,32'h3fc75bbe,32'h3fcf7ed5, 32'h3fc1416c,32'h3fd59926, 32'h3fb7158e,32'h3fdfc504,// invsqrt(0.3959) = 1.5893 +32'h3f03e455,32'h3faec310,32'h3fb5e526, 32'h3fa96980,32'h3fbb3eb6, 32'h3fa07ee5,32'h3fc42951,// invsqrt(0.5152) = 1.3932 +32'h408f3b12,32'h3eed2a90,32'h3ef6d8b6, 32'h3ee5e7f4,32'h3efe1b52, 32'h3ed9ce46,32'h3f051a80,// invsqrt(4.4760) = 0.4727 +32'h3f79d731,32'h3f7df425,32'h3f8428da, 32'h3f762dfa,32'h3f880bef, 32'h3f693908,32'h3f8e8668,// invsqrt(0.9759) = 1.0123 +32'h3f4dcf80,32'h3f8be6c5,32'h3f919c99, 32'h3f879e66,32'h3f95e4f8, 32'h3f807b1e,32'h3f9d0840,// invsqrt(0.8039) = 1.1153 +32'h3eb3e014,32'h3fd3a248,32'h3fdc45a4, 32'h3fcd27c3,32'h3fe2c029, 32'h3fc25b91,32'h3fed8c5b,// invsqrt(0.3513) = 1.6871 +32'h4025bcde,32'h3f1be653,32'h3f224351, 32'h3f172094,32'h3f270910, 32'h3f0f2c57,32'h3f2efd4d,// invsqrt(2.5897) = 0.6214 +32'h405c8ef0,32'h3f0724aa,32'h3f0ca8c6, 32'h3f030195,32'h3f10cbdb, 32'h3ef838e5,32'h3f17b0fe,// invsqrt(3.4462) = 0.5387 +32'h4196b273,32'h3e673766,32'h3e70a760, 32'h3e60236b,32'h3e77bb5b, 32'h3e545773,32'h3e81c3aa,// invsqrt(18.8371) = 0.2304 +32'h3f5a27de,32'h3f87e2a8,32'h3f8d6e85, 32'h3f83b9c2,32'h3f91976a, 32'h3f7995db,32'h3f98863f,// invsqrt(0.8522) = 1.0833 +32'h3ef2a927,32'h3fb635a8,32'h3fbda590, 32'h3fb0a1bb,32'h3fc3397d, 32'h3fa755d9,32'h3fcc855f,// invsqrt(0.4739) = 1.4526 +32'h3f375952,32'h3f943933,32'h3f9a45fb, 32'h3f8faf9c,32'h3f9ecf92, 32'h3f881fa2,32'h3fa65f8c,// invsqrt(0.7162) = 1.1816 +32'h3fcb975e,32'h3f46ed0b,32'h3f4f0b9d, 32'h3f40d61d,32'h3f55228b, 32'h3f36afe5,32'h3f5f48c3,// invsqrt(1.5906) = 0.7929 +32'h3f237559,32'h3f9cfba1,32'h3fa363f1, 32'h3f982d65,32'h3fa8322d, 32'h3f902b02,32'h3fb03490,// invsqrt(0.6385) = 1.2515 +32'h3f47ce3c,32'h3f8dfcf9,32'h3f93c89b, 32'h3f89a440,32'h3f982154, 32'h3f8265b6,32'h3f9f5fde,// invsqrt(0.7805) = 1.1319 +32'h41145826,32'h3ea4c93d,32'h3eab8316, 32'h3e9fbddb,32'h3eb08e79, 32'h3e97558c,32'h3eb8f6c8,// invsqrt(9.2715) = 0.3284 +32'h3ef8c706,32'h3fb3f4a7,32'h3fbb4d01, 32'h3fae7263,32'h3fc0cf45, 32'h3fa543f2,32'h3fc9fdb6,// invsqrt(0.4859) = 1.4346 +32'h3e09b388,32'h402b092a,32'h40320450, 32'h4025cccd,32'h403740ad, 32'h401d12dd,32'h403ffa9d,// invsqrt(0.1345) = 2.7270 +32'h4051cf4b,32'h3f0a8fcc,32'h3f1037a0, 32'h3f0651ed,32'h3f14757f, 32'h3efe8048,32'h3f1b8748,// invsqrt(3.2783) = 0.5523 +32'h3f4cfd27,32'h3f8c2e7b,32'h3f91e73c, 32'h3f87e3ea,32'h3f9631cc, 32'h3f80bcf8,32'h3f9d58be,// invsqrt(0.8007) = 1.1175 +32'h3fb37380,32'h3f53e245,32'h3f5c883d, 32'h3f4d65cb,32'h3f6304b7, 32'h3f429654,32'h3f6dd42e,// invsqrt(1.4020) = 0.8446 +32'h4060fba4,32'h3f05cec7,32'h3f0b44ef, 32'h3f01b62a,32'h3f0f5d8c, 32'h3ef5c4f1,32'h3f16313e,// invsqrt(3.5154) = 0.5334 +32'h3f9d4f06,32'h3f624e26,32'h3f6b8acf, 32'h3f5b60a8,32'h3f72784e, 32'h3f4fd4d5,32'h3f7e0421,// invsqrt(1.2290) = 0.9020 +32'h3f7259cd,32'h3f80ec8c,32'h3f862fac, 32'h3f79f468,32'h3f8a2204, 32'h3f6ccc99,32'h3f90b5ec,// invsqrt(0.9467) = 1.0278 +32'h3f22cd26,32'h3f9d4ca4,32'h3fa3b842, 32'h3f987bed,32'h3fa888f9, 32'h3f907568,32'h3fb08f7f,// invsqrt(0.6359) = 1.2540 +32'h40fcfe0e,32'h3eb27347,32'h3eb9bbe7, 32'h3eacfccf,32'h3ebf325f, 32'h3ea3e208,32'h3ec84d26,// invsqrt(7.9060) = 0.3556 +32'h3fdaaf25,32'h3f3ff049,32'h3f47c5d9, 32'h3f3a101d,32'h3f4da605, 32'h3f304529,32'h3f5770f9,// invsqrt(1.7085) = 0.7651 +32'h3f80258c,32'h3f7abc84,32'h3f827c3a, 32'h3f730f8f,32'h3f8652b4, 32'h3f6644a3,32'h3f8cb82b,// invsqrt(1.0011) = 0.9994 +32'h3f56a910,32'h3f88fcbb,32'h3f8e941b, 32'h3f84cb32,32'h3f92c5a4, 32'h3f7b9bf4,32'h3f99c2dc,// invsqrt(0.8385) = 1.0921 +32'h4029c63d,32'h3f1a0903,32'h3f205285, 32'h3f1551e1,32'h3f2509a7, 32'h3f0d75fd,32'h3f2ce58b,// invsqrt(2.6527) = 0.6140 +32'h407276ef,32'h3f00e4cd,32'h3f06279b, 32'h3ef9e563,32'h3f0a19b7, 32'h3eecbe5e,32'h3f10ad39,// invsqrt(3.7885) = 0.5138 +32'h3f11da62,32'h3fa62ffe,32'h3facf87b, 32'h3fa1199f,32'h3fb20ed9, 32'h3f989f03,32'h3fba8975,// invsqrt(0.5697) = 1.3248 +32'h414eb7e0,32'h3e8b980d,32'h3e914aab, 32'h3e875217,32'h3e9590a1, 32'h3e8032d3,32'h3e9cafe5,// invsqrt(12.9199) = 0.2782 +32'h40040aab,32'h3f2ea9b0,32'h3f35cabc, 32'h3f2950e6,32'h3f3b2386, 32'h3f206797,32'h3f440cd5,// invsqrt(2.0632) = 0.6962 +32'h3f342710,32'h3f958856,32'h3f9ba2cc, 32'h3f90f47d,32'h3fa036a5, 32'h3f895369,32'h3fa7d7b9,// invsqrt(0.7037) = 1.1921 +32'h3e487aa5,32'h400dbfde,32'h40138902, 32'h40096904,32'h4017dfdc, 32'h40022d98,32'h401f1b48,// invsqrt(0.1958) = 2.2600 +32'h3f7accfb,32'h3f7d7796,32'h3f83e807, 32'h3f75b53b,32'h3f87c935, 32'h3f68c6a3,32'h3f8e4080,// invsqrt(0.9797) = 1.0103 +32'h3f931ddc,32'h3f6a0359,32'h3f73908b, 32'h3f62d973,32'h3f7aba71, 32'h3f56e8f3,32'h3f835578,// invsqrt(1.1493) = 0.9328 +32'h3ebfb319,32'h3fcd00cb,32'h3fd55edd, 32'h3fc6ba3d,32'h3fdba56b, 32'h3fbc44a5,32'h3fe61b03,// invsqrt(0.3744) = 1.6343 +32'h3ffcf530,32'h3f327668,32'h3f39bf28, 32'h3f2cffd8,32'h3f3f35b8, 32'h3f23e4e7,32'h3f4850a9,// invsqrt(1.9762) = 0.7113 +32'h402a67d4,32'h3f19bfe9,32'h3f20066f, 32'h3f150b03,32'h3f24bb55, 32'h3f0d32db,32'h3f2c937d,// invsqrt(2.6626) = 0.6128 +32'h40284aab,32'h3f1ab656,32'h3f2106ec, 32'h3f15f9e6,32'h3f25c35c, 32'h3f0e152a,32'h3f2da818,// invsqrt(2.6296) = 0.6167 +32'h3fa371ba,32'h3f5e0459,32'h3f671433, 32'h3f573876,32'h3f6de016, 32'h3f4be4a5,32'h3f7933e7,// invsqrt(1.2769) = 0.8850 +32'h3ff70f75,32'h3f349476,32'h3f3bf356, 32'h3f2f0d4e,32'h3f417a7e, 32'h3f25d6b5,32'h3f4ab117,// invsqrt(1.9302) = 0.7198 +32'h3f512d84,32'h3f8ac556,32'h3f906f5a, 32'h3f8685d4,32'h3f94aedc, 32'h3f7ee29e,32'h3f9bc361,// invsqrt(0.8171) = 1.1063 +32'h3f0fd735,32'h3fa75890,32'h3fae2d28, 32'h3fa2391e,32'h3fb34c9a, 32'h3f99af60,32'h3fbbd658,// invsqrt(0.5619) = 1.3341 +32'h3e0b152e,32'h402a2f2c,32'h4031216d, 32'h4024f97c,32'h4036571e, 32'h401c4aac,32'h403f05ee,// invsqrt(0.1358) = 2.7134 +32'h3fbbd0ff,32'h3f4f1c8d,32'h3f5790a8, 32'h3f48c57a,32'h3f5de7bc, 32'h3f3e3458,32'h3f6878de,// invsqrt(1.4673) = 0.8255 +32'h407e2246,32'h3efbcca7,32'h3f0309da, 32'h3ef4175f,32'h3f06e47f, 32'h3ee73e90,32'h3f0d50e6,// invsqrt(3.9708) = 0.5018 +32'h3fa9064a,32'h3f5a5241,32'h3f633b7d, 32'h3f53a354,32'h3f69ea6a, 32'h3f487fc9,32'h3f750df5,// invsqrt(1.3205) = 0.8702 +32'h3f5076cd,32'h3f8b021a,32'h3f90ae98, 32'h3f86c0bb,32'h3f94eff7, 32'h3f7f523a,32'h3f9c0795,// invsqrt(0.8143) = 1.1082 +32'h3efb62ef,32'h3fb304f7,32'h3fba5389, 32'h3fad8a0a,32'h3fbfce76, 32'h3fa467d3,32'h3fc8f0ad,// invsqrt(0.4910) = 1.4271 +32'h40d2c859,32'h3ec380cb,32'h3ecb7b99, 32'h3ebd84b0,32'h3ed177b4, 32'h3eb38b2d,32'h3edb7137,// invsqrt(6.5870) = 0.3896 +32'h3f7a7617,32'h3f7da389,32'h3f83fee7, 32'h3f75dfd7,32'h3f87e0c1, 32'h3f68ef01,32'h3f8e592b,// invsqrt(0.9784) = 1.0110 +32'h3f188e29,32'h3fa27ef2,32'h3fa920dc, 32'h3f9d8582,32'h3fae1a4c, 32'h3f953b1d,32'h3fb664b1,// invsqrt(0.5959) = 1.2954 +32'h3e8d34f8,32'h3feedc1b,32'h3ff89bf3, 32'h3fe78c3a,32'h3fffebd4, 32'h3fdb5c6d,32'h40060dd1,// invsqrt(0.2758) = 1.9042 +32'h3f3e9b3f,32'h3f915fca,32'h3f974ecc, 32'h3f8cec87,32'h3f9bc20f, 32'h3f8581c4,32'h3fa32cd2,// invsqrt(0.7446) = 1.1589 +32'h40addc68,32'h3ed74367,32'h3ee00caf, 32'h3ed0ac71,32'h3ee6a3a5, 32'h3ec5b0d7,32'h3ef19f3f,// invsqrt(5.4332) = 0.4290 +32'h3f706d27,32'h3f81705f,32'h3f86b8e0, 32'h3f7af3fb,32'h3f8aaf41, 32'h3f6dbeb8,32'h3f9149e2,// invsqrt(0.9392) = 1.0319 +32'h3f3c630d,32'h3f923a61,32'h3f983250, 32'h3f8dc06d,32'h3f9cac43, 32'h3f864a82,32'h3fa4222e,// invsqrt(0.7359) = 1.1657 +32'h401296c5,32'h3f25c512,32'h3f2c8932, 32'h3f20b1fa,32'h3f319c4a, 32'h3f183cd2,32'h3f3a1172,// invsqrt(2.2905) = 0.6608 +32'h3fff80a9,32'h3f319251,32'h3f38d1c3, 32'h3f2c22bd,32'h3f3e4157, 32'h3f23136f,32'h3f4750a5,// invsqrt(1.9961) = 0.7078 +32'h3f1c5f42,32'h3fa08021,32'h3fa70d32, 32'h3f9b9654,32'h3fabf6fe, 32'h3f9365fe,32'h3fb42754,// invsqrt(0.6108) = 1.2795 +32'h3fab3b79,32'h3f58e8c8,32'h3f61c343, 32'h3f5244eb,32'h3f68671f, 32'h3f4733d1,32'h3f737839,// invsqrt(1.3378) = 0.8646 +32'h40a72ce5,32'h3edb8684,32'h3ee47c56, 32'h3ed4ce28,32'h3eeb34b2, 32'h3ec99ae2,32'h3ef667f8,// invsqrt(5.2242) = 0.4375 +32'h3ebe85a3,32'h3fcda2bb,32'h3fd60769, 32'h3fc75738,32'h3fdc52ec, 32'h3fbcd95d,32'h3fe6d0c7,// invsqrt(0.3721) = 1.6393 +32'h424abebf,32'h3e0cf482,32'h3e12b559, 32'h3e08a3e2,32'h3e1705fa, 32'h3e0172d6,32'h3e1e3706,// invsqrt(50.6863) = 0.1405 +32'h4064de1a,32'h3f04aad4,32'h3f0a1511, 32'h3f009b27,32'h3f0e24bf, 32'h3ef3acb5,32'h3f14e98b,// invsqrt(3.5761) = 0.5288 +32'h3f401c88,32'h3f90cdba,32'h3f96b6c6, 32'h3f8c5ef0,32'h3f9b2590, 32'h3f84fba0,32'h3fa288e0,// invsqrt(0.7504) = 1.1544 +32'h3ffb0493,32'h3f33269a,32'h3f3a768b, 32'h3f2daaa4,32'h3f3ff280, 32'h3f2486b7,32'h3f49166d,// invsqrt(1.9611) = 0.7141 +32'h3f9ffb6f,32'h3f60680a,32'h3f6990dc, 32'h3f59896d,32'h3f706f79, 32'h3f4e1668,32'h3f7be27f,// invsqrt(1.2499) = 0.8945 +32'h40097cde,32'h3f2b2b27,32'h3f3227b1, 32'h3f25edc0,32'h3f376518, 32'h3f1d3214,32'h3f4020c4,// invsqrt(2.1482) = 0.6823 +32'h3f22f82e,32'h3f9d37de,32'h3fa3a2a4, 32'h3f9867cb,32'h3fa872b7, 32'h3f906254,32'h3fb0782e,// invsqrt(0.6366) = 1.2533 +32'h40059f86,32'h3f2da04d,32'h3f34b685, 32'h3f284fa4,32'h3f3a072e, 32'h3f1f73de,32'h3f42e2f4,// invsqrt(2.0879) = 0.6921 +32'h41503333,32'h3e8b18a9,32'h3e90c613, 32'h3e86d699,32'h3e950823, 32'h3e7f7ba9,32'h3e9c20e7,// invsqrt(13.0125) = 0.2772 +32'h3f159380,32'h3fa41b2c,32'h3faacdea, 32'h3f9f151e,32'h3fafd3f8, 32'h3f96b5b0,32'h3fb83366,// invsqrt(0.5843) = 1.3082 +32'h3f76da49,32'h3f7f7c6a,32'h3f84f4fe, 32'h3f77aa3e,32'h3f88de15, 32'h3f6aa148,32'h3f8f6290,// invsqrt(0.9643) = 1.0184 +32'h3fc1f6ef,32'h3f4bcd7a,32'h3f541f02, 32'h3f459054,32'h3f5a5c28, 32'h3f3b2a6b,32'h3f64c211,// invsqrt(1.5153) = 0.8124 +32'h40f4a98d,32'h3eb57677,32'h3ebcde91, 32'h3eafe864,32'h3ec26ca4, 32'h3ea6a644,32'h3ecbaec4,// invsqrt(7.6457) = 0.3617 +32'h40afaa86,32'h3ed62788,32'h3edee53a, 32'h3ecf9943,32'h3ee5737f, 32'h3ec4ac24,32'h3ef0609e,// invsqrt(5.4896) = 0.4268 +32'h402dde61,32'h3f1835f5,32'h3f1e6c67, 32'h3f138d1f,32'h3f23153d, 32'h3f0bc910,32'h3f2ad94c,// invsqrt(2.7167) = 0.6067 +32'h401b9adf,32'h3f20e549,32'h3f27767b, 32'h3f1bf864,32'h3f2c6360, 32'h3f13c2e5,32'h3f3498df,// invsqrt(2.4313) = 0.6413 +32'h42532d42,32'h3e0a1ccc,32'h3e0fbfee, 32'h3e05e272,32'h3e13fa48, 32'h3dfdad0e,32'h3e1b0633,// invsqrt(52.7942) = 0.1376 +32'h3f2e0653,32'h3f98247c,32'h3f9e5a37, 32'h3f937c2e,32'h3fa30284, 32'h3f8bb903,32'h3faac5af,// invsqrt(0.6798) = 1.2129 +32'h3e5bd666,32'h40075d57,32'h400ce3c3, 32'h40033886,32'h40110894, 32'h3ff8a0fe,32'h4017f09b,// invsqrt(0.2147) = 2.1582 +32'h4091f80f,32'h3eeaee65,32'h3ef4852f, 32'h3ee3bd4d,32'h3efbb647, 32'h3ed7c0cf,32'h3f03d962,// invsqrt(4.5615) = 0.4682 +32'h405adc78,32'h3f07aa8c,32'h3f0d341e, 32'h3f03835e,32'h3f115b4c, 32'h3ef92ecc,32'h3f184744,// invsqrt(3.4197) = 0.5408 +32'h3f310b69,32'h3f96d6e1,32'h3f9cfeff, 32'h3f9238ca,32'h3fa19d16, 32'h3f8a86a5,32'h3fa94f3b,// invsqrt(0.6916) = 1.2025 +32'h3ea6b576,32'h3fdbd519,32'h3fe4ce1f, 32'h3fd51a54,32'h3feb88e4, 32'h3fc9e30c,32'h3ff6c02c,// invsqrt(0.3256) = 1.7525 +32'h3ef6126e,32'h3fb4f136,32'h3fbc53e0, 32'h3faf6737,32'h3fc1dddf, 32'h3fa62be4,32'h3fcb1933,// invsqrt(0.4806) = 1.4425 +32'h3dae16dd,32'h40571f40,32'h405fe70e, 32'h40508965,32'h40667ce9, 32'h40458fa3,32'h407176ab,// invsqrt(0.0850) = 3.4299 +32'h3e94a4b7,32'h3fe8cee3,32'h3ff24f7f, 32'h3fe1ae6f,32'h3ff96ff3, 32'h3fd5cdac,32'h4002a85b,// invsqrt(0.2903) = 1.8559 +32'h4084f9c3,32'h3ef6243f,32'h3f001816, 32'h3eee9b4d,32'h3f03dc90, 32'h3ee20c64,32'h3f0a2404,// invsqrt(4.1555) = 0.4906 +32'h3f705f8d,32'h3f817408,32'h3f86bcb0, 32'h3f7afb15,32'h3f8ab32d, 32'h3f6dc572,32'h3f914dff,// invsqrt(0.9390) = 1.0320 +32'h3f2b1ede,32'h3f996d98,32'h3f9fb0c2, 32'h3f94bb38,32'h3fa46322, 32'h3f8ce742,32'h3fac3718,// invsqrt(0.6684) = 1.2231 +32'h3f2c6972,32'h3f98da3a,32'h3f9f1761, 32'h3f942c5d,32'h3fa3c53f, 32'h3f8c5fed,32'h3fab91af,// invsqrt(0.6735) = 1.2185 +32'h3d9b1154,32'h4063ef46,32'h406d3cf6, 32'h405cf503,32'h40743739, 32'h405153e8,32'h407fd854,// invsqrt(0.0757) = 3.6342 +32'h402dceeb,32'h3f183cba,32'h3f1e7373, 32'h3f1393ae,32'h3f231c7e, 32'h3f0bcf47,32'h3f2ae0e5,// invsqrt(2.7158) = 0.6068 +32'h3e8edef9,32'h3fed76f5,32'h3ff72838, 32'h3fe63202,32'h3ffe6d2a, 32'h3fda146d,32'h4005455f,// invsqrt(0.2790) = 1.8931 +32'h3f883814,32'h3f73318e,32'h3f7d1eae, 32'h3f6bbfb6,32'h3f824843, 32'h3f5f574e,32'h3f887c77,// invsqrt(1.0642) = 0.9694 +32'h3f11ca54,32'h3fa63924,32'h3fad0200, 32'h3fa1227e,32'h3fb218a6, 32'h3f98a76a,32'h3fba93ba,// invsqrt(0.5695) = 1.3251 +32'h3fbb6198,32'h3f4f5a15,32'h3f57d0b3, 32'h3f49011f,32'h3f5e29a9, 32'h3f3e6cda,32'h3f68bdee,// invsqrt(1.4639) = 0.8265 +32'h405d7669,32'h3f06ddf8,32'h3f0c5f31, 32'h3f02bd0d,32'h3f10801b, 32'h3ef7b70a,32'h3f1761a3,// invsqrt(3.4604) = 0.5376 +32'h3ef64443,32'h3fb4dee6,32'h3fbc40d0, 32'h3faf5576,32'h3fc1ca40, 32'h3fa61b12,32'h3fcb04a4,// invsqrt(0.4810) = 1.4419 +32'h3f83216a,32'h3f77de03,32'h3f80fdfc, 32'h3f70478b,32'h3f84c939, 32'h3f63a218,32'h3f8b1bf2,// invsqrt(1.0245) = 0.9880 +32'h3f1749a1,32'h3fa32cdf,32'h3fa9d5e2, 32'h3f9e2e1b,32'h3faed4a5, 32'h3f95dad6,32'h3fb727ea,// invsqrt(0.5910) = 1.3008 +32'h3ebcc382,32'h3fce9758,32'h3fd70602, 32'h3fc84458,32'h3fdd5902, 32'h3fbdba02,32'h3fe7e358,// invsqrt(0.3687) = 1.6469 +32'h3fbd183e,32'h3f4e6909,32'h3f56d5cf, 32'h3f481773,32'h3f5d2765, 32'h3f3d8f7b,32'h3f67af5d,// invsqrt(1.4773) = 0.8227 +32'h3f12aecb,32'h3fa5b77e,32'h3fac7b10, 32'h3fa0a4d0,32'h3fb18dbe, 32'h3f98305a,32'h3fba0235,// invsqrt(0.5730) = 1.3211 +32'h3e2acf79,32'h4019913c,32'h401fd5dc, 32'h4014ddc5,32'h40248953, 32'h400d07fe,32'h402c5f1a,// invsqrt(0.1668) = 2.4485 +32'h404a2de6,32'h3f0d26f7,32'h3f12e9dd, 32'h3f08d4cb,32'h3f173c09, 32'h3f01a12c,32'h3f1e6fa8,// invsqrt(3.1591) = 0.5626 +32'h3fc54869,32'h3f4a14d7,32'h3f525463, 32'h3f43e52f,32'h3f58840b, 32'h3f3995c0,32'h3f62d37a,// invsqrt(1.5413) = 0.8055 +32'h3e3404cc,32'h40159691,32'h401bb19c, 32'h40110248,32'h402045e4, 32'h4009607a,32'h4027e7b2,// invsqrt(0.1758) = 2.3850 +32'h418fe13d,32'h3e6ca174,32'h3e764a01, 32'h3e65630b,32'h3e7d886b, 32'h3e59505c,32'h3e84cd8d,// invsqrt(17.9850) = 0.2358 +32'h3df36b9e,32'h4035ecd2,32'h403d59c0, 32'h40305b1f,32'h4042eb73, 32'h402712f5,32'h404c339d,// invsqrt(0.1189) = 2.9006 +32'h3ee91541,32'h3fb9ea61,32'h3fc18102, 32'h3fb43969,32'h3fc731fb, 32'h3faabd20,32'h3fd0ae44,// invsqrt(0.4552) = 1.4821 +32'h3fae0dec,32'h3f5724c7,32'h3f5feccf, 32'h3f508ec1,32'h3f6682d5, 32'h3f4594b7,32'h3f717cdf,// invsqrt(1.3598) = 0.8576 +32'h3fa6e026,32'h3f5bb8f9,32'h3f64b0d9, 32'h3f54ff11,32'h3f6b6ac1, 32'h3f49c938,32'h3f76a09a,// invsqrt(1.3037) = 0.8758 +32'h3ef1ddec,32'h3fb68226,32'h3fbdf52c, 32'h3fb0ebe1,32'h3fc38b71, 32'h3fa79c18,32'h3fccdb3a,// invsqrt(0.4724) = 1.4549 +32'h3dbb4162,32'h404f6bea,32'h4057e342, 32'h40491268,32'h405e3cc4, 32'h403e7d3a,32'h4068d1f2,// invsqrt(0.0914) = 3.3071 +32'h3f7641ab,32'h3f7fcb89,32'h3f851e2b, 32'h3f77f6f1,32'h3f890878, 32'h3f6ae9f2,32'h3f8f8ef7,// invsqrt(0.9619) = 1.0196 +32'h3f81496d,32'h3f79a0db,32'h3f81e89c, 32'h3f71fc96,32'h3f85babf, 32'h3f654023,32'h3f8c18f9,// invsqrt(1.0101) = 0.9950 +32'h4089d56b,32'h3ef1c3d5,32'h3efba207, 32'h3eea5d2f,32'h3f018457, 32'h3ede0770,32'h3f07af36,// invsqrt(4.3073) = 0.4818 +32'h3f6952ee,32'h3f8364ee,32'h3f88c1de, 32'h3f7ebe75,32'h3f8cc792, 32'h3f71561e,32'h3f937bbd,// invsqrt(0.9114) = 1.0475 +32'h3e21df5b,32'h401dc003,32'h40243057, 32'h4018ebc4,32'h40290496, 32'h4010df5c,32'h403110fe,// invsqrt(0.1581) = 2.5151 +32'h3f334c99,32'h3f95e353,32'h3f9c0181, 32'h3f914cb2,32'h3fa09822, 32'h3f89a6f9,32'h3fa83ddb,// invsqrt(0.7004) = 1.1949 +32'h3e1a6754,32'h40218536,32'h40281cf0, 32'h401c936c,32'h402d0eba, 32'h401455c4,32'h40354c62,// invsqrt(0.1508) = 2.5753 +32'h3f1fc8c9,32'h3f9ec70a,32'h3fa5421a, 32'h3f99eabe,32'h3faa1e66, 32'h3f91d0ea,32'h3fb2383a,// invsqrt(0.6242) = 1.2658 +32'h3f96fe91,32'h3f66fd17,32'h3f706aaf, 32'h3f5feae4,32'h3f777ce2, 32'h3f5421e6,32'h3f81a2f0,// invsqrt(1.1796) = 0.9207 +32'h3f684910,32'h3f83b00a,32'h3f89100b, 32'h3f7f5015,32'h3f8d180c, 32'h3f71e014,32'h3f93d00c,// invsqrt(0.9074) = 1.0498 +32'h3e97f097,32'h3fe644d5,32'h3fefaae9, 32'h3fdf3847,32'h3ff6b777, 32'h3fd378af,32'h40013b87,// invsqrt(0.2968) = 1.8357 +32'h3f525a66,32'h3f8a61f3,32'h3f9007e9, 32'h3f86257c,32'h3f944460, 32'h3f7e2c13,32'h3f9b53d3,// invsqrt(0.8217) = 1.1032 +32'h40155ba8,32'h3f2439d7,32'h3f2aedd5, 32'h3f1f32d8,32'h3f2ff4d4, 32'h3f16d1da,32'h3f3855d2,// invsqrt(2.3337) = 0.6546 +32'h3f2a43bd,32'h3f99d033,32'h3fa01765, 32'h3f951acf,32'h3fa4ccc9, 32'h3f8d41d1,32'h3faca5c7,// invsqrt(0.6651) = 1.2262 +32'h41066817,32'h3ead1e91,32'h3eb42f7d, 32'h3ea7d1e0,32'h3eb97c2e, 32'h3e9efcba,32'h3ec25155,// invsqrt(8.4004) = 0.3450 +32'h3f0f9c58,32'h3fa77ad8,32'h3fae50d7, 32'h3fa25a5a,32'h3fb37156, 32'h3f99cedc,32'h3fbbfcd4,// invsqrt(0.5610) = 1.3351 +32'h3f60841e,32'h3f85f261,32'h3f8b69fc, 32'h3f81d8ac,32'h3f8f83b0, 32'h3f760653,32'h3f965933,// invsqrt(0.8770) = 1.0678 +32'h3fbfd329,32'h3f4cefa8,32'h3f554d08, 32'h3f46a9a0,32'h3f5b9310, 32'h3f3c34e9,32'h3f6607c7,// invsqrt(1.4986) = 0.8169 +32'h3f984a16,32'h3f660122,32'h3f6f6472, 32'h3f5ef6a6,32'h3f766eee, 32'h3f533a83,32'h3f811589,// invsqrt(1.1898) = 0.9168 +32'h3e765b47,32'h3fffbe3d,32'h4005173f, 32'h3ff7ea0c,32'h40090158, 32'h3feaddbb,32'h400f8780,// invsqrt(0.2406) = 2.0388 +32'h3f5eec17,32'h3f866cbf,32'h3f8be959, 32'h3f824f4b,32'h3f9006cd, 32'h3f76e716,32'h3f96e28d,// invsqrt(0.8708) = 1.0716 +32'h3f464fec,32'h3f8e8595,32'h3f9456cb, 32'h3f8a28ae,32'h3f98b3b2, 32'h3f82e32b,32'h3f9ff935,// invsqrt(0.7747) = 1.1362 +32'h3f813141,32'h3f79b835,32'h3f81f4c3, 32'h3f721338,32'h3f85c741, 32'h3f655594,32'h3f8c2613,// invsqrt(1.0093) = 0.9954 +32'h3edede08,32'h3fbe20f7,32'h3fc5e39e, 32'h3fb84efa,32'h3fcbb59c, 32'h3fae9baa,32'h3fd568ec,// invsqrt(0.4353) = 1.5157 +32'h3ff21651,32'h3f366ce2,32'h3f3ddf0b, 32'h3f30d744,32'h3f4374aa, 32'h3f278892,32'h3f4cc35d,// invsqrt(1.8913) = 0.7271 +32'h3f996a89,32'h3f652883,32'h3f6e82fb, 32'h3f5e24a9,32'h3f7586d5, 32'h3f527392,32'h3f809bf6,// invsqrt(1.1986) = 0.9134 +32'h3ecd2319,32'h3fc62cce,32'h3fce4388, 32'h3fc01bc2,32'h3fd45494, 32'h3fb5ff5a,32'h3fde70fc,// invsqrt(0.4007) = 1.5798 +32'h3fff4249,32'h3f31a802,32'h3f38e856, 32'h3f2c37c3,32'h3f3e5895, 32'h3f23275b,32'h3f4768fd,// invsqrt(1.9942) = 0.7081 +32'h3eb14319,32'h3fd5302b,32'h3fdde3c5, 32'h3fcea978,32'h3fe46a78, 32'h3fc3c8f9,32'h3fef4af7,// invsqrt(0.3462) = 1.6995 +32'h3f1ac150,32'h3fa1563a,32'h3fa7ec08, 32'h3f9c65df,32'h3facdc63, 32'h3f942a9e,32'h3fb517a4,// invsqrt(0.6045) = 1.2862 +32'h3f8812d3,32'h3f7352d6,32'h3f7d4152, 32'h3f6bdff9,32'h3f825a17, 32'h3f5f75df,32'h3f888f25,// invsqrt(1.0631) = 0.9699 +32'h402ab278,32'h3f199e48,32'h3f1fe36f, 32'h3f14ea6a,32'h3f24974c, 32'h3f0d13f8,32'h3f2c6dbe,// invsqrt(2.6671) = 0.6123 +32'h40987bf1,32'h3ee5db85,32'h3eef3d4c, 32'h3eded231,32'h3ef646a1, 32'h3ed317f8,32'h3f01006d,// invsqrt(4.7651) = 0.4581 +32'h3fa57d5a,32'h3f5ca404,32'h3f65a57c, 32'h3f55e2ea,32'h3f6c6696, 32'h3f4aa113,32'h3f77a86d,// invsqrt(1.2929) = 0.8795 +32'h3e0d1805,32'h4028f791,32'h402fdd19, 32'h4023cb6a,32'h40350940, 32'h401b2c80,32'h403da82a,// invsqrt(0.1378) = 2.6940 +32'h3f989d23,32'h3f65c284,32'h3f6f2346, 32'h3f5eb9f3,32'h3f762bd7, 32'h3f530101,32'h3f80f264,// invsqrt(1.1923) = 0.9158 +32'h409ddf1c,32'h3ee1e6c9,32'h3eeb1f3a, 32'h3edafc75,32'h3ef2098f, 32'h3ecf75e8,32'h3efd901c,// invsqrt(4.9335) = 0.4502 +32'h3f5f7d20,32'h3f864119,32'h3f8bbbeb, 32'h3f8224fc,32'h3f8fd808, 32'h3f7696ea,32'h3f96b18f,// invsqrt(0.8730) = 1.0703 +32'h4035a27d,32'h3f14ebd5,32'h3f1affe9, 32'h3f105cc7,32'h3f1f8ef7, 32'h3f08c3af,32'h3f27280f,// invsqrt(2.8380) = 0.5936 +32'h3dedf755,32'h4037ff86,32'h403f821e, 32'h40325d94,32'h40452410, 32'h4028fa57,32'h404e874d,// invsqrt(0.1162) = 2.9336 +32'h42901919,32'h3dec7393,32'h3df61a40, 32'h3de53691,32'h3dfd5741, 32'h3dd92638,32'h3e04b3cd,// invsqrt(72.0490) = 0.1178 +32'h3eb83c40,32'h3fd11d5c,32'h3fd9a666, 32'h3fcab696,32'h3fe00d2c, 32'h3fc00b4a,32'h3feab878,// invsqrt(0.3598) = 1.6670 +32'h3f639d5c,32'h3f85082d,32'h3f8a7639, 32'h3f80f5a4,32'h3f8e88c2, 32'h3f745829,32'h3f955252,// invsqrt(0.8891) = 1.0605 +32'h3fb82c42,32'h3f512670,32'h3f59afd8, 32'h3f4abf62,32'h3f6016e6, 32'h3f4013a0,32'h3f6ac2a8,// invsqrt(1.4389) = 0.8337 +32'h3f585294,32'h3f8875bd,32'h3f8e079b, 32'h3f844857,32'h3f923501, 32'h3f7aa402,32'h3f992b57,// invsqrt(0.8450) = 1.0879 +32'h3e12da0c,32'h40259f15,32'h402c61a8, 32'h40208d26,32'h40317396, 32'h401819ee,32'h4039e6ce,// invsqrt(0.1434) = 2.6406 +32'h3ff109f9,32'h3f36d252,32'h3f3e489e, 32'h3f313998,32'h3f43e158, 32'h3f27e5b9,32'h3f4d3537,// invsqrt(1.8831) = 0.7287 +32'h41102e84,32'h3ea725de,32'h3eadf864, 32'h3ea207f9,32'h3eb31649, 32'h3e9980d1,32'h3ebb9d71,// invsqrt(9.0114) = 0.3331 +32'h4008e787,32'h3f2b8869,32'h3f3288c1, 32'h3f264827,32'h3f37c903, 32'h3f1d87b9,32'h3f408971,// invsqrt(2.1391) = 0.6837 +32'h3f8a400b,32'h3f716688,32'h3f7b40ec, 32'h3f6a02be,32'h3f81525b, 32'h3f5db1c1,32'h3f877ada,// invsqrt(1.0801) = 0.9622 +32'h448c2666,32'h3cefc23e,32'h3cf98b7a, 32'h3ce86b51,32'h3d007134, 32'h3cdc2fc6,32'h3d068ef9,// invsqrt(1121.2000) = 0.0299 +32'h401728b3,32'h3f233ea4,32'h3f29e861, 32'h3f1e3f55,32'h3f2ee7af, 32'h3f15eb28,32'h3f373bdc,// invsqrt(2.3619) = 0.6507 +32'h3e2cd65b,32'h4018aa0a,32'h401ee53a, 32'h4013fda7,32'h4023919d, 32'h400c33ab,32'h402b5b99,// invsqrt(0.1688) = 2.4341 +32'h3d78582f,32'h407eb7ae,32'h40848e9b, 32'h4076eb86,32'h408874af, 32'h4069ec9a,32'h408ef425,// invsqrt(0.0606) = 4.0612 +32'h3f0c37ca,32'h3fa97e75,32'h3fb0697f, 32'h3fa44e2e,32'h3fb599c6, 32'h3f9ba861,32'h3fbe3f93,// invsqrt(0.5477) = 1.3512 +32'h409f131f,32'h3ee10bab,32'h3eea3b2a, 32'h3eda280b,32'h3ef11ec9, 32'h3eceacac,32'h3efc9a28,// invsqrt(4.9711) = 0.4485 +32'h3f950f59,32'h3f687b8f,32'h3f71f8c3, 32'h3f615da7,32'h3f7916ab, 32'h3f558125,32'h3f827997,// invsqrt(1.1645) = 0.9267 +32'h3e81c438,32'h3ff92aa4,32'h4001ab17, 32'h3ff189fd,32'h40057b6a, 32'h3fe4d392,32'h400bd6a0,// invsqrt(0.2535) = 1.9863 +32'h3fcb5898,32'h3f470bbd,32'h3f4f2b91, 32'h3f40f3df,32'h3f55436f, 32'h3f36cc16,32'h3f5f6b38,// invsqrt(1.5886) = 0.7934 +32'h3f247ccf,32'h3f9c7db6,32'h3fa2e0e2, 32'h3f97b355,32'h3fa7ab43, 32'h3f8fb75e,32'h3fafa73a,// invsqrt(0.6425) = 1.2475 +32'h402c013e,32'h3f190880,32'h3f1f478a, 32'h3f145938,32'h3f23f6d2, 32'h3f0c8a6b,32'h3f2bc59f,// invsqrt(2.6876) = 0.6100 +32'h3f9d0003,32'h3f628711,32'h3f6bc60d, 32'h3f5b97d5,32'h3f72b549, 32'h3f50091a,32'h3f7e4404,// invsqrt(1.2266) = 0.9029 +32'h3f0538a9,32'h3fade348,32'h3fb4fc3b, 32'h3fa89091,32'h3fba4ef1, 32'h3f9fb161,32'h3fc32e21,// invsqrt(0.5204) = 1.3862 +32'h3f950fbf,32'h3f687b3f,32'h3f71f871, 32'h3f615d5a,32'h3f791656, 32'h3f5580dc,32'h3f82796a,// invsqrt(1.1645) = 0.9267 +32'h3e7facbc,32'h3ffb0a1e,32'h4002a49d, 32'h3ff35aca,32'h40067c47, 32'h3fe68be8,32'h400ce3b8,// invsqrt(0.2497) = 2.0013 +32'h3ece6d59,32'h3fc58e09,32'h3fcd9e48, 32'h3fbf81d9,32'h3fd3aa77, 32'h3fb56d8a,32'h3fddbec6,// invsqrt(0.4032) = 1.5749 +32'h4045b6c4,32'h3f0ebcbe,32'h3f149034, 32'h3f0a5e26,32'h3f18eecc, 32'h3f0315d3,32'h3f20371f,// invsqrt(3.0893) = 0.5689 +32'h3fd677d4,32'h3f41d0e7,32'h3f49ba15, 32'h3f3be204,32'h3f4fa8f8, 32'h3f31fe8b,32'h3f598c71,// invsqrt(1.6755) = 0.7725 +32'h42c86b5b,32'h3dc87e71,32'h3dd0ad66, 32'h3dc25b3a,32'h3dd6d09e, 32'h3db82088,32'h3de10b50,// invsqrt(100.2097) = 0.0999 +32'h401dab75,32'h3f1fd6b2,32'h3f265cd9, 32'h3f1af216,32'h3f2b4176, 32'h3f12ca65,32'h3f336927,// invsqrt(2.4636) = 0.6371 +32'h3f87fae8,32'h3f73683b,32'h3f7d5797, 32'h3f6bf4b7,32'h3f82658e, 32'h3f5f8985,32'h3f889b27,// invsqrt(1.0623) = 0.9702 +32'h411cab6b,32'h3ea05919,32'h3ea6e493, 32'h3e9b707e,32'h3eabcd2e, 32'h3e934227,32'h3eb3fb85,// invsqrt(9.7919) = 0.3196 +32'h3f47199d,32'h3f8e3d53,32'h3f940b95, 32'h3f89e2a1,32'h3f986647, 32'h3f82a0cf,32'h3f9fa819,// invsqrt(0.7777) = 1.1339 +32'h3fc6b87c,32'h3f49595a,32'h3f51913e, 32'h3f432f6f,32'h3f57bb29, 32'h3f38e991,32'h3f620107,// invsqrt(1.5525) = 0.8026 +32'h3e480d82,32'h400de683,32'h4013b13a, 32'h40098e7a,32'h40180944, 32'h40025116,32'h401f46a8,// invsqrt(0.1954) = 2.2624 +32'h408310fa,32'h3ef7ed8e,32'h3f010613, 32'h3ef0569c,32'h3f04d18c, 32'h3ee3b05e,32'h3f0b24ab,// invsqrt(4.0958) = 0.4941 +32'h3f583930,32'h3f887dc0,32'h3f8e0ff2, 32'h3f84501b,32'h3f923d97, 32'h3f7ab2ba,32'h3f993455,// invsqrt(0.8446) = 1.0881 +32'h40276855,32'h3f1b1ec9,32'h3f2173a3, 32'h3f165f26,32'h3f263346, 32'h3f0e7517,32'h3f2e1d55,// invsqrt(2.6157) = 0.6183 +32'h401f08c0,32'h3f1f26ca,32'h3f25a5c2, 32'h3f1a478f,32'h3f2a84fd, 32'h3f1228d9,32'h3f32a3b3,// invsqrt(2.4849) = 0.6344 +32'h41c784c0,32'h3e48f22e,32'h3e5125dc, 32'h3e42cb6b,32'h3e574c9f, 32'h3e388ad1,32'h3e618d39,// invsqrt(24.9398) = 0.2002 +32'h41390000,32'h3e938f7e,32'h3e99955a, 32'h3e8f0b1a,32'h3e9e19be, 32'h3e8783c8,32'h3ea5a110,// invsqrt(11.5625) = 0.2941 +32'h3fbd6469,32'h3f4e3f83,32'h3f56aa99, 32'h3f47ef34,32'h3f5cfae8, 32'h3f3d6959,32'h3f6780c3,// invsqrt(1.4796) = 0.8221 +32'h4044d97f,32'h3f0f0ce0,32'h3f14e39b, 32'h3f0aabd4,32'h3f1944a8, 32'h3f035f6b,32'h3f209111,// invsqrt(3.0758) = 0.5702 +32'h3f9e0bd5,32'h3f61c6d1,32'h3f6afdf3, 32'h3f5add77,32'h3f71e74d, 32'h3f4f588b,32'h3f7d6c39,// invsqrt(1.2347) = 0.8999 +32'h3e20a9c4,32'h401e57b8,32'h4024ce3c, 32'h40197ed4,32'h4029a720, 32'h40116aae,32'h4031bb46,// invsqrt(0.1569) = 2.5246 +32'h40416abc,32'h3f105069,32'h3f163459, 32'h3f0be576,32'h3f1a9f4c, 32'h3f04888a,32'h3f21fc38,// invsqrt(3.0221) = 0.5752 +32'h3e17d02c,32'h4022e480,32'h40298a90, 32'h401de7f4,32'h402e871c, 32'h40159861,32'h4036d6af,// invsqrt(0.1483) = 2.5971 +32'h3ecb898b,32'h3fc6f3cc,32'h3fcf12a6, 32'h3fc0dca9,32'h3fd529c9, 32'h3fb6b61a,32'h3fdf5058,// invsqrt(0.3975) = 1.5860 +32'h42ac6464,32'h3dd82dab,32'h3de10083, 32'h3dd18f89,32'h3de79ea5, 32'h3dc687fb,32'h3df2a633,// invsqrt(86.1961) = 0.1077 +32'h40e8b6cf,32'h3eba1017,32'h3ec1a842, 32'h3eb45df8,32'h3ec75a62, 32'h3eaadfc2,32'h3ed0d898,// invsqrt(7.2723) = 0.3708 +32'h407dcea4,32'h3efbf620,32'h3f031f6e, 32'h3ef43f91,32'h3f06fab5, 32'h3ee764a5,32'h3f0d682c,// invsqrt(3.9657) = 0.5022 +32'h401f7e7d,32'h3f1eec01,32'h3f256893, 32'h3f1a0e93,32'h3f2a4601, 32'h3f11f2dc,32'h3f3261b8,// invsqrt(2.4921) = 0.6335 +32'h403843ff,32'h3f13dab2,32'h3f19e39f, 32'h3f0f5400,32'h3f1e6a50, 32'h3f07c8d7,32'h3f25f579,// invsqrt(2.8792) = 0.5893 +32'h3ef7c0c7,32'h3fb453cb,32'h3fbbb008, 32'h3faece9e,32'h3fc13536, 32'h3fa59b53,32'h3fca6881,// invsqrt(0.4839) = 1.4376 +32'h3fe4ccbf,32'h3f3ba5cf,32'h3f434e89, 32'h3f35e743,32'h3f490d15, 32'h3f2c545b,32'h3f529ffd,// invsqrt(1.7875) = 0.7480 +32'h3f7cd419,32'h3f7c72d9,32'h3f836057, 32'h3f74b87a,32'h3f873d87, 32'h3f67d730,32'h3f8dae2c,// invsqrt(0.9876) = 1.0063 +32'h3ee151bc,32'h3fbd1768,32'h3fc4cf38, 32'h3fb74d8c,32'h3fca9914, 32'h3fada7c8,32'h3fd43ed8,// invsqrt(0.4401) = 1.5074 +32'h3fa1b774,32'h3f5f3323,32'h3f684f59, 32'h3f585dfb,32'h3f6f2481, 32'h3f4cfab8,32'h3f7a87c4,// invsqrt(1.2634) = 0.8897 +32'h3f1bbf44,32'h3fa0d27c,32'h3fa762e9, 32'h3f9be629,32'h3fac4f3b, 32'h3f93b1a0,32'h3fb483c4,// invsqrt(0.6084) = 1.2821 +32'h3f902c5c,32'h3f6c63c7,32'h3f7609cf, 32'h3f652741,32'h3f7d4655, 32'h3f5917b7,32'h3f84aaf0,// invsqrt(1.1264) = 0.9422 +32'h40494b1d,32'h3f0d7665,32'h3f133c88, 32'h3f0921ca,32'h3f179122, 32'h3f01ea1d,32'h3f1ec8cf,// invsqrt(3.1452) = 0.5639 +32'h3fe59d5e,32'h3f3b507d,32'h3f42f5bb, 32'h3f35948e,32'h3f48b1aa, 32'h3f2c0600,32'h3f524038,// invsqrt(1.7939) = 0.7466 +32'h3f45bc9a,32'h3f8ebaa3,32'h3f948e02, 32'h3f8a5c1b,32'h3f98ec89, 32'h3f8313e3,32'h3fa034c1,// invsqrt(0.7724) = 1.1378 +32'h4123b73b,32'h3e9cdc08,32'h3ea3430d, 32'h3e980ec3,32'h3ea81051, 32'h3e900dfc,32'h3eb01118,// invsqrt(10.2322) = 0.3126 +32'h3faa053d,32'h3f59ae53,32'h3f6290df, 32'h3f53046b,32'h3f693ac7, 32'h3f47e93d,32'h3f7455f5,// invsqrt(1.3283) = 0.8677 +32'h3e968e60,32'h3fe75317,32'h3ff0c433, 32'h3fe03e43,32'h3ff7d907, 32'h3fd470e1,32'h4001d334,// invsqrt(0.2941) = 1.8441 +32'h3f88539e,32'h3f7318fd,32'h3f7d051c, 32'h3f6ba7e5,32'h3f823b1a, 32'h3f5f40be,32'h3f886ead,// invsqrt(1.0651) = 0.9690 +32'h3fba064e,32'h3f501b49,32'h3f5899c9, 32'h3f49bc69,32'h3f5ef8a9, 32'h3f3f1e48,32'h3f6996ca,// invsqrt(1.4533) = 0.8295 +32'h3f427f0e,32'h3f8fe9c1,32'h3f95c980, 32'h3f8b81f3,32'h3f9a314f, 32'h3f842a44,32'h3fa188fe,// invsqrt(0.7598) = 1.1473 +32'h3e942dac,32'h3fe92c55,32'h3ff2b0c0, 32'h3fe20903,32'h3ff9d411, 32'h3fd6237c,32'h4002dccc,// invsqrt(0.2894) = 1.8588 +32'h3f343eca,32'h3f957e7e,32'h3f9b988e, 32'h3f90eaf2,32'h3fa02c1a, 32'h3f894a5f,32'h3fa7ccad,// invsqrt(0.7041) = 1.1918 +32'h3c2be577,32'h411914dd,32'h411f5469, 32'h41146534,32'h41240412, 32'h410c95c6,32'h412bd380,// invsqrt(0.0105) = 9.7629 +32'h3f7e14e7,32'h3f7bd347,32'h3f830d4c, 32'h3f741dca,32'h3f86e80b, 32'h3f6744a5,32'h3f8d549e,// invsqrt(0.9925) = 1.0038 +32'h3fa7de7c,32'h3f5b1247,32'h3f640359, 32'h3f545d79,32'h3f6ab827, 32'h3f493022,32'h3f75e57e,// invsqrt(1.3115) = 0.8732 +32'h4025dd01,32'h3f1bd738,32'h3f223398, 32'h3f1711f0,32'h3f26f8e0, 32'h3f0f1e77,32'h3f2eec59,// invsqrt(2.5916) = 0.6212 +32'h3fa9f9ad,32'h3f59b5ba,32'h3f629892, 32'h3f530b97,32'h3f6942b5, 32'h3f47f009,32'h3f745e43,// invsqrt(1.3279) = 0.8678 +32'h3f6e7337,32'h3f81f968,32'h3f874782, 32'h3f7bfdab,32'h3f8b4214, 32'h3f6eba6c,32'h3f91e3b4,// invsqrt(0.9314) = 1.0361 +32'h4022ae75,32'h3f1d5b7a,32'h3f23c7b4, 32'h3f188a4f,32'h3f2898df, 32'h3f108308,32'h3f30a026,// invsqrt(2.5419) = 0.6272 +32'h3f608b7a,32'h3f85f02f,32'h3f8b67b3, 32'h3f81d68b,32'h3f8f8157, 32'h3f76024b,32'h3f9656bc,// invsqrt(0.8771) = 1.0677 +32'h40013da8,32'h3f308b98,32'h3f37c050, 32'h3f2b240e,32'h3f3d27da, 32'h3f222228,32'h3f4629c0,// invsqrt(2.0194) = 0.7037 +32'h407d0bf1,32'h3efc56fc,32'h3f0351d6, 32'h3ef49d76,32'h3f072e99, 32'h3ee7bd98,32'h3f0d9e88,// invsqrt(3.9539) = 0.5029 +32'h402e09d7,32'h3f1822f2,32'h3f1e589e, 32'h3f137ab1,32'h3f2300df, 32'h3f0bb79a,32'h3f2ac3f6,// invsqrt(2.7194) = 0.6064 +32'h3f28c0ad,32'h3f9a8035,32'h3fa0ce95, 32'h3f95c56d,32'h3fa5895d, 32'h3f8de374,32'h3fad6b56,// invsqrt(0.6592) = 1.2317 +32'h3f326625,32'h3f964404,32'h3f9c6624, 32'h3f91aa6d,32'h3fa0ffbb, 32'h3f89ffc5,32'h3fa8aa63,// invsqrt(0.6969) = 1.1979 +32'h3f2b94b6,32'h3f9938df,32'h3f9f79e3, 32'h3f94881c,32'h3fa42aa6, 32'h3f8cb6d7,32'h3fabfbeb,// invsqrt(0.6702) = 1.2215 +32'h3e7383ea,32'h40009d8a,32'h4005dd70, 32'h3ff95b3a,32'h4009cd5d, 32'h3fec3b7b,32'h40105d3d,// invsqrt(0.2378) = 2.0506 +32'h3ffb8c08,32'h3f32f657,32'h3f3a4450, 32'h3f2d7bdc,32'h3f3fbeca, 32'h3f245a64,32'h3f48e042,// invsqrt(1.9652) = 0.7133 +32'h3f12bac9,32'h3fa5b0b8,32'h3fac7404, 32'h3fa09e40,32'h3fb1867c, 32'h3f982a21,32'h3fb9fa9b,// invsqrt(0.5732) = 1.3209 +32'h3d341dba,32'h40958c36,32'h409ba6d5, 32'h4090f83f,32'h40a03acd, 32'h408956f9,32'h40a7dc13,// invsqrt(0.0440) = 4.7687 +32'h3eaba450,32'h3fd8a67f,32'h3fe17e45, 32'h3fd204aa,32'h3fe8201a, 32'h3fc6f6f2,32'h3ff32dd2,// invsqrt(0.3352) = 1.7271 +32'h40058cbd,32'h3f2dac83,32'h3f34c339, 32'h3f285b79,32'h3f3a1443, 32'h3f1f7f15,32'h3f42f0a7,// invsqrt(2.0867) = 0.6923 +32'h3f0adbb8,32'h3faa525f,32'h3fb1460f, 32'h3fa51b9b,32'h3fb67cd3, 32'h3f9c6afe,32'h3fbf2d70,// invsqrt(0.5424) = 1.3578 +32'h3c4fc432,32'h410b3dcc,32'h4110ecbb, 32'h4106fa9a,32'h41152fee, 32'h40ffbfe1,32'h411c4a98,// invsqrt(0.0127) = 8.8802 +32'h41358f19,32'h3e94f3c9,32'h3e9b082f, 32'h3e90647c,32'h3e9f977c, 32'h3e88cafc,32'h3ea730fc,// invsqrt(11.3474) = 0.2969 +32'h403ad4b9,32'h3f12d5f0,32'h3f18d438, 32'h3f0e5739,32'h3f1d52ef, 32'h3f06d95f,32'h3f24d0c9,// invsqrt(2.9192) = 0.5853 +32'h4131bfc0,32'h3e968a49,32'h3e9caf47, 32'h3e91ee8b,32'h3ea14b05, 32'h3e8a404e,32'h3ea8f942,// invsqrt(11.1093) = 0.3000 +32'h3fc78a88,32'h3f48ef44,32'h3f5122d4, 32'h3f42c898,32'h3f574980, 32'h3f388824,32'h3f6189f4,// invsqrt(1.5589) = 0.8009 +32'h3fdacc69,32'h3f3fe373,32'h3f47b87d, 32'h3f3a03ac,32'h3f4d9844, 32'h3f30395f,32'h3f576291,// invsqrt(1.7094) = 0.7649 +32'h3fc72694,32'h3f4921ab,32'h3f515749, 32'h3f42f974,32'h3f577f80, 32'h3f38b66e,32'h3f61c286,// invsqrt(1.5559) = 0.8017 +32'h3dd40bcc,32'h4042eb76,32'h404ae02d, 32'h403cf3ee,32'h4050d7b6, 32'h4033020a,32'h405ac99a,// invsqrt(0.1035) = 3.1078 +32'h3fad1b98,32'h3f57bb28,32'h3f608954, 32'h3f512088,32'h3f6723f4, 32'h3f461ed1,32'h3f7225ab,// invsqrt(1.3524) = 0.8599 +32'h3f92d609,32'h3f6a3c8e,32'h3f73cc16, 32'h3f6310e8,32'h3f7af7bc, 32'h3f571d7d,32'h3f837594,// invsqrt(1.1472) = 0.9337 +32'h3e92eb7a,32'h3fea2b76,32'h3ff3ba4b, 32'h3fe30055,32'h3ffae56b, 32'h3fd70dca,32'h40036bfb,// invsqrt(0.2870) = 1.8668 +32'h3e287e5a,32'h401a9e9a,32'h4020ee38, 32'h4015e2e4,32'h4025a9ee, 32'h400dff5e,32'h402d8d74,// invsqrt(0.1645) = 2.4652 +32'h3f160f0b,32'h3fa3d790,32'h3faa878c, 32'h3f9ed394,32'h3faf8b88, 32'h3f967799,32'h3fb7e783,// invsqrt(0.5862) = 1.3061 +32'h3f1fe7e8,32'h3f9eb796,32'h3fa53204, 32'h3f99dbc3,32'h3faa0dd7, 32'h3f91c2b8,32'h3fb226e2,// invsqrt(0.6246) = 1.2653 +32'h408ab757,32'h3ef0fea4,32'h3efad4ca, 32'h3ee99e07,32'h3f011ab3, 32'h3edd5258,32'h3f07408b,// invsqrt(4.3349) = 0.4803 +32'h3e82a2d8,32'h3ff855fa,32'h40013c6a, 32'h3ff0bbd5,32'h4005097c, 32'h3fe41043,32'h400b5f45,// invsqrt(0.2551) = 1.9797 +32'h3ecfbc55,32'h3fc4ee80,32'h3fccf83c, 32'h3fbee733,32'h3fd2ff89, 32'h3fb4db08,32'h3fdd0bb4,// invsqrt(0.4057) = 1.5699 +32'h3f2110ab,32'h3f9e251b,32'h3fa4998f, 32'h3f994dc4,32'h3fa970e6, 32'h3f913c33,32'h3fb18277,// invsqrt(0.6292) = 1.2607 +32'h3eb59c1b,32'h3fd29ef1,32'h3fdb37b7, 32'h3fcc2c5d,32'h3fe1aa4b, 32'h3fc16d65,32'h3fec6943,// invsqrt(0.3547) = 1.6791 +32'h41e717cf,32'h3e3ab6de,32'h3e4255d7, 32'h3e34ffa2,32'h3e480d12, 32'h3e2b78eb,32'h3e5193c9,// invsqrt(28.8866) = 0.1861 +32'h3f88c667,32'h3f72b2e5,32'h3f7c9ada, 32'h3f6b44ee,32'h3f820469, 32'h3f5ee2fd,32'h3f883562,// invsqrt(1.0686) = 0.9674 +32'h3f5ccaeb,32'h3f87124e,32'h3f8c95aa, 32'h3f82efc9,32'h3f90b82f, 32'h3f78172c,32'h3f979c62,// invsqrt(0.8625) = 1.0768 +32'h3fa7fea5,32'h3f5afd4e,32'h3f63ed85, 32'h3f544924,32'h3f6aa1ae, 32'h3f491cde,32'h3f75cdf4,// invsqrt(1.3125) = 0.8729 +32'h3f82b5b5,32'h3f78440e,32'h3f813317, 32'h3f70aa76,32'h3f84ffe3, 32'h3f63ffce,32'h3f8b5537,// invsqrt(1.0212) = 0.9896 +32'h3f73810f,32'h3f809e4b,32'h3f85de39, 32'h3f795cb1,32'h3f89ce2c, 32'h3f6c3cdd,32'h3f905e15,// invsqrt(0.9512) = 1.0253 +32'h3f61a2ea,32'h3f859d25,32'h3f8b1145, 32'h3f81860c,32'h3f8f285e, 32'h3f7569c6,32'h3f95f987,// invsqrt(0.8814) = 1.0652 +32'h3e53695d,32'h400a0928,32'h400fab7e, 32'h4005cf68,32'h4013e53e, 32'h3ffd88fc,32'h401af028,// invsqrt(0.2065) = 2.2008 +32'h409697d5,32'h3ee74bd4,32'h3ef0bca3, 32'h3ee03738,32'h3ef7d13e, 32'h3ed46a35,32'h3f01cf20,// invsqrt(4.7060) = 0.4610 +32'h3fa5d153,32'h3f5c6c1f,32'h3f656b4f, 32'h3f55acbb,32'h3f6c2ab3, 32'h3f4a6dbe,32'h3f7769b0,// invsqrt(1.2955) = 0.8786 +32'h3da88572,32'h405aa5a6,32'h4063924a, 32'h4053f42c,32'h406a43c4, 32'h4048cc5f,32'h40756b91,// invsqrt(0.0823) = 3.4861 +32'h3f49a0bf,32'h3f8d5857,32'h3f931d41, 32'h3f8904a8,32'h3f9770f0, 32'h3f81ce84,32'h3f9ea714,// invsqrt(0.7876) = 1.1268 +32'h3ebacce5,32'h3fcfac8c,32'h3fd82688, 32'h3fc95110,32'h3fde8204, 32'h3fbeb896,32'h3fe91a7e,// invsqrt(0.3648) = 1.6556 +32'h3f052385,32'h3fadf115,32'h3fb50a99, 32'h3fa89df3,32'h3fba5dbb, 32'h3f9fbe0e,32'h3fc33da0,// invsqrt(0.5201) = 1.3867 +32'h3f2e9c87,32'h3f97e2fd,32'h3f9e160d, 32'h3f933cb2,32'h3fa2bc58, 32'h3f8b7cde,32'h3faa7c2c,// invsqrt(0.6821) = 1.2108 +32'h3fde8bbd,32'h3f3e441b,32'h3f460831, 32'h3f38710a,32'h3f4bdb42, 32'h3f2ebbef,32'h3f55905d,// invsqrt(1.7386) = 0.7584 +32'h3f626a5f,32'h3f85623e,32'h3f8ad3f7, 32'h3f814cf2,32'h3f8ee942, 32'h3f74fd95,32'h3f95b769,// invsqrt(0.8844) = 1.0633 +32'h3f172da2,32'h3fa33bfa,32'h3fa9e59c, 32'h3f9e3cc1,32'h3faee4d5, 32'h3f95e8b7,32'h3fb738df,// invsqrt(0.5905) = 1.3013 +32'h3e5c9a33,32'h40072137,32'h400ca52f, 32'h4002fe3d,32'h4010c829, 32'h3ff8328f,32'h4017ad1e,// invsqrt(0.2154) = 2.1545 +32'h3efd1b03,32'h3fb26911,32'h3fb9b146, 32'h3facf2ea,32'h3fbf276e, 32'h3fa3d8a8,32'h3fc841b0,// invsqrt(0.4943) = 1.4223 +32'h3fc19c74,32'h3f4bfd13,32'h3f54508c, 32'h3f45be79,32'h3f5a8f27, 32'h3f3b5622,32'h3f64f77e,// invsqrt(1.5126) = 0.8131 +32'h3fb6f7b5,32'h3f51d680,32'h3f5a6718, 32'h3f4b6a0f,32'h3f60d389, 32'h3f40b551,32'h3f6b8847,// invsqrt(1.4294) = 0.8364 +32'h401f68f3,32'h3f1ef6bd,32'h3f2573bf, 32'h3f1a18fb,32'h3f2a5181, 32'h3f11fcb8,32'h3f326dc4,// invsqrt(2.4908) = 0.6336 +32'h3f09bd60,32'h3fab030d,32'h3fb1fdf4, 32'h3fa5c6e1,32'h3fb73a21, 32'h3f9d0d41,32'h3fbff3c1,// invsqrt(0.5380) = 1.3633 +32'h3ed0cd70,32'h3fc46d8b,32'h3fcc7204, 32'h3fbe6a31,32'h3fd2755f, 32'h3fb4649a,32'h3fdc7af6,// invsqrt(0.4078) = 1.5659 +32'h3f1b6d7a,32'h3fa0fcc7,32'h3fa78eee, 32'h3f9c0f29,32'h3fac7c8b, 32'h3f93d877,32'h3fb4b33d,// invsqrt(0.6071) = 1.2834 +32'h3f9260c4,32'h3f6a9a4f,32'h3f742dab, 32'h3f636bca,32'h3f7b5c30, 32'h3f577397,32'h3f83aa32,// invsqrt(1.1436) = 0.9351 +32'h40ed5d7d,32'h3eb83b1d,32'h3ebfc024, 32'h3eb29759,32'h3ec563e9, 32'h3ea93111,32'h3ececa31,// invsqrt(7.4177) = 0.3672 +32'h406c192f,32'h3f029eb5,32'h3f07f38e, 32'h3efd3e27,32'h3f0bf330, 32'h3eefea0a,32'h3f129d3f,// invsqrt(3.6890) = 0.5206 +32'h4003214e,32'h3f2f44d6,32'h3f366c38, 32'h3f29e74d,32'h3f3bc9c1, 32'h3f20f613,32'h3f44bafb,// invsqrt(2.0489) = 0.6986 +32'h3f80c961,32'h3f7a1cd5,32'h3f822920, 32'h3f7274c4,32'h3f85fd29, 32'h3f65b1fd,32'h3f8c5e8c,// invsqrt(1.0061) = 0.9969 +32'h4081e918,32'h3ef90745,32'h3f0198ae, 32'h3ef167b2,32'h3f056877, 32'h3ee4b315,32'h3f0bc2c6,// invsqrt(4.0597) = 0.4963 +32'h3fb66376,32'h3f522bb6,32'h3f5abfc8, 32'h3f4bbca9,32'h3f612ed5, 32'h3f410392,32'h3f6be7ec,// invsqrt(1.4249) = 0.8377 +32'h3fbcd85e,32'h3f4e8bef,32'h3f56fa22, 32'h3f483948,32'h3f5d4cc8, 32'h3f3daf87,32'h3f67d689,// invsqrt(1.4754) = 0.8233 +32'h4010890a,32'h3f26f17e,32'h3f2dc1e1, 32'h3f21d533,32'h3f32de2b, 32'h3f1950b7,32'h3f3b62a7,// invsqrt(2.2584) = 0.6654 +32'h3fd7f1ca,32'h3f4126ff,32'h3f49093e, 32'h3f3b3d51,32'h3f4ef2ed, 32'h3f316282,32'h3f58cdbc,// invsqrt(1.6871) = 0.7699 +32'h3d9bf04e,32'h40634c15,32'h406c931b, 32'h405c56d0,32'h40738860, 32'h4050be09,32'h407f2127,// invsqrt(0.0761) = 3.6240 +32'h403a82ed,32'h3f12f61f,32'h3f18f5b7, 32'h3f0e766c,32'h3f1d756a, 32'h3f06f6ed,32'h3f24f4e9,// invsqrt(2.9142) = 0.5858 +32'h3ee49415,32'h3fbbbd10,32'h3fc366bd, 32'h3fb5fdce,32'h3fc925fe, 32'h3fac69b5,32'h3fd2ba17,// invsqrt(0.4464) = 1.4966 +32'h4083768a,32'h3ef78db6,32'h3f00d433, 32'h3eeff9b4,32'h3f049e34, 32'h3ee35859,32'h3f0aeee1,// invsqrt(4.1082) = 0.4934 +32'h3f260fd2,32'h3f9bbf5e,32'h3fa21ac5, 32'h3f96fad0,32'h3fa6df52, 32'h3f8f088f,32'h3faed193,// invsqrt(0.6487) = 1.2416 +32'h3f802a41,32'h3f7ab7e9,32'h3f8279d5, 32'h3f730b19,32'h3f86503d, 32'h3f664068,32'h3f8cb595,// invsqrt(1.0013) = 0.9994 +32'h3ebdd580,32'h3fce020b,32'h3fd66a9d, 32'h3fc7b39d,32'h3fdcb90b, 32'h3fbd30e5,32'h3fe73bc3,// invsqrt(0.3708) = 1.6423 +32'h3e0e82c6,32'h40281ffb,32'h402efcb7, 32'h4022fa6e,32'h40342244, 32'h401a6683,32'h403cb62f,// invsqrt(0.1392) = 2.6806 +32'h440db333,32'h3d289af2,32'h3d2f7cb2, 32'h3d2371a1,32'h3d34a603, 32'h3d1ad770,32'h3d3d4034,// invsqrt(566.8000) = 0.0420 +32'h3fb90f48,32'h3f50a5ff,32'h3f592a29, 32'h3f4a42e0,32'h3f5f8d48, 32'h3f3f9dac,32'h3f6a327c,// invsqrt(1.4458) = 0.8317 +32'h4025ee76,32'h3f1bcf05,32'h3f222b0f, 32'h3f1709fd,32'h3f26f017, 32'h3f0f16ef,32'h3f2ee325,// invsqrt(2.5927) = 0.6210 +32'h3fea5228,32'h3f396c7f,32'h3f40fdfc, 32'h3f33bf60,32'h3f46ab1a, 32'h3f2a4984,32'h3f5020f6,// invsqrt(1.8306) = 0.7391 +32'h4054baec,32'h3f099b78,32'h3f0f3954, 32'h3f056514,32'h3f136fb8, 32'h3efcbf84,32'h3f1a750a,// invsqrt(3.3239) = 0.5485 +32'h3decdf69,32'h40386c1e,32'h403ff325, 32'h4032c6da,32'h4045986a, 32'h40295e12,32'h404f0132,// invsqrt(0.1157) = 2.9404 +32'h3ff8e2a3,32'h3f33eaab,32'h3f3b429d, 32'h3f2e68b5,32'h3f40c493, 32'h3f253ac7,32'h3f49f281,// invsqrt(1.9444) = 0.7171 +32'h42b1ed5a,32'h3dd4ca14,32'h3ddd7982, 32'h3dce4681,32'h3de3fd15, 32'h3dc36b37,32'h3deed85f,// invsqrt(88.9636) = 0.1060 +32'h3e9a8acb,32'h3fe45267,32'h3feda422, 32'h3fdd551a,32'h3ff4a16e, 32'h3fd1aef0,32'h400023cc,// invsqrt(0.3018) = 1.8202 +32'h3e7bbb2b,32'h3ffcff8f,32'h4003a991, 32'h3ff540e0,32'h400788e8, 32'h3fe85869,32'h400dfd24,// invsqrt(0.2458) = 2.0169 +32'h3ebd55b4,32'h3fce4786,32'h3fd6b2ee, 32'h3fc7f6f7,32'h3fdd037d, 32'h3fbd70b4,32'h3fe789c0,// invsqrt(0.3698) = 1.6444 +32'h3e4d7d14,32'h400c02d1,32'h4011b9ca, 32'h4007b997,32'h40160305, 32'h400094e0,32'h401d27bc,// invsqrt(0.2007) = 2.2323 +32'h4017d27b,32'h3f22e343,32'h3f298945, 32'h3f1de6c1,32'h3f2e85c7, 32'h3f15973d,32'h3f36d54b,// invsqrt(2.3722) = 0.6493 +32'h3ec9eeda,32'h3fc7bdb6,32'h3fcfe4ce, 32'h3fc1a065,32'h3fd6021f, 32'h3fb76f88,32'h3fe032fc,// invsqrt(0.3944) = 1.5923 +32'h40f3f96f,32'h3eb5b7ea,32'h3ebd22b0, 32'h3eb027d6,32'h3ec2b2c4, 32'h3ea6e25f,32'h3ecbf83b,// invsqrt(7.6242) = 0.3622 +32'h3d860fe3,32'h40752467,32'h407f25e4, 32'h406da34a,32'h40835381, 32'h4061216f,32'h4089946e,// invsqrt(0.0655) = 3.9085 +32'h3f9f67b6,32'h3f60cfed,32'h3f69fcfb, 32'h3f59ee21,32'h3f70dec7, 32'h3f4e75cf,32'h3f7c5719,// invsqrt(1.2454) = 0.8961 +32'h3e84ba16,32'h3ff65f43,32'h400036cd, 32'h3feed482,32'h4003fc2d, 32'h3fe24296,32'h400a4523,// invsqrt(0.2592) = 1.9641 +32'h400dec52,32'h3f287901,32'h3f2f595f, 32'h3f2350ba,32'h3f3481a6, 32'h3f1ab845,32'h3f3d1a1b,// invsqrt(2.2175) = 0.6715 +32'h3f01b7e7,32'h3fb03854,32'h3fb769a6, 32'h3faad357,32'h3fbccea3, 32'h3fa1d5b0,32'h3fc5cc4a,// invsqrt(0.5067) = 1.4048 +32'h3fbc93c3,32'h3f4eb17d,32'h3f572139, 32'h3f485db0,32'h3f5d7506, 32'h3f3dd205,32'h3f6800b1,// invsqrt(1.4733) = 0.8239 +32'h3e8916e3,32'h3ff26b9d,32'h3ffc50a8, 32'h3feaffd4,32'h4001de38, 32'h3fdea185,32'h40080d60,// invsqrt(0.2678) = 1.9326 +32'h3f5e3a1d,32'h3f86a288,32'h3f8c2154, 32'h3f82836f,32'h3f90406d, 32'h3f7749e0,32'h3f971eec,// invsqrt(0.8681) = 1.0733 +32'h3fd0cb73,32'h3f446e7b,32'h3f4c72fd, 32'h3f3e6b19,32'h3f52765f, 32'h3f346576,32'h3f5c7c02,// invsqrt(1.6312) = 0.7830 +32'h3f6238f4,32'h3f8570cf,32'h3f8ae320, 32'h3f815b11,32'h3f8ef8dd, 32'h3f751856,32'h3f95c7c3,// invsqrt(0.8837) = 1.0638 +32'h4009bea2,32'h3f2b0245,32'h3f31fd24, 32'h3f25c61f,32'h3f37394b, 32'h3f1d0c89,32'h3f3ff2e1,// invsqrt(2.1523) = 0.6816 +32'h3daf6120,32'h40565453,32'h405f13d9, 32'h404fc4ae,32'h4065a37e, 32'h4044d547,32'h407092e5,// invsqrt(0.0856) = 3.4172 +32'h40195821,32'h3f2213cc,32'h3f28b156, 32'h3f1d1da3,32'h3f2da77f, 32'h3f14d8b6,32'h3f35ec6c,// invsqrt(2.3960) = 0.6460 +32'h40156615,32'h3f24341c,32'h3f2ae7de, 32'h3f1f2d4a,32'h3f2feeb0, 32'h3f16cc97,32'h3f384f63,// invsqrt(2.3344) = 0.6545 +32'h3ba97d5a,32'h415a0584,32'h4162eb9f, 32'h415358f1,32'h41699833, 32'h41483950,32'h4174b7d4,// invsqrt(0.0052) = 13.9044 +32'h3fe323c0,32'h3f3c550a,32'h3f4404ec, 32'h3f369122,32'h3f49c8d4, 32'h3f2cf548,32'h3f5364ae,// invsqrt(1.7745) = 0.7507 +32'h40158fa8,32'h3f241d48,32'h3f2ad01c, 32'h3f1f1729,32'h3f2fd63b, 32'h3f16b7a0,32'h3f3835c4,// invsqrt(2.3369) = 0.6542 +32'h3f7c2db4,32'h3f7cc614,32'h3f838ba8, 32'h3f750929,32'h3f876a1d, 32'h3f6823a0,32'h3f8ddce2,// invsqrt(0.9851) = 1.0075 +32'h40c5f6ce,32'h3ec9bbc1,32'h3ed1f7a9, 32'h3ec38ed2,32'h3ed82498, 32'h3eb943f0,32'h3ee26f7a,// invsqrt(6.1864) = 0.4021 +32'h3f448d75,32'h3f8f2889,32'h3f950065, 32'h3f8ac6a4,32'h3f99624a, 32'h3f8378d2,32'h3fa0b01c,// invsqrt(0.7678) = 1.1412 +32'h3f603d37,32'h3f86078c,32'h3f8b8004, 32'h3f81ed31,32'h3f8f9a5f, 32'h3f762d35,32'h3f9670f6,// invsqrt(0.8759) = 1.0685 +32'h40a95de9,32'h3eda19c0,32'h3ee300ae, 32'h3ed36c8e,32'h3ee9ade0, 32'h3ec84be5,32'h3ef4ce89,// invsqrt(5.2927) = 0.4347 +32'h3fa1c6c5,32'h3f5f2892,32'h3f684459, 32'h3f5853bc,32'h3f6f192e, 32'h3f4cf103,32'h3f7a7be7,// invsqrt(1.2639) = 0.8895 +32'h3fcad2eb,32'h3f474d4a,32'h3f4f6fca, 32'h3f41336a,32'h3f5589aa, 32'h3f370849,32'h3f5fb4cb,// invsqrt(1.5846) = 0.7944 +32'h3f5da7de,32'h3f86ceeb,32'h3f8c4f87, 32'h3f82ae76,32'h3f906ffc, 32'h3f779b67,32'h3f9750bf,// invsqrt(0.8658) = 1.0747 +32'h3f16fb40,32'h3fa35734,32'h3faa01f2, 32'h3f9e5725,32'h3faf0201, 32'h3f9601b8,32'h3fb7576f,// invsqrt(0.5898) = 1.3021 +32'h3e633bb9,32'h400524be,32'h400a93f4, 32'h40011155,32'h400ea75d, 32'h3ff48ca0,32'h40157262,// invsqrt(0.2219) = 2.1228 +32'h3f4bdb58,32'h3f8c91fc,32'h3f924ecc, 32'h3f88445f,32'h3f969c69, 32'h3f81185a,32'h3f9dc86e,// invsqrt(0.7963) = 1.1206 +32'h3f037f56,32'h3faf0620,32'h3fb62af3, 32'h3fa9aa83,32'h3fbb8691, 32'h3fa0bc7c,32'h3fc47498,// invsqrt(0.5137) = 1.3953 +32'h3f921b90,32'h3f6ad1d8,32'h3f746778, 32'h3f63a1a0,32'h3f7b97b0, 32'h3f57a697,32'h3f83c95c,// invsqrt(1.1415) = 0.9360 +32'h3f3c9282,32'h3f9227f9,32'h3f981f28, 32'h3f8dae97,32'h3f9c988b, 32'h3f86399c,32'h3fa40d86,// invsqrt(0.7366) = 1.1651 +32'h3f8fa233,32'h3f6cd55c,32'h3f768007, 32'h3f65955c,32'h3f7dc008, 32'h3f598007,32'h3f84eaaf,// invsqrt(1.1221) = 0.9440 +32'h3f1f13ce,32'h3f9f2142,32'h3fa5a001, 32'h3f9a4234,32'h3faa7f10, 32'h3f9223c5,32'h3fb29d7f,// invsqrt(0.6214) = 1.2686 +32'h3f6994a8,32'h3f835270,32'h3f88ae9e, 32'h3f7e9a9a,32'h3f8cb3c1, 32'h3f713426,32'h3f9366fb,// invsqrt(0.9124) = 1.0469 +32'h40911416,32'h3eeba6b2,32'h3ef54502, 32'h3ee46ff6,32'h3efc7bbe, 32'h3ed86a11,32'h3f0440d2,// invsqrt(4.5337) = 0.4696 +32'h3d31f4e6,32'h409673cc,32'h409c97df, 32'h4091d8be,32'h40a132ee, 32'h408a2ba7,32'h40a8e005,// invsqrt(0.0434) = 4.7976 +32'h3fb145d4,32'h3f552e87,32'h3f5de20f, 32'h3f4ea7e1,32'h3f6468b5, 32'h3f43c777,32'h3f6f491f,// invsqrt(1.3849) = 0.8497 +32'h3f38e45c,32'h3f939a85,32'h3f99a0d3, 32'h3f8f15ca,32'h3f9e258e, 32'h3f878de8,32'h3fa5ad70,// invsqrt(0.7222) = 1.1767 +32'h3ff21892,32'h3f366c09,32'h3f3dde29, 32'h3f30d671,32'h3f4373c1, 32'h3f2787ca,32'h3f4cc268,// invsqrt(1.8914) = 0.7271 +32'h3f95e0ad,32'h3f67d8fc,32'h3f714f8e, 32'h3f60c00e,32'h3f78687c, 32'h3f54ebd8,32'h3f821e59,// invsqrt(1.1709) = 0.9241 +32'h3e3e4b72,32'h40117e42,32'h40176e84, 32'h400d0a11,32'h401be2b5, 32'h40059dc0,32'h40234f06,// invsqrt(0.1858) = 2.3197 +32'h3fe87bd4,32'h3f3a27b0,32'h3f41c0d1, 32'h3f3474d6,32'h3f4773aa, 32'h3f2af56d,32'h3f50f313,// invsqrt(1.8163) = 0.7420 +32'h3f34fd3d,32'h3f952fc2,32'h3f9b469a, 32'h3f909e9f,32'h3f9fd7bd, 32'h3f890210,32'h3fa7744c,// invsqrt(0.7070) = 1.1893 +32'h3f63a564,32'h3f8505d4,32'h3f8a73c8, 32'h3f80f35d,32'h3f8e863f, 32'h3f7453d9,32'h3f954faf,// invsqrt(0.8892) = 1.0604 +32'h3fa0aeb2,32'h3f5feaba,32'h3f690e6e, 32'h3f590ff3,32'h3f6fe935, 32'h3f4da352,32'h3f7b55d6,// invsqrt(1.2553) = 0.8925 +32'h3e403adc,32'h4010c24d,32'h4016aae3, 32'h400c53dd,32'h401b1953, 32'h4004f122,32'h40227c0e,// invsqrt(0.1877) = 2.3080 +32'h415452a3,32'h3e89bd3f,32'h3e8f5c7b, 32'h3e8585d2,32'h3e9393e8, 32'h3e7cfd8e,32'h3e9a9af3,// invsqrt(13.2702) = 0.2745 +32'h4187265c,32'h3e742757,32'h3e7e1e7f, 32'h3e6cadf9,32'h3e82cbef, 32'h3e603907,32'h3e890668,// invsqrt(16.8937) = 0.2433 +32'h3b3a53a6,32'h419308c3,32'h4199091e, 32'h418e887e,32'h419d8962, 32'h4187080b,32'h41a509d5,// invsqrt(0.0028) = 18.7544 +32'h3f84f44d,32'h3f76294d,32'h3f801ab8, 32'h3f6ea033,32'h3f83df45, 32'h3f621108,32'h3f8a26da,// invsqrt(1.0387) = 0.9812 +32'h403a1e5e,32'h3f131dcd,32'h3f191f05, 32'h3f0e9ce4,32'h3f1d9fee, 32'h3f071b5e,32'h3f252174,// invsqrt(2.9081) = 0.5864 +32'h404f25f8,32'h3f0b72f0,32'h3f11240a, 32'h3f072e1d,32'h3f1568dd, 32'h3f0010bd,32'h3f1c863d,// invsqrt(3.2367) = 0.5558 +32'h3e832414,32'h3ff7db7f,32'h4000fcad, 32'h3ff0451a,32'h4004c7df, 32'h3fe39fc8,32'h400b1a88,// invsqrt(0.2561) = 1.9759 +32'h3e258124,32'h401c0272,32'h40226096, 32'h40173bd7,32'h40272731, 32'h400f462a,32'h402f1cde,// invsqrt(0.1616) = 2.4874 +32'h3f2f160b,32'h3f97ae3f,32'h3f9ddf27, 32'h3f930990,32'h3fa283d6, 32'h3f8b4c6e,32'h3faa40f8,// invsqrt(0.6839) = 1.2092 +32'h3ec1f7ba,32'h3fcbcd0f,32'h3fd41e93, 32'h3fc58fed,32'h3fda5bb5, 32'h3fbb2a09,32'h3fe4c199,// invsqrt(0.3788) = 1.6247 +32'h40d0aeec,32'h3ec47be7,32'h3ecc80f6, 32'h3ebe781d,32'h3ed284c1, 32'h3eb471ca,32'h3edc8b14,// invsqrt(6.5214) = 0.3916 +32'h3f17f021,32'h3fa2d35e,32'h3fa978ba, 32'h3f9dd758,32'h3fae74c0, 32'h3f9588a4,32'h3fb6c374,// invsqrt(0.5935) = 1.2980 +32'h3f2c66fc,32'h3f98db52,32'h3f9f1884, 32'h3f942d6c,32'h3fa3c66a, 32'h3f8c60ed,32'h3fab92e9,// invsqrt(0.6734) = 1.2186 +32'h3ef2d4f2,32'h3fb6253a,32'h3fbd9475, 32'h3fb091cc,32'h3fc327e2, 32'h3fa746c2,32'h3fcc72ed,// invsqrt(0.4743) = 1.4521 +32'h3edce98a,32'h3fbef7de,32'h3fc6c34a, 32'h3fb91f4d,32'h3fcc9bdb, 32'h3faf6105,32'h3fd65a23,// invsqrt(0.4315) = 1.5224 +32'h3d85f984,32'h407538de,32'h407f3b30, 32'h406db720,32'h40835e77, 32'h4061343a,32'h40899fea,// invsqrt(0.0654) = 3.9098 +32'h3e551aae,32'h40097c8a,32'h400f1922, 32'h40054718,32'h40134e94, 32'h3ffc86b4,32'h401a5252,// invsqrt(0.2081) = 2.1921 +32'h3ebc5edd,32'h3fcece81,32'h3fd73f6d, 32'h3fc879d1,32'h3fdd941d, 32'h3fbdecab,32'h3fe82143,// invsqrt(0.3679) = 1.6487 +32'h3fd0950c,32'h3f448817,32'h3f4c8da5, 32'h3f3e83ec,32'h3f5291d0, 32'h3f347cfb,32'h3f5c98c1,// invsqrt(1.6295) = 0.7834 +32'h41fcdf1b,32'h3e327e32,32'h3e39c744, 32'h3e2d0765,32'h3e3f3e11, 32'h3e23ec0f,32'h3e485967,// invsqrt(31.6089) = 0.1779 +32'h3f677c63,32'h3f83ea35,32'h3f894c95, 32'h3f7fc0da,32'h3f8d565d, 32'h3f724ae9,32'h3f941156,// invsqrt(0.9042) = 1.0516 +32'h3fd4f0c5,32'h3f42828e,32'h3f4a72fc, 32'h3f3c8e3b,32'h3f50674f, 32'h3f32a1b1,32'h3f5a53d9,// invsqrt(1.6636) = 0.7753 +32'h3f7c2caf,32'h3f7cc697,32'h3f838bec, 32'h3f7509a8,32'h3f876a64, 32'h3f682419,32'h3f8ddd2c,// invsqrt(0.9851) = 1.0076 +32'h3dc73df5,32'h404915dd,32'h40514b01, 32'h4042ee03,32'h405772db, 32'h4038ab97,32'h4061b547,// invsqrt(0.0973) = 3.2061 +32'h3f006290,32'h3fb121fc,32'h3fb85cd8, 32'h3fabb5d8,32'h3fbdc8fc, 32'h3fa2ac46,32'h3fc6d28e,// invsqrt(0.5015) = 1.4121 +32'h40456573,32'h3f0eda21,32'h3f14aeca, 32'h3f0a7aa3,32'h3f190e49, 32'h3f0330d1,32'h3f20581b,// invsqrt(3.0843) = 0.5694 +32'h3fa9951c,32'h3f59f63e,32'h3f62dbb9, 32'h3f534a23,32'h3f6987d5, 32'h3f482b49,32'h3f74a6af,// invsqrt(1.3249) = 0.8688 +32'h3f502110,32'h3f8b1eb8,32'h3f90cc62, 32'h3f86dc79,32'h3f950ea1, 32'h3f7f86cb,32'h3f9c27b5,// invsqrt(0.8130) = 1.1091 +32'h3efda6f2,32'h3fb237d4,32'h3fb97e07, 32'h3facc32f,32'h3fbef2ad, 32'h3fa3ab70,32'h3fc80a6c,// invsqrt(0.4954) = 1.4207 +32'h3ef5354f,32'h3fb542b9,32'h3fbca8b7, 32'h3fafb63c,32'h3fc23534, 32'h3fa676bf,32'h3fcb74b1,// invsqrt(0.4789) = 1.4450 +32'h3e818ce1,32'h3ff95fd6,32'h4001c6c6, 32'h3ff1bd8f,32'h400597ea, 32'h3fe5046c,32'h400bf47b,// invsqrt(0.2530) = 1.9880 +32'h3fd9c822,32'h3f4055fb,32'h3f482fb1, 32'h3f3a72b2,32'h3f4e12fa, 32'h3f30a28d,32'h3f57e31f,// invsqrt(1.7014) = 0.7666 +32'h408eb905,32'h3eed9685,32'h3ef74913, 32'h3ee6509b,32'h3efe8efd, 32'h3eda316b,32'h3f055717,// invsqrt(4.4601) = 0.4735 +32'h406c27ac,32'h3f029ab4,32'h3f07ef62, 32'h3efd3662,32'h3f0beee5, 32'h3eefe2ad,32'h3f1298bf,// invsqrt(3.6899) = 0.5206 +32'h3f215a50,32'h3f9e00ff,32'h3fa473fa, 32'h3f992ac4,32'h3fa94a36, 32'h3f911b0a,32'h3fb159f0,// invsqrt(0.6303) = 1.2596 +32'h3f90b2b2,32'h3f6bf5f2,32'h3f75977e, 32'h3f64bcc8,32'h3f7cd0a8, 32'h3f58b2d9,32'h3f846d4c,// invsqrt(1.1305) = 0.9405 +32'h3eacd43a,32'h3fd7e7ae,32'h3fe0b7ab, 32'h3fd14bb1,32'h3fe753a9, 32'h3fc647b5,32'h3ff257a5,// invsqrt(0.3376) = 1.7212 +32'h3e0259d7,32'h402fcabc,32'h4036f795, 32'h402a691a,32'h403c5938, 32'h4021710b,32'h40455147,// invsqrt(0.1273) = 2.8028 +32'h3f27b59e,32'h3f9afb07,32'h3fa14e6b, 32'h3f963c7d,32'h3fa60cf5, 32'h3f8e5440,32'h3fadf532,// invsqrt(0.6551) = 1.2355 +32'h40458e1c,32'h3f0ecb6d,32'h3f149f7c, 32'h3f0a6c62,32'h3f18fe88, 32'h3f032350,32'h3f20479a,// invsqrt(3.0868) = 0.5692 +32'h3fb83fca,32'h3f511b5a,32'h3f59a44e, 32'h3f4ab4a3,32'h3f600b05, 32'h3f400972,32'h3f6ab636,// invsqrt(1.4394) = 0.8335 +32'h3ff5e3aa,32'h3f35026a,32'h3f3c65c8, 32'h3f2f77e5,32'h3f41f04d, 32'h3f263bb0,32'h3f4b2c82,// invsqrt(1.9210) = 0.7215 +32'h3f61d87c,32'h3f858d4b,32'h3f8b00c7, 32'h3f8176af,32'h3f8f1763, 32'h3f754caa,32'h3f95e7bd,// invsqrt(0.8822) = 1.0647 +32'h3fb2a978,32'h3f5459ef,32'h3f5d04ca, 32'h3f4dd9cc,32'h3f6384ee, 32'h3f43043a,32'h3f6e5a80,// invsqrt(1.3958) = 0.8464 +32'h40abd3cd,32'h3ed8888d,32'h3ee15f1a, 32'h3ed1e7a2,32'h3ee80004, 32'h3ec6db71,32'h3ef30c35,// invsqrt(5.3696) = 0.4315 +32'h3e371956,32'h40145317,32'h401a60ee, 32'h400fc8b5,32'h401eeb4f, 32'h40083768,32'h40267c9c,// invsqrt(0.1788) = 2.3649 +32'h3e7df05a,32'h3ffbe566,32'h400316bb, 32'h3ff42f5c,32'h4006f1c0, 32'h3fe75549,32'h400d5ec9,// invsqrt(0.2480) = 2.0081 +32'h3fbbbcbb,32'h3f4f27bb,32'h3f579c4a, 32'h3f48d04f,32'h3f5df3b5, 32'h3f3e3e9b,32'h3f688569,// invsqrt(1.4667) = 0.8257 +32'h3f8c684d,32'h3f6f89f3,32'h3f7950e3, 32'h3f6834bf,32'h3f80530b, 32'h3f5bfc14,32'h3f866f61,// invsqrt(1.0969) = 0.9548 +32'h3f996e9a,32'h3f652579,32'h3f6e7fd1, 32'h3f5e21b6,32'h3f758394, 32'h3f5270c8,32'h3f809a41,// invsqrt(1.1987) = 0.9134 +32'h40063619,32'h3f2d3ecc,32'h3f345108, 32'h3f27f11e,32'h3f399eb6, 32'h3f1f1a53,32'h3f427581,// invsqrt(2.0971) = 0.6906 +32'h40892c2d,32'h3ef258cc,32'h3efc3d12, 32'h3eeaed96,32'h3f01d424, 32'h3ede903e,32'h3f0802d0,// invsqrt(4.2866) = 0.4830 +32'h3fdecfc6,32'h3f3e270c,32'h3f45e9f3, 32'h3f3854e0,32'h3f4bbc20, 32'h3f2ea140,32'h3f556fc0,// invsqrt(1.7407) = 0.7579 +32'h3f6c0343,32'h3f82a4c6,32'h3f87f9de, 32'h3f7d49e9,32'h3f8bf9b0, 32'h3f6ff52d,32'h3f92a40d,// invsqrt(0.9219) = 1.0415 +32'h4035d3be,32'h3f14d7a8,32'h3f1aeae9, 32'h3f104939,32'h3f1f7959, 32'h3f08b128,32'h3f27116a,// invsqrt(2.8410) = 0.5933 +32'h4053f367,32'h3f09dc2e,32'h3f0f7cad, 32'h3f05a3ce,32'h3f13b50c, 32'h3efd365e,32'h3f1abdab,// invsqrt(3.3117) = 0.5495 +32'h400ee4d7,32'h3f27e640,32'h3f2ec0a0, 32'h3f22c277,32'h3f33e469, 32'h3f1a317e,32'h3f3c7562,// invsqrt(2.2327) = 0.6692 +32'h420ff41d,32'h3e2747c2,32'h3e2e1baa, 32'h3e2228d3,32'h3e333a99, 32'h3e199ff1,32'h3e3bc37b,// invsqrt(35.9884) = 0.1667 +32'h3f627dcf,32'h3f855c84,32'h3f8ace02, 32'h3f814766,32'h3f8ee320, 32'h3f74f312,32'h3f95b0fd,// invsqrt(0.8847) = 1.0631 +32'h3fd2720e,32'h3f43a8dc,32'h3f4ba54e, 32'h3f3dab87,32'h3f51a2a3, 32'h3f33aff9,32'h3f5b9e31,// invsqrt(1.6441) = 0.7799 +32'h404eade0,32'h3f0b9b6e,32'h3f114e2e, 32'h3f07555d,32'h3f15943f, 32'h3f0035ed,32'h3f1cb3af,// invsqrt(3.2294) = 0.5565 +32'h3ea8d994,32'h3fda6f27,32'h3fe35991, 32'h3fd3bf57,32'h3fea0961, 32'h3fc89a53,32'h3ff52e65,// invsqrt(0.3298) = 1.7413 +32'h3ff1bc1b,32'h3f368ee9,32'h3f3e0275, 32'h3f30f840,32'h3f43991e, 32'h3f27a7d1,32'h3f4ce98d,// invsqrt(1.8886) = 0.7277 +32'h3f465759,32'h3f8e82ea,32'h3f945404, 32'h3f8a2617,32'h3f98b0d7, 32'h3f82e0b8,32'h3f9ff636,// invsqrt(0.7748) = 1.1361 +32'h3fcd4178,32'h3f461e24,32'h3f4e3445, 32'h3f400d8c,32'h3f5444de, 32'h3f35f1e3,32'h3f5e6087,// invsqrt(1.6036) = 0.7897 +32'h3f4667ff,32'h3f8e7cef,32'h3f944dca, 32'h3f8a204c,32'h3f98aa6e, 32'h3f82db3a,32'h3f9fef80,// invsqrt(0.7750) = 1.1359 +32'h3f6ad699,32'h3f82f84d,32'h3f8850cd, 32'h3f7debd9,32'h3f8c532e, 32'h3f708e97,32'h3f9301ce,// invsqrt(0.9173) = 1.0441 +32'h3fa6791a,32'h3f5bfcf0,32'h3f64f796, 32'h3f5540f3,32'h3f6bb393, 32'h3f4a07a3,32'h3f76ece3,// invsqrt(1.3006) = 0.8769 +32'h3f83bec0,32'h3f7749d5,32'h3f80b0df, 32'h3f6fb7e6,32'h3f8479d7, 32'h3f631a03,32'h3f8ac8c9,// invsqrt(1.0293) = 0.9857 +32'h40221f48,32'h3f1da0e7,32'h3f240ff5, 32'h3f18cd9c,32'h3f28e340, 32'h3f10c2c9,32'h3f30ee13,// invsqrt(2.5332) = 0.6283 +32'h3fca86f9,32'h3f4772a5,32'h3f4f96ab, 32'h3f4157a0,32'h3f55b1b0, 32'h3f372a97,32'h3f5fdeb9,// invsqrt(1.5822) = 0.7950 +32'h3fe6ca03,32'h3f3ad653,32'h3f427695, 32'h3f351e21,32'h3f482ec7, 32'h3f2b95cf,32'h3f51b719,// invsqrt(1.8030) = 0.7447 +32'h3f3ee61f,32'h3f914344,32'h3f97311e, 32'h3f8cd0e2,32'h3f9ba380, 32'h3f856792,32'h3fa30cd0,// invsqrt(0.7457) = 1.1580 +32'h3f13b1a7,32'h3fa52605,32'h3fabe3a7, 32'h3fa017cb,32'h3fb0f1e1, 32'h3f97aac1,32'h3fb95eeb,// invsqrt(0.5769) = 1.3166 +32'h3e027247,32'h402fba44,32'h4036e670, 32'h402a5922,32'h403c4792, 32'h402161eb,32'h40453ec9,// invsqrt(0.1274) = 2.8018 +32'h3f1bfd0d,32'h3fa0b29f,32'h3fa741bf, 32'h3f9bc746,32'h3fac2d18, 32'h3f93945e,32'h3fb46001,// invsqrt(0.6093) = 1.2811 +32'h407d0477,32'h3efc5ab7,32'h3f0353c7, 32'h3ef4a114,32'h3f073098, 32'h3ee7c105,32'h3f0da0a0,// invsqrt(3.9534) = 0.5029 +32'h3f88a280,32'h3f72d2c6,32'h3f7cbc08, 32'h3f6b63d5,32'h3f82157c, 32'h3f5f0043,32'h3f884745,// invsqrt(1.0675) = 0.9679 +32'h3f0d45f8,32'h3fa8dc14,32'h3fafc07e, 32'h3fa3b0c5,32'h3fb4ebcd, 32'h3f9b1342,32'h3fbd8950,// invsqrt(0.5518) = 1.3461 +32'h3effc0c3,32'h3fb17c0f,32'h3fb8ba97, 32'h3fac0d28,32'h3fbe297e, 32'h3fa2fefe,32'h3fc737a8,// invsqrt(0.4995) = 1.4149 +32'h3e181aef,32'h4022bc73,32'h402960e1, 32'h401dc122,32'h402e5c32, 32'h40157399,32'h4036a9bb,// invsqrt(0.1485) = 2.5946 +32'h3e3f5384,32'h401119b7,32'h401705de, 32'h400ca89a,32'h401b76fc, 32'h4005416a,32'h4022de2c,// invsqrt(0.1868) = 2.3135 +32'h404069b4,32'h3f10b0ad,32'h3f16988b, 32'h3f0c42c7,32'h3f1b0671, 32'h3f04e0f3,32'h3f226845,// invsqrt(3.0065) = 0.5767 +32'h4006e5ca,32'h3f2ccdd6,32'h3f33db76, 32'h3f27839e,32'h3f3925ae, 32'h3f1eb295,32'h3f41f6b7,// invsqrt(2.1078) = 0.6888 +32'h40166dfc,32'h3f23a3d4,32'h3f2a51b2, 32'h3f1ea16c,32'h3f2f541a, 32'h3f164816,32'h3f37ad70,// invsqrt(2.3505) = 0.6523 +32'h42dea024,32'h3dbe3b63,32'h3dc5ff1d, 32'h3db86896,32'h3dcbd1ea, 32'h3daeb3ed,32'h3dd58693,// invsqrt(111.3128) = 0.0948 +32'h402c2149,32'h3f18fa41,32'h3f1f38b7, 32'h3f144b69,32'h3f23e78f, 32'h3f0c7d56,32'h3f2bb5a2,// invsqrt(2.6895) = 0.6098 +32'h3f12cecb,32'h3fa5a56e,32'h3fac6843, 32'h3fa0934d,32'h3fb17a63, 32'h3f981fc2,32'h3fb9edee,// invsqrt(0.5735) = 1.3205 +32'h405c878f,32'h3f0726ed,32'h3f0cab21, 32'h3f0303c7,32'h3f10ce47, 32'h3ef83d0d,32'h3f17b388,// invsqrt(3.4458) = 0.5387 +32'h401db154,32'h3f1fd3b9,32'h3f2659c1, 32'h3f1aef34,32'h3f2b3e46, 32'h3f12c7aa,32'h3f3365d0,// invsqrt(2.4639) = 0.6371 +32'h3e42a506,32'h400fdbb7,32'h4015bae3, 32'h400b7456,32'h401a2244, 32'h40041d5f,32'h4021793b,// invsqrt(0.1901) = 2.2937 +32'h3f9f3d16,32'h3f60ee02,32'h3f6a1c4b, 32'h3f5a0b4a,32'h3f70ff02, 32'h3f4e916f,32'h3f7c78dd,// invsqrt(1.2441) = 0.8966 +32'h3fa1fb26,32'h3f5f047a,32'h3f681ec8, 32'h3f5830bf,32'h3f6ef283, 32'h3f4ccfde,32'h3f7a5364,// invsqrt(1.2655) = 0.8889 +32'h3eb3023f,32'h3fd42541,32'h3fdccdf5, 32'h3fcda6ba,32'h3fe34c7c, 32'h3fc2d3d8,32'h3fee1f5e,// invsqrt(0.3496) = 1.6912 +32'h3f820a3f,32'h3f78e784,32'h3f818828, 32'h3f7148eb,32'h3f855774, 32'h3f6495ec,32'h3f8bb0f4,// invsqrt(1.0159) = 0.9921 +32'h3eeff47d,32'h3fb73be8,32'h3fbeb684, 32'h3fb19ff3,32'h3fc45279, 32'h3fa846b1,32'h3fcdabbb,// invsqrt(0.4687) = 1.4607 +32'h3fd96420,32'h3f408234,32'h3f485db8, 32'h3f3a9d90,32'h3f4e425c, 32'h3f30cb2a,32'h3f5814c2,// invsqrt(1.6984) = 0.7673 +32'h3e46745b,32'h400e787f,32'h4014492c, 32'h400a1bfe,32'h4018a5ae, 32'h4002d727,32'h401fea85,// invsqrt(0.1938) = 2.2715 +32'h3f37ffa9,32'h3f93f624,32'h3f9a0030, 32'h3f8f6e9b,32'h3f9e87b9, 32'h3f87e20c,32'h3fa61448,// invsqrt(0.7187) = 1.1795 +32'h3f91f0a6,32'h3f6af45b,32'h3f748b64, 32'h3f63c315,32'h3f7bbcab, 32'h3f57c64a,32'h3f83dcbb,// invsqrt(1.1402) = 0.9365 +32'h3e8305ca,32'h3ff7f823,32'h40010b95, 32'h3ff060df,32'h4004d738, 32'h3fe3ba16,32'h400b2a9c,// invsqrt(0.2559) = 1.9768 +32'h3e05fb37,32'h402d64d9,32'h403478a4, 32'h40281602,32'h4039c77c, 32'h401f3d46,32'h4042a039,// invsqrt(0.1308) = 2.7646 +32'h40676a32,32'h3f03ef64,32'h3f0951fb, 32'h3effcae8,32'h3f0d5bec, 32'h3ef25470,32'h3f141728,// invsqrt(3.6159) = 0.5259 +32'h3f79075d,32'h3f7e5e07,32'h3f845ff4, 32'h3f76949f,32'h3f8844a9, 32'h3f699a46,32'h3f8ec1d5,// invsqrt(0.9728) = 1.0139 +32'h3e3f145f,32'h401131af,32'h40171ed1, 32'h400cbfd6,32'h401b90aa, 32'h4005576d,32'h4022f913,// invsqrt(0.1866) = 2.3150 +32'h3f99e4c7,32'h3f64cd6d,32'h3f6e242d, 32'h3f5dcc5c,32'h3f75253e, 32'h3f521fec,32'h3f8068d7,// invsqrt(1.2023) = 0.9120 +32'h3f564764,32'h3f891bf0,32'h3f8eb496, 32'h3f84e973,32'h3f92e713, 32'h3f7bd545,32'h3f99e5e3,// invsqrt(0.8370) = 1.0930 +32'h3f40f403,32'h3f907cc8,32'h3f966288, 32'h3f8c1079,32'h3f9aced7, 32'h3f84b14a,32'h3fa22e06,// invsqrt(0.7537) = 1.1518 +32'h3e8592bb,32'h3ff59726,32'h3fff9d51, 32'h3fee1285,32'h400390f9, 32'h3fe18acf,32'h4009d4d4,// invsqrt(0.2609) = 1.9578 +32'h40501171,32'h3f0b23f1,32'h3f10d1d1, 32'h3f06e189,32'h3f151439, 32'h3eff9062,32'h3f1c2d91,// invsqrt(3.2511) = 0.5546 +32'h4170b0c1,32'h3e815e30,32'h3e86a5f4, 32'h3e7ad0bc,32'h3e8a9bc6, 32'h3e6d9d54,32'h3e91357a,// invsqrt(15.0432) = 0.2578 +32'h3f04ffda,32'h3fae0867,32'h3fb522de, 32'h3fa8b48d,32'h3fba76b7, 32'h3f9fd378,32'h3fc357cc,// invsqrt(0.5195) = 1.3874 +32'h3f7daa9d,32'h3f7c0804,32'h3f8328be, 32'h3f7450ea,32'h3f87044b, 32'h3f677513,32'h3f8d7236,// invsqrt(0.9909) = 1.0046 +32'h3fa1ca7a,32'h3f5f2603,32'h3f6841b0, 32'h3f585142,32'h3f6f1672, 32'h3f4ceeab,32'h3f7a7909,// invsqrt(1.2640) = 0.8895 +32'h40afcd43,32'h3ed6125e,32'h3edecf33, 32'h3ecf84bf,32'h3ee55cd3, 32'h3ec498b5,32'h3ef048dd,// invsqrt(5.4938) = 0.4266 +32'h3f6bcd63,32'h3f82b3b2,32'h3f880966, 32'h3f7d66d7,32'h3f8c09ad, 32'h3f701096,32'h3f92b4cd,// invsqrt(0.9211) = 1.0419 +32'h3eb8c5df,32'h3fd0cf6e,32'h3fd95548, 32'h3fca6b0a,32'h3fdfb9ac, 32'h3fbfc3b8,32'h3fea60fe,// invsqrt(0.3609) = 1.6646 +32'h400b1746,32'h3f2a2de4,32'h3f312018, 32'h3f24f83e,32'h3f3655be, 32'h3f1c497e,32'h3f3f047e,// invsqrt(2.1733) = 0.6783 +32'h3f23976f,32'h3f9ceb45,32'h3fa352ea, 32'h3f981d8a,32'h3fa820a6, 32'h3f901bfc,32'h3fb02234,// invsqrt(0.6390) = 1.2509 +32'h3ebe4b0f,32'h3fcdc25f,32'h3fd62859, 32'h3fc775e4,32'h3fdc74d4, 32'h3fbcf66c,32'h3fe6f44c,// invsqrt(0.3717) = 1.6403 +32'h3f9e1f0e,32'h3f61b917,32'h3f6aefab, 32'h3f5ad029,32'h3f71d899, 32'h3f4f4bf1,32'h3f7d5cd1,// invsqrt(1.2353) = 0.8997 +32'h40ccdf59,32'h3ec64d90,32'h3ece65a0, 32'h3ec03b84,32'h3ed477ac, 32'h3eb61d6f,32'h3ede95c1,// invsqrt(6.4023) = 0.3952 +32'h3f8da275,32'h3f6e7fb7,32'h3f783bc9, 32'h3f6732aa,32'h3f7f88d6, 32'h3f5b0793,32'h3f85d9f6,// invsqrt(1.1065) = 0.9506 +32'h3ef68621,32'h3fb4c6bb,32'h3fbc27a9, 32'h3faf3e09,32'h3fc1b05b, 32'h3fa604e0,32'h3fcae984,// invsqrt(0.4815) = 1.4411 +32'h40180208,32'h3f22c9c7,32'h3f296ebf, 32'h3f1dce0c,32'h3f2e6a7a, 32'h3f157fd6,32'h3f36b8b0,// invsqrt(2.3751) = 0.6489 +32'h402ed989,32'h3f17c87c,32'h3f1dfa76, 32'h3f132300,32'h3f229ff2, 32'h3f0b6486,32'h3f2a5e6c,// invsqrt(2.7320) = 0.6050 +32'h40f7ee49,32'h3eb4433e,32'h3ebb9ece, 32'h3eaebe92,32'h3ec1237a, 32'h3ea58c1f,32'h3eca55ed,// invsqrt(7.7478) = 0.3593 +32'h3f7543a9,32'h3f8027ee,32'h3f856308, 32'h3f787736,32'h3f894f5b, 32'h3f6b6377,32'h3f8fd93a,// invsqrt(0.9581) = 1.0217 +32'h42078165,32'h3e2c6a81,32'h3e337413, 32'h3e272353,32'h3e38bb41, 32'h3e1e575c,32'h3e418738,// invsqrt(33.8764) = 0.1718 +32'h3f182806,32'h3fa2b573,32'h3fa95997, 32'h3f9dba58,32'h3fae54b2, 32'h3f956d2b,32'h3fb6a1df,// invsqrt(0.5944) = 1.2971 +32'h41234dc1,32'h3e9d0ea8,32'h3ea377be, 32'h3e983fd7,32'h3ea8468f, 32'h3e903c7b,32'h3eb049eb,// invsqrt(10.2065) = 0.3130 +32'h3ec21fb6,32'h3fcbb811,32'h3fd408b9, 32'h3fc57b93,32'h3fda4537, 32'h3fbb16c1,32'h3fe4aa09,// invsqrt(0.3791) = 1.6240 +32'h3fa717a9,32'h3f5b9476,32'h3f648ad9, 32'h3f54dbac,32'h3f6b43a4, 32'h3f49a7b1,32'h3f76779f,// invsqrt(1.3054) = 0.8752 +32'h3e28693b,32'h401aa84c,32'h4020f84f, 32'h4015ec49,32'h4025b451, 32'h400e0845,32'h402d9855,// invsqrt(0.1645) = 2.4658 +32'h3fe47d8c,32'h3f3bc651,32'h3f43705f, 32'h3f3606c7,32'h3f492fe9, 32'h3f2c7236,32'h3f52c47a,// invsqrt(1.7851) = 0.7485 +32'h3f2da08d,32'h3f98510c,32'h3f9e889a, 32'h3f93a762,32'h3fa33244, 32'h3f8be1f1,32'h3faaf7b5,// invsqrt(0.6782) = 1.2143 +32'h3f4d8c2d,32'h3f8bfdad,32'h3f91b470, 32'h3f87b49a,32'h3f95fd82, 32'h3f809026,32'h3f9d21f6,// invsqrt(0.8029) = 1.1160 +32'h3f752cd6,32'h3f802de5,32'h3f85693d, 32'h3f7882c7,32'h3f8955bf, 32'h3f6b6e6b,32'h3f8fdfec,// invsqrt(0.9577) = 1.0218 +32'h3eb1c904,32'h3fd4dfd1,32'h3fdd9023, 32'h3fce5b94,32'h3fe41460, 32'h3fc37f2e,32'h3feef0c6,// invsqrt(0.3472) = 1.6970 +32'h3e4da95c,32'h400bf3be,32'h4011aa19, 32'h4007aaf9,32'h4015f2dd, 32'h40008707,32'h401d16cf,// invsqrt(0.2008) = 2.2314 +32'h41f0a0c6,32'h3e36fa44,32'h3e3e7232, 32'h3e316052,32'h3e440c24, 32'h3e280a68,32'h3e4d620e,// invsqrt(30.0785) = 0.1823 +32'h3e66518f,32'h40043fad,32'h4009a58b, 32'h40003347,32'h400db1f1, 32'h3ff2e7e6,32'h40147145,// invsqrt(0.2249) = 2.1086 +32'h3fd887e5,32'h3f40e401,32'h3f48c383, 32'h3f3afc5f,32'h3f4eab25, 32'h3f3124fb,32'h3f588289,// invsqrt(1.6916) = 0.7689 +32'h40078140,32'h3f2c6a99,32'h3f33742c, 32'h3f27236a,32'h3f38bb5a, 32'h3f1e5772,32'h3f418752,// invsqrt(2.1173) = 0.6872 +32'h3f2e1631,32'h3f981d8c,32'h3f9e5300, 32'h3f937576,32'h3fa2fb16, 32'h3f8bb2a5,32'h3faabde7,// invsqrt(0.6800) = 1.2127 +32'h3f9d0a0c,32'h3f627fd4,32'h3f6bbe84, 32'h3f5b90d0,32'h3f72ad88, 32'h3f500274,32'h3f7e3be4,// invsqrt(1.2269) = 0.9028 +32'h3f738358,32'h3f809db0,32'h3f85dd98, 32'h3f795b84,32'h3f89cd86, 32'h3f6c3bc1,32'h3f905d68,// invsqrt(0.9512) = 1.0253 +32'h400bd70a,32'h3f29b90d,32'h3f30a67b, 32'h3f2486fa,32'h3f35d88e, 32'h3f1bde30,32'h3f3e8158,// invsqrt(2.1850) = 0.6765 +32'h3fd07990,32'h3f44950b,32'h3f4c9b21, 32'h3f3e907b,32'h3f529fb1, 32'h3f3488e1,32'h3f5ca74b,// invsqrt(1.6287) = 0.7836 +32'h3f4dd760,32'h3f8be418,32'h3f9199d0, 32'h3f879bce,32'h3f95e21a, 32'h3f8078a8,32'h3f9d0540,// invsqrt(0.8041) = 1.1152 +32'h3f234acc,32'h3f9d1014,32'h3fa37939, 32'h3f984137,32'h3fa84815, 32'h3f903dc9,32'h3fb04b83,// invsqrt(0.6379) = 1.2521 +32'h41eb9fc0,32'h3e38e90d,32'h3e40752d, 32'h3e333ff5,32'h3e461e45, 32'h3e29d0cd,32'h3e4f8d6d,// invsqrt(29.4530) = 0.1843 +32'h3fb0e18e,32'h3f556aeb,32'h3f5e20eb, 32'h3f4ee26c,32'h3f64a96a, 32'h3f43feed,32'h3f6f8ce9,// invsqrt(1.3819) = 0.8507 +32'h3dfb3699,32'h403314c2,32'h403a63f9, 32'h402d9959,32'h403fdf63, 32'h40247655,32'h40490267,// invsqrt(0.1227) = 2.8552 +32'h3f833b2e,32'h3f77c5ad,32'h3f80f152, 32'h3f702ff4,32'h3f84bc2f, 32'h3f638bbe,32'h3f8b0e4a,// invsqrt(1.0252) = 0.9876 +32'h3f3925c8,32'h3f93806f,32'h3f9985ad, 32'h3f8efc80,32'h3f9e099c, 32'h3f8775f3,32'h3fa59029,// invsqrt(0.7232) = 1.1759 +32'h3fcb0f65,32'h3f472f9a,32'h3f4f50e4, 32'h3f4116a2,32'h3f5569dc, 32'h3f36ed06,32'h3f5f9379,// invsqrt(1.5864) = 0.7939 +32'h3e915409,32'h3feb72d3,32'h3ff50f05, 32'h3fe43dad,32'h3ffc442b, 32'h3fd83a6e,32'h400423b5,// invsqrt(0.2838) = 1.8770 +32'h3f2eb37a,32'h3f97d903,32'h3f9e0bab, 32'h3f933306,32'h3fa2b1a8, 32'h3f8b73b5,32'h3faa70f9,// invsqrt(0.6824) = 1.2105 +32'h3f6d5696,32'h3f824741,32'h3f879887, 32'h3f7c9498,32'h3f8b957c, 32'h3f6f4967,32'h3f923b14,// invsqrt(0.9271) = 1.0386 +32'h3f1e36ed,32'h3f9f9030,32'h3fa61376, 32'h3f9aadbc,32'h3faaf5ea, 32'h3f9289a4,32'h3fb31a02,// invsqrt(0.6180) = 1.2720 +32'h3f68eeeb,32'h3f838120,32'h3f88df36, 32'h3f7ef51e,32'h3f8ce5c7, 32'h3f7189e7,32'h3f939b62,// invsqrt(0.9099) = 1.0483 +32'h3ef2ff42,32'h3fb6155d,32'h3fbd83f3, 32'h3fb0826c,32'h3fc316e4, 32'h3fa73831,32'h3fcc611f,// invsqrt(0.4746) = 1.4516 +32'h3f616454,32'h3f85afb1,32'h3f8b2493, 32'h3f819807,32'h3f8f3c3d, 32'h3f758bd7,32'h3f960e59,// invsqrt(0.8804) = 1.0657 +32'h3ee050ba,32'h3fbd839c,32'h3fc53fd7, 32'h3fb7b671,32'h3fcb0d03, 32'h3fae0b27,32'h3fd4b84d,// invsqrt(0.4381) = 1.5108 +32'h3f514e46,32'h3f8aba7a,32'h3f90640c, 32'h3f867b4c,32'h3f94a33a, 32'h3f7eceac,32'h3f9bb730,// invsqrt(0.8176) = 1.1059 +32'h3f9c1da4,32'h3f632b12,32'h3f6c70c0, 32'h3f5c36d1,32'h3f736501, 32'h3f509fb8,32'h3f7efc1a,// invsqrt(1.2197) = 0.9055 +32'h40a6e54e,32'h3edbb594,32'h3ee4ad51, 32'h3ed4fbc7,32'h3eeb671f, 32'h3ec9c61b,32'h3ef69ccb,// invsqrt(5.2155) = 0.4379 +32'h3f2833d1,32'h3f9ac0d8,32'h3fa111dc, 32'h3f960416,32'h3fa5ce9e, 32'h3f8e1ed1,32'h3fadb3e3,// invsqrt(0.6570) = 1.2337 +32'h3fb94327,32'h3f5088c7,32'h3f590bc0, 32'h3f4a268d,32'h3f5f6dfb, 32'h3f3f82d7,32'h3f6a11b1,// invsqrt(1.4474) = 0.8312 +32'h40a0193f,32'h3ee05324,32'h3ee97b1c, 32'h3ed9752b,32'h3ef05915, 32'h3ece0336,32'h3efbcb0a,// invsqrt(5.0031) = 0.4471 +32'h3e1196e8,32'h4026567c,32'h402d208c, 32'h40213ef1,32'h40323817, 32'h4018c25d,32'h403ab4ab,// invsqrt(0.1422) = 2.6521 +32'h3ff6f83b,32'h3f349cf4,32'h3f3bfc2c, 32'h3f2f1589,32'h3f418397, 32'h3f25de82,32'h3f4aba9e,// invsqrt(1.9295) = 0.7199 +32'h41286642,32'h3e9aa9a9,32'h3ea0f9bb, 32'h3e95ed9c,32'h3ea5b5c8, 32'h3e8e0987,32'h3ead99dd,// invsqrt(10.5250) = 0.3082 +32'h3e12bcbe,32'h4025af9d,32'h402c72dd, 32'h40209d2d,32'h4031854d, 32'h4018291d,32'h4039f95d,// invsqrt(0.1433) = 2.6417 +32'h3f056bf2,32'h3fadc1d9,32'h3fb4d96f, 32'h3fa87029,32'h3fba2b1f, 32'h3f9f92ad,32'h3fc3089b,// invsqrt(0.5212) = 1.3852 +32'h3f93f83c,32'h3f69566b,32'h3f72dc8f, 32'h3f6231d0,32'h3f7a012a, 32'h3f564a24,32'h3f82f46b,// invsqrt(1.1560) = 0.9301 +32'h3ebeef18,32'h3fcd69e9,32'h3fd5cc47, 32'h3fc72024,32'h3fdc160c, 32'h3fbca52f,32'h3fe69101,// invsqrt(0.3729) = 1.6375 +32'h3f9b902d,32'h3f639245,32'h3f6cdc29, 32'h3f5c9adb,32'h3f73d393, 32'h3f50fe7e,32'h3f7f6ff0,// invsqrt(1.2153) = 0.9071 +32'h3e46e934,32'h400e4ea1,32'h40141d97, 32'h4009f367,32'h401878d1, 32'h4002b0b3,32'h401fbb85,// invsqrt(0.1942) = 2.2689 +32'h3f98eb14,32'h3f6587ef,32'h3f6ee64d, 32'h3f5e8129,32'h3f75ed13, 32'h3f52cb35,32'h3f80d184,// invsqrt(1.1947) = 0.9149 +32'h3f8eb58b,32'h3f6d996a,32'h3f774c16, 32'h3f66536a,32'h3f7e9216, 32'h3f5a3413,32'h3f8558b6,// invsqrt(1.1149) = 0.9471 +32'h3ebb7f12,32'h3fcf49c8,32'h3fd7bfbc, 32'h3fc8f152,32'h3fde1832, 32'h3fbe5de2,32'h3fe8aba2,// invsqrt(0.3662) = 1.6525 +32'h3fcfae7f,32'h3f44f50f,32'h3f4cff0f, 32'h3f3eed8e,32'h3f530690, 32'h3f34e10e,32'h3f5d1311,// invsqrt(1.6225) = 0.7851 +32'h3eb2d96d,32'h3fd43d75,32'h3fdce727, 32'h3fcdbe30,32'h3fe3666c, 32'h3fc2ea13,32'h3fee3a89,// invsqrt(0.3493) = 1.6920 +32'h4043b0a1,32'h3f0f7939,32'h3f15545f, 32'h3f0b14db,32'h3f19b8bd, 32'h3f03c2eb,32'h3f210aad,// invsqrt(3.0577) = 0.5719 +32'h3ffa4319,32'h3f336bcc,32'h3f3abe91, 32'h3f2dedb9,32'h3f403ca5, 32'h3f24c644,32'h3f49641a,// invsqrt(1.9552) = 0.7152 +32'h3f6fa172,32'h3f81a758,32'h3f86f217, 32'h3f7b5e8f,32'h3f8aea27, 32'h3f6e23b0,32'h3f918796,// invsqrt(0.9361) = 1.0336 +32'h3f7bf96a,32'h3f7ce04d,32'h3f83994d, 32'h3f752295,32'h3f87782a, 32'h3f683bb5,32'h3f8deb99,// invsqrt(0.9843) = 1.0080 +32'h3f4b9962,32'h3f8ca8bf,32'h3f92667e, 32'h3f885a71,32'h3f96b4cd, 32'h3f812d42,32'h3f9de1fc,// invsqrt(0.7953) = 1.1213 +32'h3fc2973d,32'h3f4b7976,32'h3f53c790, 32'h3f453ee3,32'h3f5a0223, 32'h3f3add43,32'h3f6463c3,// invsqrt(1.5202) = 0.8110 +32'h3eebdf4b,32'h3fb8d023,32'h3fc05b3f, 32'h3fb327cf,32'h3fc60393, 32'h3fa9b9ec,32'h3fcf7176,// invsqrt(0.4607) = 1.4733 +32'h3e8c1de8,32'h3fefc982,32'h3ff9930a, 32'h3fe8725c,32'h40007518, 32'h3fdc3672,32'h4006930d,// invsqrt(0.2737) = 1.9116 +32'h3fd20f0d,32'h3f43d6f2,32'h3f4bd546, 32'h3f3dd834,32'h3f51d404, 32'h3f33da4c,32'h3f5bd1ec,// invsqrt(1.6411) = 0.7806 +32'h40d33c3f,32'h3ec34b21,32'h3ecb43bf, 32'h3ebd50aa,32'h3ed13e36, 32'h3eb359e5,32'h3edb34fb,// invsqrt(6.6011) = 0.3892 +32'h3f0ef236,32'h3fa7de65,32'h3faeb874, 32'h3fa2badb,32'h3fb3dbff, 32'h3f9a2a48,32'h3fbc6c92,// invsqrt(0.5584) = 1.3382 +32'h3ec5655a,32'h3fca0606,32'h3fd244f6, 32'h3fc3d6d1,32'h3fd8742b, 32'h3fb98825,32'h3fe2c2d7,// invsqrt(0.3855) = 1.6105 +32'h3f0f550f,32'h3fa7a479,32'h3fae7c2b, 32'h3fa282b4,32'h3fb39df0, 32'h3f99f517,32'h3fbc2b8d,// invsqrt(0.5599) = 1.3364 +32'h4029afa4,32'h3f1a1344,32'h3f205d32, 32'h3f155bd2,32'h3f2514a4, 32'h3f0d7f68,32'h3f2cf10e,// invsqrt(2.6513) = 0.6141 +32'h3ed77c74,32'h3fc15b8f,32'h3fc93ff3, 32'h3fbb7044,32'h3fcf2b3e, 32'h3fb192c7,32'h3fd908bb,// invsqrt(0.4209) = 1.5414 +32'h4089a0f6,32'h3ef1f1e4,32'h3efbd1f8, 32'h3eea89d5,32'h3f019d03, 32'h3ede31bd,32'h3f07c910,// invsqrt(4.3009) = 0.4822 +32'h3e785292,32'h3ffeba8f,32'h4004901b, 32'h3ff6ee50,32'h4008763a, 32'h3fe9ef3f,32'h400ef5c3,// invsqrt(0.2425) = 2.0307 +32'h3e3312aa,32'h4015fb90,32'h401c1abb, 32'h40116431,32'h4020b21b, 32'h4009bd3c,32'h40285910,// invsqrt(0.1749) = 2.3913 +32'h426957bd,32'h3e036393,32'h3e08c075, 32'h3dfebbd4,32'h3e0cc61e, 32'h3df153a1,32'h3e137a38,// invsqrt(58.3357) = 0.1309 +32'h3f0d9cd5,32'h3fa8a842,32'h3faf8a8e, 32'h3fa37e89,32'h3fb4b447, 32'h3f9ae3aa,32'h3fbd4f26,// invsqrt(0.5532) = 1.3445 +32'h3da51230,32'h405ceb97,32'h4065effb, 32'h4056284c,32'h406cb346, 32'h404ae2ce,32'h4077f8c4,// invsqrt(0.0806) = 3.5223 +32'h3fe5adcf,32'h3f3b49c8,32'h3f42eec0, 32'h3f358e0d,32'h3f48aa7b, 32'h3f2bffd7,32'h3f5238b1,// invsqrt(1.7944) = 0.7465 +32'h3f982d3f,32'h3f6616ec,32'h3f6f7b20, 32'h3f5f0bc6,32'h3f768646, 32'h3f534e85,32'h3f8121c3,// invsqrt(1.1889) = 0.9171 +32'h3f828c2d,32'h3f786b88,32'h3f8147a3, 32'h3f70d0bb,32'h3f851509, 32'h3f642410,32'h3f8b6b5f,// invsqrt(1.0199) = 0.9902 +32'h3fbfcbc0,32'h3f4cf39e,32'h3f555127, 32'h3f46ad77,32'h3f5b974d, 32'h3f3c388b,32'h3f660c39,// invsqrt(1.4984) = 0.8169 +32'h3e37fc15,32'h4013f794,32'h401a01af, 32'h400f7000,32'h401e8944, 32'h4007e35f,32'h402615e5,// invsqrt(0.1797) = 2.3592 +32'h42054219,32'h3e2ddd1f,32'h3e34f5d2, 32'h3e288a99,32'h3e3a4859, 32'h3e1fabba,32'h3e432738,// invsqrt(33.3145) = 0.1733 +32'h418f7584,32'h3e6cfa3b,32'h3e76a668, 32'h3e65b91b,32'h3e7de789, 32'h3e59a1e3,32'h3e84ff60,// invsqrt(17.9324) = 0.2361 +32'h4068cd4b,32'h3f038a9f,32'h3f08e919, 32'h3eff0788,32'h3f0ceff4, 32'h3ef19b59,32'h3f13a60c,// invsqrt(3.6375) = 0.5243 +32'h3d4d6091,32'h408c0c89,32'h4091c3e7, 32'h4087c302,32'h40960d6e, 32'h40809dcc,32'h409d32a4,// invsqrt(0.0501) = 4.4658 +32'h3e7acd58,32'h3ffd7767,32'h4003e7ef, 32'h3ff5b50d,32'h4007c91b, 32'h3fe8c678,32'h400e4066,// invsqrt(0.2449) = 2.0206 +32'h3fbf87ce,32'h3f4d17f5,32'h3f5576f9, 32'h3f46d0b1,32'h3f5bbe3d, 32'h3f3c59eb,32'h3f663503,// invsqrt(1.4963) = 0.8175 +32'h4153d10c,32'h3e89e75b,32'h3e8f884f, 32'h3e85aea4,32'h3e93c106, 32'h3e7d4ae6,32'h3e9aca37,// invsqrt(13.2385) = 0.2748 +32'h3f7ce25b,32'h3f7c6bbb,32'h3f835ca3, 32'h3f74b193,32'h3f8739b6, 32'h3f67d0a6,32'h3f8daa2d,// invsqrt(0.9878) = 1.0061 +32'h3e8c1996,32'h3fefcd34,32'h3ff996e3, 32'h3fe875f2,32'h40007713, 32'h3fdc39d8,32'h40069520,// invsqrt(0.2736) = 1.9117 +32'h3eed8699,32'h3fb82b2b,32'h3fbfaf8b, 32'h3fb287e3,32'h3fc552d3, 32'h3fa9226c,32'h3fceb84b,// invsqrt(0.4639) = 1.4682 +32'h3fa2d6a9,32'h3f5e6df6,32'h3f678220, 32'h3f579ed7,32'h3f6e513f, 32'h3f4c45a4,32'h3f79aa73,// invsqrt(1.2722) = 0.8866 +32'h413e10f3,32'h3e9194a4,32'h3e9785cf, 32'h3e8d1fc3,32'h3e9bfaaf, 32'h3e85b24d,32'h3ea36825,// invsqrt(11.8791) = 0.2901 +32'h3e599392,32'h400810ef,32'h400d9eaf, 32'h4003e69e,32'h4011c900, 32'h3ff9eadb,32'h4018ba30,// invsqrt(0.2125) = 2.1694 +32'h3e382324,32'h4013e7e2,32'h4019f158, 32'h400f60c8,32'h401e7872, 32'h4007d4f4,32'h40260446,// invsqrt(0.1798) = 2.3582 +32'h3fe7fad2,32'h3f3a5b6c,32'h3f41f6aa, 32'h3f34a6fe,32'h3f47ab18, 32'h3f2b24f0,32'h3f512d26,// invsqrt(1.8123) = 0.7428 +32'h4014f10e,32'h3f247491,32'h3f2b2af5, 32'h3f1f6bc6,32'h3f3033c0, 32'h3f1707c9,32'h3f3897bd,// invsqrt(2.3272) = 0.6555 +32'h3f3e1abc,32'h3f9190e4,32'h3f9781e9, 32'h3f8d1c22,32'h3f9bf6ac, 32'h3f85aedd,32'h3fa363f1,// invsqrt(0.7426) = 1.1604 +32'h3f8468da,32'h3f76aacb,32'h3f805e1b, 32'h3f6f1dbb,32'h3f8424a4, 32'h3f6287f4,32'h3f8a6f87,// invsqrt(1.0344) = 0.9832 +32'h3fa9e11b,32'h3f59c578,32'h3f62a8f6, 32'h3f531adb,32'h3f695393, 32'h3f47fe7e,32'h3f746ff0,// invsqrt(1.3272) = 0.8680 +32'h4045f544,32'h3f0ea634,32'h3f1478be, 32'h3f0a484d,32'h3f18d6a5, 32'h3f030120,32'h3f201dd2,// invsqrt(3.0931) = 0.5686 +32'h40411142,32'h3f1071d6,32'h3f165723, 32'h3f0c05dd,32'h3f1ac31d, 32'h3f04a73d,32'h3f2221bd,// invsqrt(3.0167) = 0.5758 +32'h436a8376,32'h3d830f82,32'h3d8868f6, 32'h3d7e18d8,32'h3d8c6c0c, 32'h3d70b939,32'h3d931bdc,// invsqrt(234.5135) = 0.0653 +32'h3ec0cc56,32'h3fcc6b0f,32'h3fd4c305, 32'h3fc62916,32'h3fdb04fe, 32'h3fbbbb23,32'h3fe572f1,// invsqrt(0.3766) = 1.6296 +32'h3ef0da78,32'h3fb6e458,32'h3fbe5b61, 32'h3fb14b12,32'h3fc3f4a8, 32'h3fa7f647,32'h3fcd4973,// invsqrt(0.4704) = 1.4580 +32'h3f8a3816,32'h3f716d7b,32'h3f7b4827, 32'h3f6a097a,32'h3f815614, 32'h3f5db822,32'h3f877ec0,// invsqrt(1.0798) = 0.9623 +32'h3fb779fb,32'h3f518bf4,32'h3f5a1981, 32'h3f4b21cb,32'h3f6083ab, 32'h3f4070dc,32'h3f6b349b,// invsqrt(1.4334) = 0.8352 +32'h40175fbf,32'h3f2320f2,32'h3f29c97a, 32'h3f1e228d,32'h3f2ec7df, 32'h3f15cfe4,32'h3f371a88,// invsqrt(2.3652) = 0.6502 +32'h3fedd564,32'h3f380ca7,32'h3f3f8fc7, 32'h3f326a4e,32'h3f453220, 32'h3f290665,32'h3f4e9609,// invsqrt(1.8581) = 0.7336 +32'h407bd0a5,32'h3efcf4c5,32'h3f03a3f4, 32'h3ef5366b,32'h3f078320, 32'h3ee84e81,32'h3f0df716,// invsqrt(3.9346) = 0.5041 +32'h3f911b8c,32'h3f6ba0a3,32'h3f753eb4, 32'h3f646a16,32'h3f7c7540, 32'h3f586480,32'h3f843d6b,// invsqrt(1.1337) = 0.9392 +32'h3f6022b9,32'h3f860f78,32'h3f8b8843, 32'h3f81f4df,32'h3f8fa2db, 32'h3f763bc1,32'h3f9679da,// invsqrt(0.8755) = 1.0687 +32'h3f9b1991,32'h3f63e938,32'h3f6d36a8, 32'h3f5cef24,32'h3f7430bc, 32'h3f514e58,32'h3f7fd188,// invsqrt(1.2117) = 0.9084 +32'h40917acc,32'h3eeb5373,32'h3ef4ee5d, 32'h3ee41f43,32'h3efc228d, 32'h3ed81d9e,32'h3f041219,// invsqrt(4.5462) = 0.4690 +32'h3cb314a1,32'h40d41a5d,32'h40dcc29f, 32'h40cd9c2b,32'h40e340d1, 32'h40c2c9d8,32'h40ee1324,// invsqrt(0.0219) = 6.7635 +32'h3f111fd4,32'h3fa69aac,32'h3fad6784, 32'h3fa1810a,32'h3fb28126, 32'h3f9900fc,32'h3fbb0134,// invsqrt(0.5669) = 1.3282 +32'h3c032818,32'h412f404d,32'h4136677f, 32'h4129e2e7,32'h413bc4e5, 32'h4120f1e9,32'h4144b5e3,// invsqrt(0.0080) = 11.1767 +32'h3fb9e8c8,32'h3f502bce,32'h3f58aafc, 32'h3f49cc6d,32'h3f5f0a5d, 32'h3f3f2d74,32'h3f69a956,// invsqrt(1.4524) = 0.8298 +32'h3e3f2e47,32'h401127d8,32'h40171492, 32'h400cb64c,32'h401b861e, 32'h40054e63,32'h4022ee07,// invsqrt(0.1867) = 2.3143 +32'h3e5cdb94,32'h40070d36,32'h400c905c, 32'h4002ead9,32'h4010b2b9, 32'h3ff80dd0,32'h401796aa,// invsqrt(0.2157) = 2.1532 +32'h3eeb8c38,32'h3fb8f0b8,32'h3fc07d28, 32'h3fb34764,32'h3fc6267c, 32'h3fa9d7d8,32'h3fcf9608,// invsqrt(0.4601) = 1.4743 +32'h3fbe4a42,32'h3f4dc2ce,32'h3f5628cc, 32'h3f477650,32'h3f5c754a, 32'h3f3cf6d2,32'h3f66f4c8,// invsqrt(1.4866) = 0.8202 +32'h402ff66c,32'h3f174d6b,32'h3f1d7a5f, 32'h3f12abb3,32'h3f221c17, 32'h3f0af381,32'h3f29d449,// invsqrt(2.7494) = 0.6031 +32'h403c8212,32'h3f122e58,32'h3f1825ca, 32'h3f0db4c3,32'h3f1c9f5f, 32'h3f063f76,32'h3f2414ac,// invsqrt(2.9454) = 0.5827 +32'h3f1cea7c,32'h3fa038dd,32'h3fa6c305, 32'h3f9b513f,32'h3fabaaa3, 32'h3f93248c,32'h3fb3d756,// invsqrt(0.6130) = 1.2773 +32'h3f452ce8,32'h3f8eee9b,32'h3f94c41a, 32'h3f8a8e7d,32'h3f992439, 32'h3f83439f,32'h3fa06f17,// invsqrt(0.7702) = 1.1394 +32'h40fa4fb7,32'h3eb36747,32'h3ebab9dc, 32'h3eade957,32'h3ec037cb, 32'h3ea4c21c,32'h3ec95f06,// invsqrt(7.8222) = 0.3575 +32'h3e7cc68c,32'h3ffc799d,32'h400363dc, 32'h3ff4bf08,32'h40074126, 32'h3fe7dd66,32'h400db1f7,// invsqrt(0.2469) = 2.0127 +32'h3e8f8ea6,32'h3fece57c,32'h3ff690d0, 32'h3fe5a4fe,32'h3ffdd14e, 32'h3fd98ed5,32'h4004f3bb,// invsqrt(0.2804) = 1.8885 +32'h3df3076a,32'h4036124f,32'h403d80c5, 32'h40307f76,32'h4043139e, 32'h40273563,32'h404c5db1,// invsqrt(0.1187) = 2.9029 +32'h3f51e1b6,32'h3f8a89b7,32'h3f90314b, 32'h3f864c07,32'h3f946efb, 32'h3f7e751b,32'h3f9b8074,// invsqrt(0.8199) = 1.1044 +32'h3f38efde,32'h3f9395ed,32'h3f999c0c, 32'h3f8f1157,32'h3f9e20a3, 32'h3f8789b0,32'h3fa5a84a,// invsqrt(0.7224) = 1.1765 +32'h408b9807,32'h3ef03c63,32'h3efa0a9b, 32'h3ee8e1b9,32'h3f00b2a3, 32'h3edc9ff2,32'h3f06d386,// invsqrt(4.3623) = 0.4788 +32'h3f198f8d,32'h3fa1f68a,32'h3fa892e3, 32'h3f9d0146,32'h3fad8826, 32'h3f94bdd7,32'h3fb5cb95,// invsqrt(0.5998) = 1.2912 +32'h3fbde760,32'h3f4df859,32'h3f566086, 32'h3f47aa36,32'h3f5caea8, 32'h3f3d27fe,32'h3f6730e1,// invsqrt(1.4836) = 0.8210 +32'h3f96387b,32'h3f679530,32'h3f7108fe, 32'h3f607e56,32'h3f781fd8, 32'h3f54ad95,32'h3f81f84d,// invsqrt(1.1736) = 0.9231 +32'h3eedf616,32'h3fb80002,32'h3fbf829e, 32'h3fb25e0c,32'h3fc52494, 32'h3fa8fac8,32'h3fce87d8,// invsqrt(0.4648) = 1.4668 +32'h40444613,32'h3f0f428f,32'h3f151b7b, 32'h3f0adfde,32'h3f197e2c, 32'h3f0390b8,32'h3f20cd52,// invsqrt(3.0668) = 0.5710 +32'h3eec6486,32'h3fb89c08,32'h3fc02503, 32'h3fb2f54b,32'h3fc5cbbf, 32'h3fa98a11,32'h3fcf36f9,// invsqrt(0.4617) = 1.4717 +32'h3f519152,32'h3f8aa447,32'h3f904cf1, 32'h3f8665c7,32'h3f948b71, 32'h3f7ea5e6,32'h3f9b9e45,// invsqrt(0.8186) = 1.1052 +32'h406f5637,32'h3f01bbb6,32'h3f07074b, 32'h3efb860f,32'h3f0afffb, 32'h3eee491b,32'h3f119e74,// invsqrt(3.7396) = 0.5171 +32'h3ea2f95d,32'h3fde5646,32'h3fe76978, 32'h3fd787e1,32'h3fee37dd, 32'h3fcc2fe2,32'h3ff98fdc,// invsqrt(0.3183) = 1.7725 +32'h3ee0c26b,32'h3fbd53a8,32'h3fc50dee, 32'h3fb787f4,32'h3fcad9a2, 32'h3faddf1d,32'h3fd48279,// invsqrt(0.4390) = 1.5093 +32'h41919034,32'h3e6b4225,32'h3e74dc5b, 32'h3e640e7d,32'h3e7c1003, 32'h3e580dba,32'h3e840863,// invsqrt(18.1954) = 0.2344 +32'h3e61be53,32'h40059508,32'h400b08d4, 32'h40017e2f,32'h400f1fad, 32'h3ff55ae0,32'h4015f06c,// invsqrt(0.2205) = 2.1298 +32'h4187f05e,32'h3e7371ab,32'h3e7d6169, 32'h3e6bfddd,32'h3e826a9c, 32'h3e5f922f,32'h3e88a072,// invsqrt(16.9924) = 0.2426 +32'h3ebf3231,32'h3fcd45db,32'h3fd5a6bf, 32'h3fc6fd30,32'h3fdbef6a, 32'h3fbc8412,32'h3fe66888,// invsqrt(0.3734) = 1.6364 +32'h3f56a4a1,32'h3f88fe25,32'h3f8e9594, 32'h3f84cc91,32'h3f92c727, 32'h3f7b9e8c,32'h3f99c472,// invsqrt(0.8384) = 1.0921 +32'h3ee4e79e,32'h3fbb9acb,32'h3fc34311, 32'h3fb5dc95,32'h3fc90147, 32'h3fac4a3d,32'h3fd2939f,// invsqrt(0.4471) = 1.4956 +32'h3f8914f0,32'h3f726d56,32'h3f7c5274, 32'h3f6b0180,32'h3f81df25, 32'h3f5ea31b,32'h3f880e58,// invsqrt(1.0710) = 0.9663 +32'h3ea103ed,32'h3fdfaf6f,32'h3fe8d0b7, 32'h3fd8d678,32'h3fefa9ae, 32'h3fcd6cde,32'h3ffb1348,// invsqrt(0.3145) = 1.7832 +32'h3f61c9be,32'h3f8591a7,32'h3f8b0550, 32'h3f817ae9,32'h3f8f1c0f, 32'h3f7554ac,32'h3f95eca2,// invsqrt(0.8820) = 1.0648 +32'h3f8ee834,32'h3f6d6f49,32'h3f77203d, 32'h3f662a93,32'h3f7e64f3, 32'h3f5a0d63,32'h3f854112,// invsqrt(1.1165) = 0.9464 +32'h3f0d3363,32'h3fa8e730,32'h3fafcc0e, 32'h3fa3bb8a,32'h3fb4f7b4, 32'h3f9b1d76,32'h3fbd95c9,// invsqrt(0.5516) = 1.3465 +32'h3fe736a7,32'h3f3aaa69,32'h3f4248e1, 32'h3f34f390,32'h3f47ffba, 32'h3f2b6d7b,32'h3f5185cf,// invsqrt(1.8064) = 0.7440 +32'h3fb4e92f,32'h3f5306ff,32'h3f5ba404, 32'h3f4c913b,32'h3f6219c7, 32'h3f41ccf4,32'h3f6cde0e,// invsqrt(1.4134) = 0.8411 +32'h4004bc33,32'h3f2e34bb,32'h3f355101, 32'h3f28df86,32'h3f3aa636, 32'h3f1ffc2e,32'h3f43898e,// invsqrt(2.0740) = 0.6944 +32'h3f64ca6e,32'h3f84b088,32'h3f8a1b00, 32'h3f80a0ad,32'h3f8e2adb, 32'h3f73b72e,32'h3f94eff1,// invsqrt(0.8937) = 1.0578 +32'h3dad9a5d,32'h40576c56,32'h4060374a, 32'h4050d41f,32'h4066cf81, 32'h4045d66e,32'h4071cd32,// invsqrt(0.0848) = 3.4347 +32'h3fede0a0,32'h3f38084e,32'h3f3f8b42, 32'h3f326618,32'h3f452d78, 32'h3f290267,32'h3f4e9129,// invsqrt(1.8584) = 0.7335 +32'h3e1b8134,32'h4020f290,32'h4027844d, 32'h401c0543,32'h402c719b, 32'h4013cf17,32'h4034a7c7,// invsqrt(0.1519) = 2.5661 +32'h3ec3db9f,32'h3fcad0b0,32'h3fd317e7, 32'h3fc49b48,32'h3fd94d50, 32'h3fba4244,32'h3fe3a654,// invsqrt(0.3825) = 1.6168 +32'h3f84930d,32'h3f768386,32'h3f8049ab, 32'h3f6ef7a8,32'h3f840f9a, 32'h3f6263e3,32'h3f8a597c,// invsqrt(1.0357) = 0.9826 +32'h3f88e1d8,32'h3f729a90,32'h3f7c8186, 32'h3f6b2d57,32'h3f81f75f, 32'h3f5ecca4,32'h3f8827b9,// invsqrt(1.0694) = 0.9670 +32'h3f41a9cf,32'h3f9038e7,32'h3f961be1, 32'h3f8bceac,32'h3f9a861c, 32'h3f8472f4,32'h3fa1e1d4,// invsqrt(0.7565) = 1.1497 +32'h3f10279c,32'h3fa729de,32'h3fadfc8f, 32'h3fa20bdb,32'h3fb31a93, 32'h3f99847e,32'h3fbba1f0,// invsqrt(0.5631) = 1.3326 +32'h3e405110,32'h4010b9f2,32'h4016a230, 32'h400c4bc3,32'h401b105f, 32'h4004e976,32'h402272ac,// invsqrt(0.1878) = 2.3075 +32'h3fe307c4,32'h3f3c60a5,32'h3f4410ff, 32'h3f369c61,32'h3f49d543, 32'h3f2cfff0,32'h3f5371b4,// invsqrt(1.7737) = 0.7509 +32'h4019a64f,32'h3f21ea8b,32'h3f288667, 32'h3f1cf5a6,32'h3f2d7b4c, 32'h3f14b2d3,32'h3f35be1f,// invsqrt(2.4008) = 0.6454 +32'h3f39508e,32'h3f936f68,32'h3f9973f4, 32'h3f8eebff,32'h3f9df75d, 32'h3f876650,32'h3fa57d0c,// invsqrt(0.7239) = 1.1753 +32'h3f7ebd9c,32'h3f7b7fd6,32'h3f82e1df, 32'h3f73cce6,32'h3f86bb57, 32'h3f66f803,32'h3f8d25c9,// invsqrt(0.9951) = 1.0025 +32'h3fdc0127,32'h3f3f5c9f,32'h3f472c28, 32'h3f3980f8,32'h3f4d07ce, 32'h3f2fbd8c,32'h3f56cb3a,// invsqrt(1.7188) = 0.7628 +32'h4072ebb5,32'h3f00c5ce,32'h3f06075a, 32'h3ef9a94c,32'h3f09f882, 32'h3eec8571,32'h3f108a70,// invsqrt(3.7956) = 0.5133 +32'h40d4bd39,32'h3ec29a1d,32'h3eca8b81, 32'h3ebca511,32'h3ed0808d, 32'h3eb2b754,32'h3eda6e4a,// invsqrt(6.6481) = 0.3878 +32'h3ff34c4b,32'h3f35f887,32'h3f3d65f0, 32'h3f306679,32'h3f42f7ff, 32'h3f271db6,32'h3f4c40c2,// invsqrt(1.9008) = 0.7253 +32'h3f34cf9b,32'h3f954294,32'h3f9b5a32, 32'h3f90b0de,32'h3f9febe8, 32'h3f891359,32'h3fa7896d,// invsqrt(0.7063) = 1.1899 +32'h3f6d0c32,32'h3f825bb0,32'h3f87adcc, 32'h3f7cbc36,32'h3f8bab61, 32'h3f6f6ef0,32'h3f925204,// invsqrt(0.9260) = 1.0392 +32'h3f123463,32'h3fa5fccf,32'h3facc335, 32'h3fa0e802,32'h3fb1d802, 32'h3f987002,32'h3fba5002,// invsqrt(0.5711) = 1.3232 +32'h3f31eed9,32'h3f96765b,32'h3f9c9a89, 32'h3f91db39,32'h3fa135ab, 32'h3f8a2e00,32'h3fa8e2e4,// invsqrt(0.6951) = 1.1995 +32'h3f58fd4b,32'h3f884005,32'h3f8dcfb1, 32'h3f841443,32'h3f91fb73, 32'h3f7a4157,32'h3f98ef0a,// invsqrt(0.8476) = 1.0862 +32'h4055df98,32'h3f093d31,32'h3f0ed733, 32'h3f0509b0,32'h3f130ab4, 32'h3efc125a,32'h3f1a0b37,// invsqrt(3.3418) = 0.5470 +32'h3f4c4ef8,32'h3f8c6a2f,32'h3f922560, 32'h3f881dcb,32'h3f9671c5, 32'h3f80f3ce,32'h3f9d9bc2,// invsqrt(0.7981) = 1.1194 +32'h3fafc666,32'h3f56168c,32'h3f5ed38c, 32'h3f4f88cb,32'h3f65614d, 32'h3f449c8b,32'h3f704d8d,// invsqrt(1.3732) = 0.8533 +32'h3ea149ee,32'h3fdf7ede,32'h3fe89e2c, 32'h3fd8a765,32'h3fef75a5, 32'h3fcd4044,32'h3ffadcc6,// invsqrt(0.3150) = 1.7817 +32'h3fdd1d8d,32'h3f3ee167,32'h3f46abe8, 32'h3f390985,32'h3f4c83c9, 32'h3f2f4c63,32'h3f5640eb,// invsqrt(1.7275) = 0.7608 +32'h3fb77595,32'h3f518e77,32'h3f5a1c1e, 32'h3f4b243a,32'h3f60865c, 32'h3f40732a,32'h3f6b376c,// invsqrt(1.4333) = 0.8353 +32'h3f4d3a5e,32'h3f8c1991,32'h3f91d177, 32'h3f87cfa4,32'h3f961b64, 32'h3f80a9c4,32'h3f9d4144,// invsqrt(0.8017) = 1.1169 +32'h3f2dc440,32'h3f984166,32'h3f9e7850, 32'h3f939836,32'h3fa32180, 32'h3f8bd392,32'h3faae624,// invsqrt(0.6788) = 1.2138 +32'h3fd3d39c,32'h3f43054f,32'h3f4afb13, 32'h3f3d0cfb,32'h3f50f367, 32'h3f3319c6,32'h3f5ae69c,// invsqrt(1.6549) = 0.7773 +32'h3cdd3a3b,32'h40bed507,32'h40c69f07, 32'h40b8fd87,32'h40cc7687, 32'h40af4106,32'h40d63308,// invsqrt(0.0270) = 6.0852 +32'h3f70b24f,32'h3f815dc5,32'h3f86a584, 32'h3f7acfed,32'h3f8a9b54, 32'h3f6d9c8f,32'h3f913502,// invsqrt(0.9402) = 1.0313 +32'h3f38995f,32'h3f93b87d,32'h3f99c005, 32'h3f8f32d7,32'h3f9e45ab, 32'h3f87a96e,32'h3fa5cf14,// invsqrt(0.7211) = 1.1776 +32'h3f7ffc38,32'h3f7ae322,32'h3f829053, 32'h3f7334ff,32'h3f866764, 32'h3f66681a,32'h3f8ccdd7,// invsqrt(0.9999) = 1.0000 +32'h3e313d30,32'h4016c1b1,32'h401ce8f2, 32'h40122441,32'h40218663, 32'h400a7330,32'h40293774,// invsqrt(0.1731) = 2.4036 +32'h3dc596b2,32'h4049eccb,32'h40522ab3, 32'h4043be5c,32'h40585922, 32'h403970f9,32'h4062a685,// invsqrt(0.0965) = 3.2195 +32'h4026fc7b,32'h3f1b50d9,32'h3f21a7bd, 32'h3f168fae,32'h3f2668e8, 32'h3f0ea310,32'h3f2e5586,// invsqrt(2.6092) = 0.6191 +32'h416efce5,32'h3e81d3f3,32'h3e872084, 32'h3e7bb50a,32'h3e8b19f1, 32'h3e6e759d,32'h3e91b9a7,// invsqrt(14.9367) = 0.2587 +32'h3d010833,32'h40b0b026,32'h40b7e65c, 32'h40ab477e,32'h40bd4f04, 32'h40a243ba,32'h40c652c8,// invsqrt(0.0315) = 5.6342 +32'h404c554a,32'h3f0c6803,32'h3f12231e, 32'h3f081bb0,32'h3f166f72, 32'h3f00f1cf,32'h3f1d9953,// invsqrt(3.1927) = 0.5597 +32'h3fd44f60,32'h3f42cc6e,32'h3f4abfe0, 32'h3f3cd5d8,32'h3f50b676, 32'h3f32e58a,32'h3f5aa6c5,// invsqrt(1.6587) = 0.7765 +32'h3dc63435,32'h40499c7f,32'h4051d721, 32'h40437086,32'h4058031a, 32'h4039273b,32'h40624c65,// invsqrt(0.0968) = 3.2145 +32'h400109be,32'h3f30af18,32'h3f37e542, 32'h3f2b4678,32'h3f3d4de2, 32'h3f2242c2,32'h3f465198,// invsqrt(2.0162) = 0.7043 +32'h3f8d2113,32'h3f6eecf1,32'h3f78ad78, 32'h3f679c8b,32'h3f7ffddd, 32'h3f5b6be2,32'h3f861743,// invsqrt(1.1026) = 0.9523 +32'h40cde151,32'h3ec5d12c,32'h3ecde429, 32'h3ebfc2ef,32'h3ed3f267, 32'h3eb5ab34,32'h3ede0a23,// invsqrt(6.4338) = 0.3942 +32'h3e99480b,32'h3fe5424a,32'h3fee9dcf, 32'h3fde3da5,32'h3ff5a273, 32'h3fd28b3e,32'h4000aa6d,// invsqrt(0.2994) = 1.8276 +32'h3f8645a3,32'h3f74f352,32'h3f7ef2cd, 32'h3f6d73b5,32'h3f833935, 32'h3f60f45a,32'h3f8978e2,// invsqrt(1.0490) = 0.9764 +32'h3f5a5f1d,32'h3f87d176,32'h3f8d5ca0, 32'h3f83a917,32'h3f9184ff, 32'h3f797647,32'h3f9872f2,// invsqrt(0.8530) = 1.0827 +32'h3fd2e5c2,32'h3f437329,32'h3f4b6d69, 32'h3f3d7779,32'h3f516919, 32'h3f337ea8,32'h3f5b61ea,// invsqrt(1.6476) = 0.7791 +32'h3f264a85,32'h3f9ba3de,32'h3fa1fe26, 32'h3f96e028,32'h3fa6c1dc, 32'h3f8eef4f,32'h3faeb2b5,// invsqrt(0.6496) = 1.2408 +32'h3f216838,32'h3f9dfa31,32'h3fa46ce5, 32'h3f99242a,32'h3fa942ec, 32'h3f9114ca,32'h3fb1524c,// invsqrt(0.6305) = 1.2594 +32'h3e8bbd01,32'h3ff01c98,32'h3ff9e984, 32'h3fe8c2e7,32'h4000a19b, 32'h3fdc82c0,32'h4006c1ae,// invsqrt(0.2729) = 1.9142 +32'h3f891b3d,32'h3f7267c4,32'h3f7c4ca7, 32'h3f6afc19,32'h3f81dc29, 32'h3f5e9dfc,32'h3f880b37,// invsqrt(1.0711) = 0.9662 +32'h41786f42,32'h3e7eabd9,32'h3e848874, 32'h3e76e00e,32'h3e886e59, 32'h3e69e1bd,32'h3e8eed82,// invsqrt(15.5272) = 0.2538 +32'h3e524cd7,32'h400a6669,32'h40100c8d, 32'h400629ce,32'h40144928, 32'h3ffe3444,32'h401b58d4,// invsqrt(0.2054) = 2.2066 +32'h400426af,32'h3f2e972b,32'h3f35b776, 32'h3f293ef3,32'h3f3b0faf, 32'h3f205696,32'h3f43f80c,// invsqrt(2.0649) = 0.6959 +32'h3f78b4c5,32'h3f7e8840,32'h3f8475ee, 32'h3f76bd8d,32'h3f885b47, 32'h3f69c10d,32'h3f8ed988,// invsqrt(0.9715) = 1.0146 +32'h3f28e98f,32'h3f9a6d81,32'h3fa0bb1d, 32'h3f95b34b,32'h3fa57553, 32'h3f8dd247,32'h3fad5657,// invsqrt(0.6598) = 1.2311 +32'h3f056658,32'h3fadc57f,32'h3fb4dd3b, 32'h3fa873b2,32'h3fba2f08, 32'h3f9f9607,32'h3fc30cb3,// invsqrt(0.5211) = 1.3853 +32'h3ea06701,32'h3fe01cbe,32'h3fe9427d, 32'h3fd94070,32'h3ff01ecc, 32'h3fcdd141,32'h3ffb8dfb,// invsqrt(0.3133) = 1.7866 +32'h3ead921d,32'h3fd77174,32'h3fe03c9e, 32'h3fd0d915,32'h3fe6d4fd, 32'h3fc5db22,32'h3ff1d2f0,// invsqrt(0.3390) = 1.7175 +32'h40637bd0,32'h3f0511fb,32'h3f0a806e, 32'h3f00ff26,32'h3f0e9344, 32'h3ef46a2c,32'h3f155d54,// invsqrt(3.5544) = 0.5304 +32'h40854627,32'h3ef5ddaa,32'h3effe6b6, 32'h3eee56e1,32'h3f03b6c0, 32'h3ee1cb92,32'h3f09fc67,// invsqrt(4.1648) = 0.4900 +32'h420e19cb,32'h3e285e0a,32'h3e2f3d4e, 32'h3e233697,32'h3e3464c1, 32'h3e1a9f81,32'h3e3cfbd7,// invsqrt(35.5252) = 0.1678 +32'h3f919393,32'h3f6b3f6b,32'h3f74d985, 32'h3f640bd8,32'h3f7c0d18, 32'h3f580b39,32'h3f8406dc,// invsqrt(1.1373) = 0.9377 +32'h3f6ba64e,32'h3f82be88,32'h3f8814ad, 32'h3f7d7bda,32'h3f8c1549, 32'h3f70247d,32'h3f92c0f7,// invsqrt(0.9205) = 1.0423 +32'h3dc2552a,32'h404b9c0b,32'h4053eb8e, 32'h40456068,32'h405a2730, 32'h403afd04,32'h40648a94,// invsqrt(0.0949) = 3.2463 +32'h3f9dfa98,32'h3f61d322,32'h3f6b0ac5, 32'h3f5ae967,32'h3f71f47f, 32'h3f4f63db,32'h3f7d7a0b,// invsqrt(1.2342) = 0.9001 +32'h3f3ccffd,32'h3f92102c,32'h3f980662, 32'h3f8d9783,32'h3f9c7f0b, 32'h3f8623c0,32'h3fa3f2ce,// invsqrt(0.7375) = 1.1644 +32'h3fa69dcb,32'h3f5be4b5,32'h3f64de5f, 32'h3f552976,32'h3f6b999e, 32'h3f49f163,32'h3f76d1b1,// invsqrt(1.3017) = 0.8765 +32'h3f8bdfff,32'h3f6ffe8d,32'h3f79ca3f, 32'h3f68a5c7,32'h3f809182, 32'h3f5c6729,32'h3f86b0d2,// invsqrt(1.0928) = 0.9566 +32'h3e9d9d3a,32'h3fe215fb,32'h3feb5059, 32'h3fdb2a35,32'h3ff23c1f, 32'h3fcfa13f,32'h3ffdc515,// invsqrt(0.3078) = 1.8023 +32'h3f8697d1,32'h3f74a87e,32'h3f7ea4ec, 32'h3f6d2b2c,32'h3f83111f, 32'h3f60afa3,32'h3f894ee4,// invsqrt(1.0515) = 0.9752 +32'h3f9af2b7,32'h3f6405c9,32'h3f6d5463, 32'h3f5d0ad5,32'h3f744f57, 32'h3f516894,32'h3f7ff198,// invsqrt(1.2105) = 0.9089 +32'h3f88824a,32'h3f72ef6a,32'h3f7cd9d7, 32'h3f6b7f99,32'h3f8224d5, 32'h3f5f1a91,32'h3f885759,// invsqrt(1.0665) = 0.9683 +32'h3de43b19,32'h403be1a5,32'h40438cd1, 32'h40362145,32'h40494d31, 32'h402c8b4f,32'h4052e327,// invsqrt(0.1114) = 2.9956 +32'h3f7bc15a,32'h3f7cfc74,32'h3f83a7f3, 32'h3f753ddd,32'h3f87873e, 32'h3f68558e,32'h3f8dfb65,// invsqrt(0.9834) = 1.0084 +32'h4099355b,32'h3ee55045,32'h3eeeac5c, 32'h3ede4b32,32'h3ef5b16e, 32'h3ed29815,32'h3f00b246,// invsqrt(4.7878) = 0.4570 +32'h3f37b3fd,32'h3f94149a,32'h3f9a1fe4, 32'h3f8f8c22,32'h3f9ea85c, 32'h3f87fe06,32'h3fa63678,// invsqrt(0.7176) = 1.1805 +32'h3ebe9979,32'h3fcd9807,32'h3fd5fc46, 32'h3fc74cd8,32'h3fdc4776, 32'h3fbccf8a,32'h3fe6c4c5,// invsqrt(0.3723) = 1.6390 +32'h3f484b54,32'h3f8dd09c,32'h3f939a6e, 32'h3f89793e,32'h3f97f1cc, 32'h3f823cf8,32'h3f9f2e12,// invsqrt(0.7824) = 1.1305 +32'h40199a85,32'h3f21f0c1,32'h3f288cde, 32'h3f1cfbac,32'h3f2d81f4, 32'h3f14b888,32'h3f35c518,// invsqrt(2.4001) = 0.6455 +32'h3e556d8d,32'h400961d6,32'h400efd57, 32'h40052d36,32'h401331f8, 32'h3ffc55a9,32'h401a3459,// invsqrt(0.2084) = 2.1904 +32'h3efef341,32'h3fb1c389,32'h3fb904fc, 32'h3fac5272,32'h3fbe7612, 32'h3fa340a2,32'h3fc787e2,// invsqrt(0.4979) = 1.4171 +32'h3f5a0c27,32'h3f87eb4a,32'h3f8d7782, 32'h3f83c221,32'h3f91a0ab, 32'h3f79a5b8,32'h3f988ff0,// invsqrt(0.8517) = 1.0835 +32'h405af5a2,32'h3f07a2c0,32'h3f0d2c01, 32'h3f037bce,32'h3f1152f2, 32'h3ef9207a,32'h3f183e83,// invsqrt(3.4212) = 0.5406 +32'h3f9f001e,32'h3f61191d,32'h3f6a4929, 32'h3f5a3514,32'h3f712d32, 32'h3f4eb906,32'h3f7ca940,// invsqrt(1.2422) = 0.8972 +32'h3f01645e,32'h3fb0712d,32'h3fb7a4d1, 32'h3fab0a72,32'h3fbd0b8c, 32'h3fa209e6,32'h3fc60c19,// invsqrt(0.5054) = 1.4066 +32'h3f35751f,32'h3f94fe72,32'h3f9b1348, 32'h3f906ed2,32'h3f9fa2e8, 32'h3f88d4c7,32'h3fa73cf3,// invsqrt(0.7088) = 1.1878 +32'h3ec97bc6,32'h3fc7f6b9,32'h3fd02023, 32'h3fc1d7a8,32'h3fd63f34, 32'h3fb7a3e3,32'h3fe072f9,// invsqrt(0.3935) = 1.5941 +32'h3e49a0d9,32'h400d584e,32'h40131d38, 32'h400904a0,32'h401770e6, 32'h4001ce7c,32'h401ea70a,// invsqrt(0.1969) = 2.2536 +32'h40b1fb18,32'h3ed4c1dc,32'h3edd70f5, 32'h3ece3e8a,32'h3ee3f448, 32'h3ec363ab,32'h3eeecf27,// invsqrt(5.5619) = 0.4240 +32'h42a9ae2a,32'h3dd9e626,32'h3de2caf8, 32'h3dd33a88,32'h3de97696, 32'h3dc81c81,32'h3df4949d,// invsqrt(84.8402) = 0.1086 +32'h3daad17b,32'h40592c09,32'h40620943, 32'h4052861e,32'h4068af2e, 32'h40477195,32'h4073c3b7,// invsqrt(0.0834) = 3.4626 +32'h40571c98,32'h3f08d7ec,32'h3f0e6dcc, 32'h3f04a784,32'h3f129e34, 32'h3efb5859,32'h3f19998c,// invsqrt(3.3611) = 0.5455 +32'h3f2b4aa3,32'h3f9959fd,32'h3f9f9c5b, 32'h3f94a837,32'h3fa44e21, 32'h3f8cd541,32'h3fac2117,// invsqrt(0.6691) = 1.2225 +32'h3f798b9d,32'h3f7e1a97,32'h3f843cdc, 32'h3f765340,32'h3f882088, 32'h3f695c57,32'h3f8e9bfc,// invsqrt(0.9748) = 1.0129 +32'h41205b30,32'h3e9e7e7e,32'h3ea4f699, 32'h3e99a46b,32'h3ea9d0ad, 32'h3e918e4b,32'h3eb1e6cd,// invsqrt(10.0223) = 0.3159 +32'h3f6de84f,32'h3f821f54,32'h3f876efa, 32'h3f7c4730,32'h3f8b6ab6, 32'h3f6f0013,32'h3f920e44,// invsqrt(0.9293) = 1.0373 +32'h407d8527,32'h3efc1aa2,32'h3f03326f, 32'h3ef462f6,32'h3f070e45, 32'h3ee7862d,32'h3f0d7caa,// invsqrt(3.9613) = 0.5024 +32'h3e08f803,32'h402b7e16,32'h40327e03, 32'h40263e26,32'h4037bdf4, 32'h401d7e3f,32'h40407ddb,// invsqrt(0.1338) = 2.7343 +32'h4017b5fc,32'h3f22f28f,32'h3f299931, 32'h3f1df595,32'h3f2e962b, 32'h3f15a54a,32'h3f36e676,// invsqrt(2.3705) = 0.6495 +32'h42e14ed8,32'h3dbd189f,32'h3dc4d07b, 32'h3db74eb9,32'h3dca9a61, 32'h3dada8e5,32'h3dd44035,// invsqrt(112.6540) = 0.0942 +32'h3fd4d23c,32'h3f429082,32'h3f4a8182, 32'h3f3c9bc2,32'h3f507642, 32'h3f32ae82,32'h3f5a6382,// invsqrt(1.6627) = 0.7755 +32'h3eb4408e,32'h3fd3699d,32'h3fdc0aa9, 32'h3fccf0d4,32'h3fe28372, 32'h3fc22786,32'h3fed4cc0,// invsqrt(0.3521) = 1.6854 +32'h40d96af8,32'h3ec07f2c,32'h3ec85a91, 32'h3eba9aa0,32'h3ece3f1c, 32'h3eb0c861,32'h3ed8115b,// invsqrt(6.7943) = 0.3836 +32'h3e9509a9,32'h3fe87ffe,32'h3ff1fd62, 32'h3fe161f4,32'h3ff91b6c, 32'h3fd58538,32'h40027c14,// invsqrt(0.2911) = 1.8535 +32'h3f1edb44,32'h3f9f3d91,32'h3fa5bd78, 32'h3f9a5da5,32'h3faa9d65, 32'h3f923dc4,32'h3fb2bd46,// invsqrt(0.6205) = 1.2695 +32'h3f9dc79d,32'h3f61f79b,32'h3f6b30bb, 32'h3f5b0cc2,32'h3f721b94, 32'h3f4f855a,32'h3f7da2fc,// invsqrt(1.2327) = 0.9007 +32'h4002fee4,32'h3f2f5bda,32'h3f36842c, 32'h3f29fd9c,32'h3f3be26a, 32'h3f210b36,32'h3f44d4d0,// invsqrt(2.0468) = 0.6990 +32'h3e8c8963,32'h3fef6dbf,32'h3ff93389, 32'h3fe81969,32'h400043f0, 32'h3fdbe22d,32'h40065f8d,// invsqrt(0.2745) = 1.9087 +32'h4331e930,32'h3d9678c0,32'h3d9c9d06, 32'h3d91dd8b,32'h3da1383b, 32'h3d8a3033,32'h3da8e593,// invsqrt(177.9109) = 0.0750 +32'h3d780b09,32'h407edf48,32'h4084a338, 32'h407711eb,32'h408889e7, 32'h406a10f9,32'h408f0a5f,// invsqrt(0.0606) = 4.0637 +32'h4024e98c,32'h3f1c4a16,32'h3f22ab26, 32'h3f178149,32'h3f2773f3, 32'h3f0f87f5,32'h3f2f6d47,// invsqrt(2.5768) = 0.6230 +32'h3f151f68,32'h3fa45b00,32'h3fab1059, 32'h3f9f52fe,32'h3fb0185c, 32'h3f96f04f,32'h3fb87b0b,// invsqrt(0.5825) = 1.3102 +32'h4040af6f,32'h3f10967c,32'h3f167d48, 32'h3f0c2964,32'h3f1aea60, 32'h3f04c8e5,32'h3f224adf,// invsqrt(3.0107) = 0.5763 +32'h3f7f0371,32'h3f7b5d64,32'h3f82cff3, 32'h3f73ab84,32'h3f86a8e4, 32'h3f66d862,32'h3f8d1275,// invsqrt(0.9961) = 1.0019 +32'h4003f67d,32'h3f2eb70a,32'h3f35d8a2, 32'h3f295dd8,32'h3f3b31d4, 32'h3f2073da,32'h3f441bd2,// invsqrt(2.0619) = 0.6964 +32'h4186021c,32'h3e753101,32'h3e7f3301, 32'h3e6daf81,32'h3e835a41, 32'h3e612d01,32'h3e899b81,// invsqrt(16.7510) = 0.2443 +32'h3ec6773a,32'h3fc97a71,32'h3fd1b3b0, 32'h3fc34f83,32'h3fd7de9f, 32'h3fb907f6,32'h3fe2262c,// invsqrt(0.3876) = 1.6062 +32'h3f665360,32'h3f843f28,32'h3f89a500, 32'h3f8032c6,32'h3f8db162, 32'h3f72e6f1,32'h3f9470b0,// invsqrt(0.8997) = 1.0543 +32'h3f6806ef,32'h3f83c2cd,32'h3f892391, 32'h3f7f7473,32'h3f8d2c25, 32'h3f720288,32'h3f93e51a,// invsqrt(0.9064) = 1.0504 +32'h3f72ad9b,32'h3f80d647,32'h3f86187f, 32'h3f79c93c,32'h3f8a0a28, 32'h3f6ca3b2,32'h3f909ced,// invsqrt(0.9480) = 1.0271 +32'h3e685685,32'h4003ac3a,32'h40090c12, 32'h3fff48af,32'h400d13f5, 32'h3ff1d911,32'h4013cbc3,// invsqrt(0.2269) = 2.0994 +32'h3f3a6a34,32'h3f92ffdd,32'h3f98ffdc, 32'h3f8e7fdf,32'h3f9d7fdb, 32'h3f86ffe0,32'h3fa4ffda,// invsqrt(0.7282) = 1.1719 +32'h3f08d43c,32'h3fab9481,32'h3fb29557, 32'h3fa653e0,32'h3fb7d5f8, 32'h3f9d92d4,32'h3fc09704,// invsqrt(0.5345) = 1.3678 +32'h3f45b3fc,32'h3f8ebdbf,32'h3f94913f, 32'h3f8a5f1f,32'h3f98efdf, 32'h3f8316bf,32'h3fa0383f,// invsqrt(0.7723) = 1.1379 +32'h3fbe8e8f,32'h3f4d9dea,32'h3f560267, 32'h3f47528d,32'h3f5c4dc5, 32'h3f3cd4f2,32'h3f66cb60,// invsqrt(1.4887) = 0.8196 +32'h3f584c33,32'h3f8877c0,32'h3f8e09b3, 32'h3f844a4a,32'h3f92372a, 32'h3f7aa7b5,32'h3f992d99,// invsqrt(0.8449) = 1.0879 +32'h3f29e028,32'h3f99fd42,32'h3fa0464a, 32'h3f95467c,32'h3fa4fd10, 32'h3f8d6b32,32'h3facd85a,// invsqrt(0.6636) = 1.2276 +32'h3f6506aa,32'h3f849f14,32'h3f8a08d6, 32'h3f808fc2,32'h3f8e1828, 32'h3f73971f,32'h3f94dc5a,// invsqrt(0.8946) = 1.0572 +32'h3fb1ed9f,32'h3f54c9ea,32'h3f5d7957, 32'h3f4e4659,32'h3f63fce9, 32'h3f436b11,32'h3f6ed831,// invsqrt(1.3901) = 0.8482 +32'h3fc07bc9,32'h3f4c95d0,32'h3f54ef85, 32'h3f465289,32'h3f5b32cd, 32'h3f3be267,32'h3f65a2ef,// invsqrt(1.5038) = 0.8155 +32'h402ce57c,32'h3f18a35c,32'h3f1ede46, 32'h3f13f72d,32'h3f238a75, 32'h3f0c2d89,32'h3f2b5419,// invsqrt(2.7015) = 0.6084 +32'h3f6d30a4,32'h3f8251ac,32'h3f87a360, 32'h3f7ca8cb,32'h3f8ba0a6, 32'h3f6f5c8b,32'h3f9246c7,// invsqrt(0.9265) = 1.0389 +32'h3e279ed5,32'h401b058f,32'h40215961, 32'h401646b2,32'h4026183e, 32'h400e5dec,32'h402e0104,// invsqrt(0.1637) = 2.4716 +32'h3ec522e4,32'h3fca2811,32'h3fd26865, 32'h3fc3f7d2,32'h3fd898a4, 32'h3fb9a768,32'h3fe2e90e,// invsqrt(0.3850) = 1.6116 +32'h3f7e18c7,32'h3f7bd15c,32'h3f830c4c, 32'h3f741bee,32'h3f86e703, 32'h3f6742e1,32'h3f8d538a,// invsqrt(0.9926) = 1.0037 +32'h3e826699,32'h3ff88f51,32'h40015a42, 32'h3ff0f36b,32'h40052834, 32'h3fe444ec,32'h400b7f74,// invsqrt(0.2547) = 1.9815 +32'h3caea87a,32'h40d6c581,32'h40df89a5, 32'h40d03265,32'h40e61cc1, 32'h40c53d38,32'h40f111ef,// invsqrt(0.0213) = 6.8486 +32'h3fbae009,32'h3f4fa1e9,32'h3f581b75, 32'h3f4946c0,32'h3f5e769e, 32'h3f3eaed1,32'h3f690e8d,// invsqrt(1.4600) = 0.8276 +32'h3c13bb1d,32'h412520bb,32'h412bde26, 32'h412012ab,32'h4130ec37, 32'h4117a5e6,32'h413958fc,// invsqrt(0.0090) = 10.5311 +32'h3f10ed9b,32'h3fa6b788,32'h3fad858d, 32'h3fa19d03,32'h3fb2a011, 32'h3f991b7c,32'h3fbb2198,// invsqrt(0.5661) = 1.3291 +32'h3a001704,32'h42315631,32'h4238932d, 32'h422be873,32'h423e00eb, 32'h4222dc37,32'h42470d27,// invsqrt(0.0005) = 45.2389 +32'h408a98a3,32'h3ef11954,32'h3efaf091, 32'h3ee9b7e7,32'h3f012900, 32'h3edd6adb,32'h3f074f86,// invsqrt(4.3311) = 0.4805 +32'h3e596acc,32'h40081db1,32'h400dabf7, 32'h4003f2fd,32'h4011d6ab, 32'h3ffa024a,32'h4018c883,// invsqrt(0.2123) = 2.1702 +32'h40919e4c,32'h3eeb36c2,32'h3ef4d080, 32'h3ee40373,32'h3efc03cf, 32'h3ed80344,32'h3f0401ff,// invsqrt(4.5506) = 0.4688 +32'h3f1bc965,32'h3fa0cd41,32'h3fa75d78, 32'h3f9be118,32'h3fac49a2, 32'h3f93acd4,32'h3fb47de6,// invsqrt(0.6085) = 1.2819 +32'h3f4de4b5,32'h3f8bdf91,32'h3f919519, 32'h3f87976a,32'h3f95dd40, 32'h3f807480,32'h3f9d002a,// invsqrt(0.8043) = 1.1151 +32'h3f51c66a,32'h3f8a92ba,32'h3f903aad, 32'h3f8654c5,32'h3f9478a3, 32'h3f7e85aa,32'h3f9b8a93,// invsqrt(0.8194) = 1.1047 +32'h3f8bc7af,32'h3f70136b,32'h3f79dff7, 32'h3f68ba02,32'h3f809cb0, 32'h3f5c7a52,32'h3f86bc88,// invsqrt(1.0920) = 0.9569 +32'h3f84e19a,32'h3f763a9e,32'h3f8023bb, 32'h3f6eb0fd,32'h3f83e88c, 32'h3f6220ef,32'h3f8a3092,// invsqrt(1.0381) = 0.9815 +32'h3f751f9b,32'h3f80315a,32'h3f856cd6, 32'h3f78897a,32'h3f895973, 32'h3f6b74c5,32'h3f8fe3ce,// invsqrt(0.9575) = 1.0219 +32'h3f6f2430,32'h3f81c948,32'h3f87156a, 32'h3f7ba05c,32'h3f8b0e84, 32'h3f6e6207,32'h3f91adaf,// invsqrt(0.9341) = 1.0346 +32'h40e9ac40,32'h3eb9ae46,32'h3ec14272, 32'h3eb3ff24,32'h3ec6f194, 32'h3eaa85ec,32'h3ed06acc,// invsqrt(7.3023) = 0.3701 +32'h3eb92b7e,32'h3fd0961a,32'h3fd9199d, 32'h3fca3376,32'h3fdf7c40, 32'h3fbf8f12,32'h3fea20a4,// invsqrt(0.3617) = 1.6628 +32'h3f81e84e,32'h3f790806,32'h3f819913, 32'h3f71686e,32'h3f8568df, 32'h3f64b3c7,32'h3f8bc332,// invsqrt(1.0149) = 0.9926 +32'h3f8da5d7,32'h3f6e7cde,32'h3f7838d2, 32'h3f672fe7,32'h3f7f85c9, 32'h3f5b04f6,32'h3f85d85d,// invsqrt(1.1066) = 0.9506 +32'h3fef2141,32'h3f378cc4,32'h3f3f0aad, 32'h3f31ee56,32'h3f44a91c, 32'h3f2890f3,32'h3f4e067f,// invsqrt(1.8682) = 0.7316 +32'h3fcecc17,32'h3f4560c2,32'h3f4d6f28, 32'h3f3f55f6,32'h3f5379f4, 32'h3f3543f6,32'h3f5d8bf4,// invsqrt(1.6156) = 0.7867 +32'h3f626cfc,32'h3f856179,32'h3f8ad32a, 32'h3f814c33,32'h3f8ee86f, 32'h3f74fc2b,32'h3f95b68c,// invsqrt(0.8845) = 1.0633 +32'h405732d5,32'h3f08d0da,32'h3f0e6670, 32'h3f04a0aa,32'h3f1296a0, 32'h3efb4b5c,32'h3f19919c,// invsqrt(3.3625) = 0.5453 +32'h3e8775fd,32'h3ff3df89,32'h3ffdd3c3, 32'h3fec685e,32'h4002a577, 32'h3fdff715,32'h4008de1b,// invsqrt(0.2646) = 1.9441 +32'h3fa5a7ca,32'h3f5c87bf,32'h3f658810, 32'h3f55c783,32'h3f6c484d, 32'h3f4a871e,32'h3f7788b2,// invsqrt(1.2942) = 0.8790 +32'h3f07b8a1,32'h3fac4768,32'h3fb34f8c, 32'h3fa7014e,32'h3fb895a6, 32'h3f9e3721,32'h3fc15fd3,// invsqrt(0.5302) = 1.3734 +32'h3e893d9d,32'h3ff24966,32'h3ffc2d0c, 32'h3feadea9,32'h4001cbe4, 32'h3fde821a,32'h4007fa2c,// invsqrt(0.2680) = 1.9315 +32'h418b874f,32'h3e704ac7,32'h3e7a1995, 32'h3e68efac,32'h3e80ba58, 32'h3e5cad29,32'h3e86db99,// invsqrt(17.4411) = 0.2394 +32'h3ef80a94,32'h3fb438f6,32'h3fbb941a, 32'h3faeb49b,32'h3fc11875, 32'h3fa582ae,32'h3fca4a62,// invsqrt(0.4845) = 1.4367 +32'h3d9b9622,32'h40638dea,32'h406cd79f, 32'h405c96a1,32'h4073cee7, 32'h4050fa7d,32'h407f6b0b,// invsqrt(0.0760) = 3.6281 +32'h3dc36748,32'h404b0d08,32'h405356b4, 32'h4044d5c6,32'h40598df6, 32'h403a79ae,32'h4063ea0e,// invsqrt(0.0954) = 3.2374 +32'h3f4beece,32'h3f8c8b47,32'h3f9247d1, 32'h3f883ddf,32'h3f969539, 32'h3f811231,32'h3f9dc0e7,// invsqrt(0.7966) = 1.1204 +32'h3f8b3b41,32'h3f708c5f,32'h3f7a5ddb, 32'h3f692f42,32'h3f80dd7c, 32'h3f5ce967,32'h3f87006a,// invsqrt(1.0877) = 0.9588 +32'h400240a0,32'h3f2fdbbf,32'h3f37094a, 32'h3f2a7998,32'h3f3c6b72, 32'h3f2180ab,32'h3f45645f,// invsqrt(2.0352) = 0.7010 +32'h3e078df1,32'h402c6286,32'h40336bc5, 32'h40271b97,32'h4038b2b5, 32'h401e5009,32'h40417e43,// invsqrt(0.1324) = 2.7485 +32'h3f8f7921,32'h3f6cf73f,32'h3f76a34d, 32'h3f65b636,32'h3f7de456, 32'h3f599f25,32'h3f84fdb3,// invsqrt(1.1209) = 0.9445 +32'h40062f7d,32'h3f2d4310,32'h3f34557a, 32'h3f27f542,32'h3f39a348, 32'h3f1f1e3e,32'h3f427a4c,// invsqrt(2.0966) = 0.6906 +32'h3f73deb9,32'h3f808595,32'h3f85c481, 32'h3f792cc8,32'h3f89b3b2, 32'h3f6c0f7a,32'h3f904259,// invsqrt(0.9526) = 1.0246 +32'h3dcff1f1,32'h4044d51b,32'h404cddce, 32'h403ece96,32'h4052e454, 32'h4034c3b6,32'h405cef34,// invsqrt(0.1015) = 3.1383 +32'h3f99bf1e,32'h3f64e971,32'h3f6e4157, 32'h3f5de785,32'h3f754343, 32'h3f5239a7,32'h3f807891,// invsqrt(1.2011) = 0.9124 +32'h3f837adb,32'h3f7789a6,32'h3f80d215, 32'h3f6ff5c3,32'h3f849c07, 32'h3f63549e,32'h3f8aec99,// invsqrt(1.0272) = 0.9867 +32'h3f195bf4,32'h3fa211c7,32'h3fa8af3d, 32'h3f9d1baf,32'h3fada555, 32'h3f94d6db,32'h3fb5ea29,// invsqrt(0.5991) = 1.2920 +32'h3f03ad6c,32'h3faee77d,32'h3fb60b0f, 32'h3fa98ccf,32'h3fbb65bd, 32'h3fa0a059,32'h3fc45233,// invsqrt(0.5144) = 1.3943 +32'h3f7b1c6e,32'h3f7d4f7a,32'h3f83d327, 32'h3f758e59,32'h3f87b3b8, 32'h3f68a1cd,32'h3f8e29fd,// invsqrt(0.9809) = 1.0097 +32'h41336a6d,32'h3e95d6dd,32'h3e9bf488, 32'h3e91409d,32'h3ea08ac9, 32'h3e899b88,32'h3ea82fde,// invsqrt(11.2135) = 0.2986 +32'h3f32fd0d,32'h3f96049e,32'h3f9c2428, 32'h3f916cf8,32'h3fa0bbce, 32'h3f89c58c,32'h3fa8633a,// invsqrt(0.6992) = 1.1959 +32'h3f0670c5,32'h3fad18fa,32'h3fb429ac, 32'h3fa7cc75,32'h3fb97631, 32'h3f9ef798,32'h3fc24b0f,// invsqrt(0.5252) = 1.3799 +32'h3f560f65,32'h3f892ddd,32'h3f8ec73f, 32'h3f84fad4,32'h3f92fa48, 32'h3f7bf633,32'h3f99fa03,// invsqrt(0.8362) = 1.0936 +32'h3f5b2e9d,32'h3f87911d,32'h3f8d19a7, 32'h3f836ab7,32'h3f91400d, 32'h3f790017,32'h3f982ab9,// invsqrt(0.8562) = 1.0807 +32'h3e7e827f,32'h3ffb9d09,32'h4002f112, 32'h3ff3e935,32'h4006cafc, 32'h3fe712d4,32'h400d362c,// invsqrt(0.2485) = 2.0058 +32'h3f7bdab0,32'h3f7cefba,32'h3f83a153, 32'h3f753187,32'h3f87806c, 32'h3f6849de,32'h3f8df441,// invsqrt(0.9838) = 1.0082 +32'h41118ef3,32'h3ea65b08,32'h3ead2546, 32'h3ea14358,32'h3eb23cf6, 32'h3e98c68a,32'h3ebab9c5,// invsqrt(9.0974) = 0.3315 +32'h403c1a2a,32'h3f1256b3,32'h3f184fc9, 32'h3f0ddbe1,32'h3f1cca9b, 32'h3f066485,32'h3f2441f7,// invsqrt(2.9391) = 0.5833 +32'h3e81a7fc,32'h3ff945c4,32'h4001b934, 32'h3ff1a448,32'h400589f2, 32'h3fe4ec7a,32'h400be5d9,// invsqrt(0.2532) = 1.9872 +32'h3f08c96f,32'h3fab9b47,32'h3fb29c64, 32'h3fa65a71,32'h3fb7dd39, 32'h3f9d990c,32'h3fc09e9e,// invsqrt(0.5343) = 1.3680 +32'h409148e0,32'h3eeb7bde,32'h3ef5186e, 32'h3ee44671,32'h3efc4ddb, 32'h3ed842bc,32'h3f0428c8,// invsqrt(4.5401) = 0.4693 +32'h3e33bed4,32'h4015b3ab,32'h401bcfe7, 32'h40111e7f,32'h40206513, 32'h40097b35,32'h4028085d,// invsqrt(0.1755) = 2.3868 +32'h4182db57,32'h3e782058,32'h3e812082, 32'h3e7087d8,32'h3e84ecc2, 32'h3e63df03,32'h3e8b412c,// invsqrt(16.3571) = 0.2473 +32'h3f993fb2,32'h3f654888,32'h3f6ea44e, 32'h3f5e43b2,32'h3f75a924, 32'h3f5290fa,32'h3f80adee,// invsqrt(1.1973) = 0.9139 +32'h3fded7c4,32'h3f3e23a3,32'h3f45e666, 32'h3f385192,32'h3f4bb878, 32'h3f2e9e1e,32'h3f556bec,// invsqrt(1.7410) = 0.7579 +32'h3eaa39d8,32'h3fd98cae,32'h3fe26dda, 32'h3fd2e3cd,32'h3fe916bb, 32'h3fc7ca57,32'h3ff43031,// invsqrt(0.3325) = 1.7343 +32'h3fb4edfa,32'h3f530433,32'h3f5ba11b, 32'h3f4c8e85,32'h3f6216c9, 32'h3f41ca63,32'h3f6cdaeb,// invsqrt(1.4135) = 0.8411 +32'h3e604a02,32'h400603ba,32'h400b7c0a, 32'h4001e97d,32'h400f9647, 32'h3ff62630,32'h40166cac,// invsqrt(0.2190) = 2.1367 +32'h3e410395,32'h401076f4,32'h40165c76, 32'h400c0ad2,32'h401ac898, 32'h4004abf0,32'h4022277a,// invsqrt(0.1885) = 2.3033 +32'h3efd7ed5,32'h3fb245ee,32'h3fb98cb3, 32'h3facd0d9,32'h3fbf01c7, 32'h3fa3b862,32'h3fc81a3e,// invsqrt(0.4951) = 1.4212 +32'h404fe4bb,32'h3f0b32e7,32'h3f10e163, 32'h3f06f00a,32'h3f152440, 32'h3effabdc,32'h3f1c3e5c,// invsqrt(3.2483) = 0.5548 +32'h3fa939b6,32'h3f5a3113,32'h3f6318f4, 32'h3f538329,32'h3f69c6dd, 32'h3f486150,32'h3f74e8b7,// invsqrt(1.3221) = 0.8697 +32'h407d46b8,32'h3efc39b3,32'h3f034299, 32'h3ef48113,32'h3f071ee9, 32'h3ee7a2b3,32'h3f0d8e18,// invsqrt(3.9574) = 0.5027 +32'h405fcb3d,32'h3f0629a9,32'h3f0ba387, 32'h3f020e44,32'h3f0fbeec, 32'h3ef66bde,32'h3f169741,// invsqrt(3.4968) = 0.5348 +32'h3e6bfc01,32'h4002a6c8,32'h4007fbf5, 32'h3ffd4dce,32'h400bfbd7, 32'h3feff8de,32'h4012a64f,// invsqrt(0.2305) = 2.0831 +32'h3faa30a0,32'h3f599292,32'h3f6273fc, 32'h3f52e983,32'h3f691d0b, 32'h3f47cfc0,32'h3f7436ce,// invsqrt(1.3296) = 0.8672 +32'h3da8edbc,32'h405a621e,32'h40634c00, 32'h4053b2b5,32'h4069fb69, 32'h40488e5a,32'h40751fc4,// invsqrt(0.0825) = 3.4819 +32'h40c04e4b,32'h3eccae02,32'h3ed508b3, 32'h3ec669fc,32'h3edb4cb8, 32'h3ebbf89e,32'h3ee5be16,// invsqrt(6.0096) = 0.4079 +32'h3f189c88,32'h3fa2774b,32'h3fa918e6, 32'h3f9d7e18,32'h3fae121a, 32'h3f953416,32'h3fb65c1c,// invsqrt(0.5961) = 1.2952 +32'h3df3c9d3,32'h4035c9a8,32'h403d3526, 32'h40303908,32'h4042c5c6, 32'h4026f2aa,32'h404c0c25,// invsqrt(0.1190) = 2.8984 +32'h410e141b,32'h3ea86168,32'h3eaf40d0, 32'h3ea339db,32'h3eb4685d, 32'h3e9aa299,32'h3ebcff9f,// invsqrt(8.8799) = 0.3356 +32'h3fac1767,32'h3f585e01,32'h3f6132d2, 32'h3f51be64,32'h3f67d26e, 32'h3f46b45e,32'h3f72dc74,// invsqrt(1.3445) = 0.8624 +32'h3f99f53f,32'h3f64c130,32'h3f6e1770, 32'h3f5dc07f,32'h3f751821, 32'h3f5214ae,32'h3f8061f9,// invsqrt(1.2028) = 0.9118 +32'h3fa2d230,32'h3f5e7104,32'h3f67854e, 32'h3f57a1cd,32'h3f6e5485, 32'h3f4c4872,32'h3f79ade0,// invsqrt(1.2720) = 0.8866 +32'h3f74990d,32'h3f805498,32'h3f859184, 32'h3f78cdce,32'h3f897f35, 32'h3f6bb580,32'h3f900b5c,// invsqrt(0.9555) = 1.0230 +32'h401ff2db,32'h3f1eb227,32'h3f252c5d, 32'h3f19d67f,32'h3f2a0805, 32'h3f11bdbb,32'h3f3220c9,// invsqrt(2.4992) = 0.6326 +32'h40b384f8,32'h3ed3d7f5,32'h3edc7d82, 32'h3ecd5bcc,32'h3ee2f9ac, 32'h3ec28cdc,32'h3eedc89c,// invsqrt(5.6100) = 0.4222 +32'h403f8e63,32'h3f11036a,32'h3f16eea7, 32'h3f0c92fb,32'h3f1b5f15, 32'h3f052cee,32'h3f22c522,// invsqrt(2.9931) = 0.5780 +32'h40901120,32'h3eec7a1d,32'h3ef6210f, 32'h3ee53ce8,32'h3efd5e44, 32'h3ed92c3a,32'h3f04b779,// invsqrt(4.5021) = 0.4713 +32'h41f6a896,32'h3e34ba1a,32'h3e3c1a84, 32'h3e2f31cb,32'h3e41a2d3, 32'h3e25f947,32'h3e4adb57,// invsqrt(30.8323) = 0.1801 +32'h3f5ba011,32'h3f876e15,32'h3f8cf52f, 32'h3f8348c0,32'h3f911a84, 32'h3f78bfbd,32'h3f980365,// invsqrt(0.8579) = 1.0796 +32'h40efbbe5,32'h3eb75188,32'h3ebecd06, 32'h3eb1b4ea,32'h3ec469a4, 32'h3ea85a8d,32'h3ecdc401,// invsqrt(7.4917) = 0.3654 +32'h404b3555,32'h3f0ccb5c,32'h3f128a84, 32'h3f087bfe,32'h3f16d9e2, 32'h3f014d0b,32'h3f1e08d5,// invsqrt(3.1751) = 0.5612 +32'h3fd7013d,32'h3f4192ee,32'h3f497995, 32'h3f3ba5f2,32'h3f4f6692, 32'h3f31c5a2,32'h3f5946e2,// invsqrt(1.6797) = 0.7716 +32'h3fa29837,32'h3f5e98a8,32'h3f67ae90, 32'h3f57c83b,32'h3f6e7efd, 32'h3f4c6cd9,32'h3f79da5f,// invsqrt(1.2703) = 0.8873 +32'h3f5c2473,32'h3f874556,32'h3f8ccac8, 32'h3f832141,32'h3f90eedd, 32'h3f7874e8,32'h3f97d5aa,// invsqrt(0.8599) = 1.0784 +32'h3f705784,32'h3f817632,32'h3f86bef0, 32'h3f7aff47,32'h3f8ab57f, 32'h3f6dc96b,32'h3f91506c,// invsqrt(0.9388) = 1.0321 +32'h3eace77a,32'h3fd7dba9,32'h3fe0ab29, 32'h3fd1400a,32'h3fe746c8, 32'h3fc63cab,32'h3ff24a27,// invsqrt(0.3377) = 1.7208 +32'h3ee934dd,32'h3fb9ddc7,32'h3fc173e5, 32'h3fb42d32,32'h3fc7247a, 32'h3faab18d,32'h3fd0a01f,// invsqrt(0.4555) = 1.4817 +32'h3f122394,32'h3fa6065a,32'h3faccd24, 32'h3fa0f142,32'h3fb1e23c, 32'h3f9878c6,32'h3fba5ab9,// invsqrt(0.5709) = 1.3235 +32'h3f69a985,32'h3f834c93,32'h3f88a885, 32'h3f7e8f3d,32'h3f8cad79, 32'h3f712962,32'h3f936067,// invsqrt(0.9127) = 1.0467 +32'h3eda3328,32'h3fc026ca,32'h3fc7fe94, 32'h3fba44f3,32'h3fcde06b, 32'h3fb07737,32'h3fd7ae27,// invsqrt(0.4262) = 1.5318 +32'h3f6bd983,32'h3f82b056,32'h3f8805e6, 32'h3f7d6053,32'h3f8c0613, 32'h3f700a69,32'h3f92b107,// invsqrt(0.9213) = 1.0418 +32'h3e607810,32'h4005f5f9,32'h400b6dbb, 32'h4001dc29,32'h400f878b, 32'h3ff60cef,32'h40165d3d,// invsqrt(0.2192) = 2.1359 +32'h3fb1cf2a,32'h3f54dc23,32'h3f5d8c4f, 32'h3f4e5803,32'h3f64106f, 32'h3f437bcd,32'h3f6eeca5,// invsqrt(1.3891) = 0.8485 +32'h3e20d4b6,32'h401e4292,32'h4024b83a, 32'h40196a54,32'h40299078, 32'h40115742,32'h4031a38a,// invsqrt(0.1571) = 2.5233 +32'h3f80c0f3,32'h3f7a2505,32'h3f822d63, 32'h3f727cb4,32'h3f86018c, 32'h3f65b982,32'h3f8c6325,// invsqrt(1.0059) = 0.9971 +32'h3f0666a6,32'h3fad1f7e,32'h3fb43074, 32'h3fa7d2c6,32'h3fb97d2c, 32'h3f9efd93,32'h3fc2525f,// invsqrt(0.5250) = 1.3801 +32'h3f95a652,32'h3f68062c,32'h3f717e96, 32'h3f60ebdc,32'h3f7898e6, 32'h3f551557,32'h3f8237b5,// invsqrt(1.1691) = 0.9248 +32'h40015af4,32'h3f307799,32'h3f37ab7f, 32'h3f2b10ab,32'h3f3d126d, 32'h3f220fcb,32'h3f46134d,// invsqrt(2.0212) = 0.7034 +32'h3e8b5c0e,32'h3ff0700e,32'h3ffa4062, 32'h3fe913cf,32'h4000ce51, 32'h3fdccf66,32'h4006f085,// invsqrt(0.2722) = 1.9168 +32'h3e7b2f19,32'h3ffd4610,32'h4003ce42, 32'h3ff5853a,32'h4007aead, 32'h3fe89929,32'h400e24b6,// invsqrt(0.2453) = 2.0191 +32'h4027c19a,32'h3f1af57e,32'h3f2148a8, 32'h3f16371f,32'h3f260707, 32'h3f0e4f2b,32'h3f2deefb,// invsqrt(2.6212) = 0.6177 +32'h3f6f5349,32'h3f81bc82,32'h3f87081e, 32'h3f7b8798,32'h3f8b00d4, 32'h3f6e4a90,32'h3f919f58,// invsqrt(0.9349) = 1.0343 +32'h3ed1a797,32'h3fc4073f,32'h3fcc078b, 32'h3fbe0706,32'h3fd207c4, 32'h3fb406a8,32'h3fdc0822,// invsqrt(0.4095) = 1.5627 +32'h3f84b689,32'h3f76628f,32'h3f803884, 32'h3f6ed7b4,32'h3f83fdf1, 32'h3f62459d,32'h3f8a46fc,// invsqrt(1.0368) = 0.9821 +32'h410e39b9,32'h3ea84b22,32'h3eaf29a1, 32'h3ea32444,32'h3eb45080, 32'h3e9a8e25,32'h3ebce69f,// invsqrt(8.8891) = 0.3354 +32'h3ceb56c2,32'h40b905b8,32'h40c09304, 32'h40b35bc0,32'h40c63cfc, 32'h40a9eb21,32'h40cfad9b,// invsqrt(0.0287) = 5.8999 +32'h3f56bbed,32'h3f88f6b6,32'h3f8e8dd8, 32'h3f84c55d,32'h3f92bf31, 32'h3f7b90e6,32'h3f99bc1b,// invsqrt(0.8388) = 1.0919 +32'h3f7a0a83,32'h3f7dda14,32'h3f841b49, 32'h3f7614b4,32'h3f87fdf8, 32'h3f692117,32'h3f8e77c7,// invsqrt(0.9767) = 1.0118 +32'h3f88bb9e,32'h3f72bc77,32'h3f7ca4d0, 32'h3f6b4e35,32'h3f820989, 32'h3f5eebc7,32'h3f883ac1,// invsqrt(1.0682) = 0.9675 +32'h3f02794a,32'h3fafb58b,32'h3fb6e187, 32'h3faa548f,32'h3fbc4283, 32'h3fa15d95,32'h3fc5397d,// invsqrt(0.5097) = 1.4007 +32'h3e88e099,32'h3ff29bab,32'h3ffc82ad, 32'h3feb2e6a,32'h4001f7f7, 32'h3fdecda8,32'h40082858,// invsqrt(0.2673) = 1.9341 +32'h3f782e4a,32'h3f7ecd2d,32'h3f8499cc, 32'h3f77005d,32'h3f888033, 32'h3f6a0058,32'h3f8f0036,// invsqrt(0.9695) = 1.0156 +32'h3f7480b2,32'h3f805afc,32'h3f85982b, 32'h3f78da33,32'h3f89860f, 32'h3f6bc13e,32'h3f901289,// invsqrt(0.9551) = 1.0232 +32'h40384148,32'h3f13dbc9,32'h3f19e4c1, 32'h3f0f550e,32'h3f1e6b7c, 32'h3f07c9d8,32'h3f25f6b2,// invsqrt(2.8790) = 0.5894 +32'h41748504,32'h3e8059da,32'h3e8596fc, 32'h3e78d7fe,32'h3e8984d7, 32'h3e6bbf27,32'h3e901142,// invsqrt(15.2825) = 0.2558 +32'h3f02e2c3,32'h3faf6eb1,32'h3fb697c8, 32'h3faa0fe0,32'h3fbbf69a, 32'h3fa11c84,32'h3fc4e9f6,// invsqrt(0.5113) = 1.3985 +32'h40ba8c7a,32'h3ecfd064,32'h3ed84bd6, 32'h3ec973cf,32'h3edea86b, 32'h3ebed980,32'h3ee942ba,// invsqrt(5.8296) = 0.4142 +32'h3fc4b7c3,32'h3f4a5f15,32'h3f52a1a9, 32'h3f442d27,32'h3f58d397, 32'h3f39d9ef,32'h3f6326cf,// invsqrt(1.5369) = 0.8066 +32'h3e96ea78,32'h3fe70c78,32'h3ff07ab1, 32'h3fdff9cd,32'h3ff78d5b, 32'h3fd43005,32'h4001ab91,// invsqrt(0.2948) = 1.8419 +32'h3f215eed,32'h3f9dfebd,32'h3fa471a1, 32'h3f992893,32'h3fa947cb, 32'h3f9118f7,32'h3fb15767,// invsqrt(0.6304) = 1.2595 +32'h3e79bf2b,32'h3ffe005b,32'h40042f35, 32'h3ff639d0,32'h4008127a, 32'h3fe9443f,32'h400e8d43,// invsqrt(0.2439) = 2.0249 +32'h3fd88088,32'h3f40e748,32'h3f48c6ed, 32'h3f3aff8d,32'h3f4eaea9, 32'h3f3127ff,32'h3f588637,// invsqrt(1.6914) = 0.7689 +32'h3f0a3269,32'h3faaba94,32'h3fb1b286, 32'h3fa580a0,32'h3fb6ec7a, 32'h3f9ccab2,32'h3fbfa268,// invsqrt(0.5398) = 1.3610 +32'h3f52d960,32'h3f8a3842,32'h3f8fdc84, 32'h3f85fd11,32'h3f9417b5, 32'h3f7ddf7f,32'h3f9b2506,// invsqrt(0.8236) = 1.1019 +32'h3f829368,32'h3f7864a7,32'h3f81440e, 32'h3f70ca10,32'h3f85115a, 32'h3f641dbf,32'h3f8b6783,// invsqrt(1.0201) = 0.9901 +32'h3f342d59,32'h3f9585ba,32'h3f9ba016, 32'h3f90f1f6,32'h3fa033da, 32'h3f895104,32'h3fa7d4cc,// invsqrt(0.7038) = 1.1920 +32'h4263be1c,32'h3e04fe9b,32'h3e0a6c44, 32'h3e00ec5e,32'h3e0e7e82, 32'h3df44696,32'h3e154795,// invsqrt(56.9357) = 0.1325 +32'h3f43770c,32'h3f8f8e59,32'h3f956a5d, 32'h3f8b2956,32'h3f99cf60, 32'h3f83d652,32'h3fa12264,// invsqrt(0.7635) = 1.1444 +32'h3f7a38ee,32'h3f7dc287,32'h3f840f08, 32'h3f75fde2,32'h3f87f15b, 32'h3f690b78,32'h3f8e6a90,// invsqrt(0.9774) = 1.0115 +32'h4003c3aa,32'h3f2ed8b9,32'h3f35fbb1, 32'h3f297e7f,32'h3f3b55eb, 32'h3f2092c9,32'h3f4441a1,// invsqrt(2.0588) = 0.6969 +32'h40011d3a,32'h3f30a1c3,32'h3f37d762, 32'h3f2b398b,32'h3f3d3f99, 32'h3f223683,32'h3f4642a1,// invsqrt(2.0174) = 0.7040 +32'h42fb177c,32'h3db31fdb,32'h3dba6f85, 32'h3dada41a,32'h3dbfeb46, 32'h3da48085,32'h3dc90edb,// invsqrt(125.5459) = 0.0892 +32'h40425388,32'h3f0ff9de,32'h3f15da46, 32'h3f0b9191,32'h3f1a4293, 32'h3f043910,32'h3f219b14,// invsqrt(3.0363) = 0.5739 +32'h3ee0f455,32'h3fbd3ea6,32'h3fc4f810, 32'h3fb77396,32'h3fcac320, 32'h3fadcbd2,32'h3fd46ae4,// invsqrt(0.4394) = 1.5086 +32'h3fd04497,32'h3f44ae09,32'h3f4cb524, 32'h3f3ea8b6,32'h3f52ba78, 32'h3f349fd5,32'h3f5cc359,// invsqrt(1.6271) = 0.7840 +32'h3fa68b81,32'h3f5bf0c8,32'h3f64eaf0, 32'h3f55352b,32'h3f6ba68d, 32'h3f49fc79,32'h3f76df3f,// invsqrt(1.3011) = 0.8767 +32'h3ec17d83,32'h3fcc0d62,32'h3fd46186, 32'h3fc5ce48,32'h3fdaa0a0, 32'h3fbb651c,32'h3fe509cc,// invsqrt(0.3779) = 1.6267 +32'h3f8d91f6,32'h3f6e8d9c,32'h3f784a3f, 32'h3f674021,32'h3f7f97b9, 32'h3f5b1455,32'h3f85e1c2,// invsqrt(1.1060) = 0.9509 +32'h3f30910b,32'h3f970b1d,32'h3f9d355d, 32'h3f926b6d,32'h3fa1d50d, 32'h3f8ab69d,32'h3fa989dd,// invsqrt(0.6897) = 1.2041 +32'h3fa61e8f,32'h3f5c38db,32'h3f6535f3, 32'h3f557b08,32'h3f6bf3c6, 32'h3f4a3eaa,32'h3f773025,// invsqrt(1.2978) = 0.8778 +32'h3e1f6758,32'h401ef78a,32'h40257495, 32'h401a19c2,32'h402a525e, 32'h4011fd75,32'h40326eab,// invsqrt(0.1557) = 2.5345 +32'h3fff260c,32'h3f31b1d6,32'h3f38f290, 32'h3f2c414a,32'h3f3e631c, 32'h3f233061,32'h3f477405,// invsqrt(1.9933) = 0.7083 +32'h3f698337,32'h3f835757,32'h3f88b3b9, 32'h3f7ea41c,32'h3f8cb902, 32'h3f713d28,32'h3f936c7c,// invsqrt(0.9122) = 1.0470 +32'h3f25402b,32'h3f9c211a,32'h3fa2807e, 32'h3f97598f,32'h3fa74809, 32'h3f8f6251,32'h3faf3f47,// invsqrt(0.6455) = 1.2447 +32'h4067c6a7,32'h3f03d511,32'h3f093695, 32'h3eff97de,32'h3f0d3fb7, 32'h3ef22415,32'h3f13f99b,// invsqrt(3.6215) = 0.5255 +32'h3fe5280b,32'h3f3b806a,32'h3f43279e, 32'h3f35c304,32'h3f48e504, 32'h3f2c3204,32'h3f527604,// invsqrt(1.7903) = 0.7474 +32'h402ef68e,32'h3f17bbe5,32'h3f1ded5c, 32'h3f1316cb,32'h3f229275, 32'h3f0b58f6,32'h3f2a504a,// invsqrt(2.7338) = 0.6048 +32'h41392391,32'h3e938151,32'h3e998698, 32'h3e8efd5b,32'h3e9e0a8d, 32'h3e8776c2,32'h3ea59126,// invsqrt(11.5712) = 0.2940 +32'h3f090891,32'h3fab73ba,32'h3fb2733a, 32'h3fa6341a,32'h3fb7b2da, 32'h3f9d74bb,32'h3fc07239,// invsqrt(0.5353) = 1.3668 +32'h3f9e8823,32'h3f616e3b,32'h3f6aa1c1, 32'h3f5a8798,32'h3f718864, 32'h3f4f0731,32'h3f7d08cb,// invsqrt(1.2385) = 0.8986 +32'h3ef8ade7,32'h3fb3fdbd,32'h3fbb5677, 32'h3fae7b32,32'h3fc0d902, 32'h3fa54c4b,32'h3fca07e9,// invsqrt(0.4857) = 1.4349 +32'h3fc8c97b,32'h3f484f6d,32'h3f507c77, 32'h3f422da6,32'h3f569e3e, 32'h3f37f55a,32'h3f60d68a,// invsqrt(1.5686) = 0.7984 +32'h3f6bf359,32'h3f82a92e,32'h3f87fe74, 32'h3f7d5274,32'h3f8bfe68, 32'h3f6ffd45,32'h3f92a900,// invsqrt(0.9217) = 1.0416 +32'h3f9ac2d5,32'h3f64290d,32'h3f6d7917, 32'h3f5d2d04,32'h3f747520, 32'h3f5188f7,32'h3f800c97,// invsqrt(1.2091) = 0.9094 +32'h4012cd77,32'h3f25a62d,32'h3f2c690b, 32'h3f209407,32'h3f317b31, 32'h3f182073,32'h3f39eec5,// invsqrt(2.2938) = 0.6603 +32'h3f958b14,32'h3f681b4d,32'h3f719495, 32'h3f610058,32'h3f78af8a, 32'h3f5528bf,32'h3f824391,// invsqrt(1.1683) = 0.9252 +32'h3f1142ae,32'h3fa686ae,32'h3fad52b6, 32'h3fa16da9,32'h3fb26bbb, 32'h3f98eea0,32'h3fbaeac4,// invsqrt(0.5674) = 1.3275 +32'h3fcef46d,32'h3f454d85,32'h3f4d5b22, 32'h3f3f4350,32'h3f536558, 32'h3f35324c,32'h3f5d765c,// invsqrt(1.6168) = 0.7864 +32'h40720bcf,32'h3f010150,32'h3f064548, 32'h3efa1caa,32'h3f0a3843, 32'h3eecf2bc,32'h3f10cd3a,// invsqrt(3.7820) = 0.5142 +32'h3f654a6a,32'h3f848b7b,32'h3f89f470, 32'h3f807cc2,32'h3f8e0328, 32'h3f73731f,32'h3f94c65a,// invsqrt(0.8957) = 1.0566 +32'h3f19cc93,32'h3fa1d665,32'h3fa8716f, 32'h3f9ce21e,32'h3fad65b6, 32'h3f94a053,32'h3fb5a781,// invsqrt(0.6008) = 1.2902 +32'h3fe410fd,32'h3f3bf2fd,32'h3f439edd, 32'h3f363214,32'h3f495fc6, 32'h3f2c9b3c,32'h3f52f69e,// invsqrt(1.7818) = 0.7492 +32'h3e04e6c0,32'h402e18d5,32'h403533f8, 32'h4028c47b,32'h403a8853, 32'h401fe290,32'h40436a3e,// invsqrt(0.1298) = 2.7758 +32'h3efd6504,32'h3fb24f02,32'h3fb99626, 32'h3facd9a6,32'h3fbf0b82, 32'h3fa3c0b8,32'h3fc82470,// invsqrt(0.4949) = 1.4215 +32'h418f3516,32'h3e6d2f84,32'h3e76ddde, 32'h3e65ecc2,32'h3e7e20a0, 32'h3e59d2d2,32'h3e851d48,// invsqrt(17.9009) = 0.2364 +32'h3fdab780,32'h3f3fec9f,32'h3f47c209, 32'h3f3a0c90,32'h3f4da218, 32'h3f3041cc,32'h3f576cdc,// invsqrt(1.7087) = 0.7650 +32'h3f1b1889,32'h3fa128d6,32'h3fa7bcca, 32'h3f9c39df,32'h3facabc1, 32'h3f9400ee,32'h3fb4e4b2,// invsqrt(0.6058) = 1.2848 +32'h3d609a27,32'h4085ebce,32'h408b6325, 32'h4081d24e,32'h408f7ca6, 32'h4075fa42,32'h409651d3,// invsqrt(0.0548) = 4.2704 +32'h3f14d89b,32'h3fa48213,32'h3fab3904, 32'h3f9f78de,32'h3fb04238, 32'h3f971430,32'h3fb8a6e6,// invsqrt(0.5814) = 1.3114 +32'h3fa04eb6,32'h3f602db9,32'h3f695429, 32'h3f5950e5,32'h3f7030fd, 32'h3f4de0d9,32'h3f7ba109,// invsqrt(1.2524) = 0.8936 +32'h3d4ce218,32'h408c37bc,32'h4091f0de, 32'h4087ece3,32'h40963bb7, 32'h4080c578,32'h409d6322,// invsqrt(0.0500) = 4.4712 +32'h3f967813,32'h3f67643b,32'h3f70d609, 32'h3f604ee0,32'h3f77eb64, 32'h3f54809f,32'h3f81dcd3,// invsqrt(1.1755) = 0.9223 +32'h3fb25a93,32'h3f5488e2,32'h3f5d35a7, 32'h3f4e074d,32'h3f63b73b, 32'h3f432f57,32'h3f6e8f31,// invsqrt(1.3934) = 0.8472 +32'h3f240edd,32'h3f9cb21d,32'h3fa3176d, 32'h3f97e622,32'h3fa7e368, 32'h3f8fe77e,32'h3fafe20c,// invsqrt(0.6409) = 1.2492 +32'h3f972b45,32'h3f66daed,32'h3f704721, 32'h3f5fc9c7,32'h3f775847, 32'h3f540286,32'h3f818fc4,// invsqrt(1.1810) = 0.9202 +32'h3fbd8734,32'h3f4e2c94,32'h3f5696e4, 32'h3f47dcd9,32'h3f5ce69f, 32'h3f3d57f6,32'h3f676b82,// invsqrt(1.4807) = 0.8218 +32'h3f838d15,32'h3f777880,32'h3f80c928, 32'h3f6fe523,32'h3f8492d7, 32'h3f6344de,32'h3f8ae2f9,// invsqrt(1.0277) = 0.9864 +32'h3ed59869,32'h3fc2362a,32'h3fca237a, 32'h3fbc442e,32'h3fd01576, 32'h3fb25b8a,32'h3fd9fe1a,// invsqrt(0.4172) = 1.5482 +32'h3ea256a1,32'h3fdec59b,32'h3fe7dd59, 32'h3fd7f3ce,32'h3feeaf26, 32'h3fcc9621,32'h3ffa0cd3,// invsqrt(0.3171) = 1.7759 +32'h4053097d,32'h3f0a2880,32'h3f0fcc1c, 32'h3f05edca,32'h3f1406d2, 32'h3efdc28c,32'h3f1b1356,// invsqrt(3.2975) = 0.5507 +32'h3f046823,32'h3fae6bff,32'h3fb58a87, 32'h3fa91519,32'h3fbae16d, 32'h3fa02ef0,32'h3fc3c797,// invsqrt(0.5172) = 1.3905 +32'h3e3ce56b,32'h401207e2,32'h4017fdc2, 32'h400d8f7b,32'h401c7629, 32'h40061c23,32'h4023e981,// invsqrt(0.1845) = 2.3283 +32'h3ed51c16,32'h3fc26ec8,32'h3fca5e68, 32'h3fbc7b10,32'h3fd05220, 32'h3fb28f89,32'h3fda3da7,// invsqrt(0.4162) = 1.5500 +32'h3e7f9c1b,32'h3ffb1249,32'h4002a8dd, 32'h3ff362b5,32'h400680a7, 32'h3fe69368,32'h400ce84e,// invsqrt(0.2496) = 2.0015 +32'h3f876f8c,32'h3f73e556,32'h3f7dd9cc, 32'h3f6c6dfd,32'h3f82a893, 32'h3f5ffc69,32'h3f88e15d,// invsqrt(1.0581) = 0.9722 +32'h3ee9ccde,32'h3fb9a152,32'h3fc134f8, 32'h3fb3f296,32'h3fc6e3b4, 32'h3faa7a08,32'h3fd05c42,// invsqrt(0.4566) = 1.4798 +32'h3fd9854c,32'h3f407385,32'h3f484e71, 32'h3f3a8f55,32'h3f4e32a1, 32'h3f30bdaf,32'h3f580447,// invsqrt(1.6994) = 0.7671 +32'h3f6cf103,32'h3f82632a,32'h3f87b594, 32'h3f7ccab4,32'h3f8bb364, 32'h3f6f7cab,32'h3f925a68,// invsqrt(0.9256) = 1.0394 +32'h40ca8d0d,32'h3ec76fa7,32'h3ecf938e, 32'h3ec154b9,32'h3ed5ae7b, 32'h3eb727d7,32'h3edfdb5d,// invsqrt(6.3297) = 0.3975 +32'h3fa5c35f,32'h3f5c7566,32'h3f6574f7, 32'h3f55b5b8,32'h3f6c34a4, 32'h3f4a7643,32'h3f777419,// invsqrt(1.2950) = 0.8787 +32'h3fb076f6,32'h3f55ab57,32'h3f5e63f7, 32'h3f4f20df,32'h3f64ee6f, 32'h3f443a16,32'h3f6fd538,// invsqrt(1.3786) = 0.8517 +32'h40236816,32'h3f1d0200,32'h3f236a92, 32'h3f183392,32'h3f283900, 32'h3f1030db,32'h3f303bb7,// invsqrt(2.5532) = 0.6258 +32'h3f71f2c7,32'h3f8107fc,32'h3f864c3a, 32'h3f7a299a,32'h3f8a3f69, 32'h3f6cfefd,32'h3f90d4b7,// invsqrt(0.9451) = 1.0286 +32'h3c47ff48,32'h410deb8f,32'h4113b67b, 32'h4109935e,32'h41180eac, 32'h410255b8,32'h411f4c52,// invsqrt(0.0122) = 9.0510 +32'h3fcf4b60,32'h3f452420,32'h3f4d300c, 32'h3f3f1b2e,32'h3f5338fe, 32'h3f350c47,32'h3f5d47e5,// invsqrt(1.6195) = 0.7858 +32'h402596b1,32'h3f1bf84b,32'h3f225605, 32'h3f173200,32'h3f271c50, 32'h3f0f3cd7,32'h3f2f1179,// invsqrt(2.5873) = 0.6217 +32'h3f05b745,32'h3fad90e2,32'h3fb4a678, 32'h3fa840b1,32'h3fb9f6a9, 32'h3f9f65b5,32'h3fc2d1a5,// invsqrt(0.5223) = 1.3837 +32'h3fc3f09b,32'h3f4ac5d4,32'h3f530c98, 32'h3f4490c0,32'h3f5941ac, 32'h3f3a384a,32'h3f639a22,// invsqrt(1.5308) = 0.8082 +32'h3e895530,32'h3ff2349a,32'h3ffc1766, 32'h3feaca80,32'h4001c0c0, 32'h3fde6f00,32'h4007ee80,// invsqrt(0.2682) = 1.9308 +32'h3fb078c7,32'h3f55aa3d,32'h3f5e62d2, 32'h3f4f1fce,32'h3f64ed42, 32'h3f443914,32'h3f6fd3fc,// invsqrt(1.3787) = 0.8517 +32'h3fc091ad,32'h3f4c8a2f,32'h3f54e36b, 32'h3f464743,32'h3f5b2657, 32'h3f3bd7b8,32'h3f6595e2,// invsqrt(1.5044) = 0.8153 +32'h3fbb7aba,32'h3f4f4c2f,32'h3f57c23b, 32'h3f48f3a6,32'h3f5e1ac4, 32'h3f3e6016,32'h3f68ae54,// invsqrt(1.4647) = 0.8263 +32'h3eeb8631,32'h3fb8f315,32'h3fc07f9e, 32'h3fb349af,32'h3fc62905, 32'h3fa9da04,32'h3fcf98b0,// invsqrt(0.4600) = 1.4744 +32'h3ff4e882,32'h3f355f23,32'h3f3cc648, 32'h3f2fd1c6,32'h3f4253a4, 32'h3f2690d6,32'h3f4b9494,// invsqrt(1.9133) = 0.7229 +32'h3e8134de,32'h3ff9b4b7,32'h4001f2f2, 32'h3ff20fd6,32'h4005c562, 32'h3fe5525f,32'h400c241e,// invsqrt(0.2524) = 1.9906 +32'h40f35dd3,32'h3eb5f1f9,32'h3ebd5f1d, 32'h3eb0601e,32'h3ec2f0f8, 32'h3ea717b0,32'h3ecc3966,// invsqrt(7.6052) = 0.3626 +32'h3f938efe,32'h3f69a992,32'h3f73331b, 32'h3f62826c,32'h3f7a5a42, 32'h3f569682,32'h3f832316,// invsqrt(1.1528) = 0.9314 +32'h3f68d3dd,32'h3f8388c4,32'h3f88e72a, 32'h3f7f03ef,32'h3f8cedf7, 32'h3f7197f0,32'h3f93a3f6,// invsqrt(0.9095) = 1.0486 +32'h3ff91c09,32'h3f33d5ef,32'h3f3b2d09, 32'h3f2e549c,32'h3f40ae5c, 32'h3f2527bc,32'h3f49db3c,// invsqrt(1.9462) = 0.7168 +32'h3e0fc378,32'h4027640d,32'h402e391d, 32'h40224441,32'h403358e9, 32'h4019b9ec,32'h403be33e,// invsqrt(0.1404) = 2.6689 +32'h3f9d2d43,32'h3f626673,32'h3f6ba41a, 32'h3f5b7837,32'h3f729257, 32'h3f4feb26,32'h3f7e1f68,// invsqrt(1.2279) = 0.9024 +32'h3f9a462b,32'h3f648529,32'h3f6dd8f7, 32'h3f5d864f,32'h3f74d7d1, 32'h3f51dd8e,32'h3f804049,// invsqrt(1.2053) = 0.9109 +32'h3ff3c44c,32'h3f35cbb7,32'h3f3d374c, 32'h3f303b08,32'h3f42c7fc, 32'h3f26f48f,32'h3f4c0e75,// invsqrt(1.9044) = 0.7246 +32'h40064d69,32'h3f2d2fc2,32'h3f344162, 32'h3f27e28b,32'h3f398e99, 32'h3f1f0c83,32'h3f4264a1,// invsqrt(2.0985) = 0.6903 +32'h3f8b4f22,32'h3f707b35,32'h3f7a4bfd, 32'h3f691e9e,32'h3f80d44a, 32'h3f5cd9a3,32'h3f86f6c7,// invsqrt(1.0884) = 0.9586 +32'h3fa9a912,32'h3f59e96c,32'h3f62ce60, 32'h3f533db4,32'h3f697a18, 32'h3f481f82,32'h3f74984a,// invsqrt(1.3255) = 0.8686 +32'h3fab2105,32'h3f58f98b,32'h3f61d4b5, 32'h3f52552b,32'h3f687915, 32'h3f474336,32'h3f738b0a,// invsqrt(1.3369) = 0.8649 +32'h3f7783fa,32'h3f7f24c7,32'h3f84c762, 32'h3f775549,32'h3f88af22, 32'h3f6a50cc,32'h3f8f3160,// invsqrt(0.9669) = 1.0170 +32'h3f2fabba,32'h3f976d92,32'h3f9d9bd7, 32'h3f92cadf,32'h3fa23e8b, 32'h3f8b1109,32'h3fa9f861,// invsqrt(0.6862) = 1.2072 +32'h3ee78d4f,32'h3fba8778,32'h3fc22482, 32'h3fb4d1b0,32'h3fc7da4a, 32'h3fab4d64,32'h3fd15e96,// invsqrt(0.4522) = 1.4870 +32'h4170fd84,32'h3e814994,32'h3e869080, 32'h3e7aa8c6,32'h3e8a85b1, 32'h3e6d7778,32'h3e911e58,// invsqrt(15.0619) = 0.2577 +32'h3d262e13,32'h409bb130,32'h40a20c02, 32'h4096ed11,32'h40a6d021, 32'h408efb8a,32'h40aec1a8,// invsqrt(0.0406) = 4.9647 +32'h3f4c403a,32'h3f8c6f41,32'h3f922aa6, 32'h3f8822b4,32'h3f967732, 32'h3f80f874,32'h3f9da172,// invsqrt(0.7979) = 1.1195 +32'h3fa1a7b1,32'h3f5f3e04,32'h3f685aac, 32'h3f586887,32'h3f6f3029, 32'h3f4d04b6,32'h3f7a93fa,// invsqrt(1.2629) = 0.8898 +32'h3f111ad7,32'h3fa69d89,32'h3fad6a7f, 32'h3fa183d1,32'h3fb28437, 32'h3f99039d,32'h3fbb046b,// invsqrt(0.5668) = 1.3282 +32'h3dcbc176,32'h4046d87e,32'h404ef63a, 32'h4040c231,32'h40550c87, 32'h40369d06,32'h405f31b2,// invsqrt(0.0995) = 3.1704 +32'h3df1bb63,32'h40368f2f,32'h403e02bd, 32'h4030f883,32'h40439969, 32'h4027a811,32'h404ce9db,// invsqrt(0.1180) = 2.9107 +32'h3e9e88f8,32'h3fe16da4,32'h3feaa122, 32'h3fda8704,32'h3ff187c2, 32'h3fcf06a6,32'h3ffd0820,// invsqrt(0.3096) = 1.7971 +32'h408ee365,32'h3eed7348,32'h3ef72465, 32'h3ee62e72,32'h3efe693a, 32'h3eda110d,32'h3f05434f,// invsqrt(4.4653) = 0.4732 +32'h3ec27530,32'h3fcb8b46,32'h3fd3da1a, 32'h3fc55027,32'h3fda1539, 32'h3fbaed9e,32'h3fe477c2,// invsqrt(0.3798) = 1.6226 +32'h410cc8cf,32'h3ea92713,32'h3eb00e8b, 32'h3ea3f978,32'h3eb53c26, 32'h3e9b5821,32'h3ebddd7d,// invsqrt(8.7990) = 0.3371 +32'h3f55f21b,32'h3f893741,32'h3f8ed105, 32'h3f8503ee,32'h3f930458, 32'h3f7c0772,32'h3f9a048d,// invsqrt(0.8357) = 1.0939 +32'h3f2c95fe,32'h3f98c67f,32'h3f9f02d7, 32'h3f94193c,32'h3fa3b01a, 32'h3f8c4dcd,32'h3fab7b89,// invsqrt(0.6742) = 1.2179 +32'h3f52bc3f,32'h3f8a41cf,32'h3f8fe675, 32'h3f860654,32'h3f9421f0, 32'h3f7df10a,32'h3f9b2fbf,// invsqrt(0.8232) = 1.1022 +32'h3fa043d6,32'h3f603554,32'h3f695c13, 32'h3f595844,32'h3f703922, 32'h3f4de7d4,32'h3f7ba992,// invsqrt(1.2521) = 0.8937 +32'h3f8776e6,32'h3f73deb8,32'h3f7dd2e9, 32'h3f6c6792,32'h3f82a507, 32'h3f5ff655,32'h3f88dda6,// invsqrt(1.0583) = 0.9721 +32'h3fc2e654,32'h3f4b5029,32'h3f539c93, 32'h3f4516d9,32'h3f59d5e3, 32'h3f3ab755,32'h3f643567,// invsqrt(1.5227) = 0.8104 +32'h3fbc2068,32'h3f4ef0d2,32'h3f576324, 32'h3f489b15,32'h3f5db8e1, 32'h3f3e0c2f,32'h3f6847c7,// invsqrt(1.4697) = 0.8249 +32'h3f8a131f,32'h3f718dca,32'h3f7b69c8, 32'h3f6a28cc,32'h3f816763, 32'h3f5dd5ce,32'h3f8790e2,// invsqrt(1.0787) = 0.9628 +32'h3fad7be5,32'h3f577f40,32'h3f604af9, 32'h3f50e674,32'h3f66e3c4, 32'h3f45e7cc,32'h3f71e26c,// invsqrt(1.3553) = 0.8590 +32'h3ff8765a,32'h3f3411db,32'h3f3b6b67, 32'h3f2e8eb3,32'h3f40ee8f, 32'h3f255ec4,32'h3f4a1e7e,// invsqrt(1.9411) = 0.7178 +32'h3d3dadd7,32'h4091baa7,32'h4097ad60, 32'h408d449d,32'h409c236b, 32'h4085d537,32'h40a392d1,// invsqrt(0.0463) = 4.6470 +32'h3fb7a73f,32'h3f517220,32'h3f59fe9e, 32'h3f4b08c1,32'h3f6067fd, 32'h3f405922,32'h3f6b179c,// invsqrt(1.4348) = 0.8348 +32'h3e888f60,32'h3ff2e3c6,32'h3ffccdba, 32'h3feb7450,32'h40021e98, 32'h3fdf0fe0,32'h400850d0,// invsqrt(0.2667) = 1.9363 +32'h3f89c733,32'h3f71d04e,32'h3f7baf03, 32'h3f6a6947,32'h3f818b06, 32'h3f5e12e5,32'h3f87b637,// invsqrt(1.0764) = 0.9639 +32'h3f83dcfa,32'h3f772d7c,32'h3f80a21e, 32'h3f6f9c6b,32'h3f846aa7, 32'h3f62fff9,32'h3f8ab8df,// invsqrt(1.0302) = 0.9852 +32'h3f919125,32'h3f6b4162,32'h3f74db90, 32'h3f640dc0,32'h3f7c0f32, 32'h3f580d06,32'h3f8407f6,// invsqrt(1.1372) = 0.9377 +32'h3f2c261c,32'h3f98f81c,32'h3f9f367c, 32'h3f944955,32'h3fa3e543, 32'h3f8c7b5e,32'h3fabb33a,// invsqrt(0.6725) = 1.2195 +32'h409a9359,32'h3ee44c15,32'h3eed9d8f, 32'h3edd4efb,32'h3ef49aa9, 32'h3ed1a923,32'h3f002040,// invsqrt(4.8305) = 0.4550 +32'h3eb29f03,32'h3fd46027,32'h3fdd0b43, 32'h3fcddfd2,32'h3fe38b98, 32'h3fc309f0,32'h3fee617a,// invsqrt(0.3489) = 1.6930 +32'h3fcbc4a6,32'h3f46d6f0,32'h3f4ef49b, 32'h3f40c0ae,32'h3f550adc, 32'h3f369b98,32'h3f5f2ff2,// invsqrt(1.5919) = 0.7926 +32'h3f2b2bdf,32'h3f9967c4,32'h3f9faab2, 32'h3f94b592,32'h3fa45ce4, 32'h3f8ce1e8,32'h3fac308e,// invsqrt(0.6686) = 1.2229 +32'h4007d5f0,32'h3f2c34d1,32'h3f333c33, 32'h3f26ef48,32'h3f3881bc, 32'h3f1e260f,32'h3f414af5,// invsqrt(2.1224) = 0.6864 +32'h3fc2f94f,32'h3f4b4643,32'h3f539246, 32'h3f450d42,32'h3f59cb48, 32'h3f3aae3e,32'h3f642a4c,// invsqrt(1.5232) = 0.8102 +32'h3f5d9a57,32'h3f86d308,32'h3f8c53cf, 32'h3f82b273,32'h3f907465, 32'h3f77a2f6,32'h3f97555d,// invsqrt(0.8656) = 1.0748 +32'h3f06a4f7,32'h3facf76a,32'h3fb406bc, 32'h3fa7abec,32'h3fb9523a, 32'h3f9ed8c4,32'h3fc22562,// invsqrt(0.5260) = 1.3789 +32'h3ff04f47,32'h3f371948,32'h3f3e927a, 32'h3f317e63,32'h3f442d5f, 32'h3f2826e4,32'h3f4d84de,// invsqrt(1.8774) = 0.7298 +32'h3fa3dafc,32'h3f5dbcff,32'h3f66c9ef, 32'h3f56f34b,32'h3f6d93a3, 32'h3f4ba31e,32'h3f78e3d0,// invsqrt(1.2801) = 0.8838 +32'h3e04a7dd,32'h402e4215,32'h40355ee7, 32'h4028ec78,32'h403ab484, 32'h40200871,32'h4043988b,// invsqrt(0.1295) = 2.7784 +32'h3faebc6b,32'h3f56b93f,32'h3f5f7ce3, 32'h3f502683,32'h3f660f9f, 32'h3f4531f6,32'h3f71042c,// invsqrt(1.3651) = 0.8559 +32'h3f4a4fe3,32'h3f8d1b1c,32'h3f92dd85, 32'h3f88c94c,32'h3f972f54, 32'h3f819648,32'h3f9e6258,// invsqrt(0.7903) = 1.1249 +32'h40a65b8f,32'h3edc1077,32'h3ee50bea, 32'h3ed553e2,32'h3eebc880, 32'h3eca1992,32'h3ef702d0,// invsqrt(5.1987) = 0.4386 +32'h3f8cf73a,32'h3f6f1065,32'h3f78d25f, 32'h3f67beea,32'h3f8011ed, 32'h3f5b8c72,32'h3f862b29,// invsqrt(1.1013) = 0.9529 +32'h3f91f22d,32'h3f6af321,32'h3f748a1d, 32'h3f63c1e4,32'h3f7bbb5a, 32'h3f57c529,32'h3f83dc0b,// invsqrt(1.1402) = 0.9365 +32'h3fe9b989,32'h3f39a8ff,32'h3f413cf5, 32'h3f33fa07,32'h3f46ebed, 32'h3f2a8114,32'h3f5064e0,// invsqrt(1.8260) = 0.7400 +32'h3e8be00f,32'h3feffe7f,32'h3ff9ca31, 32'h3fe8a5ba,32'h4000917b, 32'h3fdc671c,32'h4006b0ca,// invsqrt(0.2732) = 1.9132 +32'h3f688416,32'h3f839f52,32'h3f88fea4, 32'h3f7f2faa,32'h3f8d0621, 32'h3f71c15d,32'h3f93bd47,// invsqrt(0.9083) = 1.0493 +32'h3f8470b0,32'h3f76a37f,32'h3f805a4f, 32'h3f6f16a7,32'h3f8420bb, 32'h3f628140,32'h3f8a6b6e,// invsqrt(1.0347) = 0.9831 +32'h3f1a18e2,32'h3fa1ae4e,32'h3fa847b4, 32'h3f9cbb41,32'h3fad3ac1, 32'h3f947b81,32'h3fb57a81,// invsqrt(0.6019) = 1.2889 +32'h400aafbf,32'h3f2a6d5d,32'h3f316227, 32'h3f2535c5,32'h3f3699bf, 32'h3f1c83c8,32'h3f3f4bbc,// invsqrt(2.1670) = 0.6793 +32'h3eb0f832,32'h3fd55d44,32'h3fde12b4, 32'h3fced52f,32'h3fe49ac9, 32'h3fc3f263,32'h3fef7d95,// invsqrt(0.3456) = 1.7009 +32'h3c364377,32'h4114aa03,32'h411abb67, 32'h41101cf9,32'h411f4871, 32'h4108873c,32'h4126de2e,// invsqrt(0.0111) = 9.4811 +32'h3faa7ce1,32'h3f5961e4,32'h3f624151, 32'h3f52ba53,32'h3f68e8e3, 32'h3f47a30c,32'h3f74002b,// invsqrt(1.3319) = 0.8665 +32'h3f23892a,32'h3f9cf21e,32'h3fa35a0a, 32'h3f98242d,32'h3fa827fb, 32'h3f902245,32'h3fb029e3,// invsqrt(0.6388) = 1.2512 +32'h3f21ccd1,32'h3f9dc90d,32'h3fa439bf, 32'h3f98f487,32'h3fa90e45, 32'h3f90e7a9,32'h3fb11b23,// invsqrt(0.6320) = 1.2579 +32'h3eef17d3,32'h3fb79063,32'h3fbf0e71, 32'h3fb1f1d8,32'h3fc4acfc, 32'h3fa89446,32'h3fce0a8e,// invsqrt(0.4670) = 1.4634 +32'h40149a3e,32'h3f24a494,32'h3f2b5cee, 32'h3f1f9a51,32'h3f306731, 32'h3f1733e1,32'h3f38cda1,// invsqrt(2.3219) = 0.6563 +32'h402d6846,32'h3f1869c1,32'h3f1ea251, 32'h3f13bf55,32'h3f234cbd, 32'h3f0bf8a2,32'h3f2b1370,// invsqrt(2.7095) = 0.6075 +32'h4003ad31,32'h3f2ee7a4,32'h3f360b38, 32'h3f298cf5,32'h3f3b65e7, 32'h3f20a07d,32'h3f44525f,// invsqrt(2.0574) = 0.6972 +32'h3f45623d,32'h3f8edb4b,32'h3f94afff, 32'h3f8a7bc3,32'h3f990f87, 32'h3f8331e1,32'h3fa05969,// invsqrt(0.7710) = 1.1388 +32'h3fa3f6ca,32'h3f5daa31,32'h3f66b65d, 32'h3f56e110,32'h3f6d7f7e, 32'h3f4b91da,32'h3f78ceb5,// invsqrt(1.2810) = 0.8835 +32'h3f01e734,32'h3fb0183c,32'h3fb7483e, 32'h3faab43a,32'h3fbcac40, 32'h3fa1b837,32'h3fc5a843,// invsqrt(0.5074) = 1.4038 +32'h3f1ff180,32'h3f9eb2d3,32'h3fa52d10, 32'h3f99d726,32'h3faa08be, 32'h3f91be5a,32'h3fb2218a,// invsqrt(0.6248) = 1.2651 +32'h3f8cae37,32'h3f6f4e66,32'h3f7912e8, 32'h3f67fb05,32'h3f803324, 32'h3f5bc563,32'h3f864df5,// invsqrt(1.0991) = 0.9539 +32'h400de3a0,32'h3f287e2a,32'h3f2f5ebe, 32'h3f2355bb,32'h3f34872d, 32'h3f1abd02,32'h3f3d1fe6,// invsqrt(2.2170) = 0.6716 +32'h3f348991,32'h3f955f85,32'h3f9b7851, 32'h3f90ccec,32'h3fa00aea, 32'h3f892ded,32'h3fa7a9e9,// invsqrt(0.7052) = 1.1908 +32'h40e21127,32'h3ebcc749,32'h3ec47bd4, 32'h3eb6ffe1,32'h3eca433d, 32'h3ead5e34,32'h3ed3e4ea,// invsqrt(7.0646) = 0.3762 +32'h3f5a87fa,32'h3f87c4c3,32'h3f8d4f67, 32'h3f839cc7,32'h3f917763, 32'h3f795ef3,32'h3f9864b1,// invsqrt(0.8536) = 1.0823 +32'h3f253ced,32'h3f9c22a2,32'h3fa28217, 32'h3f975b0c,32'h3fa749ae, 32'h3f8f63ba,32'h3faf4100,// invsqrt(0.6455) = 1.2447 +32'h3f70f1b4,32'h3f814cbf,32'h3f8693cd, 32'h3f7aaeeb,32'h3f8a8916, 32'h3f6d7d4b,32'h3f9121e7,// invsqrt(0.9412) = 1.0308 +32'h3e56671e,32'h400911ca,32'h400eaa06, 32'h4004df9c,32'h4012dc34, 32'h3ffbc2a2,32'h4019da7f,// invsqrt(0.2094) = 2.1854 +32'h3fff6d22,32'h3f31991b,32'h3f38d8d2, 32'h3f2c2950,32'h3f3e489c, 32'h3f2319aa,32'h3f475842,// invsqrt(1.9955) = 0.7079 +32'h3f8bbc4b,32'h3f701d34,32'h3f79ea26, 32'h3f68c37e,32'h3f80a1ee, 32'h3f5c834f,32'h3f86c206,// invsqrt(1.0917) = 0.9571 +32'h4021bea7,32'h3f1dcff5,32'h3f2440ef, 32'h3f18fb39,32'h3f2915ab, 32'h3f10ee00,32'h3f3122e4,// invsqrt(2.5273) = 0.6290 +32'h3f909bc6,32'h3f6c08a5,32'h3f75aaf5, 32'h3f64cee9,32'h3f7ce4b1, 32'h3f58c405,32'h3f8477ca,// invsqrt(1.1298) = 0.9408 +32'h40a6a392,32'h3edbe0e6,32'h3ee4da67, 32'h3ed525c4,32'h3eeb9588, 32'h3ec9ede2,32'h3ef6cd6a,// invsqrt(5.2075) = 0.4382 +32'h418e6c12,32'h3e6dd6ac,32'h3e778bd7, 32'h3e668ecb,32'h3e7ed3b7, 32'h3e5a6c54,32'h3e857b17,// invsqrt(17.8028) = 0.2370 +32'h3e6415ea,32'h4004e500,32'h400a519c, 32'h4000d38a,32'h400e6312, 32'h3ff4178c,32'h40152ad6,// invsqrt(0.2227) = 2.1189 +32'h3f818408,32'h3f79685b,32'h3f81cb35, 32'h3f71c5d0,32'h3f859c7a, 32'h3f650c3f,32'h3f8bf943,// invsqrt(1.0118) = 0.9941 +32'h3fa3ac64,32'h3f5ddc8c,32'h3f66eac6, 32'h3f5711e1,32'h3f6db571, 32'h3f4bc018,32'h3f79073a,// invsqrt(1.2787) = 0.8843 +32'h3f8b62dd,32'h3f706a2f,32'h3f7a3a45, 32'h3f690e1e,32'h3f80cb2b, 32'h3f5cca01,32'h3f86ed3a,// invsqrt(1.0890) = 0.9583 +32'h40708102,32'h3f016b07,32'h3f06b351, 32'h3efae9a0,32'h3f0aa988, 32'h3eedb4e9,32'h3f1143e4,// invsqrt(3.7579) = 0.5159 +32'h3ff181dc,32'h3f36a4eb,32'h3f3e195e, 32'h3f310d96,32'h3f43b0b4, 32'h3f27bc08,32'h3f4d0242,// invsqrt(1.8868) = 0.7280 +32'h3fe4ab00,32'h3f3bb3a7,32'h3f435cf1, 32'h3f35f4af,32'h3f491be9, 32'h3f2c6111,32'h3f52af87,// invsqrt(1.7865) = 0.7482 +32'h3d90a45e,32'h406c01a2,32'h4075a3a8, 32'h4064c81d,32'h407cdd2d, 32'h4058bd94,32'h408473db,// invsqrt(0.0706) = 3.7629 +32'h3d343d84,32'h40957f05,32'h409b991b, 32'h4090eb76,32'h40a02caa, 32'h40894adb,32'h40a7cd45,// invsqrt(0.0440) = 4.7671 +32'h3f5cd662,32'h3f870ecc,32'h3f8c9204, 32'h3f82ec63,32'h3f90b46d, 32'h3f7810bb,32'h3f979872,// invsqrt(0.8626) = 1.0767 +32'h3fb05ed6,32'h3f55b9f3,32'h3f5e732c, 32'h3f4f2f09,32'h3f64fe17, 32'h3f444782,32'h3f6fe59e,// invsqrt(1.3779) = 0.8519 +32'h3f7a5183,32'h3f7db611,32'h3f84088b, 32'h3f75f1cd,32'h3f87eaae, 32'h3f690005,32'h3f8e6391,// invsqrt(0.9778) = 1.0113 +32'h3e906f90,32'h3fec2cc2,32'h3ff5d08b, 32'h3fe4f1ea,32'h3ffd0b62, 32'h3fd8e52f,32'h40048c0e,// invsqrt(0.2821) = 1.8828 +32'h3ff7389a,32'h3f34856f,32'h3f3be3b2, 32'h3f2efebc,32'h3f416a64, 32'h3f25c8e8,32'h3f4aa038,// invsqrt(1.9314) = 0.7196 +32'h40a34ab6,32'h3ede1ede,32'h3ee72fcc, 32'h3ed7522a,32'h3eedfc80, 32'h3ecbfd00,32'h3ef951aa,// invsqrt(5.1029) = 0.4427 +32'h3c3bdc0e,32'h41126ee2,32'h411868f5, 32'h410df352,32'h411ce484, 32'h41067aba,32'h41245d1c,// invsqrt(0.0115) = 9.3388 +32'h3f8978d4,32'h3f721532,32'h3f7bf6b6, 32'h3f6aac0e,32'h3f81afed, 32'h3f5e5228,32'h3f87dce0,// invsqrt(1.0740) = 0.9649 +32'h3ed99685,32'h3fc06be7,32'h3fc84683, 32'h3fba87f2,32'h3fce2a78, 32'h3fb0b6b0,32'h3fd7fbba,// invsqrt(0.4250) = 1.5340 +32'h3e0a9d81,32'h402a7894,32'h40316dd4, 32'h402540a5,32'h4036a5c3, 32'h401c8e15,32'h403f5853,// invsqrt(0.1354) = 2.7180 +32'h3faa0399,32'h3f59af60,32'h3f6291f6, 32'h3f53056f,32'h3f693be7, 32'h3f47ea34,32'h3f745723,// invsqrt(1.3282) = 0.8677 +32'h3f16ce7a,32'h3fa36f71,32'h3faa1b2d, 32'h3f9e6ea5,32'h3faf1bf9, 32'h3f9617fa,32'h3fb772a4,// invsqrt(0.5891) = 1.3029 +32'h408447a3,32'h3ef6c9c1,32'h3f006e38, 32'h3eef3bbd,32'h3f043539, 32'h3ee2a462,32'h3f0a80e7,// invsqrt(4.1337) = 0.4918 +32'h419ec2c1,32'h3e61449a,32'h3e6a766c, 32'h3e5a5f3c,32'h3e715bca, 32'h3e4ee0f6,32'h3e7cda10,// invsqrt(19.8451) = 0.2245 +32'h3ea73b57,32'h3fdb7d09,32'h3fe47277, 32'h3fd4c4f6,32'h3feb2a8a, 32'h3fc9922d,32'h3ff65d53,// invsqrt(0.3266) = 1.7497 +32'h3df37fe9,32'h4035e53d,32'h403d51dc, 32'h403053c5,32'h4042e353, 32'h40270bfe,32'h404c2b1a,// invsqrt(0.1189) = 2.9001 +32'h3e146797,32'h4024c0aa,32'h402b7a2a, 32'h401fb58b,32'h40308549, 32'h40174dac,32'h4038ed28,// invsqrt(0.1449) = 2.6268 +32'h40859ddd,32'h3ef58cea,32'h3eff92aa, 32'h3eee089a,32'h3f038b7d, 32'h3ee18169,32'h3f09cf16,// invsqrt(4.1755) = 0.4894 +32'h3fa641d3,32'h3f5c217f,32'h3f651da3, 32'h3f556463,32'h3f6bdabf, 32'h3f4a2936,32'h3f7715ec,// invsqrt(1.2989) = 0.8774 +32'h3e6b6966,32'h4002cf71,32'h40082647, 32'h3ffd9ca2,32'h400c2767, 32'h3ff0438c,32'h4012d3f2,// invsqrt(0.2299) = 2.0856 +32'h40844187,32'h3ef6cf74,32'h3f00712f, 32'h3eef4144,32'h3f043847, 32'h3ee2a99e,32'h3f0a841a,// invsqrt(4.1330) = 0.4919 +32'h40008b7b,32'h3f3105c9,32'h3f383f7d, 32'h3f2b9a81,32'h3f3daac5, 32'h3f229260,32'h3f46b2e7,// invsqrt(2.0085) = 0.7056 +32'h3d97b13e,32'h406674e5,32'h406fdcef, 32'h405f66de,32'h4076eaf6, 32'h4053a4d3,32'h40815681,// invsqrt(0.0741) = 3.6744 +32'h3fd62492,32'h3f41f691,32'h3f49e149, 32'h3f3c0688,32'h3f4fd152, 32'h3f322122,32'h3f59b6b8,// invsqrt(1.6730) = 0.7731 +32'h3fd359e9,32'h3f433d6c,32'h3f4b357b, 32'h3f3d4361,32'h3f512f87, 32'h3f334d4f,32'h3f5b2599,// invsqrt(1.6512) = 0.7782 +32'h4056a58c,32'h3f08fdda,32'h3f0e9546, 32'h3f04cc49,32'h3f12c6d7, 32'h3efb9e03,32'h3f19c41e,// invsqrt(3.3539) = 0.5460 +32'h3f4f1abf,32'h3f8b76b7,32'h3f9127f8, 32'h3f8731c7,32'h3f956ce9, 32'h3f801436,32'h3f9c8a7a,// invsqrt(0.8090) = 1.1118 +32'h3f26690e,32'h3f9b9596,32'h3fa1ef48, 32'h3f96d250,32'h3fa6b28e, 32'h3f8ee231,32'h3faea2ad,// invsqrt(0.6500) = 1.2403 +32'h3f6999e4,32'h3f8350f7,32'h3f88ad16, 32'h3f7e97c0,32'h3f8cb22e, 32'h3f713173,32'h3f936554,// invsqrt(0.9125) = 1.0468 +32'h3e65b9e8,32'h40046b4d,32'h4009d2f2, 32'h40005d91,32'h400de0ad, 32'h3ff33805,32'h4014a23c,// invsqrt(0.2243) = 2.1113 +32'h3f7fcef0,32'h3f7af956,32'h3f829be1, 32'h3f734a85,32'h3f86734a, 32'h3f667c7e,32'h3f8cda4d,// invsqrt(0.9993) = 1.0004 +32'h40028221,32'h3f2faf98,32'h3f36db55, 32'h3f2a4eca,32'h3f3c3c22, 32'h3f21581d,32'h3f4532cf,// invsqrt(2.0392) = 0.7003 +32'h3e1aa1c9,32'h402166ac,32'h4027fd26, 32'h401c75d1,32'h402cee01, 32'h401439b8,32'h40352a1a,// invsqrt(0.1510) = 2.5734 +32'h3e4fba69,32'h400b4114,32'h4010f024, 32'h4006fdc7,32'h40153371, 32'h3fffc5e6,32'h401c4e45,// invsqrt(0.2029) = 2.2203 +32'h3e7360d3,32'h4000a6cf,32'h4005e716, 32'h3ff96d32,32'h4009d74b, 32'h3fec4c80,32'h401067a4,// invsqrt(0.2377) = 2.0512 +32'h3e57ac01,32'h4008aa66,32'h400e3e6a, 32'h40047b63,32'h40126d6d, 32'h3ffb04bb,32'h40196672,// invsqrt(0.2106) = 2.1790 +32'h3fd39cf9,32'h3f431e7a,32'h3f4b1546, 32'h3f3d2562,32'h3f510e5e, 32'h3f3330e3,32'h3f5b02dd,// invsqrt(1.6532) = 0.7777 +32'h3ed5255b,32'h3fc26a8e,32'h3fca5a02, 32'h3fbc76f8,32'h3fd04d98, 32'h3fb28ba7,32'h3fda38e9,// invsqrt(0.4163) = 1.5499 +32'h3e4edebd,32'h400b8af0,32'h40113d04, 32'h40074561,32'h40158293, 32'h400026c7,32'h401ca12d,// invsqrt(0.2020) = 2.2249 +32'h3d92ac2a,32'h406a5dfb,32'h4073eee0, 32'h4063314e,32'h407b1b8c, 32'h40573c2f,32'h40838856,// invsqrt(0.0716) = 3.7367 +32'h3f922cb0,32'h3f6ac416,32'h3f745926, 32'h3f639449,32'h3f7b88f3, 32'h3f5799f5,32'h3f83c1a4,// invsqrt(1.1420) = 0.9358 +32'h3e365974,32'h4014a10c,32'h401ab212, 32'h40101448,32'h401f3ed6, 32'h40087f01,32'h4026d41d,// invsqrt(0.1781) = 2.3697 +32'h3ee7bbac,32'h3fba74ce,32'h3fc21116, 32'h3fb4bf99,32'h3fc7c64b, 32'h3fab3c40,32'h3fd149a4,// invsqrt(0.4526) = 1.4864 +32'h3eacfd99,32'h3fd7cddc,32'h3fe09cca, 32'h3fd132a8,32'h3fe737fe, 32'h3fc62ffe,32'h3ff23aa8,// invsqrt(0.3379) = 1.7204 +32'h3e4e43e4,32'h400bbf47,32'h4011737f, 32'h4007781e,32'h4015baa8, 32'h400056d9,32'h401cdbed,// invsqrt(0.2014) = 2.2281 +32'h4095a7ec,32'h3ee804ee,32'h3ef17d4c, 32'h3ee0eaa8,32'h3ef89792, 32'h3ed51434,32'h3f023703,// invsqrt(4.6767) = 0.4624 +32'h3e43b806,32'h400f7683,32'h4015518d, 32'h400b123b,32'h4019b5d5, 32'h4003c06e,32'h402107a2,// invsqrt(0.1911) = 2.2874 +32'h40e75791,32'h3eba9d21,32'h3ec23b0d, 32'h3eb4e6af,32'h3ec7f17f, 32'h3eab6148,32'h3ed176e6,// invsqrt(7.2294) = 0.3719 +32'h3ee52379,32'h3fbb8249,32'h3fc3298f, 32'h3fb5c4d3,32'h3fc8e705, 32'h3fac33bb,32'h3fd2781d,// invsqrt(0.4475) = 1.4948 +32'h41d1b4fa,32'h3e4400fd,32'h3e4c0107, 32'h3e3e00f5,32'h3e52010f, 32'h3e3400e8,32'h3e5c011c,// invsqrt(26.2134) = 0.1953 +32'h40075095,32'h3f2c8998,32'h3f33946f, 32'h3f274176,32'h3f38dc90, 32'h3f1e73e9,32'h3f41aa1d,// invsqrt(2.1143) = 0.6877 +32'h3d890f63,32'h4072723e,32'h407c578f, 32'h406b0642,32'h4081e1c6, 32'h405ea79d,32'h40881119,// invsqrt(0.0669) = 3.8655 +32'h4079a89d,32'h3efe0bd4,32'h3f04352e, 32'h3ef644f0,32'h3f0818a0, 32'h3ee94ec9,32'h3f0e93b4,// invsqrt(3.9009) = 0.5063 +32'h3f798b36,32'h3f7e1acb,32'h3f843cf7, 32'h3f765371,32'h3f8820a4, 32'h3f695c86,32'h3f8e9c19,// invsqrt(0.9748) = 1.0129 +32'h3ea21a4e,32'h3fdeef0b,32'h3fe80879, 32'h3fd81bf8,32'h3feedb8c, 32'h3fccbc2f,32'h3ffa3b55,// invsqrt(0.3166) = 1.7772 +32'h40aa215b,32'h3ed99c55,32'h3ee27e25, 32'h3ed2f2fa,32'h3ee92780, 32'h3ec7d8b7,32'h3ef441c3,// invsqrt(5.3166) = 0.4337 +32'h3f41c29d,32'h3f902fac,32'h3f961245, 32'h3f8bc5b8,32'h3f9a7c38, 32'h3f846a79,32'h3fa1d777,// invsqrt(0.7569) = 1.1494 +32'h3fb3b127,32'h3f53bde8,32'h3f5c6264, 32'h3f4d428a,32'h3f62ddc2, 32'h3f4274ef,32'h3f6dab5d,// invsqrt(1.4038) = 0.8440 +32'h4190b86e,32'h3e6bf145,32'h3e7592a1, 32'h3e64b840,32'h3e7ccba6, 32'h3e58ae8e,32'h3e846aac,// invsqrt(18.0901) = 0.2351 +32'h3fcbbac6,32'h3f46dbc1,32'h3f4ef99f, 32'h3f40c55a,32'h3f551006, 32'h3f36a005,32'h3f5f355b,// invsqrt(1.5916) = 0.7926 +32'h4062794a,32'h3f055dd9,32'h3f0acf65, 32'h3f0148b1,32'h3f0ee48d, 32'h3ef4f585,32'h3f15b27c,// invsqrt(3.5387) = 0.5316 +32'h41c4f9e9,32'h3e4a3d17,32'h3e527e47, 32'h3e440c33,32'h3e58af2b, 32'h3e39bab7,32'h3e6300a7,// invsqrt(24.6220) = 0.2015 +32'h3f55e14c,32'h3f893ca5,32'h3f8ed6a1, 32'h3f850928,32'h3f930a1e, 32'h3f7c1159,32'h3f9a0a9a,// invsqrt(0.8355) = 1.0940 +32'h3d57eb6a,32'h40889653,32'h408e2985, 32'h408467ed,32'h409257eb, 32'h407adfdc,32'h40994fea,// invsqrt(0.0527) = 4.3555 +32'h3f246623,32'h3f9c8880,32'h3fa2ec1d, 32'h3f97bdcb,32'h3fa7b6d3, 32'h3f8fc147,32'h3fafb357,// invsqrt(0.6422) = 1.2479 +32'h3f867378,32'h3f74c98e,32'h3f7ec756, 32'h3f6d4b39,32'h3f8322d5, 32'h3f60ce00,32'h3f896172,// invsqrt(1.0504) = 0.9757 +32'h3ed4809b,32'h3fc2b5dd,32'h3fcaa863, 32'h3fbcbff8,32'h3fd09e48, 32'h3fb2d0d0,32'h3fda8d70,// invsqrt(0.4150) = 1.5522 +32'h3f9bb33b,32'h3f6378a5,32'h3f6cc17d, 32'h3f5c8203,32'h3f73b81f, 32'h3f50e6f6,32'h3f7f532c,// invsqrt(1.2164) = 0.9067 +32'h3e79f1bd,32'h3ffde6a8,32'h400421d4, 32'h3ff620e6,32'h400804b5, 32'h3fe92ca4,32'h400e7ed6,// invsqrt(0.2441) = 2.0241 +32'h40524f16,32'h3f0a65ac,32'h3f100bc8, 32'h3f062917,32'h3f14485d, 32'h3efe32e8,32'h3f1b5800,// invsqrt(3.2861) = 0.5516 +32'h409e0ef9,32'h3ee1c492,32'h3eeafb9e, 32'h3edadb4a,32'h3ef1e4e6, 32'h3ecf567c,32'h3efd69b4,// invsqrt(4.9393) = 0.4500 +32'h3ffa06c9,32'h3f33816f,32'h3f3ad515, 32'h3f2e02b2,32'h3f4053d2, 32'h3f24da22,32'h3f497c62,// invsqrt(1.9533) = 0.7155 +32'h3f1b82ac,32'h3fa0f1ce,32'h3fa78382, 32'h3f9c0486,32'h3fac70ca, 32'h3f93ce64,32'h3fb4a6ec,// invsqrt(0.6075) = 1.2830 +32'h3e19b863,32'h4021e105,32'h40287c7d, 32'h401cec6b,32'h402d7117, 32'h4014aa14,32'h4035b36e,// invsqrt(0.1501) = 2.5810 +32'h3ffceaf8,32'h3f327a03,32'h3f39c2e9, 32'h3f2d0356,32'h3f3f3996, 32'h3f23e837,32'h3f4854b5,// invsqrt(1.9759) = 0.7114 +32'h3f3444ef,32'h3f957bf2,32'h3f9b95e6, 32'h3f90e87a,32'h3fa0295e, 32'h3f894808,32'h3fa7c9d0,// invsqrt(0.7042) = 1.1917 +32'h3f3219e2,32'h3f96642c,32'h3f9c879c, 32'h3f91c999,32'h3fa1222f, 32'h3f8a1d4d,32'h3fa8ce7b,// invsqrt(0.6957) = 1.1989 +32'h3f93c58b,32'h3f697e6d,32'h3f730633, 32'h3f625899,32'h3f7a2c07, 32'h3f566ee2,32'h3f830adf,// invsqrt(1.1545) = 0.9307 +32'h3ffb290e,32'h3f331996,32'h3f3a6900, 32'h3f2d9e07,32'h3f3fe48f, 32'h3f247ac4,32'h3f4907d3,// invsqrt(1.9622) = 0.7139 +32'h3f3a62bb,32'h3f9302d0,32'h3f9902ed, 32'h3f8e82b9,32'h3f9d8303, 32'h3f870295,32'h3fa50327,// invsqrt(0.7281) = 1.1720 +32'h4175164a,32'h3e8033ca,32'h3e856f60, 32'h3e788e35,32'h3e895c10, 32'h3e6b793f,32'h3e8fe68a,// invsqrt(15.3179) = 0.2555 +32'h40e5fb13,32'h3ebb2a4f,32'h3ec2cdff, 32'h3eb56f8b,32'h3ec888c3, 32'h3eabe2f0,32'h3ed2155e,// invsqrt(7.1869) = 0.3730 +32'h3ec459dd,32'h3fca8f73,32'h3fd2d3ff, 32'h3fc45c09,32'h3fd90769, 32'h3fba065a,32'h3fe35d18,// invsqrt(0.3835) = 1.6148 +32'h3f0f57d8,32'h3fa7a2d8,32'h3fae7a78, 32'h3fa28120,32'h3fb39c30, 32'h3f99f397,32'h3fbc29b9,// invsqrt(0.5599) = 1.3364 +32'h3f13481f,32'h3fa56125,32'h3fac2131, 32'h3fa0511c,32'h3fb1313a, 32'h3f97e10d,32'h3fb9a149,// invsqrt(0.5753) = 1.3184 +32'h40ffc9fa,32'h3eb178dc,32'h3eb8b744, 32'h3eac0a0f,32'h3ebe2611, 32'h3ea2fc0e,32'h3ec73412,// invsqrt(7.9934) = 0.3537 +32'h3efa1a50,32'h3fb37a6d,32'h3fbacdcb, 32'h3fadfbe7,32'h3fc04c51, 32'h3fa4d3b3,32'h3fc97485,// invsqrt(0.4885) = 1.4308 +32'h3fee4f30,32'h3f37dd99,32'h3f3f5ece, 32'h3f323cb0,32'h3f44ffb6, 32'h3f28db2e,32'h3f4e6138,// invsqrt(1.8618) = 0.7329 +32'h3e12f078,32'h40259271,32'h402c5481, 32'h402080e6,32'h4031660c, 32'h40180e53,32'h4039d89f,// invsqrt(0.1435) = 2.6399 +32'h3decd86e,32'h40386ed6,32'h403ff5f9, 32'h4032c97c,32'h40459b54, 32'h40296091,32'h404f043f,// invsqrt(0.1156) = 2.9406 +32'h400adc70,32'h3f2a51ee,32'h3f31459a, 32'h3f251b2d,32'h3f367c5b, 32'h3f1c6a97,32'h3f3f2cf1,// invsqrt(2.1697) = 0.6789 +32'h3fd2b789,32'h3f438897,32'h3f4b83b8, 32'h3f3d8c40,32'h3f518010, 32'h3f339257,32'h3f5b79f9,// invsqrt(1.6462) = 0.7794 +32'h3f1ca604,32'h3fa05bdd,32'h3fa6e773, 32'h3f9b732c,32'h3fabd024, 32'h3f9344b1,32'h3fb3fe9f,// invsqrt(0.6119) = 1.2784 +32'h3fbddac3,32'h3f4dff30,32'h3f5667a5, 32'h3f47b0d9,32'h3f5cb5fd, 32'h3f3d2e47,32'h3f67388f,// invsqrt(1.4832) = 0.8211 +32'h3f4a6ef1,32'h3f8d1048,32'h3f92d241, 32'h3f88bece,32'h3f9723bc, 32'h3f818c58,32'h3f9e5632,// invsqrt(0.7908) = 1.1246 +32'h40533f85,32'h3f0a16d3,32'h3f0fb9b7, 32'h3f05dca8,32'h3f13f3e2, 32'h3efda216,32'h3f1aff7f,// invsqrt(3.3008) = 0.5504 +32'h4041c173,32'h3f10301a,32'h3f1612b8, 32'h3f0bc624,32'h3f1a7cae, 32'h3f046ade,32'h3f21d7f4,// invsqrt(3.0274) = 0.5747 +32'h3debd8e8,32'h4038d2a4,32'h40405dda, 32'h40332a3c,32'h40460642, 32'h4029bc39,32'h404f7445,// invsqrt(0.1152) = 2.9468 +32'h3f552cbb,32'h3f8976b8,32'h3f8f1313, 32'h3f854173,32'h3f934857, 32'h3f7c7c03,32'h3f9a4bc9,// invsqrt(0.8327) = 1.0959 +32'h415ec568,32'h3e86786a,32'h3e8bf57e, 32'h3e825a9b,32'h3e90134d, 32'h3e76fc84,32'h3e96efa6,// invsqrt(13.9232) = 0.2680 +32'h3f76b16b,32'h3f7f9193,32'h3f850001, 32'h3f77bec0,32'h3f88e96a, 32'h3f6ab4b6,32'h3f8f6e6f,// invsqrt(0.9636) = 1.0187 +32'h3ece85a2,32'h3fc5826b,32'h3fcd9231, 32'h3fbf7697,32'h3fd39e05, 32'h3fb562e0,32'h3fddb1bc,// invsqrt(0.4034) = 1.5745 +32'h421c2ed5,32'h3e209901,32'h3e272715, 32'h3e1bae71,32'h3e2c11a5, 32'h3e137cd7,32'h3e34433f,// invsqrt(39.0457) = 0.1600 +32'h3e86764e,32'h3ff4c6f9,32'h3ffec4a5, 32'h3fed48b8,32'h40032173, 32'h3fe0cba1,32'h40095fff,// invsqrt(0.2626) = 1.9513 +32'h3fbe2b23,32'h3f4dd3a3,32'h3f563a51, 32'h3f4786a1,32'h3f5c8753, 32'h3f3d0647,32'h3f6707ad,// invsqrt(1.4857) = 0.8204 +32'h3f5269bf,32'h3f8a5ce7,32'h3f9002a7, 32'h3f862097,32'h3f943ef7, 32'h3f7e22cd,32'h3f9b4e28,// invsqrt(0.8219) = 1.1030 +32'h3e470bf8,32'h400e4233,32'h401410a8, 32'h4009e75b,32'h40186b7f, 32'h4002a548,32'h401fad92,// invsqrt(0.1944) = 2.2682 +32'h3e1afa0e,32'h402138ae,32'h4027cd48, 32'h401c493b,32'h402cbcbb, 32'h40140f7c,32'h4034f67b,// invsqrt(0.1513) = 2.5705 +32'h3f734939,32'h3f80ad0c,32'h3f85ed94, 32'h3f79794b,32'h3f89ddfa, 32'h3f6c57f6,32'h3f906ea5,// invsqrt(0.9503) = 1.0258 +32'h3f93303e,32'h3f69f4bc,32'h3f738156, 32'h3f62cb49,32'h3f7aaac9, 32'h3f56db88,32'h3f834d45,// invsqrt(1.1499) = 0.9325 +32'h4447967d,32'h3d0e10cc,32'h3d13dd3d, 32'h3d09b778,32'h3d183692, 32'h3d0277eb,32'h3d1f761f,// invsqrt(798.3514) = 0.0354 +32'h3e84e412,32'h3ff63855,32'h4000228a, 32'h3feeaec6,32'h4003e752, 32'h3fe21ed6,32'h400a2f4a,// invsqrt(0.2596) = 1.9629 +32'h3f8eb4f1,32'h3f6d99ea,32'h3f774c9b, 32'h3f6653e6,32'h3f7e92a0, 32'h3f5a3489,32'h3f8558fe,// invsqrt(1.1149) = 0.9471 +32'h3fc16cd6,32'h3f4c162e,32'h3f546aad, 32'h3f45d6ce,32'h3f5aaa0c, 32'h3f3b6d2f,32'h3f6513ab,// invsqrt(1.5111) = 0.8135 +32'h3f869b1c,32'h3f74a580,32'h3f7ea1ce, 32'h3f6d2845,32'h3f830f84, 32'h3f60ace3,32'h3f894d35,// invsqrt(1.0516) = 0.9752 +32'h3f007c90,32'h3fb1100f,32'h3fb84a2f, 32'h3faba477,32'h3fbdb5c7, 32'h3fa29bcf,32'h3fc6be6f,// invsqrt(0.5019) = 1.4115 +32'h422a023a,32'h3e19edd3,32'h3e20363a, 32'h3e153787,32'h3e24ec87, 32'h3e0d5d06,32'h3e2cc708,// invsqrt(42.5022) = 0.1534 +32'h3f04209f,32'h3fae9b2d,32'h3fb5bba1, 32'h3fa942d5,32'h3fbb13f9, 32'h3fa05a43,32'h3fc3fc8b,// invsqrt(0.5161) = 1.3919 +32'h3fe854a2,32'h3f3a3763,32'h3f41d129, 32'h3f34840f,32'h3f47847d, 32'h3f2b03d9,32'h3f5104b3,// invsqrt(1.8151) = 0.7423 +32'h401cc0f8,32'h3f204e13,32'h3f26d919, 32'h3f1b65ce,32'h3f2bc15e, 32'h3f133807,32'h3f33ef25,// invsqrt(2.4493) = 0.6390 +32'h410a5e4a,32'h3eaa9f80,32'h3eb19656, 32'h3ea5665f,32'h3eb6cf77, 32'h3e9cb1d4,32'h3ebf8403,// invsqrt(8.6480) = 0.3400 +32'h3d18debf,32'h40a25418,32'h40a8f442, 32'h409d5bf8,32'h40adec62, 32'h409513c2,32'h40b63498,// invsqrt(0.0373) = 5.1763 +32'h402e3287,32'h3f18112d,32'h3f1e461f, 32'h3f136977,32'h3f22edd5, 32'h3f0ba749,32'h3f2ab003,// invsqrt(2.7218) = 0.6061 +32'h40d5e421,32'h3ec213c7,32'h3ec9ffaf, 32'h3ebc22d8,32'h3ecff09e, 32'h3eb23bf5,32'h3ed9d781,// invsqrt(6.6841) = 0.3868 +32'h4020fba6,32'h3f1e2f6d,32'h3f24a44d, 32'h3f1957c5,32'h3f297bf5, 32'h3f1145ad,32'h3f318e0d,// invsqrt(2.5154) = 0.6305 +32'h414470c4,32'h3e8f32fd,32'h3e950b47, 32'h3e8ad0c7,32'h3e996d7d, 32'h3e83826b,32'h3ea0bbd9,// invsqrt(12.2775) = 0.2854 +32'h3f68ac5e,32'h3f8393ed,32'h3f88f2c7, 32'h3f7f1991,32'h3f8cf9eb, 32'h3f71ac6f,32'h3f93b07d,// invsqrt(0.9089) = 1.0489 +32'h3f91f67b,32'h3f6aefaa,32'h3f748682, 32'h3f63be88,32'h3f7bb7a4, 32'h3f57c1fa,32'h3f83da19,// invsqrt(1.1403) = 0.9364 +32'h3f72d367,32'h3f80cc40,32'h3f860e0e, 32'h3f79b5ca,32'h3f89ff69, 32'h3f6c9146,32'h3f9091ab,// invsqrt(0.9485) = 1.0268 +32'h3f025c76,32'h3fafc8f8,32'h3fb6f5be, 32'h3faa6763,32'h3fbc5753, 32'h3fa16f6c,32'h3fc54f4b,// invsqrt(0.5092) = 1.4013 +32'h3fbc5665,32'h3f4ed327,32'h3f574443, 32'h3f487e52,32'h3f5d9918, 32'h3f3df0f0,32'h3f68267a,// invsqrt(1.4714) = 0.8244 +32'h3f4cc5a8,32'h3f8c4178,32'h3f91fb00, 32'h3f87f653,32'h3f964625, 32'h3f80ce69,32'h3f9d6e0f,// invsqrt(0.7999) = 1.1181 +32'h3e98bafe,32'h3fe5ac0e,32'h3fef0be5, 32'h3fdea42e,32'h3ff613c6, 32'h3fd2ec61,32'h4000e5ca,// invsqrt(0.2983) = 1.8309 +32'h4012d16f,32'h3f25a3f0,32'h3f2c66b6, 32'h3f2091dc,32'h3f3178ca, 32'h3f181e64,32'h3f39ec42,// invsqrt(2.2940) = 0.6602 +32'h3f306c61,32'h3f971ace,32'h3f9d45b2, 32'h3f927aa3,32'h3fa1e5dd, 32'h3f8ac506,32'h3fa99b7a,// invsqrt(0.6892) = 1.2046 +32'h3daaa02d,32'h40594b67,32'h406229e9, 32'h4052a486,32'h4068d0ca, 32'h40478e64,32'h4073e6ec,// invsqrt(0.0833) = 3.4645 +32'h3f3a334a,32'h3f931589,32'h3f99166a, 32'h3f8e94e0,32'h3f9d9712, 32'h3f8713c6,32'h3fa5182c,// invsqrt(0.7273) = 1.1725 +32'h3ea2e03a,32'h3fde676e,32'h3fe77b53, 32'h3fd79882,32'h3fee4a3e, 32'h3fcc3fa3,32'h3ff9a31d,// invsqrt(0.3181) = 1.7730 +32'h4027cf53,32'h3f1aef28,32'h3f21420f, 32'h3f1630fa,32'h3f26003c, 32'h3f0e4958,32'h3f2de7de,// invsqrt(2.6220) = 0.6176 +32'h3fe82f4e,32'h3f3a465a,32'h3f41e0bc, 32'h3f349291,32'h3f479485, 32'h3f2b1197,32'h3f51157f,// invsqrt(1.8139) = 0.7425 +32'h3f858583,32'h3f75a34d,32'h3f7fa9f8, 32'h3f6e1e4e,32'h3f83977c, 32'h3f6195f9,32'h3f89dba6,// invsqrt(1.0431) = 0.9791 +32'h3e1ced1d,32'h40203785,32'h4026c1a0, 32'h401b4ff2,32'h402ba934, 32'h40132351,32'h4033d5d5,// invsqrt(0.1532) = 2.5545 +32'h3fe25eb8,32'h3f3ca6ef,32'h3f445a27, 32'h3f36e084,32'h3f4a2092, 32'h3f2d407d,32'h3f53c099,// invsqrt(1.7685) = 0.7520 +32'h3daeeab6,32'h40569cd3,32'h405f5f4f, 32'h40500af7,32'h4065f12b, 32'h404517dc,32'h4070e446,// invsqrt(0.0854) = 3.4218 +32'h3fbc9798,32'h3f4eaf64,32'h3f571f0a, 32'h3f485ba7,32'h3f5d72c7, 32'h3f3dd018,32'h3f67fe56,// invsqrt(1.4734) = 0.8238 +32'h3fa9d9e6,32'h3f59ca17,32'h3f62adc4, 32'h3f531f54,32'h3f695886, 32'h3f4802bc,32'h3f74751e,// invsqrt(1.3270) = 0.8681 +32'h3f75e59f,32'h3f7ffb65,32'h3f853713, 32'h3f782556,32'h3f89221b, 32'h3f6b15e5,32'h3f8fa9d3,// invsqrt(0.9605) = 1.0203 +32'h3e39bcd0,32'h4013446a,32'h40194735, 32'h400ec252,32'h401dc94e, 32'h40073ed5,32'h40254ccb,// invsqrt(0.1814) = 2.3480 +32'h3fab4879,32'h3f58e08c,32'h3f61bab2, 32'h3f523cf1,32'h3f685e4d, 32'h3f472c42,32'h3f736efc,// invsqrt(1.3381) = 0.8645 +32'h3f8b9939,32'h3f703b5b,32'h3f7a0989, 32'h3f68e0b9,32'h3f80b215, 32'h3f5c9f00,32'h3f86d2f2,// invsqrt(1.0906) = 0.9576 +32'h3fbe8223,32'h3f4da49e,32'h3f560961, 32'h3f47590d,32'h3f5c54f3, 32'h3f3cdb1a,32'h3f66d2e6,// invsqrt(1.4883) = 0.8197 +32'h3e0c20ec,32'h40298c49,32'h403077e3, 32'h40245b95,32'h4035a897, 32'h401bb514,32'h403e4f18,// invsqrt(0.1368) = 2.7033 +32'h3fc5dbf6,32'h3f49c96f,32'h3f5205e7, 32'h3f439c16,32'h3f583340, 32'h3f395080,32'h3f627ed6,// invsqrt(1.5458) = 0.8043 +32'h401a4b07,32'h3f219406,32'h3f282c5a, 32'h3f1ca1c7,32'h3f2d1e99, 32'h3f14635e,32'h3f355d02,// invsqrt(2.4108) = 0.6440 +32'h3ecbc6f7,32'h3fc6d5ce,32'h3fcef36e, 32'h3fc0bf96,32'h3fd509a6, 32'h3fb69a8e,32'h3fdf2eae,// invsqrt(0.3980) = 1.5851 +32'h3ec5a43b,32'h3fc9e5e1,32'h3fd22381, 32'h3fc3b7a8,32'h3fd851ba, 32'h3fb96a9f,32'h3fe29ec3,// invsqrt(0.3860) = 1.6095 +32'h3f800000,32'h3f7ae148,32'h3f828f5c, 32'h3f733333,32'h3f866666, 32'h3f666666,32'h3f8ccccd,// invsqrt(1.0000) = 1.0000 +32'h401be902,32'h3f20bcf3,32'h3f274c7f, 32'h3f1bd149,32'h3f2c3829, 32'h3f139dda,32'h3f346b98,// invsqrt(2.4361) = 0.6407 +32'h3f618676,32'h3f85a592,32'h3f8b1a0b, 32'h3f818e38,32'h3f8f3166, 32'h3f757941,32'h3f9602fd,// invsqrt(0.8810) = 1.0654 +32'h40132a33,32'h3f2571f4,32'h3f2c32b0, 32'h3f206168,32'h3f31433c, 32'h3f17f07d,32'h3f39b427,// invsqrt(2.2995) = 0.6595 +32'h3f2a6f2f,32'h3f99bc97,32'h3fa002fc, 32'h3f9507cd,32'h3fa4b7c7, 32'h3f8d2fcf,32'h3fac8fc5,// invsqrt(0.6658) = 1.2256 +32'h3e527910,32'h400a57de,32'h400ffd6a, 32'h40061bb5,32'h40143993, 32'h3ffe198e,32'h401b4881,// invsqrt(0.2055) = 2.2057 +32'h3f5ac789,32'h3f87b109,32'h3f8d3adf, 32'h3f8389a8,32'h3f916240, 32'h3f793ab7,32'h3f984e8c,// invsqrt(0.8546) = 1.0817 +32'h3fe68b9f,32'h3f3aef9a,32'h3f4290e4, 32'h3f3536a2,32'h3f4849dc, 32'h3f2bad06,32'h3f51d379,// invsqrt(1.8011) = 0.7451 +32'h3e4ebc26,32'h400b969c,32'h4011492a, 32'h400750b1,32'h40158f15, 32'h40003180,32'h401cae46,// invsqrt(0.2019) = 2.2256 +32'h3f5b2bf4,32'h3f8791f0,32'h3f8d1a81, 32'h3f836b82,32'h3f9140ee, 32'h3f790198,32'h3f982ba4,// invsqrt(0.8561) = 1.0808 +32'h3d7b9357,32'h407d1395,32'h4083b3fd, 32'h4075544b,32'h408793a3, 32'h40686ace,32'h408e0861,// invsqrt(0.0614) = 4.0350 +32'h3f002aac,32'h3fb14897,32'h3fb88505, 32'h3fabdb44,32'h3fbdf258, 32'h3fa2cfb9,32'h3fc6fde3,// invsqrt(0.5007) = 1.4133 +32'h408e4bd2,32'h3eedf19e,32'h3ef7a7e3, 32'h3ee6a8ea,32'h3efef096, 32'h3eda8513,32'h3f058a36,// invsqrt(4.4468) = 0.4742 +32'h3fc6dfab,32'h3f494583,32'h3f517c98, 32'h3f431c33,32'h3f57a5e7, 32'h3f38d758,32'h3f61eac2,// invsqrt(1.5537) = 0.8023 +32'h3e89605d,32'h3ff22abf,32'h3ffc0d25, 32'h3feac0f3,32'h4001bb79, 32'h3fde65f3,32'h4007e8f8,// invsqrt(0.2683) = 1.9305 +32'h3f429103,32'h3f8fe31d,32'h3f95c297, 32'h3f8b7b82,32'h3f9a2a32, 32'h3f84242b,32'h3fa18189,// invsqrt(0.7600) = 1.1471 +32'h400c0fcf,32'h3f2996a4,32'h3f3082aa, 32'h3f24659f,32'h3f35b3af, 32'h3f1bbe96,32'h3f3e5ab8,// invsqrt(2.1885) = 0.6760 +32'h402e32b7,32'h3f181118,32'h3f1e4609, 32'h3f136962,32'h3f22edbe, 32'h3f0ba735,32'h3f2aafeb,// invsqrt(2.7218) = 0.6061 +32'h4011e2f4,32'h3f262b1c,32'h3f2cf366, 32'h3f2114e4,32'h3f32099e, 32'h3f189a87,32'h3f3a83fb,// invsqrt(2.2795) = 0.6623 +32'h412a1ace,32'h3e99e2b4,32'h3ea02aa6, 32'h3e952cbe,32'h3ea4e09c, 32'h3e8d52cf,32'h3eacba8b,// invsqrt(10.6315) = 0.3067 +32'h3c552792,32'h41097862,32'h410f14ce, 32'h41054310,32'h41134a20, 32'h40fc7f12,32'h411a4da7,// invsqrt(0.0130) = 8.7672 +32'h401c39ec,32'h3f20934d,32'h3f272127, 32'h3f1ba8ea,32'h3f2c0b8a, 32'h3f13779b,32'h3f343cd9,// invsqrt(2.4410) = 0.6400 +32'h4041dcf8,32'h3f1025de,32'h3f160811, 32'h3f0bbc38,32'h3f1a71b8, 32'h3f046179,32'h3f21cc77,// invsqrt(3.0291) = 0.5746 +32'h3f6fb2f3,32'h3f81a29c,32'h3f86ed2a, 32'h3f7b5562,32'h3f8ae515, 32'h3f6e1aff,32'h3f918246,// invsqrt(0.9363) = 1.0334 +32'h3f4675fd,32'h3f8e77e9,32'h3f94488f, 32'h3f8a1b6c,32'h3f98a50c, 32'h3f82d69c,32'h3f9fe9dc,// invsqrt(0.7752) = 1.1357 +32'h3f4640b3,32'h3f8e8b0e,32'h3f945c7c, 32'h3f8a2dfb,32'h3f98b98f, 32'h3f82e831,32'h3f9fff59,// invsqrt(0.7744) = 1.1363 +32'h3f6c72aa,32'h3f8285fc,32'h3f87d9d2, 32'h3f7d0e37,32'h3f8bd8b3, 32'h3f6fbca0,32'h3f92817e,// invsqrt(0.9236) = 1.0405 +32'h3f8b013f,32'h3f70be8b,32'h3f7a9213, 32'h3f695fe5,32'h3f80f85d, 32'h3f5d177a,32'h3f871c92,// invsqrt(1.0860) = 0.9596 +32'h3f212159,32'h3f9e1ceb,32'h3fa49109, 32'h3f9945d4,32'h3fa96820, 32'h3f9134ae,32'h3fb17946,// invsqrt(0.6294) = 1.2605 +32'h3f85f90c,32'h3f75394c,32'h3f7f3ba2, 32'h3f6db78b,32'h3f835eb2, 32'h3f61349e,32'h3f89a028,// invsqrt(1.0467) = 0.9775 +32'h3fc6fdae,32'h3f493655,32'h3f516ccb, 32'h3f430d7c,32'h3f5795a4, 32'h3f38c968,32'h3f61d9b8,// invsqrt(1.5546) = 0.8020 +32'h3cd8bc99,32'h40c0cc8c,32'h40c8ab19, 32'h40bae5a1,32'h40ce9203, 32'h40b10f70,32'h40d86834,// invsqrt(0.0265) = 6.1479 +32'h3f123d83,32'h3fa5f7a1,32'h3facbdd1, 32'h3fa0e2fd,32'h3fb1d275, 32'h3f986b40,32'h3fba4a32,// invsqrt(0.5713) = 1.3231 +32'h4029b08e,32'h3f1a12da,32'h3f205cc4, 32'h3f155b6b,32'h3f251433, 32'h3f0d7f07,32'h3f2cf097,// invsqrt(2.6514) = 0.6141 +32'h3f28c22d,32'h3f9a7f85,32'h3fa0cdde, 32'h3f95c4c2,32'h3fa588a0, 32'h3f8de2d2,32'h3fad6a90,// invsqrt(0.6592) = 1.2316 +32'h3f9f4079,32'h3f60eb9d,32'h3f6a19cd, 32'h3f5a08f9,32'h3f70fc71, 32'h3f4e8f3c,32'h3f7c762e,// invsqrt(1.2442) = 0.8965 +32'h41054943,32'h3eadd873,32'h3eb4f0f5, 32'h3ea88611,32'h3eba4357, 32'h3e9fa76f,32'h3ec321f9,// invsqrt(8.3304) = 0.3465 +32'h403dcfd3,32'h3f11ad9b,32'h3f179fcb, 32'h3f0d37f7,32'h3f1c156f, 32'h3f05c93b,32'h3f23842b,// invsqrt(2.9658) = 0.5807 +32'h3f23570a,32'h3f9d0a31,32'h3fa37319, 32'h3f983b83,32'h3fa841c7, 32'h3f903861,32'h3fb044e9,// invsqrt(0.6380) = 1.2519 +32'h3f8fd850,32'h3f6ca8cc,32'h3f7651a5, 32'h3f656a28,32'h3f7d9048, 32'h3f595719,32'h3f84d1ac,// invsqrt(1.1238) = 0.9433 +32'h3fed4a14,32'h3f3842a6,32'h3f3fc7fc, 32'h3f329ea7,32'h3f456bfb, 32'h3f2937fc,32'h3f4ed2a6,// invsqrt(1.8538) = 0.7345 +32'h3eddb1bf,32'h3fbea18f,32'h3fc66976, 32'h3fb8cba3,32'h3fcc3f63, 32'h3faf11c3,32'h3fd5f943,// invsqrt(0.4330) = 1.5197 +32'h3f515497,32'h3f8ab862,32'h3f9061de, 32'h3f867945,32'h3f94a0fb, 32'h3f7ecad3,32'h3f9bb4d6,// invsqrt(0.8177) = 1.1059 +32'h4078dd53,32'h3efe7382,32'h3f046b21, 32'h3ef6a970,32'h3f08502a, 32'h3ee9adff,32'h3f0ecde3,// invsqrt(3.8885) = 0.5071 +32'h3f86257c,32'h3f7510ab,32'h3f7f1159, 32'h3f6d9028,32'h3f8348ee, 32'h3f610f4f,32'h3f89895b,// invsqrt(1.0480) = 0.9768 +32'h3fe2afb1,32'h3f3c853a,32'h3f443712, 32'h3f36bfd7,32'h3f49fc75, 32'h3f2d2189,32'h3f539ac3,// invsqrt(1.7710) = 0.7514 +32'h3f2a48d1,32'h3f99cde8,32'h3fa01502, 32'h3f951896,32'h3fa4ca54, 32'h3f8d3fb6,32'h3faca334,// invsqrt(0.6652) = 1.2261 +32'h3f652314,32'h3f8496db,32'h3f8a0047, 32'h3f8087ca,32'h3f8e0f58, 32'h3f738805,32'h3f94d320,// invsqrt(0.8951) = 1.0570 +32'h3fb0a845,32'h3f558d83,32'h3f5e44eb, 32'h3f4f03f4,32'h3f64ce7a, 32'h3f441eb2,32'h3f6fb3bd,// invsqrt(1.3801) = 0.8512 +32'h3f663a02,32'h3f844671,32'h3f89ac95, 32'h3f8039d6,32'h3f8db930, 32'h3f72f452,32'h3f9478dd,// invsqrt(0.8993) = 1.0545 +32'h3f47f249,32'h3f8df02c,32'h3f93bb48, 32'h3f8997d7,32'h3f98139d, 32'h3f8259f4,32'h3f9f5180,// invsqrt(0.7810) = 1.1315 +32'h3f25635d,32'h3f9c107d,32'h3fa26f33, 32'h3f974974,32'h3fa7363c, 32'h3f8f530f,32'h3faf2ca1,// invsqrt(0.6460) = 1.2441 +32'h3fcc4ee4,32'h3f46939f,32'h3f4eae8b, 32'h3f407f6e,32'h3f54c2bc, 32'h3f365dc6,32'h3f5ee464,// invsqrt(1.5962) = 0.7915 +32'h3fdcbd60,32'h3f3f0af7,32'h3f46d72b, 32'h3f3931d0,32'h3f4cb052, 32'h3f2f728f,32'h3f566f93,// invsqrt(1.7245) = 0.7615 +32'h40529ea7,32'h3f0a4b85,32'h3f0ff090, 32'h3f060fbe,32'h3f142c58, 32'h3efe02e1,32'h3f1b3aa6,// invsqrt(3.2909) = 0.5512 +32'h3f45d5e3,32'h3f8eb183,32'h3f948483, 32'h3f8a5343,32'h3f98e2c3, 32'h3f830b83,32'h3fa02a83,// invsqrt(0.7728) = 1.1375 +32'h410f23d0,32'h3ea7c14d,32'h3eae9a2b, 32'h3ea29ea6,32'h3eb3bcd2, 32'h3e9a0f90,32'h3ebc4be8,// invsqrt(8.9462) = 0.3343 +32'h3f182894,32'h3fa2b527,32'h3fa95947, 32'h3f9dba0e,32'h3fae5460, 32'h3f956ce5,32'h3fb6a189,// invsqrt(0.5944) = 1.2971 +32'h3f8a5581,32'h3f7153ce,32'h3f7b2d6e, 32'h3f69f096,32'h3f814853, 32'h3f5da08e,32'h3f877057,// invsqrt(1.0807) = 0.9619 +32'h3ebb9d33,32'h3fcf3922,32'h3fd7ae68, 32'h3fc8e12e,32'h3fde065c, 32'h3fbe4e98,32'h3fe898f2,// invsqrt(0.3664) = 1.6520 +32'h41a7f297,32'h3e5b052a,32'h3e63f5b3, 32'h3e5450c2,32'h3e6aaa1a, 32'h3e492416,32'h3e75d6c6,// invsqrt(20.9935) = 0.2183 +32'h3fc5e3d5,32'h3f49c56c,32'h3f5201ba, 32'h3f439832,32'h3f582ef4, 32'h3f394cd1,32'h3f627a55,// invsqrt(1.5460) = 0.8043 +32'h4006cd7b,32'h3f2cdd6a,32'h3f33ebac, 32'h3f2792b7,32'h3f39365f, 32'h3f1ec0e4,32'h3f420833,// invsqrt(2.1063) = 0.6890 +32'h3da73af3,32'h405b7d4a,32'h406472bb, 32'h4054c536,32'h406b2ad0, 32'h40499269,32'h40765d9d,// invsqrt(0.0817) = 3.4995 +32'h406eb525,32'h3f01e774,32'h3f0734d2, 32'h3efbdadc,32'h3f0b2ed8, 32'h3eee9972,32'h3f11cf8d,// invsqrt(3.7298) = 0.5178 +32'h4008825c,32'h3f2bc7ed,32'h3f32cadd, 32'h3f2685ba,32'h3f380d10, 32'h3f1dc20e,32'h3f40d0bc,// invsqrt(2.1330) = 0.6847 +32'h3f942063,32'h3f6936c9,32'h3f72bba3, 32'h3f621326,32'h3f79df46, 32'h3f562d17,32'h3f82e2aa,// invsqrt(1.1572) = 0.9296 +32'h3f629ca5,32'h3f855371,32'h3f8ac490, 32'h3f813e9b,32'h3f8ed967, 32'h3f74e268,32'h3f95a6ce,// invsqrt(0.8852) = 1.0629 +32'h3ea49541,32'h3fdd3f61,32'h3fe64731, 32'h3fd67985,32'h3fed0d0d, 32'h3fcb2fc2,32'h3ff856d0,// invsqrt(0.3215) = 1.7638 +32'h3ebcc7a1,32'h3fce9516,32'h3fd703aa, 32'h3fc84228,32'h3fdd5698, 32'h3fbdb7f0,32'h3fe7e0d0,// invsqrt(0.3687) = 1.6469 +32'h3d473275,32'h408e3474,32'h40940259, 32'h4089da07,32'h40985cc5, 32'h408298a9,32'h409f9e23,// invsqrt(0.0486) = 4.5346 +32'h3feb2aa3,32'h3f391713,32'h3f40a513, 32'h3f336c92,32'h3f464f94, 32'h3f29fb11,32'h3f4fc115,// invsqrt(1.8372) = 0.7378 +32'h3faf6448,32'h3f565266,32'h3f5f11d8, 32'h3f4fc2d1,32'h3f65a16d, 32'h3f44d382,32'h3f7090bc,// invsqrt(1.3702) = 0.8543 +32'h3f3e78cb,32'h3f916cef,32'h3f975c7b, 32'h3f8cf946,32'h3f9bd024, 32'h3f858dd6,32'h3fa33b94,// invsqrt(0.7440) = 1.1593 +32'h3e77f87e,32'h3ffee8cf,32'h4004a82d, 32'h3ff71b26,32'h40088f01, 32'h3fea19b8,32'h400f0fb8,// invsqrt(0.2422) = 2.0321 +32'h3f571e8b,32'h3f88d74e,32'h3f8e6d27, 32'h3f84a6ea,32'h3f929d8a, 32'h3f7b5735,32'h3f9998d9,// invsqrt(0.8403) = 1.0909 +32'h3ee0e662,32'h3fbd4484,32'h3fc4fe2c, 32'h3fb77947,32'h3fcac969, 32'h3fadd136,32'h3fd4717a,// invsqrt(0.4393) = 1.5088 +32'h3f76f5aa,32'h3f7f6e40,32'h3f84ed9f, 32'h3f779c83,32'h3f88d67e, 32'h3f6a9446,32'h3f8f5a9d,// invsqrt(0.9647) = 1.0181 +32'h41db6650,32'h3e3fa01a,32'h3e477264, 32'h3e39c262,32'h3e4d501c, 32'h3e2ffb86,32'h3e5716f9,// invsqrt(27.4250) = 0.1910 +32'h3f8eabf5,32'h3f6da165,32'h3f775464, 32'h3f665b26,32'h3f7e9aa4, 32'h3f5a3b68,32'h3f855d31,// invsqrt(1.1146) = 0.9472 +32'h407a8438,32'h3efd9c62,32'h3f03fb2e, 32'h3ef5d8e8,32'h3f07dcec, 32'h3ee8e870,32'h3f0e5528,// invsqrt(3.9143) = 0.5054 +32'h3f69a692,32'h3f834d67,32'h3f88a961, 32'h3f7e90d8,32'h3f8cae5c, 32'h3f712ae7,32'h3f936154,// invsqrt(0.9127) = 1.0467 +32'h409d5913,32'h3ee246ec,32'h3eeb834a, 32'h3edb59a6,32'h3ef27090, 32'h3ecfce32,32'h3efdfc04,// invsqrt(4.9171) = 0.4510 +32'h3fe9b263,32'h3f39abd6,32'h3f413fea, 32'h3f33fcc8,32'h3f46eef8, 32'h3f2a83b0,32'h3f506810,// invsqrt(1.8258) = 0.7401 +32'h3f8d2e01,32'h3f6ee200,32'h3f78a214, 32'h3f6791f0,32'h3f7ff224, 32'h3f5b61d6,32'h3f86111f,// invsqrt(1.1030) = 0.9522 +32'h3f014c8e,32'h3fb0816c,32'h3fb7b5ba, 32'h3fab1a32,32'h3fbd1cf4, 32'h3fa218d1,32'h3fc61e55,// invsqrt(0.5051) = 1.4071 +32'h4085d8be,32'h3ef556e2,32'h3eff5a6e, 32'h3eedd439,32'h3f036e8b, 32'h3ee14fca,32'h3f09b0c3,// invsqrt(4.1827) = 0.4890 +32'h3fef211a,32'h3f378cd3,32'h3f3f0abd, 32'h3f31ee64,32'h3f44a92c, 32'h3f289101,32'h3f4e068f,// invsqrt(1.8682) = 0.7316 +32'h40542acb,32'h3f09ca2e,32'h3f0f69f1, 32'h3f05925b,32'h3f13a1c3, 32'h3efd154e,32'h3f1aa977,// invsqrt(3.3151) = 0.5492 +32'h3edd4179,32'h3fbed1e7,32'h3fc69bc7, 32'h3fb8fa7f,32'h3fcc732f, 32'h3faf3e28,32'h3fd62f86,// invsqrt(0.4321) = 1.5212 +32'h3f53e2c9,32'h3f89e196,32'h3f8f824d, 32'h3f85a90b,32'h3f93bad7, 32'h3f7d404b,32'h3f9ac3bc,// invsqrt(0.8277) = 1.0992 +32'h3e57d78b,32'h40089c9c,32'h400e3010, 32'h40046e05,32'h40125ea7, 32'h3ffaeb68,32'h401956f8,// invsqrt(0.2108) = 2.1781 +32'h3eb336cf,32'h3fd40622,32'h3fdcad92, 32'h3fcd888f,32'h3fe32b25, 32'h3fc2b744,32'h3fedfc70,// invsqrt(0.3500) = 1.6902 +32'h3f7aafe4,32'h3f7d864a,32'h3f83efaf, 32'h3f75c37d,32'h3f87d116, 32'h3f68d425,32'h3f8e48c1,// invsqrt(0.9792) = 1.0105 +32'h3f8ce300,32'h3f6f218d,32'h3f78e43a, 32'h3f67cf8c,32'h3f801b1e, 32'h3f5b9c34,32'h3f8634ca,// invsqrt(1.1007) = 0.9532 +32'h40236704,32'h3f1d0283,32'h3f236b1b, 32'h3f183411,32'h3f28398d, 32'h3f103154,32'h3f303c4a,// invsqrt(2.5532) = 0.6258 +32'h3ff3b3db,32'h3f35d1d9,32'h3f3d3dad, 32'h3f3040f9,32'h3f42ce8d, 32'h3f26fa30,32'h3f4c1557,// invsqrt(1.9039) = 0.7247 +32'h3f328799,32'h3f9635ef,32'h3f9c577b, 32'h3f919cc6,32'h3fa0f0a4, 32'h3f89f2d6,32'h3fa89a94,// invsqrt(0.6974) = 1.1975 +32'h3fb10675,32'h3f5554ac,32'h3f5e09c2, 32'h3f4eccdb,32'h3f649193, 32'h3f43ea7e,32'h3f6f73f0,// invsqrt(1.3830) = 0.8503 +32'h41a35144,32'h3e5e1a69,32'h3e672b29, 32'h3e574dd9,32'h3e6df7b9, 32'h3e4bf8e8,32'h3e794caa,// invsqrt(20.4147) = 0.2213 +32'h3deeb3da,32'h4037b6d0,32'h403f3670, 32'h40321718,32'h4044d628, 32'h4028b790,32'h404e35b0,// invsqrt(0.1166) = 2.9291 +32'h3fa205c4,32'h3f5efd2b,32'h3f68172d, 32'h3f5829aa,32'h3f6eeaae, 32'h3f4cc928,32'h3f7a4b30,// invsqrt(1.2658) = 0.8888 +32'h3ff430c1,32'h3f35a353,32'h3f3d0d42, 32'h3f3013e1,32'h3f429cb5, 32'h3f26cf77,32'h3f4be11f,// invsqrt(1.9077) = 0.7240 +32'h4043a379,32'h3f0f7e0c,32'h3f155965, 32'h3f0b1988,32'h3f19bde8, 32'h3f03c759,32'h3f211017,// invsqrt(3.0569) = 0.5720 +32'h3f8fb28e,32'h3f6cc7e1,32'h3f7671ff, 32'h3f65884a,32'h3f7db196, 32'h3f5973a5,32'h3f84e31e,// invsqrt(1.1226) = 0.9438 +32'h3f72deeb,32'h3f80c932,32'h3f860ae1, 32'h3f79afe0,32'h3f89fc24, 32'h3f6c8bac,32'h3f908e3e,// invsqrt(0.9487) = 1.0267 +32'h3ec8c40b,32'h3fc85224,32'h3fd07f4a, 32'h3fc23047,32'h3fd6a127, 32'h3fb7f7d8,32'h3fe0d996,// invsqrt(0.3921) = 1.5969 +32'h3fad6078,32'h3f57904a,32'h3f605cb6, 32'h3f50f6fa,32'h3f66f606, 32'h3f45f773,32'h3f71f58d,// invsqrt(1.3545) = 0.8592 +32'h3e213a3d,32'h401e10b6,32'h40248454, 32'h401939fe,32'h40295b0c, 32'h40112978,32'h40316b92,// invsqrt(0.1574) = 2.5202 +32'h3f8675b1,32'h3f74c788,32'h3f7ec53a, 32'h3f6d4943,32'h3f8321c0, 32'h3f60cc24,32'h3f89604f,// invsqrt(1.0505) = 0.9757 +32'h3f580e97,32'h3f888b34,32'h3f8e1df2, 32'h3f845d25,32'h3f924c01, 32'h3f7acb6f,32'h3f99436e,// invsqrt(0.8440) = 1.0885 +32'h3fa494a4,32'h3f5d3fca,32'h3f66479e, 32'h3f5679eb,32'h3f6d0d7d, 32'h3f4b3022,32'h3f785746,// invsqrt(1.2858) = 0.8819 +32'h3fa2eba5,32'h3f5e5fa3,32'h3f677336, 32'h3f5790f3,32'h3f6e41e5, 32'h3f4c387b,32'h3f799a5d,// invsqrt(1.2728) = 0.8864 +32'h3f93393e,32'h3f69ed95,32'h3f7379e4, 32'h3f62c459,32'h3f7aa31f, 32'h3f56d4f6,32'h3f834941,// invsqrt(1.1502) = 0.9324 +32'h3f0b4249,32'h3faa139b,32'h3fb104bb, 32'h3fa4dec2,32'h3fb63994, 32'h3f9c315a,32'h3fbee6fc,// invsqrt(0.5440) = 1.3558 +32'h3cfbd0a4,32'h40b2ddf4,32'h40ba2aee, 32'h40ad6438,32'h40bfa4aa, 32'h40a443ff,32'h40c8c4e3,// invsqrt(0.0307) = 5.7037 +32'h3ed3c03f,32'h3fc30e39,32'h3fcb045b, 32'h3fbd15a0,32'h3fd0fcf4, 32'h3fb321f6,32'h3fdaf09e,// invsqrt(0.4136) = 1.5550 +32'h40779107,32'h3eff1e0e,32'h3f04c3e3, 32'h3ef74ec5,32'h3f08ab88, 32'h3eea4a9f,32'h3f0f2d9a,// invsqrt(3.8682) = 0.5084 +32'h3eec32b1,32'h3fb8af80,32'h3fc03946, 32'h3fb3082b,32'h3fc5e09b, 32'h3fa99bf3,32'h3fcf4cd3,// invsqrt(0.4613) = 1.4723 +32'h3f6b48b8,32'h3f82d886,32'h3f882fba, 32'h3f7dae3d,32'h3f8c3122, 32'h3f70543a,32'h3f92de23,// invsqrt(0.9191) = 1.0431 +32'h3d245252,32'h409c91f0,32'h40a2f5f0, 32'h4097c6f1,32'h40a7c0ef, 32'h408fc9f2,32'h40afbdee,// invsqrt(0.0401) = 4.9927 +32'h3f7a2afe,32'h3f7dc999,32'h3f8412b6, 32'h3f7604bc,32'h3f87f524, 32'h3f6911f5,32'h3f8e6e88,// invsqrt(0.9772) = 1.0116 +32'h40865b74,32'h3ef4df6e,32'h3efede1a, 32'h3eed606d,32'h3f032e8d, 32'h3ee0e217,32'h3f096db9,// invsqrt(4.1987) = 0.4880 +32'h40835e84,32'h3ef7a458,32'h3f00dffa, 32'h3ef00fa4,32'h3f04aa54, 32'h3ee36d22,32'h3f0afb95,// invsqrt(4.1053) = 0.4935 +32'h3e1fa3e1,32'h401ed963,32'h40255533, 32'h4019fc87,32'h402a320f, 32'h4011e1c4,32'h40324cd3,// invsqrt(0.1559) = 2.5327 +32'h4084f27d,32'h3ef62afb,32'h3f001b98, 32'h3eeea1d4,32'h3f03e02b, 32'h3ee21293,32'h3f0a27cc,// invsqrt(4.1546) = 0.4906 +32'h3e9b535b,32'h3fe3bece,32'h3fed0a83, 32'h3fdcc607,32'h3ff4034b, 32'h3fd12765,32'h3fffa1ed,// invsqrt(0.3034) = 1.8156 +32'h3f12aa83,32'h3fa5b9e9,32'h3fac7d95, 32'h3fa0a729,32'h3fb19055, 32'h3f983292,32'h3fba04ec,// invsqrt(0.5729) = 1.3212 +32'h410b42f5,32'h3eaa1332,32'h3eb1044e, 32'h3ea4de5d,32'h3eb63923, 32'h3e9c30fa,32'h3ebee686,// invsqrt(8.7038) = 0.3390 +32'h3f94b566,32'h3f68c1d4,32'h3f7241e7, 32'h3f61a1c5,32'h3f7961f5, 32'h3f55c1ad,32'h3f82a106,// invsqrt(1.1618) = 0.9278 +32'h40528b92,32'h3f0a51ca,32'h3f0ff716, 32'h3f0615d1,32'h3f14330f, 32'h3efe0e63,32'h3f1b41ae,// invsqrt(3.2898) = 0.5513 +32'h3f77a4d2,32'h3f7f13dc,32'h3f84be94, 32'h3f7744e2,32'h3f88a611, 32'h3f6a4142,32'h3f8f27e1,// invsqrt(0.9674) = 1.0167 +32'h3ec3a97f,32'h3fcaeaa9,32'h3fd332ef, 32'h3fc4b475,32'h3fd96923, 32'h3fba5a1e,32'h3fe3c37a,// invsqrt(0.3822) = 1.6176 +32'h3fb84da2,32'h3f511380,32'h3f599c22, 32'h3f4aad07,32'h3f60029b, 32'h3f40023c,32'h3f6aad66,// invsqrt(1.4399) = 0.8334 +32'h40be48e6,32'h3ecdc38a,32'h3ed62990, 32'h3ec77706,32'h3edc7614, 32'h3ebcf77f,32'h3ee6f59b,// invsqrt(5.9464) = 0.4101 +32'h3f3c78d9,32'h3f9231ec,32'h3f982982, 32'h3f8db83b,32'h3f9ca333, 32'h3f8642be,32'h3fa418b0,// invsqrt(0.7362) = 1.1655 +32'h3f74d1b6,32'h3f8045be,32'h3f85820e, 32'h3f78b102,32'h3f896f4b, 32'h3f6b9a38,32'h3f8ffab0,// invsqrt(0.9563) = 1.0226 +32'h3fb0f2c6,32'h3f556089,32'h3f5e161b, 32'h3f4ed85b,32'h3f649e49, 32'h3f43f563,32'h3f6f8141,// invsqrt(1.3824) = 0.8505 +32'h3f692d3c,32'h3f836f8c,32'h3f88cceb, 32'h3f7ed30c,32'h3f8cd2f2, 32'h3f71699f,32'h3f9387a8,// invsqrt(0.9108) = 1.0478 +32'h3fad56ff,32'h3f57962e,32'h3f6062d8, 32'h3f50fcb0,32'h3f66fc56, 32'h3f45fcdc,32'h3f71fc2a,// invsqrt(1.3542) = 0.8593 +32'h40c2bbcb,32'h3ecb665c,32'h3ed3b3ae, 32'h3ec52c5e,32'h3ed9edac, 32'h3ebacbb8,32'h3ee44e52,// invsqrt(6.0854) = 0.4054 +32'h404e0b62,32'h3f0bd26f,32'h3f11876f, 32'h3f078ab0,32'h3f15cf2e, 32'h3f006871,32'h3f1cf16d,// invsqrt(3.2194) = 0.5573 +32'h4121b9a9,32'h3e9dd265,32'h3ea44379, 32'h3e98fd96,32'h3ea91848, 32'h3e90f03e,32'h3eb125a1,// invsqrt(10.1078) = 0.3145 +32'h3f8095d3,32'h3f7a4ef4,32'h3f824336, 32'h3f72a55a,32'h3f861803, 32'h3f65e005,32'h3f8c7aae,// invsqrt(1.0046) = 0.9977 +32'h3ee23a82,32'h3fbcb607,32'h3fc469dd, 32'h3fb6ef26,32'h3fca30be, 32'h3fad4e5a,32'h3fd3d18a,// invsqrt(0.4419) = 1.5044 +32'h3f91b923,32'h3f6b2118,32'h3f74b9f4, 32'h3f63ee72,32'h3f7bec9a, 32'h3f57ef5f,32'h3f83f5d6,// invsqrt(1.1385) = 0.9372 +32'h40ae9ad3,32'h3ed6cde6,32'h3edf9262, 32'h3ed03a89,32'h3ee625bf, 32'h3ec544ed,32'h3ef11b5b,// invsqrt(5.4564) = 0.4281 +32'h3ecb94d0,32'h3fc6ee4b,32'h3fcf0cea, 32'h3fc0d752,32'h3fd523e2, 32'h3fb6b10b,32'h3fdf4a29,// invsqrt(0.3976) = 1.5859 +32'h3f534605,32'h3f8a14b4,32'h3f8fb782, 32'h3f85da9a,32'h3f93f19c, 32'h3f7d9e31,32'h3f9afd1e,// invsqrt(0.8253) = 1.1008 +32'h3eb62019,32'h3fd25291,32'h3fdae839, 32'h3fcbe253,32'h3fe15877, 32'h3fc12741,32'h3fec1389,// invsqrt(0.3557) = 1.6767 +32'h3ece76de,32'h3fc5897b,32'h3fcd998a, 32'h3fbf7d6f,32'h3fd3a595, 32'h3fb5695b,32'h3fddb9a9,// invsqrt(0.4033) = 1.5748 +32'h3f53af67,32'h3f89f251,32'h3f8f93b7, 32'h3f85b944,32'h3f93ccc4, 32'h3f7d5f07,32'h3f9ad684,// invsqrt(0.8269) = 1.0997 +32'h3f81c4ef,32'h3f7929f4,32'h3f81aabb, 32'h3f718952,32'h3f857b0c, 32'h3f64d2f0,32'h3f8bd63d,// invsqrt(1.0138) = 0.9932 +32'h3f5a6f87,32'h3f87cc5c,32'h3f8d5750, 32'h3f83a425,32'h3f917f87, 32'h3f796ce8,32'h3f986d38,// invsqrt(0.8533) = 1.0826 +32'h3f7a6c5b,32'h3f7da877,32'h3f840178, 32'h3f75e49e,32'h3f87e365, 32'h3f68f388,32'h3f8e5bf0,// invsqrt(0.9782) = 1.0111 +32'h3fa36e2e,32'h3f5e06c2,32'h3f6716b6, 32'h3f573acc,32'h3f6de2ac, 32'h3f4be6dc,32'h3f79369c,// invsqrt(1.2768) = 0.8850 +32'h3fcfee92,32'h3f44d6b4,32'h3f4cdf78, 32'h3f3ed022,32'h3f52e60a, 32'h3f34c52d,32'h3f5cf0ff,// invsqrt(1.6245) = 0.7846 +32'h3fc3110a,32'h3f4b39e6,32'h3f538567, 32'h3f450144,32'h3f59be08, 32'h3f3aa2e2,32'h3f641c6a,// invsqrt(1.5240) = 0.8101 +32'h40870a77,32'h3ef4408d,32'h3efe38bd, 32'h3eecc66a,32'h3f02d970, 32'h3ee0502e,32'h3f09148e,// invsqrt(4.2200) = 0.4868 +32'h405d2513,32'h3f06f6c3,32'h3f0c78ff, 32'h3f02d516,32'h3f109aac, 32'h3ef7e495,32'h3f177d78,// invsqrt(3.4554) = 0.5380 +32'h3f5159ac,32'h3f8ab6b3,32'h3f90601d, 32'h3f8677a3,32'h3f949f2d, 32'h3f7ec7bb,32'h3f9bb2f2,// invsqrt(0.8178) = 1.1058 +32'h3fd590d1,32'h3f42399e,32'h3f4a2712, 32'h3f3c4787,32'h3f501929, 32'h3f325eb6,32'h3f5a01fa,// invsqrt(1.6685) = 0.7742 +32'h3f8c2c98,32'h3f6fbcf2,32'h3f7985f6, 32'h3f68662e,32'h3f806e5d, 32'h3f5c2ae8,32'h3f868c00,// invsqrt(1.0951) = 0.9556 +32'h3f0c0dff,32'h3fa997bd,32'h3fb083cf, 32'h3fa466af,32'h3fb5b4dd, 32'h3f9bbf99,32'h3fbe5bf3,// invsqrt(0.5471) = 1.3520 +32'h3fcca3e5,32'h3f466a5c,32'h3f4e839a, 32'h3f40576e,32'h3f549688, 32'h3f3637e2,32'h3f5eb614,// invsqrt(1.5988) = 0.7909 +32'h3ec06bc4,32'h3fcc9e54,32'h3fd4f862, 32'h3fc65aca,32'h3fdb3bec, 32'h3fbbea38,32'h3fe5ac7e,// invsqrt(0.3758) = 1.6312 +32'h3e6a95ba,32'h40030a68,32'h400863a6, 32'h3ffe0ef4,32'h400c6694, 32'h3ff0afd9,32'h40131621,// invsqrt(0.2291) = 2.0893 +32'h3f1cd249,32'h3fa04539,32'h3fa6cfe3, 32'h3f9b5d3a,32'h3fabb7e2, 32'h3f932fe6,32'h3fb3e536,// invsqrt(0.6126) = 1.2777 +32'h40639b78,32'h3f0508ba,32'h3f0a76cc, 32'h3f00f62c,32'h3f0e895a, 32'h3ef4592c,32'h3f1552f0,// invsqrt(3.5564) = 0.5303 +32'h403e7500,32'h3f116e62,32'h3f175dfd, 32'h3f0cfaad,32'h3f1bd1b1, 32'h3f058f2a,32'h3f233d34,// invsqrt(2.9759) = 0.5797 +32'h3ea1ee8b,32'h3fdf0d28,32'h3fe827d1, 32'h3fd8392a,32'h3feefbd0, 32'h3fccd7d7,32'h3ffa5d23,// invsqrt(0.3163) = 1.7782 +32'h3f8ded67,32'h3f6e40b6,32'h3f77fa36, 32'h3f66f596,32'h3f7f4556, 32'h3f5acdb7,32'h3f85b69a,// invsqrt(1.1088) = 0.9497 +32'h3f72032a,32'h3f81039e,32'h3f8647ae, 32'h3f7a2122,32'h3f8a3abb, 32'h3f6cf6f8,32'h3f90cfd0,// invsqrt(0.9454) = 1.0285 +32'h3fc7fa95,32'h3f48b6f1,32'h3f50e835, 32'h3f4291ff,32'h3f570d27, 32'h3f38546b,32'h3f614abb,// invsqrt(1.5623) = 0.8000 +32'h3fb1da8b,32'h3f54d554,32'h3f5d8538, 32'h3f4e5169,32'h3f640923, 32'h3f43758c,32'h3f6ee500,// invsqrt(1.3895) = 0.8483 +32'h3fe1b5b9,32'h3f3ced81,32'h3f44a39b, 32'h3f3724ed,32'h3f4a6c2f, 32'h3f2d814d,32'h3f540fcf,// invsqrt(1.7634) = 0.7531 +32'h3f051854,32'h3fadf865,32'h3fb51235, 32'h3fa8a509,32'h3fba6591, 32'h3f9fc4c5,32'h3fc345d5,// invsqrt(0.5199) = 1.3869 +32'h3ddcbe9e,32'h403f0a6e,32'h4046d69c, 32'h4039314b,32'h404cafbf, 32'h402f7211,32'h40566ef9,// invsqrt(0.1078) = 3.0459 +32'h3e86f4c2,32'h3ff45431,32'h3ffe4d2d, 32'h3fecd973,32'h4002e3f5, 32'h3fe06237,32'h40091f93,// invsqrt(0.2636) = 1.9478 +32'h3ee73fa2,32'h3fbaa6c9,32'h3fc2451b, 32'h3fb4f00c,32'h3fc7fbd8, 32'h3fab6a27,32'h3fd181bd,// invsqrt(0.4517) = 1.4880 +32'h3fd2b8a5,32'h3f438814,32'h3f4b832e, 32'h3f3d8bbf,32'h3f517f83, 32'h3f3391de,32'h3f5b7964,// invsqrt(1.6463) = 0.7794 +32'h3f1a3ce0,32'h3fa19b70,32'h3fa83411, 32'h3f9ca8f6,32'h3fad268a, 32'h3f946a2d,32'h3fb56553,// invsqrt(0.6025) = 1.2883 +32'h3f82a072,32'h3f785841,32'h3f813d9a, 32'h3f70be0b,32'h3f850ab5, 32'h3f64125c,32'h3f8b608d,// invsqrt(1.0205) = 0.9899 +32'h3ebcd438,32'h3fce8e33,32'h3fd6fc7f, 32'h3fc83b7b,32'h3fdd4f37, 32'h3fbdb19d,32'h3fe7d915,// invsqrt(0.3688) = 1.6466 +32'h3e9fd8d2,32'h3fe08055,32'h3fe9aa24, 32'h3fd9a0f9,32'h3ff0897f, 32'h3fce2cb6,32'h3ffbfdc2,// invsqrt(0.3122) = 1.7897 +32'h3f6dd308,32'h3f822526,32'h3f877508, 32'h3f7c5278,32'h3f8b70f2, 32'h3f6f0ac3,32'h3f9214cc,// invsqrt(0.9290) = 1.0375 +32'h3f4db5c5,32'h3f8bef85,32'h3f91a5b5, 32'h3f87a6e2,32'h3f95ee58, 32'h3f808327,32'h3f9d1213,// invsqrt(0.8036) = 1.1156 +32'h3ff255f3,32'h3f3654ed,32'h3f3dc61b, 32'h3f30c00a,32'h3f435afe, 32'h3f277290,32'h3f4ca878,// invsqrt(1.8932) = 0.7268 +32'h3d7f1628,32'h407b542c,32'h4082cb26, 32'h4073a293,32'h4086a3f3, 32'h4066cfe9,32'h408d0d47,// invsqrt(0.0623) = 4.0072 +32'h3e81e552,32'h3ff90ae3,32'h40019a90, 32'h3ff16b34,32'h40056a67, 32'h3fe4b667,32'h400bc4cd,// invsqrt(0.2537) = 1.9854 +32'h3f30b172,32'h3f96fd43,32'h3f9d26f2, 32'h3f925dff,32'h3fa1c635, 32'h3f8aa9e4,32'h3fa97a50,// invsqrt(0.6902) = 1.2037 +32'h3dfa805f,32'h403355d9,32'h403aa7b9, 32'h402dd872,32'h40402520, 32'h4024b21b,32'h40494b77,// invsqrt(0.1223) = 2.8593 +32'h3f9f4de4,32'h3f60e224,32'h3f6a0ff2, 32'h3f59ffca,32'h3f70f24c, 32'h3f4e868a,32'h3f7c6b8c,// invsqrt(1.2446) = 0.8964 +32'h3eab3a2d,32'h3fd8e99a,32'h3fe1c41e, 32'h3fd245b7,32'h3fe86801, 32'h3fc73493,32'h3ff37925,// invsqrt(0.3344) = 1.7292 +32'h405e3b23,32'h3f06a239,32'h3f0c2101, 32'h3f028322,32'h3f104018, 32'h3ef7494e,32'h3f171e93,// invsqrt(3.4724) = 0.5366 +32'h409ac17d,32'h3ee42a0a,32'h3eed7a20, 32'h3edd2dfa,32'h3ef47630, 32'h3ed189e0,32'h3f000d25,// invsqrt(4.8361) = 0.4547 +32'h3efb1a23,32'h3fb31ee8,32'h3fba6e8a, 32'h3fada330,32'h3fbfea42, 32'h3fa47fa6,32'h3fc90dcc,// invsqrt(0.4904) = 1.4279 +32'h3dce200c,32'h4045b310,32'h404dc4d2, 32'h403fa5bf,32'h4053d223, 32'h40358f8c,32'h405de856,// invsqrt(0.1006) = 3.1521 +32'h40d9c70c,32'h3ec05676,32'h3ec83032, 32'h3eba7329,32'h3ece137f, 32'h3eb0a2ff,32'h3ed7e3a9,// invsqrt(6.8055) = 0.3833 +32'h3fdce784,32'h3f3ef8be,32'h3f46c433, 32'h3f392025,32'h3f4c9ccb, 32'h3f2f61d2,32'h3f565b1e,// invsqrt(1.7258) = 0.7612 +32'h3f6ff72e,32'h3f81902c,32'h3f86d9fa, 32'h3f7b31a4,32'h3f8ad154, 32'h3f6df922,32'h3f916d95,// invsqrt(0.9374) = 1.0329 +32'h407fdd07,32'h3efaf26c,32'h3f029848, 32'h3ef343d1,32'h3f066f95, 32'h3ee67625,32'h3f0cd66c,// invsqrt(3.9979) = 0.5001 +32'h3fc543c7,32'h3f4a1737,32'h3f5256db, 32'h3f43e77c,32'h3f588696, 32'h3f3997ee,32'h3f62d624,// invsqrt(1.5411) = 0.8055 +32'h3f6b0966,32'h3f82ea25,32'h3f884211, 32'h3f7dd066,32'h3f8c4403, 32'h3f707497,32'h3f92f1ea,// invsqrt(0.9181) = 1.0436 +32'h3f5ea719,32'h3f868191,32'h3f8bff04, 32'h3f82637a,32'h3f901d1a, 32'h3f770d52,32'h3f96f9eb,// invsqrt(0.8697) = 1.0723 +32'h3f4b004b,32'h3f8cddbf,32'h3f929da7, 32'h3f888dd0,32'h3f96ed96, 32'h3f815dee,32'h3f9e1d78,// invsqrt(0.7930) = 1.1230 +32'h3f4aeba4,32'h3f8ce4ea,32'h3f92a51e, 32'h3f8894c4,32'h3f96f544, 32'h3f816484,32'h3f9e2584,// invsqrt(0.7927) = 1.1232 +32'h3dea6701,32'h4039643f,32'h4040f567, 32'h4033b762,32'h4046a244, 32'h402a41f1,32'h405017b5,// invsqrt(0.1145) = 2.9559 +32'h3fd36cb8,32'h3f4334bd,32'h3f4b2c71, 32'h3f3d3af6,32'h3f512638, 32'h3f334555,32'h3f5b1bd9,// invsqrt(1.6518) = 0.7781 +32'h3f0395b4,32'h3faef740,32'h3fb61b76, 32'h3fa99c16,32'h3fbb76a0, 32'h3fa0aed2,32'h3fc463e4,// invsqrt(0.5140) = 1.3948 +32'h3f929866,32'h3f6a6dc7,32'h3f73ff51, 32'h3f63409f,32'h3f7b2c79, 32'h3f574ab1,32'h3f839133,// invsqrt(1.1453) = 0.9344 +32'h3e77177e,32'h3fff5cc4,32'h4004e486, 32'h3ff78b90,32'h4008cd20, 32'h3fea8437,32'h400f50cc,// invsqrt(0.2413) = 2.0357 +32'h3f90169a,32'h3f6c759f,32'h3f761c61, 32'h3f65388d,32'h3f7d5973, 32'h3f59281a,32'h3f84b4f3,// invsqrt(1.1257) = 0.9425 +32'h40b8c9ea,32'h3ed0cd25,32'h3ed952e7, 32'h3eca68d2,32'h3edfb73a, 32'h3ebfc19f,32'h3eea5e6d,// invsqrt(5.7746) = 0.4161 +32'h3e9ed04e,32'h3fe13afd,32'h3fea6c6b, 32'h3fda55eb,32'h3ff1517d, 32'h3fced822,32'h3ffccf46,// invsqrt(0.3102) = 1.7955 +32'h40c620a5,32'h3ec9a673,32'h3ed1e17d, 32'h3ec37a2c,32'h3ed80dc4, 32'h3eb9305f,32'h3ee25791,// invsqrt(6.1915) = 0.4019 +32'h3f7182ec,32'h3f8125da,32'h3f866b50, 32'h3f7a6382,32'h3f8a5f69, 32'h3f6d35d9,32'h3f90f63e,// invsqrt(0.9434) = 1.0296 +32'h3f998fec,32'h3f650c9c,32'h3f6e65f0, 32'h3f5e099c,32'h3f7568f0, 32'h3f5259f2,32'h3f808c4d,// invsqrt(1.1997) = 0.9130 +32'h401c59d1,32'h3f2082ec,32'h3f27101a, 32'h3f1b9909,32'h3f2bf9fd, 32'h3f136890,32'h3f342a77,// invsqrt(2.4430) = 0.6398 +32'h3f3b1788,32'h3f92bbb6,32'h3f98b8ec, 32'h3f8e3dcd,32'h3f9d36d5, 32'h3f86c149,32'h3fa4b359,// invsqrt(0.7308) = 1.1697 +32'h3f370b25,32'h3f9458d6,32'h3f9a66ea, 32'h3f8fce48,32'h3f9ef178, 32'h3f883cb0,32'h3fa68310,// invsqrt(0.7150) = 1.1826 +32'h4058779c,32'h3f086a11,32'h3f0dfb75, 32'h3f043d06,32'h3f122880, 32'h3efa8e92,32'h3f191e3d,// invsqrt(3.3823) = 0.5437 +32'h3f87f123,32'h3f7370fa,32'h3f7d60b1, 32'h3f6bfd32,32'h3f826a3d, 32'h3f5f918d,32'h3f88a00f,// invsqrt(1.0620) = 0.9703 +32'h3db26919,32'h4054803b,32'h405d2ca5, 32'h404dfeea,32'h4063adf6, 32'h40432765,32'h406e857b,// invsqrt(0.0871) = 3.3881 +32'h401c46cb,32'h3f208cb0,32'h3f271a45, 32'h3f1ba282,32'h3f2c0474, 32'h3f137188,32'h3f34356e,// invsqrt(2.4418) = 0.6399 +32'h401f29a1,32'h3f1f1659,32'h3f2594a5, 32'h3f1a379f,32'h3f2a735f, 32'h3f1219bf,32'h3f32913f,// invsqrt(2.4869) = 0.6341 +32'h40178a25,32'h3f230a1f,32'h3f29b1b7, 32'h3f1e0c6c,32'h3f2eaf6a, 32'h3f15baed,32'h3f3700e9,// invsqrt(2.3678) = 0.6499 +32'h3e927a62,32'h3fea85ca,32'h3ff41850, 32'h3fe357e6,32'h3ffb4634, 32'h3fd760bf,32'h40039eae,// invsqrt(0.2861) = 1.8696 +32'h3fe9ce1b,32'h3f39a0d4,32'h3f413474, 32'h3f33f21c,32'h3f46e32c, 32'h3f2a7994,32'h3f505bb4,// invsqrt(1.8266) = 0.7399 +32'h3cc5a5ba,32'h40c9e51d,32'h40d222b5, 32'h40c3b6ea,32'h40d850e8, 32'h40b969eb,32'h40e29de7,// invsqrt(0.0241) = 6.4380 +32'h3ff51597,32'h3f354e73,32'h3f3cb4eb, 32'h3f2fc199,32'h3f4241c5, 32'h3f268184,32'h3f4b81da,// invsqrt(1.9147) = 0.7227 +32'h3f34318b,32'h3f9583fd,32'h3f9b9e46, 32'h3f90f046,32'h3fa031fc, 32'h3f894f6a,32'h3fa7d2d8,// invsqrt(0.7039) = 1.1919 +32'h410ccee5,32'h3ea9236b,32'h3eb00abd, 32'h3ea3f5ed,32'h3eb5383b, 32'h3e9b54c5,32'h3ebdd963,// invsqrt(8.8005) = 0.3371 +32'h407424d9,32'h3f00731f,32'h3f05b14a, 32'h3ef908fc,32'h3f099fea, 32'h3eebed91,32'h3f102da0,// invsqrt(3.8147) = 0.5120 +32'h40ec231e,32'h3eb8b597,32'h3ec03f9d, 32'h3eb30e12,32'h3ec5e722, 32'h3ea9a18b,32'h3ecf53a9,// invsqrt(7.3793) = 0.3681 +32'h439d0141,32'h3d62862c,32'h3d6bc51e, 32'h3d5b96f6,32'h3d72b454, 32'h3d500848,32'h3d7e4302,// invsqrt(314.0098) = 0.0564 +32'h3ef4e429,32'h3fb560bf,32'h3fbcc7f5, 32'h3fafd356,32'h3fc2555e, 32'h3fa69251,32'h3fcb9663,// invsqrt(0.4783) = 1.4459 +32'h3f8dd6dc,32'h3f6e53a4,32'h3f780dea, 32'h3f6707f0,32'h3f7f599e, 32'h3f5adf1a,32'h3f85c13a,// invsqrt(1.1081) = 0.9500 +32'h3f83e925,32'h3f772215,32'h3f809c30, 32'h3f6f915e,32'h3f84648b, 32'h3f62f581,32'h3f8ab27a,// invsqrt(1.0306) = 0.9851 +32'h3ece05e6,32'h3fc5bf9c,32'h3fcdd1e1, 32'h3fbfb1e8,32'h3fd3df94, 32'h3fb59b11,32'h3fddf66b,// invsqrt(0.4024) = 1.5764 +32'h3ebd7ebc,32'h3fce312f,32'h3fd69baf, 32'h3fc7e150,32'h3fdceb8e, 32'h3fbd5c31,32'h3fe770ad,// invsqrt(0.3701) = 1.6438 +32'h400938b1,32'h3f2b55a7,32'h3f3253ed, 32'h3f2616f3,32'h3f3792a1, 32'h3f1d591c,32'h3f405078,// invsqrt(2.1441) = 0.6829 +32'h3f9d8a6b,32'h3f62237a,32'h3f6b5e64, 32'h3f5b3749,32'h3f724a95, 32'h3f4fada4,32'h3f7dd43a,// invsqrt(1.2308) = 0.9014 +32'h3f6c2ae3,32'h3f8299d0,32'h3f87ee76, 32'h3f7d34a9,32'h3f8bedf2, 32'h3f6fe10c,32'h3f9297c0,// invsqrt(0.9225) = 1.0411 +32'h3f8324b3,32'h3f77dae9,32'h3f80fc5f, 32'h3f704488,32'h3f84c78f, 32'h3f639f3e,32'h3f8b1a34,// invsqrt(1.0246) = 0.9879 +32'h3e383eae,32'h4013dcd4,32'h4019e5d8, 32'h400f5612,32'h401e6c9a, 32'h4007cacd,32'h4025f7df,// invsqrt(0.1799) = 2.3575 +32'h3f2c7765,32'h3f98d40c,32'h3f9f10f2, 32'h3f94265f,32'h3fa3be9f, 32'h3f8c5a3f,32'h3fab8abf,// invsqrt(0.6737) = 1.2183 +32'h3fe7c1f7,32'h3f3a7246,32'h3f420e72, 32'h3f34bd24,32'h3f47c394, 32'h3f2b39ec,32'h3f5146cc,// invsqrt(1.8106) = 0.7432 +32'h4014508c,32'h3f24cd76,32'h3f2b877b, 32'h3f1fc1f3,32'h3f3092ff, 32'h3f17596d,32'h3f38fb85,// invsqrt(2.3174) = 0.6569 +32'h3f72a5d8,32'h3f80d857,32'h3f861aa3, 32'h3f79cd3a,32'h3f8a0c5d, 32'h3f6ca77b,32'h3f909f3d,// invsqrt(0.9478) = 1.0271 +32'h3e9ede00,32'h3fe13148,32'h3fea6250, 32'h3fda4c82,32'h3ff14716, 32'h3fcecf38,32'h3ffcc460,// invsqrt(0.3103) = 1.7952 +32'h3ecb1397,32'h3fc72d8b,32'h3fcf4ec0, 32'h3fc114a4,32'h3fd567a8, 32'h3fb6eb22,32'h3fdf912a,// invsqrt(0.3966) = 1.5878 +32'h3fd1e801,32'h3f43e929,32'h3f4be83a, 32'h3f3de9db,32'h3f51e787, 32'h3f33eb06,32'h3f5be65c,// invsqrt(1.6399) = 0.7809 +32'h3fcdf5bf,32'h3f45c75d,32'h3f4dd9f3, 32'h3f3fb96c,32'h3f53e7e4, 32'h3f35a231,32'h3f5dff1f,// invsqrt(1.6091) = 0.7883 +32'h3f666313,32'h3f843aa6,32'h3f89a04f, 32'h3f802e68,32'h3f8dac8e, 32'h3f72deaa,32'h3f946ba1,// invsqrt(0.8999) = 1.0541 +32'h40c109f4,32'h3ecc4a6c,32'h3ed4a10e, 32'h3ec60974,32'h3edae206, 32'h3ebb9d2a,32'h3ee54e50,// invsqrt(6.0325) = 0.4071 +32'h3f8fb99c,32'h3f6cc212,32'h3f766bf3, 32'h3f6582a8,32'h3f7dab5c, 32'h3f596e4f,32'h3f84dfdb,// invsqrt(1.1229) = 0.9437 +32'h3caecc3e,32'h40d6af87,32'h40df72c5, 32'h40d01d17,32'h40e60535, 32'h40c52909,32'h40f0f943,// invsqrt(0.0213) = 6.8458 +32'h3f8cb07c,32'h3f6f4c78,32'h3f7910e6, 32'h3f67f926,32'h3f80321c, 32'h3f5bc39e,32'h3f864ce0,// invsqrt(1.0991) = 0.9538 +32'h4054d030,32'h3f099498,32'h3f0f322c, 32'h3f055e6a,32'h3f13685a, 32'h3efcb2e4,32'h3f1a6d52,// invsqrt(3.3252) = 0.5484 +32'h3d9d86c7,32'h40622616,32'h406b611d, 32'h405b39d2,32'h40724d62, 32'h404fb00b,32'h407dd729,// invsqrt(0.0769) = 3.6057 +32'h3ee7b058,32'h3fba795d,32'h3fc215d3, 32'h3fb4c404,32'h3fc7cb2c, 32'h3fab406f,32'h3fd14ec1,// invsqrt(0.4525) = 1.4866 +32'h3f62bbb8,32'h3f854a4e,32'h3f8abb0e, 32'h3f8135bf,32'h3f8ecf9d, 32'h3f74d1a0,32'h3f959c8c,// invsqrt(0.8857) = 1.0626 +32'h3f9fafd6,32'h3f609d22,32'h3f69c81e, 32'h3f59bce5,32'h3f70a85b, 32'h3f4e472a,32'h3f7c1e16,// invsqrt(1.2476) = 0.8953 +32'h3fc217aa,32'h3f4bbc4a,32'h3f540d1e, 32'h3f457fab,32'h3f5a49bd, 32'h3f3b1aa2,32'h3f64aec6,// invsqrt(1.5163) = 0.8121 +32'h405d503f,32'h3f06e998,32'h3f0c6b4a, 32'h3f02c852,32'h3f108c90, 32'h3ef7cc65,32'h3f176eb0,// invsqrt(3.4580) = 0.5378 +32'h3f0cfd41,32'h3fa9079a,32'h3fafedca, 32'h3fa3daf6,32'h3fb51a6e, 32'h3f9b3b3a,32'h3fbdba2a,// invsqrt(0.5507) = 1.3475 +32'h3f8eec6e,32'h3f6d6bc6,32'h3f771c95, 32'h3f66272c,32'h3f7e6130, 32'h3f5a0a29,32'h3f853f19,// invsqrt(1.1166) = 0.9464 +32'h3fad1faf,32'h3f57b89c,32'h3f6086ac, 32'h3f511e0f,32'h3f672139, 32'h3f461c7a,32'h3f7222ce,// invsqrt(1.3525) = 0.8599 +32'h4006948f,32'h3f2d01f4,32'h3f3411b5, 32'h3f27b624,32'h3f395d86, 32'h3f1ee273,32'h3f423137,// invsqrt(2.1028) = 0.6896 +32'h403e7195,32'h3f116fb0,32'h3f175f59, 32'h3f0cfbf1,32'h3f1bd317, 32'h3f05905d,32'h3f233eab,// invsqrt(2.9757) = 0.5797 +32'h3e6a8033,32'h4003106c,32'h400869e8, 32'h3ffe1a9d,32'h400c6d06, 32'h3ff0bae5,32'h40131ce1,// invsqrt(0.2290) = 2.0897 +32'h3f86f975,32'h3f744ff0,32'h3f7e48c0, 32'h3f6cd554,32'h3f82e1ae, 32'h3f605e4f,32'h3f891d30,// invsqrt(1.0545) = 0.9738 +32'h4007c1f0,32'h3f2c4180,32'h3f334966, 32'h3f26fb94,32'h3f388f52, 32'h3f1e31b4,32'h3f415932,// invsqrt(2.1212) = 0.6866 +32'h3fe29faa,32'h3f3c8be5,32'h3f443e03, 32'h3f36c64e,32'h3f4a039a, 32'h3f2d27a8,32'h3f53a240,// invsqrt(1.7705) = 0.7515 +32'h3e1daf97,32'h401fd49a,32'h40265aab, 32'h401af00e,32'h402b3f38, 32'h4012c879,32'h403366cd,// invsqrt(0.1540) = 2.5483 +32'h4078f63b,32'h3efe66c7,32'h3f046482, 32'h3ef69d1a,32'h3f084959, 32'h3ee9a24f,32'h3f0ec6be,// invsqrt(3.8900) = 0.5070 +32'h3f6137aa,32'h3f85bcf2,32'h3f8b325f, 32'h3f81a4e0,32'h3f8f4a70, 32'h3f75a42e,32'h3f961d39,// invsqrt(0.8798) = 1.0662 +32'h3beb756c,32'h4138f9ab,32'h41408679, 32'h41335011,32'h41463013, 32'h4129e010,32'h414fa014,// invsqrt(0.0072) = 11.7969 +32'h40056b58,32'h3f2dc23d,32'h3f34d9d7, 32'h3f28708a,32'h3f3a2b8a, 32'h3f1f9309,32'h3f43090b,// invsqrt(2.0847) = 0.6926 +32'h3f1863d0,32'h3fa29585,32'h3fa9385b, 32'h3f9d9b64,32'h3fae327c, 32'h3f954fd8,32'h3fb67e08,// invsqrt(0.5953) = 1.2961 +32'h3f273700,32'h3f9b35a9,32'h3fa18b71, 32'h3f967553,32'h3fa64bc7, 32'h3f8e8a18,32'h3fae3702,// invsqrt(0.6532) = 1.2373 +32'h3e28cc68,32'h401a7ad6,32'h4020c8fe, 32'h4015c038,32'h4025839c, 32'h400dde86,32'h402d654e,// invsqrt(0.1648) = 2.4630 +32'h3f275b1e,32'h3f9b24e9,32'h3fa17a02, 32'h3f966516,32'h3fa639d4, 32'h3f8e7ab6,32'h3fae2434,// invsqrt(0.6537) = 1.2368 +32'h3f03759f,32'h3faf0c98,32'h3fb631ae, 32'h3fa9b0c8,32'h3fbb8d7e, 32'h3fa0c26c,32'h3fc47bda,// invsqrt(0.5135) = 1.3955 +32'h3f3fd562,32'h3f90e892,32'h3f96d2b7, 32'h3f8c78f5,32'h3f9b4253, 32'h3f851447,32'h3fa2a701,// invsqrt(0.7493) = 1.1552 +32'h3faf82e2,32'h3f563fb6,32'h3f5efe64, 32'h3f4fb0b3,32'h3f658d67, 32'h3f44c258,32'h3f707bc2,// invsqrt(1.3712) = 0.8540 +32'h3f08b26d,32'h3faba9b7,32'h3fb2ab6b, 32'h3fa66870,32'h3fb7ecb2, 32'h3f9da64f,32'h3fc0aed3,// invsqrt(0.5340) = 1.3685 +32'h3fc5a550,32'h3f49e553,32'h3f5222ee, 32'h3f43b71f,32'h3f585123, 32'h3f396a1e,32'h3f629e24,// invsqrt(1.5441) = 0.8048 +32'h402a014f,32'h3f19ee3e,32'h3f2036a8, 32'h3f1537ed,32'h3f24ecf9, 32'h3f0d5d68,32'h3f2cc77e,// invsqrt(2.6563) = 0.6136 +32'h4063c54c,32'h3f04fc82,32'h3f0a6a14, 32'h3f00ea54,32'h3f0e7c42, 32'h3ef442ba,32'h3f154539,// invsqrt(3.5589) = 0.5301 +32'h3faf08e2,32'h3f568a53,32'h3f5f4c0d, 32'h3f4ff907,32'h3f65dd59, 32'h3f4506de,32'h3f70cf82,// invsqrt(1.3675) = 0.8552 +32'h3fac31ca,32'h3f584d6c,32'h3f612190, 32'h3f51ae51,32'h3f67c0ab, 32'h3f46a525,32'h3f72c9d7,// invsqrt(1.3453) = 0.8622 +32'h3fe4650f,32'h3f3bd062,32'h3f437ad9, 32'h3f361089,32'h3f493ab3, 32'h3f2c7b75,32'h3f52cfc7,// invsqrt(1.7843) = 0.7486 +32'h3da3afc3,32'h405dda43,32'h4066e865, 32'h40570fa9,32'h406db2ff, 32'h404bbdff,32'h407904a9,// invsqrt(0.0799) = 3.5372 +32'h46ee1000,32'h3bb7f5fe,32'h3bbf7832, 32'h3bb25457,32'h3bc519d9, 32'h3ba8f196,32'h3bce7c9a,// invsqrt(30472.0000) = 0.0057 +32'h3f97b16b,32'h3f6674c3,32'h3f6fdccb, 32'h3f5f66bd,32'h3f76ead1, 32'h3f53a4b3,32'h3f81566d,// invsqrt(1.1851) = 0.9186 +32'h3fb1b10e,32'h3f54ee2b,32'h3f5d9f13, 32'h3f4e697d,32'h3f6423c1, 32'h3f438c5c,32'h3f6f00e2,// invsqrt(1.3882) = 0.8487 +32'h3f65e344,32'h3f845f63,32'h3f89c68b, 32'h3f805204,32'h3f8dd3ea, 32'h3f732223,32'h3f9494dc,// invsqrt(0.8980) = 1.0553 +32'h3e638448,32'h40050f81,32'h400a7dda, 32'h4000fcbf,32'h400e909d, 32'h3ff465a0,32'h40155a8c,// invsqrt(0.2222) = 2.1215 +32'h416dd947,32'h3e822371,32'h3e877341, 32'h3e7c4f29,32'h3e8b6f1d, 32'h3e6f07a0,32'h3e9212e2,// invsqrt(14.8655) = 0.2594 +32'h3ecd0fa2,32'h3fc63636,32'h3fce4d52, 32'h3fc024e1,32'h3fd45ea7, 32'h3fb607fd,32'h3fde7b8b,// invsqrt(0.4005) = 1.5801 +32'h3f885faf,32'h3f730e3b,32'h3f7cf9e9, 32'h3f6b9d78,32'h3f823556, 32'h3f5f36dd,32'h3f8868a4,// invsqrt(1.0654) = 0.9688 +32'h3f34efd5,32'h3f953549,32'h3f9b4c5b, 32'h3f90a3fb,32'h3f9fdda9, 32'h3f890723,32'h3fa77a81,// invsqrt(0.7068) = 1.1895 +32'h3e48d652,32'h400d9f81,32'h40136752, 32'h400949a4,32'h4017bd2e, 32'h40020fde,32'h401ef6f4,// invsqrt(0.1961) = 2.2580 +32'h40043699,32'h3f2e8ca9,32'h3f35ac87, 32'h3f2934c4,32'h3f3b046c, 32'h3f204cef,32'h3f43ec41,// invsqrt(2.0658) = 0.6957 +32'h3fa3e97d,32'h3f5db32f,32'h3f66bfb9, 32'h3f56e9c8,32'h3f6d8920, 32'h3f4b9a1c,32'h3f78d8cc,// invsqrt(1.2806) = 0.8837 +32'h3f827bdc,32'h3f787b10,32'h3f814fb7, 32'h3f70dfc9,32'h3f851d5b, 32'h3f643252,32'h3f8b7416,// invsqrt(1.0194) = 0.9904 +32'h40a43142,32'h3edd82b6,32'h3ee68d45, 32'h3ed6baca,32'h3eed5530, 32'h3ecb6d97,32'h3ef8a263,// invsqrt(5.1310) = 0.4415 +32'h3fc84711,32'h3f48909a,32'h3f50c04d, 32'h3f426cd5,32'h3f56e413, 32'h3f383135,32'h3f611fb3,// invsqrt(1.5647) = 0.7994 +32'h40e50f12,32'h3ebb8aa2,32'h3ec33240, 32'h3eb5cceb,32'h3ec8eff7, 32'h3eac3b66,32'h3ed2817c,// invsqrt(7.1581) = 0.3738 +32'h3ca7977b,32'h40db40aa,32'h40e433a2, 32'h40d48a71,32'h40eae9db, 32'h40c95abc,32'h40f61990,// invsqrt(0.0205) = 6.9915 +32'h3f5cda17,32'h3f870daa,32'h3f8c90d6, 32'h3f82eb4a,32'h3f90b336, 32'h3f780ea6,32'h3f97972d,// invsqrt(0.8627) = 1.0766 +32'h3f068851,32'h3fad09d3,32'h3fb419e7, 32'h3fa7bdc5,32'h3fb965f5, 32'h3f9ee9ad,32'h3fc23a0d,// invsqrt(0.5255) = 1.3795 +32'h400bf296,32'h3f29a858,32'h3f309518, 32'h3f2476c8,32'h3f35c6a8, 32'h3f1bced9,32'h3f3e6e97,// invsqrt(2.1867) = 0.6762 +32'h3d9cd63c,32'h4062a53b,32'h406be571, 32'h405bb512,32'h4072d59a, 32'h405024cd,32'h407e65df,// invsqrt(0.0766) = 3.6136 +32'h3fdf3790,32'h3f3dfad2,32'h3f45bbea, 32'h3f382a00,32'h3f4b8cbc, 32'h3f2e78a1,32'h3f553e1b,// invsqrt(1.7439) = 0.7573 +32'h3f1e1bf9,32'h3f9f9dc9,32'h3fa6219d, 32'h3f9abaea,32'h3fab047c, 32'h3f929621,32'h3fb32945,// invsqrt(0.6176) = 1.2725 +32'h41b72c23,32'h3e51b876,32'h3e5a47d4, 32'h3e4b4cf0,32'h3e60b35a, 32'h3e4099bb,32'h3e6b668f,// invsqrt(22.8966) = 0.2090 +32'h3df5535c,32'h4035379f,32'h403c9d28, 32'h402fab78,32'h4042294e, 32'h40266c8c,32'h404b683a,// invsqrt(0.1198) = 2.8893 +32'h4001a40b,32'h3f3045d3,32'h3f3777b2, 32'h3f2ae06c,32'h3f3cdd1a, 32'h3f21e216,32'h3f45db70,// invsqrt(2.0256) = 0.7026 +32'h3faed37b,32'h3f56ab15,32'h3f5f6e25, 32'h3f5018c8,32'h3f660072, 32'h3f4524f4,32'h3f70f446,// invsqrt(1.3658) = 0.8557 +32'h3fab4148,32'h3f58e51a,32'h3f61bf6e, 32'h3f52415a,32'h3f68632e, 32'h3f473070,32'h3f737418,// invsqrt(1.3379) = 0.8645 +32'h3e66b9b1,32'h400421d2,32'h40098677, 32'h40001655,32'h400d91f3, 32'h3ff2b10e,32'h40144fc1,// invsqrt(0.2253) = 2.1067 +32'h3fd580ed,32'h3f4240d8,32'h3f4a2e98, 32'h3f3c4e88,32'h3f5020e8, 32'h3f326559,32'h3f5a0a17,// invsqrt(1.6680) = 0.7743 +32'h3ec79bef,32'h3fc8e682,32'h3fd119b6, 32'h3fc2c01b,32'h3fd7401d, 32'h3fb88019,32'h3fe1801f,// invsqrt(0.3899) = 1.6016 +32'h3f48dc98,32'h3f8d9d4a,32'h3f936504, 32'h3f89477f,32'h3f97bacf, 32'h3f820dd6,32'h3f9ef478,// invsqrt(0.7846) = 1.1289 +32'h3f93f8df,32'h3f6955eb,32'h3f72dc09, 32'h3f623154,32'h3f7a00a0, 32'h3f5649ae,32'h3f82f423,// invsqrt(1.1560) = 0.9301 +32'h3e95d550,32'h3fe7e1c7,32'h3ff158b5, 32'h3fe0c894,32'h3ff871e8, 32'h3fd4f3eb,32'h40022348,// invsqrt(0.2926) = 1.8485 +32'h3f1aaad9,32'h3fa161f1,32'h3fa7f839, 32'h3f9c713a,32'h3face8f0, 32'h3f943560,32'h3fb524ca,// invsqrt(0.6042) = 1.2865 +32'h3fc9eea5,32'h3f47bdd1,32'h3f4fe4e9, 32'h3f41a07f,32'h3f56023b, 32'h3f376fa0,32'h3f60331a,// invsqrt(1.5776) = 0.7962 +32'h40559c7f,32'h3f0952bd,32'h3f0eeda1, 32'h3f051e93,32'h3f1321cb, 32'h3efc39ee,32'h3f1a2367,// invsqrt(3.3377) = 0.5474 +32'h3fe78b47,32'h3f3a8849,32'h3f42255c, 32'h3f34d27c,32'h3f47db2a, 32'h3f2b4e24,32'h3f515f82,// invsqrt(1.8089) = 0.7435 +32'h3f5787e4,32'h3f88b5d8,32'h3f8e4a54, 32'h3f84867b,32'h3f9279b1, 32'h3f7b19c1,32'h3f99734b,// invsqrt(0.8419) = 1.0898 +32'h3d74407c,32'h40806bda,32'h4085a9ba, 32'h4078fae6,32'h40899821, 32'h406be038,32'h40902578,// invsqrt(0.0596) = 4.0951 +32'h3f1f572b,32'h3f9eff9c,32'h3fa57cfb, 32'h3f9a2194,32'h3faa5b02, 32'h3f9204dd,32'h3fb277b9,// invsqrt(0.6224) = 1.2675 +32'h3f7c2d50,32'h3f7cc647,32'h3f838bc1, 32'h3f750959,32'h3f876a38, 32'h3f6823cd,32'h3f8ddcfd,// invsqrt(0.9851) = 1.0076 +32'h3f827bed,32'h3f787b00,32'h3f814faf, 32'h3f70dfb9,32'h3f851d52, 32'h3f643244,32'h3f8b740d,// invsqrt(1.0194) = 0.9904 +32'h3e0f0f0f,32'h4027cd78,32'h402ea6d6, 32'h4022aa72,32'h4033c9dc, 32'h401a1abd,32'h403c5991,// invsqrt(0.1397) = 2.6754 +32'h3f4025ac,32'h3f90ca48,32'h3f96b330, 32'h3f8c5b99,32'h3f9b21df, 32'h3f84f876,32'h3fa28502,// invsqrt(0.7506) = 1.1543 +32'h3e29229b,32'h401a5374,32'h4020a000, 32'h40159a0a,32'h4025596a, 32'h400dba5b,32'h402d3919,// invsqrt(0.1652) = 2.4606 +32'h3f0742d5,32'h3fac925c,32'h3fb39d8f, 32'h3fa749f6,32'h3fb8e5f6, 32'h3f9e7bf7,32'h3fc1b3f5,// invsqrt(0.5284) = 1.3757 +32'h3f1a73e5,32'h3fa17ea4,32'h3fa81618, 32'h3f9c8d0c,32'h3fad07b0, 32'h3f944fbb,32'h3fb54501,// invsqrt(0.6033) = 1.2874 +32'h4022dd89,32'h3f1d44ba,32'h3f23b006, 32'h3f187442,32'h3f28807e, 32'h3f106e23,32'h3f30869d,// invsqrt(2.5448) = 0.6269 +32'h3e5ebd5e,32'h40067ad7,32'h400bf805, 32'h40025cf5,32'h401015e7, 32'h3ff700f9,32'h4016f25f,// invsqrt(0.2175) = 2.1441 +32'h3e726c49,32'h4000e7a2,32'h40062a8e, 32'h3ff9eae1,32'h400a1cc0, 32'h3fecc392,32'h4010b067,// invsqrt(0.2367) = 2.0552 +32'h3eb4fa33,32'h3fd2fd13,32'h3fdb99b1, 32'h3fcc879d,32'h3fe20f27, 32'h3fc1c3d8,32'h3fecd2ec,// invsqrt(0.3535) = 1.6820 +32'h3f7d2803,32'h3f7c48fe,32'h3f834a8f, 32'h3f748fe7,32'h3f87271b, 32'h3f67b0c0,32'h3f8d96ae,// invsqrt(0.9889) = 1.0056 +32'h3eb7d7e4,32'h3fd15668,32'h3fd9e1c6, 32'h3fcaede3,32'h3fe04a4b, 32'h3fc03fae,32'h3feaf880,// invsqrt(0.3591) = 1.6688 +32'h3e39aafc,32'h40134b7c,32'h40194e91, 32'h400ec92d,32'h401dd0e1, 32'h40074553,32'h402554bb,// invsqrt(0.1813) = 2.3485 +32'h3f425ed1,32'h3f8ff5b0,32'h3f95d5ec, 32'h3f8b8d84,32'h3f9a3e18, 32'h3f843539,32'h3fa19663,// invsqrt(0.7593) = 1.1476 +32'h3f07e467,32'h3fac2ba7,32'h3fb332a8, 32'h3fa6e665,32'h3fb877e9, 32'h3f9e1da3,32'h3fc140ab,// invsqrt(0.5308) = 1.3725 +32'h3eeaa4a8,32'h3fb94be3,32'h3fc0dc0b, 32'h3fb39fc4,32'h3fc6882a, 32'h3faa2b92,32'h3fcffc5d,// invsqrt(0.4583) = 1.4772 +32'h40552310,32'h3f0979d6,32'h3f0f1652, 32'h3f054479,32'h3f134baf, 32'h3efc81be,32'h3f1a4f49,// invsqrt(3.3303) = 0.5480 +32'h3fbf5f3a,32'h3f4d2db2,32'h3f558d9a, 32'h3f46e5c4,32'h3f5bd588, 32'h3f3c6de2,32'h3f664d6a,// invsqrt(1.4951) = 0.8178 +32'h3fc6af0e,32'h3f495e21,32'h3f519637, 32'h3f433410,32'h3f57c048, 32'h3f38edf4,32'h3f620664,// invsqrt(1.5522) = 0.8026 +32'h40ccaa3b,32'h3ec6674a,32'h3ece8068, 32'h3ec05475,32'h3ed4933d, 32'h3eb63510,32'h3edeb2a2,// invsqrt(6.3958) = 0.3954 +32'h3e7a224d,32'h3ffdce01,32'h40041501, 32'h3ff60902,32'h4007f781, 32'h3fe91602,32'h400e7101,// invsqrt(0.2443) = 2.0233 +32'h40204c99,32'h3f1e85b5,32'h3f24fe1b, 32'h3f19ab69,32'h3f29d867, 32'h3f1194ea,32'h3f31eee6,// invsqrt(2.5047) = 0.6319 +32'h40501aad,32'h3f0b20db,32'h3f10ce9b, 32'h3f06de8b,32'h3f1510eb, 32'h3eff8ab7,32'h3f1c2a1a,// invsqrt(3.2516) = 0.5546 +32'h3f2e71a8,32'h3f97f5a6,32'h3f9e2978, 32'h3f934ec8,32'h3fa2d056, 32'h3f8b8e01,32'h3faa911d,// invsqrt(0.6814) = 1.2114 +32'h3fbd0282,32'h3f4e74e7,32'h3f56e229, 32'h3f4822f4,32'h3f5d341c, 32'h3f3d9a61,32'h3f67bcaf,// invsqrt(1.4766) = 0.8229 +32'h3facc399,32'h3f57f212,32'h3f60c27c, 32'h3f5155c3,32'h3f675ecb, 32'h3f465140,32'h3f72634e,// invsqrt(1.3497) = 0.8608 +32'h3f492a03,32'h3f8d8208,32'h3f9348a5, 32'h3f892d12,32'h3f979d9a, 32'h3f81f4cd,32'h3f9ed5df,// invsqrt(0.7858) = 1.1281 +32'h3f224ada,32'h3f9d8bbc,32'h3fa3f9ee, 32'h3f98b917,32'h3fa8cc93, 32'h3f90af59,32'h3fb0d651,// invsqrt(0.6340) = 1.2559 +32'h403d469a,32'h3f11e260,32'h3f17d6b8, 32'h3f0d6b1f,32'h3f1c4df9, 32'h3f05f9b1,32'h3f23bf67,// invsqrt(2.9574) = 0.5815 +32'h3f532386,32'h3f8a1ffb,32'h3f8fc33f, 32'h3f85e588,32'h3f93fdb2, 32'h3f7db2e7,32'h3f9b09c6,// invsqrt(0.8248) = 1.1011 +32'h3c1b935f,32'h4120e92a,32'h41277a84, 32'h411bfc26,32'h412c6788, 32'h4113c675,32'h41349d39,// invsqrt(0.0095) = 10.2622 +32'h3fb87a69,32'h3f50fa1e,32'h3f5981b7, 32'h3f4a946c,32'h3f5fe76a, 32'h3f3feaed,32'h3f6a90e9,// invsqrt(1.4412) = 0.8330 +32'h3f415ccb,32'h3f90559d,32'h3f9639c3, 32'h3f8bea81,32'h3f9aa4df, 32'h3f848d52,32'h3fa2020e,// invsqrt(0.7553) = 1.1506 +32'h3fbbdd48,32'h3f4f15c8,32'h3f57899c, 32'h3f48bee9,32'h3f5de07b, 32'h3f3e2e20,32'h3f687144,// invsqrt(1.4677) = 0.8254 +32'h40a55ead,32'h3edcb87a,32'h3ee5bac8, 32'h3ed5f6bf,32'h3eec7c83, 32'h3ecab3de,32'h3ef7bf64,// invsqrt(5.1678) = 0.4399 +32'h42befac7,32'h3dcd63a1,32'h3dd5c5bc, 32'h3dc71a0c,32'h3ddc0f50, 32'h3dbc9f69,32'h3de689f3,// invsqrt(95.4898) = 0.1023 +32'h40e0f0c9,32'h3ebd4024,32'h3ec4f99d, 32'h3eb77508,32'h3ecac4b8, 32'h3eadcd30,32'h3ed46c90,// invsqrt(7.0294) = 0.3772 +32'h3fc9767c,32'h3f47f959,32'h3f5022df, 32'h3f41da34,32'h3f564204, 32'h3f37a64c,32'h3f6075ec,// invsqrt(1.5739) = 0.7971 +32'h3f94d90f,32'h3f68a5f0,32'h3f7224e0, 32'h3f6186bc,32'h3f794414, 32'h3f55a811,32'h3f829160,// invsqrt(1.1629) = 0.9273 +32'h3fa83165,32'h3f5adc41,32'h3f63cb1f, 32'h3f54291a,32'h3f6a7e46, 32'h3f48fe85,32'h3f75a8db,// invsqrt(1.3140) = 0.8724 +32'h3e1d3a9f,32'h40201003,32'h40269881, 32'h401b29a5,32'h402b7edf, 32'h4012ff08,32'h4033a97c,// invsqrt(0.1535) = 2.5520 +32'h42022b23,32'h3e2fea43,32'h3e371865, 32'h3e2a87a9,32'h3e3c7aff, 32'h3e218dff,32'h3e4574a9,// invsqrt(32.5421) = 0.1753 +32'h3f9e5cfb,32'h3f618cf1,32'h3f6ac1b7, 32'h3f5aa55d,32'h3f71a94b, 32'h3f4f2365,32'h3f7d2b43,// invsqrt(1.2372) = 0.8990 +32'h40a86722,32'h3edab953,32'h3ee3a6c5, 32'h3ed4073f,32'h3eea58d9, 32'h3ec8de71,32'h3ef581a7,// invsqrt(5.2626) = 0.4359 +32'h405f3f3f,32'h3f0653b3,32'h3f0bcf47, 32'h3f023704,32'h3f0febf6, 32'h3ef6b914,32'h3f16c670,// invsqrt(3.4882) = 0.5354 +32'h409a18e0,32'h3ee4a6bc,32'h3eedfbe8, 32'h3edda6db,32'h3ef4fbc9, 32'h3ed1fc63,32'h3f005320,// invsqrt(4.8155) = 0.4557 +32'h40211a61,32'h3f1e2056,32'h3f249498, 32'h3f194924,32'h3f296bca, 32'h3f1137d2,32'h3f317d1d,// invsqrt(2.5172) = 0.6303 +32'h3e8d4508,32'h3feece87,32'h3ff88dd0, 32'h3fe77f0f,32'h3fffdd47, 32'h3fdb4ff4,32'h40060631,// invsqrt(0.2759) = 1.9038 +32'h3e8fdfff,32'h3feca27a,32'h3ff64b12, 32'h3fe56409,32'h3ffd8983, 32'h3fd9514c,32'h4004ce20,// invsqrt(0.2810) = 1.8864 +32'h404f6439,32'h3f0b5e00,32'h3f110e3f, 32'h3f0719d2,32'h3f15526e, 32'h3efffb06,32'h3f1c6ebd,// invsqrt(3.2405) = 0.5555 +32'h40cd0405,32'h3ec63bd3,32'h3ece532a, 32'h3ec02a52,32'h3ed464ac, 32'h3eb60d26,32'h3ede81d9,// invsqrt(6.4067) = 0.3951 +32'h3e99ef01,32'h3fe4c5d3,32'h3fee1c45, 32'h3fddc4ff,32'h3ff51d19, 32'h3fd218f1,32'h40006493,// invsqrt(0.3007) = 1.8238 +32'h3f80d07b,32'h3f7a15f0,32'h3f82258a, 32'h3f726e15,32'h3f85f977, 32'h3f65aba8,32'h3f8c5aae,// invsqrt(1.0064) = 0.9968 +32'h3f552994,32'h3f8977bc,32'h3f8f1422, 32'h3f854270,32'h3f93496e, 32'h3f7c7de1,32'h3f9a4ced,// invsqrt(0.8327) = 1.0959 +32'h3fb87a4c,32'h3f50fa2f,32'h3f5981c8, 32'h3f4a947b,32'h3f5fe77b, 32'h3f3feafc,32'h3f6a90fb,// invsqrt(1.4412) = 0.8330 +32'h3fc938bc,32'h3f481806,32'h3f5042cc, 32'h3f41f7f1,32'h3f5662e1, 32'h3f37c278,32'h3f60985a,// invsqrt(1.5720) = 0.7976 +32'h3dc60b11,32'h4049b16f,32'h4051eceb, 32'h404384d1,32'h40581989, 32'h40393a75,32'h406263e5,// invsqrt(0.0967) = 3.2158 +32'h3f8c55a8,32'h3f6f99dc,32'h3f796172, 32'h3f68442b,32'h3f805b91, 32'h3f5c0ab0,32'h3f86784f,// invsqrt(1.0964) = 0.9550 +32'h4000cfc0,32'h3f30d6d9,32'h3f380ea3, 32'h3f2b6d01,32'h3f3d787b, 32'h3f226745,32'h3f467e37,// invsqrt(2.0127) = 0.7049 +32'h3e8a2c91,32'h3ff1778b,32'h3ffb52a1, 32'h3fea133b,32'h40015b78, 32'h3fddc161,32'h40078466,// invsqrt(0.2699) = 1.9250 +32'h3f013a5e,32'h3fb08dd7,32'h3fb7c2a7, 32'h3fab263c,32'h3fbd2a42, 32'h3fa22439,32'h3fc62c45,// invsqrt(0.5048) = 1.4075 +32'h4012b1a0,32'h3f25b5e5,32'h3f2c7966, 32'h3f20a343,32'h3f318c07, 32'h3f182ee1,32'h3f3a0069,// invsqrt(2.2921) = 0.6605 +32'h3eae1035,32'h3fd7235d,32'h3fdfeb57, 32'h3fd08d62,32'h3fe68152, 32'h3fc5936b,32'h3ff17b49,// invsqrt(0.3400) = 1.7151 +32'h4004c8bb,32'h3f2e2c82,32'h3f354873, 32'h3f28d78e,32'h3f3a9d68, 32'h3f1ff4a2,32'h3f438054,// invsqrt(2.0748) = 0.6943 +32'h3f9419a8,32'h3f693c16,32'h3f72c126, 32'h3f621849,32'h3f79e4f3, 32'h3f5631f5,32'h3f82e5a4,// invsqrt(1.1570) = 0.9297 +32'h3f8dc9a9,32'h3f6e5ebc,32'h3f781975, 32'h3f6712b0,32'h3f7f6580, 32'h3f5ae949,32'h3f85c774,// invsqrt(1.1077) = 0.9501 +32'h3f6f4b09,32'h3f81bebe,32'h3f870a72, 32'h3f7b8bee,32'h3f8b0339, 32'h3f6e4eab,32'h3f91a1da,// invsqrt(0.9347) = 1.0343 +32'h40626f2b,32'h3f0560d4,32'h3f0ad27e, 32'h3f014b94,32'h3f0ee7be, 32'h3ef4fafd,32'h3f15b5d4,// invsqrt(3.5380) = 0.5316 +32'h3cd1dc76,32'h40c3ee8c,32'h40cbedd6, 32'h40bdef15,32'h40d1ed4d, 32'h40b3eff9,32'h40dbec69,// invsqrt(0.0256) = 6.2478 +32'h3f378493,32'h3f9427ba,32'h3f9a33cc, 32'h3f8f9eac,32'h3f9ebcda, 32'h3f880f96,32'h3fa64bf0,// invsqrt(0.7169) = 1.1811 +32'h3e04f619,32'h402e0ec9,32'h40352983, 32'h4028babe,32'h403a7d8e, 32'h401fd955,32'h40435ef7,// invsqrt(0.1298) = 2.7752 +32'h3fb88b6b,32'h3f50f07d,32'h3f5977b1, 32'h3f4a8b16,32'h3f5fdd18, 32'h3f3fe215,32'h3f6a8619,// invsqrt(1.4418) = 0.8328 +32'h3f552cd9,32'h3f8976ae,32'h3f8f1309, 32'h3f85416b,32'h3f93484d, 32'h3f7c7bf2,32'h3f9a4bbf,// invsqrt(0.8327) = 1.0959 +32'h3f8510e6,32'h3f760ed8,32'h3f800cf3, 32'h3f6e868d,32'h3f83d118, 32'h3f61f8bc,32'h3f8a1801,// invsqrt(1.0396) = 0.9808 +32'h40a1868a,32'h3edf54ec,32'h3ee87282, 32'h3ed87ebb,32'h3eef48b3, 32'h3ecd19be,32'h3efaadb0,// invsqrt(5.0477) = 0.4451 +32'h40312af5,32'h3f16c973,32'h3f1cf105, 32'h3f122bc6,32'h3f218eb2, 32'h3f0a7a50,32'h3f294028,// invsqrt(2.7682) = 0.6010 +32'h3ed1ab25,32'h3fc40595,32'h3fcc05d0, 32'h3fbe056a,32'h3fd205fc, 32'h3fb40521,32'h3fdc0645,// invsqrt(0.4095) = 1.5627 +32'h3e027d6b,32'h402fb2c4,32'h4036dea2, 32'h402a51dd,32'h403c3f89, 32'h40215b08,32'h4045365f,// invsqrt(0.1274) = 2.8013 +32'h3eae72ac,32'h3fd6e69d,32'h3fdfac1c, 32'h3fd0527f,32'h3fe6403b, 32'h3fc55ba0,32'h3ff1371a,// invsqrt(0.3407) = 1.7132 +32'h3f9720b3,32'h3f66e300,32'h3f704f88, 32'h3f5fd19a,32'h3f7760ee, 32'h3f5409f0,32'h3f81944c,// invsqrt(1.1807) = 0.9203 +32'h3eceefd5,32'h3fc54fb6,32'h3fcd5d6a, 32'h3fbf456f,32'h3fd367b1, 32'h3fb5344e,32'h3fdd78d2,// invsqrt(0.4042) = 1.5730 +32'h3dad66df,32'h40578c4f,32'h40605891, 32'h4050f31e,32'h4066f1c2, 32'h4045f3cb,32'h4071f115,// invsqrt(0.0847) = 3.4367 +32'h3fa24084,32'h3f5ed4c9,32'h3f67ed25, 32'h3f580284,32'h3f6ebf6a, 32'h3f4ca412,32'h3f7a1ddd,// invsqrt(1.2676) = 0.8882 +32'h3e83409b,32'h3ff7c08e,32'h4000eea8, 32'h3ff02afd,32'h4004b971, 32'h3fe3870a,32'h400b0b6a,// invsqrt(0.2564) = 1.9751 +32'h3df859d8,32'h40341c31,32'h403b7628, 32'h402e98b7,32'h4040f9a1, 32'h40256841,32'h404a2a17,// invsqrt(0.1213) = 2.8717 +32'h3eafaaba,32'h3fd62768,32'h3fdee519, 32'h3fcf9924,32'h3fe5735e, 32'h3fc4ac07,32'h3ff0607b,// invsqrt(0.3431) = 1.7072 +32'h3eb296e1,32'h3fd464fd,32'h3fdd104b, 32'h3fcde482,32'h3fe390c6, 32'h3fc30e60,32'h3fee66e8,// invsqrt(0.3488) = 1.6932 +32'h3e29c44d,32'h401a09e4,32'h40205370, 32'h401552bb,32'h40250a99, 32'h400d76cc,32'h402ce688,// invsqrt(0.1658) = 2.4560 +32'h401574bc,32'h3f242c0f,32'h3f2adf7d, 32'h3f1f257c,32'h3f2fe610, 32'h3f16c532,32'h3f38465a,// invsqrt(2.3352) = 0.6544 +32'h3ffa4a07,32'h3f336950,32'h3f3abbfb, 32'h3f2deb51,32'h3f4039fb, 32'h3f24c3fc,32'h3f496150,// invsqrt(1.9554) = 0.7151 +32'h3f90b925,32'h3f6bf0b0,32'h3f759206, 32'h3f64b7b0,32'h3f7ccb06, 32'h3f58ae05,32'h3f846a58,// invsqrt(1.1307) = 0.9405 +32'h3e6f0b81,32'h4001cffb,32'h40071c63, 32'h3ffbad59,32'h400b15b1, 32'h3fee6e55,32'h4011b534,// invsqrt(0.2334) = 2.0697 +32'h3f981e58,32'h3f662231,32'h3f6f86db, 32'h3f5f16b2,32'h3f76925a, 32'h3f5358df,32'h3f812816,// invsqrt(1.1884) = 0.9173 +32'h3f674f50,32'h3f83f70f,32'h3f8959f5, 32'h3f7fd9c4,32'h3f8d6422, 32'h3f726284,32'h3f941fc2,// invsqrt(0.9036) = 1.0520 +32'h3e34e7ed,32'h4015388b,32'h401b4fc0, 32'h4010a724,32'h401fe128, 32'h40090a22,32'h40277e2a,// invsqrt(0.1767) = 2.3792 +32'h3f7d0d51,32'h3f7c564d,32'h3f83517c, 32'h3f749ccd,32'h3f872e3b, 32'h3f67bcf8,32'h3f8d9e26,// invsqrt(0.9885) = 1.0058 +32'h3ff2023d,32'h3f367473,32'h3f3de6eb, 32'h3f30de99,32'h3f437cc5, 32'h3f278f84,32'h3f4ccbda,// invsqrt(1.8907) = 0.7273 +32'h40bb19f0,32'h3ecf81c6,32'h3ed7fa02, 32'h3ec92799,32'h3ede542f, 32'h3ebe914d,32'h3ee8ea7b,// invsqrt(5.8469) = 0.4136 +32'h3f988b80,32'h3f65cfcc,32'h3f6f3118, 32'h3f5ec6d3,32'h3f763a11, 32'h3f530d33,32'h3f80f9d8,// invsqrt(1.1918) = 0.9160 +32'h3f44a88f,32'h3f8f1eac,32'h3f94f620, 32'h3f8abd14,32'h3f9957b8, 32'h3f836fc2,32'h3fa0a50a,// invsqrt(0.7682) = 1.1409 +32'h3f60ad62,32'h3f85e613,32'h3f8b5d2e, 32'h3f81ccbf,32'h3f8f7683, 32'h3f75efbb,32'h3f964b64,// invsqrt(0.8776) = 1.0674 +32'h3f5b6b67,32'h3f877e54,32'h3f8d0619, 32'h3f835881,32'h3f912bed, 32'h3f78dd96,32'h3f9815a3,// invsqrt(0.8571) = 1.0801 +32'h4100ee3d,32'h3eb0c1ef,32'h3eb7f8df, 32'h3eab58bb,32'h3ebd6213, 32'h3ea25410,32'h3ec666be,// invsqrt(8.0582) = 0.3523 +32'h3f587d61,32'h3f88683f,32'h3f8df990, 32'h3f843b43,32'h3f92268d, 32'h3f7a8b3b,32'h3f991c32,// invsqrt(0.8457) = 1.0874 +32'h3ddf58b5,32'h403decb9,32'h4045ad3d, 32'h40381c55,32'h404b7da1, 32'h402e6baf,32'h40552e47,// invsqrt(0.1091) = 3.0281 +32'h3ff0644c,32'h3f371147,32'h3f3e8a25, 32'h3f3176a0,32'h3f4424cc, 32'h3f281f8a,32'h3f4d7be2,// invsqrt(1.8781) = 0.7297 +32'h3e47d61f,32'h400dfa2c,32'h4013c5b0, 32'h4009a188,32'h40181e54, 32'h40026323,32'h401f5cb9,// invsqrt(0.1952) = 2.2637 +32'h3f117c08,32'h3fa665d8,32'h3fad3088, 32'h3fa14dd4,32'h3fb2488c, 32'h3f98d078,32'h3fbac5e8,// invsqrt(0.5683) = 1.3265 +32'h3e995e8b,32'h3fe53178,32'h3fee8c4e, 32'h3fde2d58,32'h3ff5906e, 32'h3fd27bcc,32'h4000a0fd,// invsqrt(0.2995) = 1.8271 +32'h3f8ac9da,32'h3f70ee91,32'h3f7ac40f, 32'h3f698e72,32'h3f811217, 32'h3f5d4395,32'h3f873786,// invsqrt(1.0843) = 0.9603 +32'h3f857bdb,32'h3f75ac30,32'h3f7fb337, 32'h3f6e26ea,32'h3f839c3e, 32'h3f619e21,32'h3f89e0a2,// invsqrt(1.0428) = 0.9792 +32'h3f50a571,32'h3f8af28f,32'h3f909e6b, 32'h3f86b1aa,32'h3f94df50, 32'h3f7f35ae,32'h3f9bf623,// invsqrt(0.8150) = 1.1077 +32'h3f8f2b8b,32'h3f6d376c,32'h3f76e618, 32'h3f65f46c,32'h3f7e2918, 32'h3f59da15,32'h3f8521b8,// invsqrt(1.1185) = 0.9455 +32'h401ec954,32'h3f1f4690,32'h3f25c6d4, 32'h3f1a665c,32'h3f2aa708, 32'h3f124607,32'h3f32c75d,// invsqrt(2.4810) = 0.6349 +32'h3f842bea,32'h3f76e3a1,32'h3f807baf, 32'h3f6f54d3,32'h3f844316, 32'h3f62bc26,32'h3f8a8f6d,// invsqrt(1.0326) = 0.9841 +32'h3fb7c87c,32'h3f515f2e,32'h3f59eae7, 32'h3f4af664,32'h3f6053b2, 32'h3f4047bd,32'h3f6b0259,// invsqrt(1.4358) = 0.8345 +32'h3dd4f7d8,32'h40427f53,32'h404a6f9f, 32'h403c8b19,32'h405063d9, 32'h40329eba,32'h405a5038,// invsqrt(0.1040) = 3.1010 +32'h3ef7dd8e,32'h3fb44953,32'h3fbba523, 32'h3faec478,32'h3fc129fe, 32'h3fa591b5,32'h3fca5cc1,// invsqrt(0.4841) = 1.4372 +32'h3f01b0b8,32'h3fb03d36,32'h3fb76eba, 32'h3faad812,32'h3fbcd3de, 32'h3fa1da2c,32'h3fc5d1c4,// invsqrt(0.5066) = 1.4050 +32'h3f9c1e9c,32'h3f632a5e,32'h3f6c7003, 32'h3f5c3621,32'h3f73643f, 32'h3f509f12,32'h3f7efb4e,// invsqrt(1.2197) = 0.9055 +32'h3eb47726,32'h3fd349a1,32'h3fdbe95e, 32'h3fccd1d2,32'h3fe2612c, 32'h3fc20a26,32'h3fed28d9,// invsqrt(0.3525) = 1.6844 +32'h400a789c,32'h3f2a8f48,32'h3f318575, 32'h3f2556a7,32'h3f36be17, 32'h3f1ca2ef,32'h3f3f71cf,// invsqrt(2.1636) = 0.6798 +32'h43166ebe,32'h3da3a36a,32'h3daa5145, 32'h3d9ea107,32'h3daf53a9, 32'h3d9647b6,32'h3db7acfa,// invsqrt(150.4326) = 0.0815 +32'h3e77adc9,32'h3fff0f3e,32'h4004bc2d, 32'h3ff74068,32'h4008a398, 32'h3fea3d04,32'h400f254a,// invsqrt(0.2419) = 2.0333 +32'h42187a97,32'h3e22895f,32'h3e292bb7, 32'h3e1d8f9e,32'h3e2e2578, 32'h3e1544b0,32'h3e367066,// invsqrt(38.1197) = 0.1620 +32'h3f668ba5,32'h3f842f03,32'h3f899433, 32'h3f802320,32'h3f8da016, 32'h3f72c94a,32'h3f945e91,// invsqrt(0.9006) = 1.0538 +32'h3f694dc9,32'h3f836661,32'h3f88c35f, 32'h3f7ec143,32'h3f8cc91e, 32'h3f7158c6,32'h3f937d5d,// invsqrt(0.9113) = 1.0475 +32'h3f9b806a,32'h3f639dcd,32'h3f6ce829, 32'h3f5ca608,32'h3f73dfee, 32'h3f510915,32'h3f7f7ce1,// invsqrt(1.2149) = 0.9073 +32'h3ecaccbe,32'h3fc75053,32'h3fcf72f3, 32'h3fc1365b,32'h3fd58ceb, 32'h3fb70b13,32'h3fdfb833,// invsqrt(0.3961) = 1.5889 +32'h418d767e,32'h3e6ea4c4,32'h3e786259, 32'h3e675694,32'h3e7fb088, 32'h3e5b2999,32'h3e85eec1,// invsqrt(17.6829) = 0.2378 +32'h3f2221a1,32'h3f9d9fc2,32'h3fa40ec5, 32'h3f98cc81,32'h3fa8e207, 32'h3f90c1bd,32'h3fb0eccb,// invsqrt(0.6333) = 1.2566 +32'h4020fd81,32'h3f1e2e84,32'h3f24a35a, 32'h3f1956e3,32'h3f297afb, 32'h3f1144d7,32'h3f318d07,// invsqrt(2.5155) = 0.6305 +32'h3f79c75f,32'h3f7dfc30,32'h3f842d09, 32'h3f7635c5,32'h3f88103e, 32'h3f69406a,32'h3f8e8aeb,// invsqrt(0.9757) = 1.0124 +32'h41859a9b,32'h3e758fe9,32'h3e7f95c8, 32'h3e6e0b80,32'h3e838d18, 32'h3e618429,32'h3e89d0c4,// invsqrt(16.7005) = 0.2447 +32'h3fb8b364,32'h3f50d9e0,32'h3f596028, 32'h3f4a752a,32'h3f5fc4de, 32'h3f3fcd50,32'h3f6a6cb8,// invsqrt(1.4430) = 0.8325 +32'h3eab1c1a,32'h3fd8fca9,32'h3fe1d7f3, 32'h3fd25830,32'h3fe87c6c, 32'h3fc74613,32'h3ff38e89,// invsqrt(0.3342) = 1.7298 +32'h3f0383f0,32'h3faf0310,32'h3fb627c3, 32'h3fa9a78b,32'h3fbb8349, 32'h3fa0b9ac,32'h3fc47128,// invsqrt(0.5137) = 1.3952 +32'h3f8e92ca,32'h3f6db65e,32'h3f776a38, 32'h3f666f7a,32'h3f7eb11c, 32'h3f5a4eaa,32'h3f8568f6,// invsqrt(1.1139) = 0.9475 +32'h3f91ca27,32'h3f6b135f,32'h3f74abac, 32'h3f63e125,32'h3f7bdde5, 32'h3f57e2c4,32'h3f83ee23,// invsqrt(1.1390) = 0.9370 +32'h4025e0a7,32'h3f1bd581,32'h3f2231cf, 32'h3f171046,32'h3f26f70a, 32'h3f0f1ce4,32'h3f2eea6c,// invsqrt(2.5918) = 0.6211 +32'h40a7f056,32'h3edb06a2,32'h3ee3f73a, 32'h3ed4522f,32'h3eeaabad, 32'h3ec92570,32'h3ef5d86c,// invsqrt(5.2481) = 0.4365 +32'h400f626e,32'h3f279ca8,32'h3f2e7408, 32'h3f227b20,32'h3f339590, 32'h3f19ede9,32'h3f3c22c7,// invsqrt(2.2404) = 0.6681 +32'h3fda9f98,32'h3f3ff71d,32'h3f47ccf4, 32'h3f3a16bb,32'h3f4dad55, 32'h3f304b6e,32'h3f5778a2,// invsqrt(1.7080) = 0.7652 +32'h400473b0,32'h3f2e6464,32'h3f35829c, 32'h3f290dba,32'h3f3ad946, 32'h3f2027f3,32'h3f43bf0d,// invsqrt(2.0696) = 0.6951 +32'h3f0234fe,32'h3fafe39a,32'h3fb71177, 32'h3faa8135,32'h3fbc73dd, 32'h3fa187e2,32'h3fc56d30,// invsqrt(0.5086) = 1.4022 +32'h3f1e96bd,32'h3f9f5ff5,32'h3fa5e143, 32'h3f9a7efb,32'h3faac23d, 32'h3f925d59,32'h3fb2e3df,// invsqrt(0.6195) = 1.2705 +32'h3f43bbaf,32'h3f8f752b,32'h3f955028, 32'h3f8b10ee,32'h3f99b466, 32'h3f83bf33,32'h3fa10621,// invsqrt(0.7646) = 1.1436 +32'h3f48f4a4,32'h3f8d94d1,32'h3f935c33, 32'h3f893f48,32'h3f97b1bc, 32'h3f82060f,32'h3f9eeaf5,// invsqrt(0.7850) = 1.1287 +32'h41096340,32'h3eab3b1b,32'h3eb2384c, 32'h3ea5fd38,32'h3eb77630, 32'h3e9d40bc,32'h3ec032ac,// invsqrt(8.5867) = 0.3413 +32'h3fccbf8e,32'h3f465cf5,32'h3f4e75a7, 32'h3f404a70,32'h3f54882c, 32'h3f362b93,32'h3f5ea709,// invsqrt(1.5996) = 0.7907 +32'h3f3d1857,32'h3f91f437,32'h3f97e949, 32'h3f8d7c6a,32'h3f9c6116, 32'h3f860a13,32'h3fa3d36d,// invsqrt(0.7387) = 1.1635 +32'h3f7aa9a7,32'h3f7d8972,32'h3f83f153, 32'h3f75c68b,32'h3f87d2c6, 32'h3f68d70b,32'h3f8e4a87,// invsqrt(0.9792) = 1.0106 +32'h3f1fb51d,32'h3f9ed0d1,32'h3fa54c47, 32'h3f99f438,32'h3faa28e0, 32'h3f91d9e4,32'h3fb24334,// invsqrt(0.6239) = 1.2661 +32'h3f084bda,32'h3fabea43,32'h3fb2ee9a, 32'h3fa6a703,32'h3fb831db, 32'h3f9de197,32'h3fc0f747,// invsqrt(0.5324) = 1.3705 +32'h3f0e7883,32'h3fa82609,32'h3faf0304, 32'h3fa3004c,32'h3fb428c0, 32'h3f9a6c12,32'h3fbcbcfa,// invsqrt(0.5565) = 1.3405 +32'h3f33ce40,32'h3f95ad3f,32'h3f9bc938, 32'h3f911846,32'h3fa05e32, 32'h3f897550,32'h3fa80128,// invsqrt(0.7024) = 1.1932 +32'h3deef195,32'h40379f13,32'h403f1dbb, 32'h40320015,32'h4044bcb9, 32'h4028a1c3,32'h404e1b0b,// invsqrt(0.1167) = 2.9276 +32'h3f86143e,32'h3f75206c,32'h3f7f21bf, 32'h3f6d9f6e,32'h3f83515f, 32'h3f611dc7,32'h3f899232,// invsqrt(1.0475) = 0.9771 +32'h3e87481d,32'h3ff408df,32'h3ffdfec9, 32'h3fec9070,32'h4002bb9c, 32'h3fe01d0c,32'h4008f54e,// invsqrt(0.2642) = 1.9454 +32'h3f9a8192,32'h3f645937,32'h3f6dab39, 32'h3f5d5bb5,32'h3f74a8bb, 32'h3f51b532,32'h3f80279f,// invsqrt(1.2071) = 0.9102 +32'h3f236be6,32'h3f9d002b,32'h3fa368aa, 32'h3f9831cb,32'h3fa83709, 32'h3f902f2c,32'h3fb039a8,// invsqrt(0.6384) = 1.2516 +32'h40a3140b,32'h3ede4416,32'h3ee7568a, 32'h3ed7763f,32'h3eee2461, 32'h3ecc1f2e,32'h3ef97b72,// invsqrt(5.0962) = 0.4430 +32'h40721155,32'h3f00ffd7,32'h3f0643c1, 32'h3efa19d0,32'h3f0a36b0, 32'h3eecf009,32'h3f10cb94,// invsqrt(3.7823) = 0.5142 +32'h3f268501,32'h3f9b8887,32'h3fa1e1b1, 32'h3f96c5a7,32'h3fa6a491, 32'h3f8ed633,32'h3fae9405,// invsqrt(0.6505) = 1.2399 +32'h3dae74e1,32'h4056e541,32'h405faab1, 32'h4050512d,32'h40663ec5, 32'h40455a60,32'h40713592,// invsqrt(0.0852) = 3.4263 +32'h3ef64aea,32'h3fb4dc75,32'h3fbc3e45, 32'h3faf5318,32'h3fc1c7a2, 32'h3fa618d4,32'h3fcb01e6,// invsqrt(0.4810) = 1.4418 +32'h4090f7e2,32'h3eebbd9d,32'h3ef55cdd, 32'h3ee4862d,32'h3efc944d, 32'h3ed87f1d,32'h3f044dae,// invsqrt(4.5303) = 0.4698 +32'h40767164,32'h3effb2c4,32'h3f051146, 32'h3ef7deec,32'h3f08fb32, 32'h3eead331,32'h3f0f8110,// invsqrt(3.8507) = 0.5096 +32'h3e124925,32'h4025f107,32'h402cb6f3, 32'h4020dc97,32'h4031cb63, 32'h40186530,32'h403a42ca,// invsqrt(0.1429) = 2.6458 +32'h3edc2eb9,32'h3fbf48d0,32'h3fc7178a, 32'h3fb96dc4,32'h3fccf296, 32'h3fafab5c,32'h3fd6b4fe,// invsqrt(0.4300) = 1.5249 +32'h3f1819b1,32'h3fa2bd1d,32'h3fa96191, 32'h3f9dc1c6,32'h3fae5ce8, 32'h3f957435,32'h3fb6aa79,// invsqrt(0.5941) = 1.2973 +32'h3f4a5377,32'h3f8d19dc,32'h3f92dc39, 32'h3f88c817,32'h3f972dff, 32'h3f819524,32'h3f9e60f2,// invsqrt(0.7903) = 1.1248 +32'h3f5f5f7e,32'h3f864a01,32'h3f8bc530, 32'h3f822d9d,32'h3f8fe193, 32'h3f76a745,32'h3f96bb8e,// invsqrt(0.8726) = 1.0705 +32'h3f49fb66,32'h3f8d389c,32'h3f92fc3a, 32'h3f88e5e6,32'h3f974ef0, 32'h3f81b160,32'h3f9e8376,// invsqrt(0.7890) = 1.1258 +32'h402cd1b4,32'h3f18ac18,32'h3f1ee75d, 32'h3f13ffa5,32'h3f2393d1, 32'h3f0c358f,32'h3f2b5de7,// invsqrt(2.7003) = 0.6085 +32'h3e9db6c5,32'h3fe203ab,32'h3feb3d4a, 32'h3fdb1875,32'h3ff22881, 32'h3fcf906f,32'h3ffdb087,// invsqrt(0.3080) = 1.8018 +32'h4001460b,32'h3f3085de,32'h3f37ba5a, 32'h3f2b1e81,32'h3f3d21b7, 32'h3f221ce6,32'h3f462352,// invsqrt(2.0199) = 0.7036 +32'h3f1e5088,32'h3f9f8348,32'h3fa60606, 32'h3f9aa138,32'h3faae816, 32'h3f927dca,32'h3fb30b85,// invsqrt(0.6184) = 1.2716 +32'h413a7579,32'h3e92fb6c,32'h3e98fb3c, 32'h3e8e7b90,32'h3e9d7b18, 32'h3e86fbcc,32'h3ea4fadc,// invsqrt(11.6537) = 0.2929 +32'h41010c9c,32'h3eb0ad21,32'h3eb7e338, 32'h3eab4491,32'h3ebd4bc9, 32'h3ea240f5,32'h3ec64f65,// invsqrt(8.0656) = 0.3521 +32'h3ed5298e,32'h3fc268a4,32'h3fca5803, 32'h3fbc751c,32'h3fd04b8a, 32'h3fb289e4,32'h3fda36c2,// invsqrt(0.4163) = 1.5498 +32'h3feab28e,32'h3f394666,32'h3f40d656, 32'h3f339a73,32'h3f468249, 32'h3f2a2688,32'h3f4ff634,// invsqrt(1.8336) = 0.7385 +32'h3f0344f7,32'h3faf2d06,32'h3fb6536e, 32'h3fa9d037,32'h3fbbb03d, 32'h3fa0e034,32'h3fc4a040,// invsqrt(0.5128) = 1.3965 +32'h3ee9ec11,32'h3fb994f0,32'h3fc12814, 32'h3fb3e695,32'h3fc6d66f, 32'h3faa6ea8,32'h3fd04e5c,// invsqrt(0.4569) = 1.4794 +32'h3f428ca2,32'h3f8fe4bc,32'h3f95c446, 32'h3f8b7d14,32'h3f9a2bee, 32'h3f8425a7,32'h3fa1835b,// invsqrt(0.7600) = 1.1471 +32'h3ef7e445,32'h3fb446e2,32'h3fbba298, 32'h3faec21a,32'h3fc12760, 32'h3fa58f77,32'h3fca5a03,// invsqrt(0.4842) = 1.4372 +32'h3f4503cc,32'h3f8efd84,32'h3f94d39e, 32'h3f8a9cf0,32'h3f993432, 32'h3f83514f,32'h3fa07fd3,// invsqrt(0.7696) = 1.1399 +32'h3f281efb,32'h3f9aca6f,32'h3fa11bd7, 32'h3f960d61,32'h3fa5d8e5, 32'h3f8e27a0,32'h3fadbea6,// invsqrt(0.6567) = 1.2340 +32'h3dfba36c,32'h4032ee05,32'h403a3ba7, 32'h402d73cb,32'h403fb5e1, 32'h402452c1,32'h4048d6eb,// invsqrt(0.1229) = 2.8528 +32'h3f582650,32'h3f8883b6,32'h3f8e1626, 32'h3f8455e2,32'h3f9243fa, 32'h3f7abdac,32'h3f993b06,// invsqrt(0.8443) = 1.0883 +32'h40997aa9,32'h3ee51c78,32'h3eee7673, 32'h3ede18fd,32'h3ef579ef, 32'h3ed26884,32'h3f009534,// invsqrt(4.7962) = 0.4566 +32'h40e51666,32'h3ebb87a2,32'h3ec32f21, 32'h3eb5ca04,32'h3ec8ecc0, 32'h3eac38a5,32'h3ed27e1f,// invsqrt(7.1590) = 0.3737 +32'h406a5e9d,32'h3f0319cf,32'h3f0873ae, 32'h3efe2cd1,32'h3f0c7715, 32'h3ef0cc25,32'h3f13276c,// invsqrt(3.6620) = 0.5226 +32'h3d719d82,32'h40811ebf,32'h408663eb, 32'h407a55bb,32'h408a57cc, 32'h406d28cc,32'h4090ee44,// invsqrt(0.0590) = 4.1174 +32'h3eb450a4,32'h3fd3602f,32'h3fdc00d9, 32'h3fcce7b1,32'h3fe27957, 32'h3fc21edd,32'h3fed422b,// invsqrt(0.3522) = 1.6851 +32'h4057674b,32'h3f08c030,32'h3f0e5518, 32'h3f049082,32'h3f1284c6, 32'h3efb2cc1,32'h3f197ee8,// invsqrt(3.3657) = 0.5451 +32'h3f505b87,32'h3f8b0b32,32'h3f90b810, 32'h3f86c98c,32'h3f94f9b6, 32'h3f7f62ef,32'h3f9c11cb,// invsqrt(0.8139) = 1.1084 +32'h401cbf10,32'h3f204f0d,32'h3f26da1d, 32'h3f1b66c1,32'h3f2bc269, 32'h3f1338ec,32'h3f33f03e,// invsqrt(2.4492) = 0.6390 +32'h41ae52d4,32'h3e56fa3d,32'h3e5fc089, 32'h3e506584,32'h3e665542, 32'h3e456da6,32'h3e714d20,// invsqrt(21.7904) = 0.2142 +32'h3f88d225,32'h3f72a87b,32'h3f7c9003, 32'h3f6b3ad5,32'h3f81fed4, 32'h3f5ed96c,32'h3f882f89,// invsqrt(1.0689) = 0.9672 +32'h3f760e7a,32'h3f7fe624,32'h3f852c03, 32'h3f7810b9,32'h3f8916b7, 32'h3f6b025f,32'h3f8f9de5,// invsqrt(0.9612) = 1.0200 +32'h3fca54f6,32'h3f478b49,32'h3f4fb052, 32'h3f416f84,32'h3f55cc18, 32'h3f374139,32'h3f5ffa63,// invsqrt(1.5807) = 0.7954 +32'h418c93e9,32'h3e6f64c9,32'h3e792a34, 32'h3e6810b8,32'h3e803f22, 32'h3e5bd9f1,32'h3e865a85,// invsqrt(17.5722) = 0.2386 +32'h3f68e84b,32'h3f8382ff,32'h3f88e129, 32'h3f7ef8c0,32'h3f8ce7c8, 32'h3f718d57,32'h3f939d7c,// invsqrt(0.9098) = 1.0484 +32'h3f68fc0b,32'h3f837d6c,32'h3f88db5b, 32'h3f7eedef,32'h3f8ce1ce, 32'h3f718319,32'h3f93973a,// invsqrt(0.9101) = 1.0482 +32'h402f15fb,32'h3f17ae46,32'h3f1ddf2f, 32'h3f130998,32'h3f2283de, 32'h3f0b4c75,32'h3f2a4101,// invsqrt(2.7357) = 0.6046 +32'h4100e0cb,32'h3eb0cb28,32'h3eb80278, 32'h3eab61ac,32'h3ebd6bf4, 32'h3ea25c88,32'h3ec67118,// invsqrt(8.0549) = 0.3523 +32'h3f5957cd,32'h3f8823a3,32'h3f8db227, 32'h3f83f8c0,32'h3f91dd0a, 32'h3f7a0d36,32'h3f98cf2f,// invsqrt(0.8490) = 1.0853 +32'h3f7a34ad,32'h3f7dc4af,32'h3f841027, 32'h3f75fff8,32'h3f87f282, 32'h3f690d71,32'h3f8e6bc5,// invsqrt(0.9774) = 1.0115 +32'h3f8e8925,32'h3f6dbe69,32'h3f777297, 32'h3f667746,32'h3f7eb9ba, 32'h3f5a560d,32'h3f856d7a,// invsqrt(1.1136) = 0.9476 +32'h3d0a6d08,32'h40aa966a,32'h40b18ce2, 32'h40a55d91,32'h40b6c5bb, 32'h409ca97c,32'h40bf79d0,// invsqrt(0.0338) = 5.4397 +32'h3ff64e09,32'h3f34db50,32'h3f3c3d14, 32'h3f2f51fc,32'h3f41c668, 32'h3f2617c7,32'h3f4b009d,// invsqrt(1.9243) = 0.7209 +32'h414e9dbc,32'h3e8ba0e2,32'h3e9153db, 32'h3e875aa6,32'h3e959a16, 32'h3e803aee,32'h3e9cb9ce,// invsqrt(12.9135) = 0.2783 +32'h3f65d998,32'h3f84622c,32'h3f89c972, 32'h3f8054b8,32'h3f8dd6e6, 32'h3f732741,32'h3f9497fd,// invsqrt(0.8979) = 1.0554 +32'h3f8c762f,32'h3f6f7e1c,32'h3f794490, 32'h3f682945,32'h3f804cb4, 32'h3f5bf134,32'h3f8668bc,// invsqrt(1.0974) = 0.9546 +32'h40dcdfec,32'h3ebefc06,32'h3ec6c79e, 32'h3eb92354,32'h3ecca050, 32'h3eaf64d7,32'h3ed65ecd,// invsqrt(6.9023) = 0.3806 +32'h3e6838e7,32'h4003b49f,32'h400914cf, 32'h3fff58f5,32'h400d1cf3, 32'h3ff1e87d,32'h4013d530,// invsqrt(0.2268) = 2.0999 +32'h3fa8c6c7,32'h3f5a7b51,32'h3f63663a, 32'h3f53cb22,32'h3f6a1668, 32'h3f48a57e,32'h3f753c0c,// invsqrt(1.3186) = 0.8709 +32'h3f76ab4e,32'h3f7f94be,32'h3f8501a7, 32'h3f77c1d2,32'h3f88eb1d, 32'h3f6ab79f,32'h3f8f7036,// invsqrt(0.9636) = 1.0187 +32'h3f8373e0,32'h3f779039,32'h3f80d581, 32'h3f6ffc22,32'h3f849f8c, 32'h3f635aa7,32'h3f8af04a,// invsqrt(1.0270) = 0.9868 +32'h409db61f,32'h3ee20422,32'h3eeb3dc6, 32'h3edb18e8,32'h3ef22900, 32'h3ecf90dc,32'h3efdb10c,// invsqrt(4.9285) = 0.4504 +32'h40da8071,32'h3ec004cb,32'h3ec7db32, 32'h3eba23ff,32'h3ecdbbff, 32'h3eb057ff,32'h3ed787ff,// invsqrt(6.8282) = 0.3827 +32'h4004c256,32'h3f2e30b4,32'h3f354cd0, 32'h3f28db9f,32'h3f3aa1e5, 32'h3f1ff87b,32'h3f438509,// invsqrt(2.0744) = 0.6943 +32'h40b737ea,32'h3ed1b1b9,32'h3eda40d0, 32'h3ecb4667,32'h3ee0ac21, 32'h3ec0938a,32'h3eeb5efe,// invsqrt(5.7256) = 0.4179 +32'h3f3298ca,32'h3f962eb4,32'h3f9c4ff5, 32'h3f9195c4,32'h3fa0e8e6, 32'h3f89ec33,32'h3fa89277,// invsqrt(0.6976) = 1.1972 +32'h3e24e49d,32'h401c4c6c,32'h4022ad96, 32'h4017838e,32'h40277674, 32'h400f8a1a,32'h402f6fe8,// invsqrt(0.1610) = 2.4920 +32'h3fefdbb1,32'h3f374561,32'h3f3ec05f, 32'h3f31a922,32'h3f445c9e, 32'h3f284f63,32'h3f4db65d,// invsqrt(1.8739) = 0.7305 +32'h3e639952,32'h4005095b,32'h400a7773, 32'h4000f6c8,32'h400e8a06, 32'h3ff45a53,32'h401553a4,// invsqrt(0.2223) = 2.1211 +32'h3f79bb3d,32'h3f7e025b,32'h3f84303f, 32'h3f763bc0,32'h3f88138c, 32'h3f694614,32'h3f8e8e62,// invsqrt(0.9755) = 1.0125 +32'h3f18a211,32'h3fa27459,32'h3fa915d5, 32'h3f9d7b3c,32'h3fae0ef2, 32'h3f953162,32'h3fb658cd,// invsqrt(0.5962) = 1.2951 +32'h40d29b05,32'h3ec395d4,32'h3ecb917e, 32'h3ebd9914,32'h3ed18e3e, 32'h3eb39e7e,32'h3edb88d4,// invsqrt(6.5814) = 0.3898 +32'h3ed0e7be,32'h3fc4612d,32'h3fcc6525, 32'h3fbe5e34,32'h3fd2681e, 32'h3fb4593e,32'h3fdc6d14,// invsqrt(0.4080) = 1.5655 +32'h40ca66e5,32'h3ec78272,32'h3ecfa71e, 32'h3ec166f1,32'h3ed5c29f, 32'h3eb7391a,32'h3edff076,// invsqrt(6.3251) = 0.3976 +32'h3e3a36ce,32'h40131425,32'h401914f8, 32'h400e9388,32'h401d9596, 32'h40071281,32'h4025169d,// invsqrt(0.1818) = 2.3450 +32'h401a9591,32'h3f216d0d,32'h3f2803c9, 32'h3f1c7bff,32'h3f2cf4d7, 32'h3f143f94,32'h3f353143,// invsqrt(2.4154) = 0.6434 +32'h3f2585b3,32'h3f9c004c,32'h3fa25e5a, 32'h3f9739c2,32'h3fa724e4, 32'h3f8f4431,32'h3faf1a75,// invsqrt(0.6466) = 1.2436 +32'h4014da7c,32'h3f248109,32'h3f2b37ef, 32'h3f1f77dc,32'h3f30411c, 32'h3f17133c,32'h3f38a5bc,// invsqrt(2.3258) = 0.6557 +32'h3f209358,32'h3f9e62c5,32'h3fa4d9bd, 32'h3f99898b,32'h3fa9b2f7, 32'h3f9174d4,32'h3fb1c7ae,// invsqrt(0.6272) = 1.2626 +32'h434e8ba3,32'h3d8ba700,32'h3d915a39, 32'h3d876094,32'h3d95a0a4, 32'h3d80408c,32'h3d9cc0ac,// invsqrt(206.5455) = 0.0696 +32'h3f43cbf7,32'h3f8f6f34,32'h3f9549f3, 32'h3f8b0b26,32'h3f99ae02, 32'h3f83b9b8,32'h3fa0ff70,// invsqrt(0.7648) = 1.1435 +32'h3e8ec474,32'h3fed8d02,32'h3ff73f2c, 32'h3fe64763,32'h3ffe84cb, 32'h3fda28ae,32'h400551c0,// invsqrt(0.2788) = 1.8937 +32'h406e4480,32'h3f020625,32'h3f0754c4, 32'h3efc165e,32'h3f0b4fbb, 32'h3eeed1d3,32'h3f11f201,// invsqrt(3.7229) = 0.5183 +32'h3f7c3423,32'h3f7cc2db,32'h3f8389fa, 32'h3f750609,32'h3f876863, 32'h3f6820aa,32'h3f8ddb13,// invsqrt(0.9852) = 1.0075 +32'h3e864f92,32'h3ff4ea43,32'h3ffee95f, 32'h3fed6aed,32'h4003345b, 32'h3fe0ec09,32'h400973cd,// invsqrt(0.2623) = 1.9524 +32'h3f3449b4,32'h3f9579f8,32'h3f9b93d8, 32'h3f90e690,32'h3fa02740, 32'h3f894637,32'h3fa7c799,// invsqrt(0.7042) = 1.1916 +32'h3fa401b7,32'h3f5da2cf,32'h3f66aead, 32'h3f56d9e8,32'h3f6d7794, 32'h3f4b8b11,32'h3f78c66b,// invsqrt(1.2813) = 0.8834 +32'h3f61c012,32'h3f859484,32'h3f8b084a, 32'h3f817daf,32'h3f8f1f1f, 32'h3f7559ed,32'h3f95efd8,// invsqrt(0.8818) = 1.0649 +32'h3fd556d0,32'h3f425404,32'h3f4a428c, 32'h3f3c611e,32'h3f503572, 32'h3f3276f4,32'h3f5a1f9c,// invsqrt(1.6667) = 0.7746 +32'h3ef9cca7,32'h3fb39651,32'h3fbaead1, 32'h3fae16f0,32'h3fc06a32, 32'h3fa4ed4f,32'h3fc993d3,// invsqrt(0.4879) = 1.4317 +32'h3f8c9ab9,32'h3f6f5efc,32'h3f79242a, 32'h3f680b18,32'h3f803c07, 32'h3f5bd49e,32'h3f865744,// invsqrt(1.0985) = 0.9541 +32'h401dd1f3,32'h3f1fc333,32'h3f26488e, 32'h3f1adf2f,32'h3f2b2c93, 32'h3f12b87e,32'h3f335344,// invsqrt(2.4659) = 0.6368 +32'h3f539f7d,32'h3f89f780,32'h3f8f991d, 32'h3f85be4b,32'h3f93d253, 32'h3f7d688e,32'h3f9adc57,// invsqrt(0.8267) = 1.0999 +32'h3fe31d5d,32'h3f3c57b0,32'h3f4407ad, 32'h3f3693b3,32'h3f49cbab, 32'h3f2cf7b7,32'h3f5367a7,// invsqrt(1.7743) = 0.7507 +32'h3e270c6d,32'h401b496f,32'h4021a006, 32'h4016887e,32'h402660f8, 32'h400e9c42,32'h402e4d34,// invsqrt(0.1631) = 2.4759 +32'h41356ef0,32'h3e9500fc,32'h3e9b15ec, 32'h3e907148,32'h3e9fa5a0, 32'h3e88d71c,32'h3ea73fcc,// invsqrt(11.3396) = 0.2970 +32'h3f566b1b,32'h3f891084,32'h3f8ea8b3, 32'h3f84de60,32'h3f92dad6, 32'h3f7bc04a,32'h3f99d911,// invsqrt(0.8376) = 1.0927 +32'h3f3abd4e,32'h3f92df24,32'h3f98ddcd, 32'h3f8e6026,32'h3f9d5ccc, 32'h3f86e1d3,32'h3fa4db1f,// invsqrt(0.7295) = 1.1709 +32'h400682cc,32'h3f2d0d60,32'h3f341d98, 32'h3f27c136,32'h3f3969c2, 32'h3f1eecf0,32'h3f423e08,// invsqrt(2.1017) = 0.6898 +32'h3f59b089,32'h3f8807e1,32'h3f8d9543, 32'h3f83ddd8,32'h3f91bf4c, 32'h3f79da3a,32'h3f98b007,// invsqrt(0.8503) = 1.0844 +32'h406e2ee3,32'h3f020c0c,32'h3f075ae8, 32'h3efc21ce,32'h3f0b560d, 32'h3eeedca8,32'h3f11f8a0,// invsqrt(3.7216) = 0.5184 +32'h3f81f626,32'h3f78fac2,32'h3f81922c, 32'h3f715b93,32'h3f8561c4, 32'h3f64a798,32'h3f8bbbc1,// invsqrt(1.0153) = 0.9924 +32'h3def1b03,32'h40378f2a,32'h403f0d2c, 32'h4031f0a9,32'h4044abad, 32'h40289327,32'h404e092f,// invsqrt(0.1168) = 2.9266 +32'h3d8f0ea0,32'h406d4f65,32'h4076ff0b, 32'h40660ba8,32'h407e42c8, 32'h4059f019,32'h40852f2c,// invsqrt(0.0699) = 3.7836 +32'h3dd7bae4,32'h40413f91,32'h404922d1, 32'h403b5522,32'h404f0d40, 32'h40317912,32'h4058e950,// invsqrt(0.1053) = 3.0811 +32'h3f5b9ce1,32'h3f876f10,32'h3f8cf636, 32'h3f8349b4,32'h3f911b92, 32'h3f78c18c,32'h3f980480,// invsqrt(0.8579) = 1.0797 +32'h3f4dcfbb,32'h3f8be6b1,32'h3f919c84, 32'h3f879e53,32'h3f95e4e3, 32'h3f807b0c,32'h3f9d082a,// invsqrt(0.8040) = 1.1153 +32'h400d57ad,32'h3f28d180,32'h3f2fb57a, 32'h3f23a684,32'h3f34e076, 32'h3f1b098a,32'h3f3d7d70,// invsqrt(2.2085) = 0.6729 +32'h3e370979,32'h40145984,32'h401a679e, 32'h400fcef0,32'h401ef232, 32'h40083d4f,32'h402683d3,// invsqrt(0.1787) = 2.3653 +32'h3f4cf20a,32'h3f8c3247,32'h3f91eb30, 32'h3f87e799,32'h3f9635df, 32'h3f80c076,32'h3f9d5d02,// invsqrt(0.8006) = 1.1176 +32'h3fbd3b48,32'h3f4e55ec,32'h3f56c1ec, 32'h3f4804ed,32'h3f5d12eb, 32'h3f3d7dee,32'h3f6799ea,// invsqrt(1.4784) = 0.8224 +32'h3ff32323,32'h3f3607ed,32'h3f3d75f7, 32'h3f307566,32'h3f43087e, 32'h3f272bda,32'h3f4c520a,// invsqrt(1.8995) = 0.7256 +32'h40822d9d,32'h3ef8c5b2,32'h3f01768e, 32'h3ef12822,32'h3f054556, 32'h3ee476dd,32'h3f0b9df9,// invsqrt(4.0681) = 0.4958 +32'h4050fbc2,32'h3f0ad5da,32'h3f10808a, 32'h3f0695d6,32'h3f14c08e, 32'h3eff00f4,32'h3f1bd5ea,// invsqrt(3.2654) = 0.5534 +32'h3fdf8e02,32'h3f3dd613,32'h3f4595ab, 32'h3f380661,32'h3f4b655d, 32'h3f2e56e2,32'h3f5514dc,// invsqrt(1.7465) = 0.7567 +32'h3ed53b51,32'h3fc2608b,32'h3fca4f95, 32'h3fbc6d42,32'h3fd042de, 32'h3fb28275,32'h3fda2dab,// invsqrt(0.4165) = 1.5496 +32'h3ff1b9c1,32'h3f368fcc,32'h3f3e0362, 32'h3f30f91c,32'h3f439a12, 32'h3f27a8a2,32'h3f4cea8d,// invsqrt(1.8885) = 0.7277 +32'h3f8650f7,32'h3f74e8fd,32'h3f7ee80d, 32'h3f6d69b2,32'h3f8333ac, 32'h3f60eade,32'h3f897316,// invsqrt(1.0493) = 0.9762 +32'h3f9c9d85,32'h3f62ce41,32'h3f6c1024, 32'h3f5bdcd6,32'h3f73018e, 32'h3f504a7a,32'h3f7e93ea,// invsqrt(1.2236) = 0.9040 +32'h3f56bcea,32'h3f88f665,32'h3f8e8d84, 32'h3f84c50f,32'h3f92bedb, 32'h3f7b9053,32'h3f99bbc1,// invsqrt(0.8388) = 1.0919 +32'h3eb3cc95,32'h3fd3adc1,32'h3fdc5195, 32'h3fcd32e2,32'h3fe2cc74, 32'h3fc2661a,32'h3fed993c,// invsqrt(0.3512) = 1.6875 +32'h3ebfd5a2,32'h3fccee56,32'h3fd54ba8, 32'h3fc6a859,32'h3fdb91a5, 32'h3fbc33b2,32'h3fe6064c,// invsqrt(0.3747) = 1.6337 +32'h3f87f081,32'h3f73718b,32'h3f7d6148, 32'h3f6bfdbe,32'h3f826a8b, 32'h3f5f9213,32'h3f88a061,// invsqrt(1.0620) = 0.9704 +32'h3f11a283,32'h3fa64fdb,32'h3fad19a5, 32'h3fa13883,32'h3fb230fd, 32'h3f98bc46,32'h3fbaad3a,// invsqrt(0.5689) = 1.3258 +32'h40b8a3f9,32'h3ed0e298,32'h3ed9693a, 32'h3eca7d9d,32'h3edfce35, 32'h3ebfd552,32'h3eea7680,// invsqrt(5.7700) = 0.4163 +32'h4079ec6b,32'h3efde95c,32'h3f04233c, 32'h3ef62385,32'h3f080628, 32'h3ee92f1f,32'h3f0e805a,// invsqrt(3.9051) = 0.5060 +32'h3f9351da,32'h3f69da0a,32'h3f73658e, 32'h3f62b168,32'h3f7a8e30, 32'h3f56c304,32'h3f833e4a,// invsqrt(1.1509) = 0.9321 +32'h3ff60171,32'h3f34f775,32'h3f3c5a5f, 32'h3f2f6d45,32'h3f41e48f, 32'h3f26319f,32'h3f4b2035,// invsqrt(1.9219) = 0.7213 +32'h3e74ca88,32'h4000479f,32'h40058403, 32'h3ff8b4a7,32'h4009714f, 32'h3feb9dab,32'h400ffccc,// invsqrt(0.2391) = 2.0453 +32'h3f84eb7b,32'h3f763178,32'h3f801ef8, 32'h3f6ea81e,32'h3f83e3a5, 32'h3f621888,32'h3f8a2b70,// invsqrt(1.0384) = 0.9813 +32'h3f778735,32'h3f7f231d,32'h3f84c685, 32'h3f7753ad,32'h3f88ae3e, 32'h3f6a4f45,32'h3f8f3071,// invsqrt(0.9669) = 1.0170 +32'h3dd1a407,32'h404408e9,32'h404c0947, 32'h403e08a4,32'h4052098c, 32'h4034082f,32'h405c0a01,// invsqrt(0.1024) = 3.1256 +32'h3f8d0ebc,32'h3f6efc78,32'h3f78bda2, 32'h3f67ab99,32'h3f800740, 32'h3f5b7a25,32'h3f861ffa,// invsqrt(1.1020) = 0.9526 +32'h3fac1c60,32'h3f585ae1,32'h3f612f91, 32'h3f51bb5d,32'h3f67cf15, 32'h3f46b180,32'h3f72d8f2,// invsqrt(1.3446) = 0.8624 +32'h3f4d5dab,32'h3f8c0d86,32'h3f91c4ee, 32'h3f87c3f7,32'h3f960e7d, 32'h3f809eb4,32'h3f9d33c0,// invsqrt(0.8022) = 1.1165 +32'h3f64e7a5,32'h3f84a810,32'h3f8a1230, 32'h3f809878,32'h3f8e21c8, 32'h3f73a7a0,32'h3f94e670,// invsqrt(0.8942) = 1.0575 +32'h3f84d802,32'h3f764382,32'h3f80285b, 32'h3f6eb99b,32'h3f83ed4f, 32'h3f622919,32'h3f8a358f,// invsqrt(1.0378) = 0.9816 +32'h400de105,32'h3f287fb6,32'h3f2f605a, 32'h3f23573b,32'h3f3488d5, 32'h3f1abe6e,32'h3f3d21a2,// invsqrt(2.2169) = 0.6716 +32'h408ecd5c,32'h3eed8599,32'h3ef73775, 32'h3ee64033,32'h3efe7cdb, 32'h3eda21e0,32'h3f054d97,// invsqrt(4.4626) = 0.4734 +32'h3f320834,32'h3f966ba4,32'h3f9c8f62, 32'h3f91d0d6,32'h3fa12a30, 32'h3f8a2429,32'h3fa8d6dd,// invsqrt(0.6954) = 1.1991 +32'h3f8ee277,32'h3f6d740e,32'h3f772533, 32'h3f662f32,32'h3f7e6a0e, 32'h3f5a11c3,32'h3f8543be,// invsqrt(1.1163) = 0.9465 +32'h3f677b7d,32'h3f83ea77,32'h3f894cd9, 32'h3f7fc159,32'h3f8d56a4, 32'h3f724b62,32'h3f94119f,// invsqrt(0.9042) = 1.0516 +32'h3f3f61d4,32'h3f91144a,32'h3f970038, 32'h3f8ca357,32'h3f9b712b, 32'h3f853c6e,32'h3fa2d814,// invsqrt(0.7476) = 1.1566 +32'h3f91951c,32'h3f6b3e2e,32'h3f74d83a, 32'h3f640aa5,32'h3f7c0bc3, 32'h3f580a15,32'h3f840629,// invsqrt(1.1374) = 0.9377 +32'h3e1c33eb,32'h40209663,32'h4027245d, 32'h401babe8,32'h402c0ed8, 32'h40137a70,32'h40344050,// invsqrt(0.1525) = 2.5604 +32'h3fc4ba0b,32'h3f4a5de9,32'h3f52a06f, 32'h3f442c03,32'h3f58d255, 32'h3f39d8db,32'h3f63257d,// invsqrt(1.5369) = 0.8066 +32'h3ff8d753,32'h3f33eec2,32'h3f3b46de, 32'h3f2e6cac,32'h3f40c8f4, 32'h3f253e88,32'h3f49f718,// invsqrt(1.9441) = 0.7172 +32'h3f1e0359,32'h3f9faa38,32'h3fa62e8e, 32'h3f9ac6f8,32'h3fab11ce, 32'h3f92a18c,32'h3fb3373a,// invsqrt(0.6172) = 1.2728 +32'h3d86e801,32'h40745fbd,32'h407e5933, 32'h406ce4a5,32'h4082ea25, 32'h40606cd2,32'h4089260f,// invsqrt(0.0659) = 3.8963 +32'h3f95a7a3,32'h3f680527,32'h3f717d87, 32'h3f60eadf,32'h3f7897cf, 32'h3f551468,32'h3f823723,// invsqrt(1.1692) = 0.9248 +32'h3fad28cf,32'h3f57b2ed,32'h3f6080c2, 32'h3f51188c,32'h3f671b22, 32'h3f461742,32'h3f721c6d,// invsqrt(1.3528) = 0.8598 +32'h3f326fd1,32'h3f963ff1,32'h3f9c61e6, 32'h3f91a67a,32'h3fa0fb5e, 32'h3f89fc08,32'h3fa8a5d0,// invsqrt(0.6970) = 1.1978 +32'h3ee3b08a,32'h3fbc1ac7,32'h3fc3c847, 32'h3fb658a7,32'h3fc98a67, 32'h3facbfc6,32'h3fd32348,// invsqrt(0.4447) = 1.4996 +32'h40ee572e,32'h3eb7da83,32'h3ebf5b99, 32'h3eb239b4,32'h3ec4fc68, 32'h3ea8d859,32'h3ece5dc3,// invsqrt(7.4481) = 0.3664 +32'h3ebc5f4e,32'h3fcece43,32'h3fd73f2b, 32'h3fc87994,32'h3fdd93da, 32'h3fbdec72,32'h3fe820fd,// invsqrt(0.3679) = 1.6486 +32'h3f114917,32'h3fa68302,32'h3fad4ee2, 32'h3fa16a19,32'h3fb267cb, 32'h3f98eb40,32'h3fbae6a4,// invsqrt(0.5675) = 1.3274 +32'h3ecf83dc,32'h3fc5094a,32'h3fcd141e, 32'h3fbf012b,32'h3fd31c3d, 32'h3fb4f3a2,32'h3fdd29c6,// invsqrt(0.4053) = 1.5708 +32'h3f8a3015,32'h3f717479,32'h3f7b4f6e, 32'h3f6a1040,32'h3f8159d3, 32'h3f5dbe8e,32'h3f8782ac,// invsqrt(1.0796) = 0.9624 +32'h3ecb775b,32'h3fc6fcb1,32'h3fcf1be7, 32'h3fc0e548,32'h3fd53350, 32'h3fb6be44,32'h3fdf5a54,// invsqrt(0.3974) = 1.5863 +32'h3d9ee08e,32'h40612f78,32'h406a606e, 32'h405a4ac0,32'h40714526, 32'h404ecd8e,32'h407cc258,// invsqrt(0.0776) = 3.5903 +32'h3feda2dd,32'h3f382037,32'h3f3fa425, 32'h3f327d45,32'h3f454717, 32'h3f29185d,32'h3f4eabff,// invsqrt(1.8565) = 0.7339 +32'h3ee3885e,32'h3fbc2b62,32'h3fc3d98f, 32'h3fb668bf,32'h3fc99c31, 32'h3faccf06,32'h3fd335ea,// invsqrt(0.4444) = 1.5001 +32'h41a5d0ce,32'h3e5c6c77,32'h3e656bab, 32'h3e55ad10,32'h3e6c2b12, 32'h3e4a6e0f,32'h3e776a13,// invsqrt(20.7270) = 0.2197 +32'h3fd40b73,32'h3f42eb9f,32'h3f4ae057, 32'h3f3cf415,32'h3f50d7e1, 32'h3f33022f,32'h3f5ac9c7,// invsqrt(1.6566) = 0.7769 +32'h3e4191f1,32'h401041cb,32'h40162521, 32'h400bd74a,32'h401a8fa2, 32'h40047b1d,32'h4021ebcf,// invsqrt(0.1890) = 2.3000 +32'h400941fc,32'h3f2b4fda,32'h3f324de3, 32'h3f261154,32'h3f378c6a, 32'h3f1d53c9,32'h3f4049f5,// invsqrt(2.1447) = 0.6828 +32'h3fa654d4,32'h3f5c14eb,32'h3f65108d, 32'h3f555833,32'h3f6bcd45, 32'h3f4a1da9,32'h3f7707cf,// invsqrt(1.2995) = 0.8772 +32'h3f7d9a74,32'h3f7c100c,32'h3f832cec, 32'h3f7458b3,32'h3f870899, 32'h3f677c73,32'h3f8d76b8,// invsqrt(0.9906) = 1.0047 +32'h3e463496,32'h400e8f69,32'h40146105, 32'h400a3234,32'h4018be3a, 32'h4002ec32,32'h4020043c,// invsqrt(0.1936) = 2.2730 +32'h4008029e,32'h3f2c1886,32'h3f331ec0, 32'h3f26d3db,32'h3f38636b, 32'h3f1e0c13,32'h3f412b33,// invsqrt(2.1252) = 0.6860 +32'h41154395,32'h3ea44715,32'h3eaafb9d, 32'h3e9f3fae,32'h3eb00304, 32'h3e96de03,32'h3eb864af,// invsqrt(9.3290) = 0.3274 +32'h40a83112,32'h3edadc77,32'h3ee3cb58, 32'h3ed42950,32'h3eea7e80, 32'h3ec8feb7,32'h3ef5a919,// invsqrt(5.2560) = 0.4362 +32'h4092b083,32'h3eea5a81,32'h3ef3eb43, 32'h3ee32df0,32'h3efb17d4, 32'h3ed738ff,32'h3f038663,// invsqrt(4.5840) = 0.4671 +32'h408fccd1,32'h3eecb241,32'h3ef65b7d, 32'h3ee57354,32'h3efd9a6a, 32'h3ed95fc9,32'h3f04d6fb,// invsqrt(4.4938) = 0.4717 +32'h3c8a0f12,32'h40f19155,32'h40fb6d77, 32'h40ea2c3a,32'h41016949, 32'h40ddd90f,32'h410792de,// invsqrt(0.0169) = 7.7031 +32'h3f7fe06a,32'h3f7af0c3,32'h3f82976b, 32'h3f734235,32'h3f866eb2, 32'h3f66749e,32'h3f8cd57d,// invsqrt(0.9995) = 1.0002 +32'h410e364f,32'h3ea84d28,32'h3eaf2bbb, 32'h3ea32638,32'h3eb452aa, 32'h3e9a8fff,32'h3ebce8e3,// invsqrt(8.8883) = 0.3354 +32'h3ffef169,32'h3f31c42d,32'h3f3905a7, 32'h3f2c5312,32'h3f3e76c2, 32'h3f234139,32'h3f47889b,// invsqrt(1.9917) = 0.7086 +32'h3f1dd22a,32'h3f9fc317,32'h3fa64871, 32'h3f9adf14,32'h3fab2c74, 32'h3f92b864,32'h3fb35324,// invsqrt(0.6165) = 1.2736 +32'h3f85c60c,32'h3f756806,32'h3f7f6c45, 32'h3f6de4d7,32'h3f8377ba, 32'h3f615f89,32'h3f89ba62,// invsqrt(1.0451) = 0.9782 +32'h3f85847a,32'h3f75a441,32'h3f7faaf5, 32'h3f6e1f3a,32'h3f8397fe, 32'h3f6196d8,32'h3f89dc2f,// invsqrt(1.0431) = 0.9791 +32'h3d526c8c,32'h408a5bfc,32'h409001b2, 32'h40861fb3,32'h40943dfb, 32'h407e211d,32'h409b4d20,// invsqrt(0.0514) = 4.4120 +32'h41099c8c,32'h3eab1772,32'h3eb2132e, 32'h3ea5daa6,32'h3eb74ffa, 32'h3e9d1ffb,32'h3ec00aa5,// invsqrt(8.6007) = 0.3410 +32'h3d8cc5a8,32'h406f3a79,32'h4078fe2a, 32'h4067e7b3,32'h40802877, 32'h405bb316,32'h408642c6,// invsqrt(0.0687) = 3.8142 +32'h3f6b04f8,32'h3f82eb61,32'h3f88435b, 32'h3f7dd2cc,32'h3f8c4556, 32'h3f7076dc,32'h3f92f34e,// invsqrt(0.9180) = 1.0437 +32'h3f537105,32'h3f8a06a9,32'h3f8fa8e4, 32'h3f85ccfc,32'h3f93e290, 32'h3f7d8464,32'h3f9aed5a,// invsqrt(0.8259) = 1.1003 +32'h3f28ab3d,32'h3f9a8a06,32'h3fa0d8cc, 32'h3f95cef1,32'h3fa593e1, 32'h3f8dec78,32'h3fad765a,// invsqrt(0.6589) = 1.2320 +32'h4026535d,32'h3f1b9fbb,32'h3f21f9d7, 32'h3f16dc25,32'h3f26bd6d, 32'h3f0eeb82,32'h3f2eae10,// invsqrt(2.5988) = 0.6203 +32'h40ae09f9,32'h3ed72738,32'h3edfef59, 32'h3ed0911e,32'h3ee68572, 32'h3ec596f4,32'h3ef17f9c,// invsqrt(5.4387) = 0.4288 +32'h4163d4c4,32'h3e84f7fe,32'h3e8a6562, 32'h3e80e5f4,32'h3e8e776c, 32'h3e743a70,32'h3e954028,// invsqrt(14.2394) = 0.2650 +32'h3f99ef7d,32'h3f64c577,32'h3f6e1be5, 32'h3f5dc4a5,32'h3f751cb7, 32'h3f52189d,32'h3f806460,// invsqrt(1.2026) = 0.9119 +32'h3f902e75,32'h3f6c620e,32'h3f760804, 32'h3f652595,32'h3f7d447d, 32'h3f591622,32'h3f84a9f8,// invsqrt(1.1264) = 0.9422 +32'h40db4be3,32'h3ebfaba5,32'h3ec77e67, 32'h3eb9cd92,32'h3ecd5c7a, 32'h3eb0061f,32'h3ed723ed,// invsqrt(6.8530) = 0.3820 +32'h3feadbd9,32'h3f39361c,32'h3f40c561, 32'h3f338aa8,32'h3f4670d4, 32'h3f2a1791,32'h3f4fe3eb,// invsqrt(1.8348) = 0.7382 +32'h3fc59a09,32'h3f49eb16,32'h3f5228ed, 32'h3f43bcb4,32'h3f58574e, 32'h3f396f67,32'h3f62a49b,// invsqrt(1.5438) = 0.8048 +32'h3d631fbe,32'h40852cf1,32'h408a9c7e, 32'h40811948,32'h408eb028, 32'h40749bb2,32'h40957b97,// invsqrt(0.0555) = 4.2467 +32'h40e0fc60,32'h3ebd3b44,32'h3ec4f48a, 32'h3eb7704f,32'h3ecabf7f, 32'h3eadc8b6,32'h3ed46718,// invsqrt(7.0308) = 0.3771 +32'h3f863f55,32'h3f74f912,32'h3f7ef8ca, 32'h3f6d7948,32'h3f833c4a, 32'h3f60f9a3,32'h3f897c1c,// invsqrt(1.0488) = 0.9765 +32'h3edcada5,32'h3fbf11c6,32'h3fc6de42, 32'h3fb9386a,32'h3fccb79e, 32'h3faf78d0,32'h3fd67738,// invsqrt(0.4310) = 1.5232 +32'h40057297,32'h3f2dbd86,32'h3f34d4ee, 32'h3f286bf7,32'h3f3a267d, 32'h3f1f8eb4,32'h3f4303c0,// invsqrt(2.0851) = 0.6925 +32'h3f63f9d8,32'h3f84ed2e,32'h3f8a5a20, 32'h3f80db78,32'h3f8e6bd6, 32'h3f742693,32'h3f953404,// invsqrt(0.8905) = 1.0597 +32'h3fe026f3,32'h3f3d9545,32'h3f455238, 32'h3f37c78e,32'h3f4b1fee, 32'h3f2e1b5e,32'h3f54cc1e,// invsqrt(1.7512) = 0.7557 +32'h3f7b99d9,32'h3f7d104f,32'h3f83b248, 32'h3f75511e,32'h3f8791e1, 32'h3f6867cb,32'h3f8e068a,// invsqrt(0.9828) = 1.0087 +32'h3f42a6be,32'h3f8fdb15,32'h3f95ba3a, 32'h3f8b73b8,32'h3f9a2196, 32'h3f841cca,32'h3fa17884,// invsqrt(0.7604) = 1.1468 +32'h3e037b0f,32'h402f08f9,32'h40362de9, 32'h4029ad45,32'h403b899d, 32'h4020bf19,32'h404477c9,// invsqrt(0.1284) = 2.7907 +32'h40b19a02,32'h3ed4fbfb,32'h3eddad73, 32'h3ece76e1,32'h3ee4328d, 32'h3ec3990b,32'h3eef1063,// invsqrt(5.5500) = 0.4245 +32'h3f70937e,32'h3f81660e,32'h3f86ae24, 32'h3f7adffc,32'h3f8aa434, 32'h3f6dabc7,32'h3f913e4f,// invsqrt(0.9398) = 1.0316 +32'h401347f6,32'h3f25613c,32'h3f2c2149, 32'h3f205133,32'h3f313153, 32'h3f17e123,32'h3f39a163,// invsqrt(2.3013) = 0.6592 +32'h409aa7a9,32'h3ee43d17,32'h3eed8df3, 32'h3edd4072,32'h3ef48a98, 32'h3ed19b5e,32'h3f0017d6,// invsqrt(4.8330) = 0.4549 +32'h3f3d794d,32'h3f91ceda,32'h3f97c266, 32'h3f8d5832,32'h3f9c390e, 32'h3f85e7c3,32'h3fa3a97d,// invsqrt(0.7401) = 1.1624 +32'h3f80a31f,32'h3f7a4204,32'h3f823c7a, 32'h3f7298cf,32'h3f861114, 32'h3f65d423,32'h3f8c736b,// invsqrt(1.0050) = 0.9975 +32'h3eeaf3a5,32'h3fb92cba,32'h3fc0bb9e, 32'h3fb38190,32'h3fc666c8, 32'h3faa0ef4,32'h3fcfd964,// invsqrt(0.4589) = 1.4762 +32'h3f89e9e3,32'h3f71b1e4,32'h3f7b8f5a, 32'h3f6a4bca,32'h3f817aba, 32'h3f5df6f6,32'h3f87a524,// invsqrt(1.0775) = 0.9634 +32'h3e9c8420,32'h3fe2e0a6,32'h3fec234a, 32'h3fdbeeac,32'h3ff31544, 32'h3fd05b5f,32'h3ffea891,// invsqrt(0.3057) = 1.8087 +32'h3fa08ce5,32'h3f60024b,32'h3f6926f5, 32'h3f5926cb,32'h3f700275, 32'h3f4db8f6,32'h3f7b704a,// invsqrt(1.2543) = 0.8929 +32'h3f8cc8b6,32'h3f6f37e0,32'h3f78fb76, 32'h3f67e52f,32'h3f802713, 32'h3f5bb0b4,32'h3f864151,// invsqrt(1.0999) = 0.9535 +32'h4104fd81,32'h3eae09f0,32'h3eb52478, 32'h3ea8b60b,32'h3eba785d, 32'h3e9fd4e2,32'h3ec35986,// invsqrt(8.3119) = 0.3469 +32'h40005e81,32'h3f3124c9,32'h3f385fc1, 32'h3f2bb88e,32'h3f3dcbfc, 32'h3f22aed8,32'h3f46d5b2,// invsqrt(2.0058) = 0.7061 +32'h3f514819,32'h3f8abc86,32'h3f90662d, 32'h3f867d48,32'h3f94a56a, 32'h3f7ed26d,32'h3f9bb97c,// invsqrt(0.8175) = 1.1060 +32'h3eed5b65,32'h3fb83bed,32'h3fbfc0fc, 32'h3fb29822,32'h3fc564c8, 32'h3fa931d0,32'h3fcecb1a,// invsqrt(0.4636) = 1.4687 +32'h3fa5eb95,32'h3f5c5aad,32'h3f655927, 32'h3f559bd2,32'h3f6c1802, 32'h3f4a5db9,32'h3f77561b,// invsqrt(1.2963) = 0.8783 +32'h3e99043d,32'h3fe5750f,32'h3feed2a7, 32'h3fde6edd,32'h3ff5d8d9, 32'h3fd2b9df,32'h4000c6ec,// invsqrt(0.2989) = 1.8292 +32'h3f34f946,32'h3f953164,32'h3f9b484e, 32'h3f90a035,32'h3f9fd97d, 32'h3f890390,32'h3fa77622,// invsqrt(0.7069) = 1.1894 +32'h403cacc3,32'h3f121dce,32'h3f181492, 32'h3f0da4ba,32'h3f1c8da6, 32'h3f063045,32'h3f24021b,// invsqrt(2.9480) = 0.5824 +32'h3f90d2fc,32'h3f6bdba3,32'h3f757c1c, 32'h3f64a347,32'h3f7cb477, 32'h3f589aaf,32'h3f845e87,// invsqrt(1.1314) = 0.9401 +32'h3f8006d8,32'h3f7ada93,32'h3f828bdf, 32'h3f732cb3,32'h3f8662cf, 32'h3f66603e,32'h3f8cc909,// invsqrt(1.0002) = 0.9999 +32'h3f371543,32'h3f9454bd,32'h3f9a62a5, 32'h3f8fca4f,32'h3f9eed13, 32'h3f8838ec,32'h3fa67e76,// invsqrt(0.7152) = 1.1825 +32'h3f53f6a1,32'h3f89db21,32'h3f8f7b95, 32'h3f85a2ca,32'h3f93b3ec, 32'h3f7d3471,32'h3f9abc7e,// invsqrt(0.8280) = 1.0990 +32'h41596934,32'h3e881e30,32'h3e8dac7c, 32'h3e83f378,32'h3e91d734, 32'h3e7a0334,32'h3e98c912,// invsqrt(13.5882) = 0.2713 +32'h3fc57a69,32'h3f49fb40,32'h3f5239c0, 32'h3f43cc60,32'h3f5868a0, 32'h3f397e40,32'h3f62b6c0,// invsqrt(1.5428) = 0.8051 +32'h3f8f36fa,32'h3f6d2df4,32'h3f76dc3c, 32'h3f65eb3d,32'h3f7e1ef3, 32'h3f59d162,32'h3f851c67,// invsqrt(1.1189) = 0.9454 +32'h4070f66d,32'h3f014b7b,32'h3f06927b, 32'h3efaac76,32'h3f0a87bb, 32'h3eed7af7,32'h3f11207a,// invsqrt(3.7650) = 0.5154 +32'h3f77db15,32'h3f7ef7ee,32'h3f84b00b, 32'h3f7729cf,32'h3f88971b, 32'h3f6a279b,32'h3f8f1834,// invsqrt(0.9682) = 1.0163 +32'h3f1c952d,32'h3fa0647c,32'h3fa6f06c, 32'h3f9b7b88,32'h3fabd960, 32'h3f934c9c,32'h3fb4084c,// invsqrt(0.6117) = 1.2786 +32'h3da1423a,32'h405f8435,32'h4068a3b9, 32'h4058ac91,32'h406f7b5d, 32'h404d452b,32'h407ae2c3,// invsqrt(0.0787) = 3.5637 +32'h3d99e406,32'h4064cdfc,32'h406e24c3, 32'h405dcce8,32'h407525d8, 32'h40522070,32'h40806928,// invsqrt(0.0751) = 3.6480 +32'h3f3c2268,32'h3f92537e,32'h3f984c74, 32'h3f8dd8c6,32'h3f9cc72c, 32'h3f866193,32'h3fa43e5f,// invsqrt(0.7349) = 1.1665 +32'h4024aaa0,32'h3f1c67ef,32'h3f22ca38, 32'h3f179e39,32'h3f2793ef, 32'h3f0fa35f,32'h3f2f8ec9,// invsqrt(2.5729) = 0.6234 +32'h41ce2a4f,32'h3e45ae25,32'h3e4dbfb3, 32'h3e3fa0fa,32'h3e53ccde, 32'h3e358b08,32'h3e5de2d0,// invsqrt(25.7707) = 0.1970 +32'h3f00060c,32'h3fb161f1,32'h3fb89f69, 32'h3fabf3d8,32'h3fbe0d82, 32'h3fa2e702,32'h3fc71a58,// invsqrt(0.5001) = 1.4141 +32'h4112253e,32'h3ea60568,32'h3eaccc28, 32'h3ea0f058,32'h3eb1e138, 32'h3e9877e7,32'h3eba59a9,// invsqrt(9.1341) = 0.3309 +32'h3e3c6cd6,32'h40123695,32'h40182e5c, 32'h400dbcbf,32'h401ca831, 32'h40064706,32'h40241dea,// invsqrt(0.1840) = 2.3312 +32'h402a2523,32'h3f19de08,32'h3f2025ca, 32'h3f152837,32'h3f24db9b, 32'h3f0d4e85,32'h3f2cb54d,// invsqrt(2.6585) = 0.6133 +32'h3c873274,32'h40f41c6b,32'h40fe1321, 32'h40eca362,32'h4102c615, 32'h40e02eff,32'h41090046,// invsqrt(0.0165) = 7.7842 +32'h41da5741,32'h3e4016e7,32'h3e47ee0b, 32'h3e3a358d,32'h3e4dcf65, 32'h3e3068a0,32'h3e579c52,// invsqrt(27.2926) = 0.1914 +32'h3e9aa03b,32'h3fe44293,32'h3fed93a8, 32'h3fdd45c2,32'h3ff49078, 32'h3fd1a067,32'h40001aea,// invsqrt(0.3020) = 1.8197 +32'h41af669a,32'h3e5650fb,32'h3e5f105e, 32'h3e4fc170,32'h3e659fe8, 32'h3e44d234,32'h3e708f24,// invsqrt(21.9251) = 0.2136 +32'h3fba6e96,32'h3f4fe10d,32'h3f585d2d, 32'h3f4983f5,32'h3f5eba45, 32'h3f3ee8cd,32'h3f69556d,// invsqrt(1.4565) = 0.8286 +32'h408747f6,32'h3ef40903,32'h3efdfeee, 32'h3eec9092,32'h3f02bbaf, 32'h3ee01d2c,32'h3f08f562,// invsqrt(4.2275) = 0.4864 +32'h3f0ff819,32'h3fa74571,32'h3fae1942, 32'h3fa22696,32'h3fb3381e, 32'h3f999dd1,32'h3fbbc0e3,// invsqrt(0.5624) = 1.3335 +32'h4017550b,32'h3f2326b7,32'h3f29cf7b, 32'h3f1e2825,32'h3f2ece0d, 32'h3f15d530,32'h3f372102,// invsqrt(2.3646) = 0.6503 +32'h3f810af1,32'h3f79dd44,32'h3f82080c, 32'h3f723725,32'h3f85db1b, 32'h3f65779d,32'h3f8c3ae0,// invsqrt(1.0081) = 0.9960 +32'h3f4da755,32'h3f8bf46e,32'h3f91aad1, 32'h3f87aba5,32'h3f95f39b, 32'h3f8087aa,32'h3f9d1796,// invsqrt(0.8033) = 1.1157 +32'h3f2043b0,32'h3f9e8a1d,32'h3fa502b1, 32'h3f99afaf,32'h3fa9dd1f, 32'h3f9198f6,32'h3fb1f3d8,// invsqrt(0.6260) = 1.2639 +32'h40071b46,32'h3f2cab9e,32'h3f33b7d8, 32'h3f276272,32'h3f390104, 32'h3f1e9328,32'h3f41d04e,// invsqrt(2.1110) = 0.6883 +32'h3e80e811,32'h3ff9ff0e,32'h400219a2, 32'h3ff257e7,32'h4005ed36, 32'h3fe596a5,32'h400c4dd7,// invsqrt(0.2518) = 1.9930 +32'h3f7210eb,32'h3f80fff3,32'h3f8643de, 32'h3f7a1a08,32'h3f8a36ce, 32'h3f6cf03d,32'h3f90cbb4,// invsqrt(0.9456) = 1.0284 +32'h3f22279a,32'h3f9d9cdb,32'h3fa40bbf, 32'h3f98c9b0,32'h3fa8deea, 32'h3f90bf12,32'h3fb0e988,// invsqrt(0.6334) = 1.2565 +32'h4089c441,32'h3ef1d2e4,32'h3efbb1b4, 32'h3eea6bc8,32'h3f018c68, 32'h3ede1544,32'h3f07b7aa,// invsqrt(4.3052) = 0.4820 +32'h3feb60e0,32'h3f3901be,32'h3f408ee0, 32'h3f3357e5,32'h3f4638b9, 32'h3f29e77a,32'h3f4fa924,// invsqrt(1.8389) = 0.7374 +32'h40f32d9e,32'h3eb60401,32'h3ebd71e1, 32'h3eb07198,32'h3ec3044a, 32'h3ea7283f,32'h3ecc4da3,// invsqrt(7.5993) = 0.3628 +32'h3f26a831,32'h3f9b781b,32'h3fa1d099, 32'h3f96b5bc,32'h3fa692f8, 32'h3f8ec71e,32'h3fae8196,// invsqrt(0.6510) = 1.2394 +32'h406822f6,32'h3f03bad8,32'h3f091b4a, 32'h3eff6507,32'h3f0d239f, 32'h3ef1f3eb,32'h3f13dc2c,// invsqrt(3.6271) = 0.5251 +32'h3f15b91b,32'h3fa4068f,32'h3faab875, 32'h3f9f0122,32'h3fafbde2, 32'h3f96a2c2,32'h3fb81c42,// invsqrt(0.5849) = 1.3076 +32'h3fd45f62,32'h3f42c517,32'h3f4ab83d, 32'h3f3ccebb,32'h3f50ae99, 32'h3f32decc,32'h3f5a9e88,// invsqrt(1.6592) = 0.7763 +32'h3ec6f23f,32'h3fc93c1d,32'h3fd172cf, 32'h3fc31316,32'h3fd79bd6, 32'h3fb8ceb7,32'h3fe1e035,// invsqrt(0.3886) = 1.6042 +32'h3f15fefb,32'h3fa3e056,32'h3faa90ad, 32'h3f9edc14,32'h3faf94ee, 32'h3f967fa7,32'h3fb7f15b,// invsqrt(0.5859) = 1.3064 +32'h3f2ce20a,32'h3f98a4e2,32'h3f9edfdb, 32'h3f93f8a6,32'h3fa38c16, 32'h3f8c2eee,32'h3fab55ce,// invsqrt(0.6753) = 1.2169 +32'h3ee7de23,32'h3fba66f2,32'h3fc202a8, 32'h3fb4b229,32'h3fc7b771, 32'h3fab2f85,32'h3fd13a15,// invsqrt(0.4529) = 1.4860 +32'h3f288ae4,32'h3f9a98da,32'h3fa0e83b, 32'h3f95dd50,32'h3fa5a3c4, 32'h3f8dfa16,32'h3fad86fe,// invsqrt(0.6584) = 1.2324 +32'h3f24eb20,32'h3f9c4956,32'h3fa2aa5f, 32'h3f978090,32'h3fa77326, 32'h3f8f8745,32'h3faf6c71,// invsqrt(0.6442) = 1.2459 +32'h3f6ac6ea,32'h3f82fcad,32'h3f88555b, 32'h3f7df454,32'h3f8c57de, 32'h3f7096a1,32'h3f9306b8,// invsqrt(0.9171) = 1.0442 +32'h3f094bc6,32'h3fab49bf,32'h3fb24788, 32'h3fa60b68,32'h3fb785de, 32'h3f9d4e2c,32'h3fc0431a,// invsqrt(0.5363) = 1.3655 +32'h3fc55be7,32'h3f4a0adc,32'h3f524a00, 32'h3f43db82,32'h3f58795a, 32'h3f398c96,32'h3f62c846,// invsqrt(1.5419) = 0.8053 +32'h3eaccbef,32'h3fd7ecdc,32'h3fe0bd0f, 32'h3fd150b6,32'h3fe75936, 32'h3fc64c77,32'h3ff25d75,// invsqrt(0.3375) = 1.7213 +32'h3f158890,32'h3fa4212c,32'h3faad428, 32'h3f9f1aee,32'h3fafda66, 32'h3f96bb33,32'h3fb83a21,// invsqrt(0.5841) = 1.3084 +32'h3fb7a588,32'h3f51731a,32'h3f59ffa3, 32'h3f4b09b4,32'h3f60690a, 32'h3f405a09,32'h3f6b18b5,// invsqrt(1.4347) = 0.8349 +32'h3f49bdc8,32'h3f8d4e2b,32'h3f9312ab, 32'h3f88facc,32'h3f97660a, 32'h3f81c52d,32'h3f9e9ba9,// invsqrt(0.7881) = 1.1265 +32'h3eced92a,32'h3fc55a85,32'h3fcd68aa, 32'h3fbf4fea,32'h3fd37346, 32'h3fb53e3c,32'h3fdd84f4,// invsqrt(0.4040) = 1.5733 +32'h3f8dc965,32'h3f6e5ef5,32'h3f7819b1, 32'h3f6712e8,32'h3f7f65be, 32'h3f5ae97e,32'h3f85c794,// invsqrt(1.1077) = 0.9501 +32'h3e96ba50,32'h3fe7315e,32'h3ff0a118, 32'h3fe01d92,32'h3ff7b4e4, 32'h3fd451e8,32'h4001c047,// invsqrt(0.2944) = 1.8431 +32'h40325a5a,32'h3f1648fc,32'h3f1c6b4f, 32'h3f11af3d,32'h3f21050d, 32'h3f0a0454,32'h3f28aff6,// invsqrt(2.7868) = 0.5990 +32'h3e85b960,32'h3ff573a7,32'h3fff785f, 32'h3fedf01c,32'h40037df5, 32'h3fe16a36,32'h4009c0e8,// invsqrt(0.2612) = 1.9567 +32'h3e125a0f,32'h4025e770,32'h402cacf8, 32'h4020d34b,32'h4031c11d, 32'h40185c62,32'h403a3806,// invsqrt(0.1429) = 2.6452 +32'h3eecd87f,32'h3fb86ecf,32'h3fbff5f2, 32'h3fb2c976,32'h3fc59b4c, 32'h3fa9608a,32'h3fcf0438,// invsqrt(0.4626) = 1.4703 +32'h405c6878,32'h3f073075,32'h3f0cb50d, 32'h3f030d04,32'h3f10d87e, 32'h3ef84e8f,32'h3f17be3b,// invsqrt(3.4439) = 0.5389 +32'h3fc96557,32'h3f4801dc,32'h3f502bbb, 32'h3f41e274,32'h3f564b22, 32'h3f37ae1d,32'h3f607f79,// invsqrt(1.5734) = 0.7972 +32'h3c9af695,32'h40e402f1,32'h40ed516d, 32'h40dd0813,32'h40f44c4b, 32'h40d165f7,32'h40ffee67,// invsqrt(0.0189) = 7.2708 +32'h3f28b606,32'h3f9a8515,32'h3fa0d3a9, 32'h3f95ca27,32'h3fa58e97, 32'h3f8de7ef,32'h3fad70cf,// invsqrt(0.6590) = 1.2318 +32'h3fa3ee50,32'h3f5dafec,32'h3f66bc54, 32'h3f56e69e,32'h3f6d85a2, 32'h3f4b971d,32'h3f78d523,// invsqrt(1.2807) = 0.8836 +32'h3ec560e2,32'h3fca0850,32'h3fd24758, 32'h3fc3d909,32'h3fd8769f, 32'h3fb98a3f,32'h3fe2c569,// invsqrt(0.3855) = 1.6106 +32'h400c9e2b,32'h3f2940b6,32'h3f30293b, 32'h3f241253,32'h3f35579f, 32'h3f1b6fad,32'h3f3dfa45,// invsqrt(2.1972) = 0.6746 +32'h41078d29,32'h3eac6305,32'h3eb36c4a, 32'h3ea71c13,32'h3eb8b33d, 32'h3e9e507e,32'h3ec17ed2,// invsqrt(8.4720) = 0.3436 +32'h3db89837,32'h4050e93f,32'h40597027, 32'h404a8410,32'h405fd556, 32'h403fdb6e,32'h406a7df8,// invsqrt(0.0901) = 3.3309 +32'h3f55cafc,32'h3f8943ce,32'h3f8ede16, 32'h3f851019,32'h3f9311cb, 32'h3f7c1e80,32'h3f9a12a4,// invsqrt(0.8351) = 1.0943 +32'h40e1b326,32'h3ebcee95,32'h3ec4a4bb, 32'h3eb725f9,32'h3eca6d57, 32'h3ead824a,32'h3ed41106,// invsqrt(7.0531) = 0.3765 +32'h3d9d3d17,32'h40625b0e,32'h406b983e, 32'h405b6d2a,32'h40728622, 32'h404fe0af,32'h407e129d,// invsqrt(0.0768) = 3.6090 +32'h3f2aa700,32'h3f99a371,32'h3f9fe8cf, 32'h3f94ef6b,32'h3fa49cd5, 32'h3f8d18b6,32'h3fac738a,// invsqrt(0.6666) = 1.2248 +32'h3f8a639e,32'h3f71477f,32'h3f7b209f, 32'h3f69e4a8,32'h3f8141bb, 32'h3f5d9541,32'h3f87696f,// invsqrt(1.0812) = 0.9617 +32'h40b18527,32'h3ed5087e,32'h3eddba78, 32'h3ece8302,32'h3ee43ff4, 32'h3ec3a488,32'h3eef1e6e,// invsqrt(5.5475) = 0.4246 +32'h3f3e10ab,32'h3f9194bf,32'h3f9785eb, 32'h3f8d1fde,32'h3f9bfacc, 32'h3f85b266,32'h3fa36844,// invsqrt(0.7424) = 1.1606 +32'h3f277eca,32'h3f9b1463,32'h3fa168cf, 32'h3f965511,32'h3fa62821, 32'h3f8e6b8a,32'h3fae11a8,// invsqrt(0.6543) = 1.2363 +32'h3f6c0dab,32'h3f82a1e5,32'h3f87f6df, 32'h3f7d4454,32'h3f8bf69a, 32'h3f6fefe4,32'h3f92a0d2,// invsqrt(0.9221) = 1.0414 +32'h4008ae22,32'h3f2bac69,32'h3f32ae39, 32'h3f266b0d,32'h3f37ef95, 32'h3f1da8c9,32'h3f40b1d9,// invsqrt(2.1356) = 0.6843 +32'h3f317332,32'h3f96aabe,32'h3f9cd10f, 32'h3f920e02,32'h3fa16dcc, 32'h3f8a5e1d,32'h3fa91db1,// invsqrt(0.6932) = 1.2011 +32'h3f9120b5,32'h3f6b9c72,32'h3f753a58, 32'h3f646606,32'h3f7c70c4, 32'h3f5860a8,32'h3f843b11,// invsqrt(1.1338) = 0.9391 +32'h3fa56042,32'h3f5cb76c,32'h3f65b9af, 32'h3f55f5b9,32'h3f6c7b61, 32'h3f4ab2e5,32'h3f77be35,// invsqrt(1.2920) = 0.8798 +32'h3f475fb3,32'h3f8e2450,32'h3f93f18d, 32'h3f89ca63,32'h3f984b7b, 32'h3f8289d7,32'h3f9f8c07,// invsqrt(0.7788) = 1.1331 +32'h412c59dd,32'h3e98e123,32'h3e9f1e93, 32'h3e943310,32'h3ea3cca6, 32'h3e8c6645,32'h3eab9971,// invsqrt(10.7719) = 0.3047 +32'h3fc3a6ae,32'h3f4aec1f,32'h3f533474, 32'h3f44b5e0,32'h3f596ab4, 32'h3f3a5b76,32'h3f63c51e,// invsqrt(1.5285) = 0.8088 +32'h3e464b0b,32'h400e8756,32'h4014589e, 32'h400a2a61,32'h4018b593, 32'h4002e4c7,32'h401ffb2d,// invsqrt(0.1936) = 2.2725 +32'h3e7710e9,32'h3fff602b,32'h4004e64b, 32'h3ff78edb,32'h4008cef2, 32'h3fea8756,32'h400f52b5,// invsqrt(0.2413) = 2.0358 +32'h40a8d8c9,32'h3eda6faa,32'h3ee35a1a, 32'h3ed3bfd7,32'h3eea09ed, 32'h3ec89acb,32'h3ef52ef9,// invsqrt(5.2765) = 0.4353 +32'h3fd35ad7,32'h3f433cfe,32'h3f4b3509, 32'h3f3d42f7,32'h3f512f11, 32'h3f334cea,32'h3f5b251e,// invsqrt(1.6512) = 0.7782 +32'h3ba06a2d,32'h41601a87,32'h4169402f, 32'h41593e4a,32'h41701c6c, 32'h414dcf38,32'h417b8b7e,// invsqrt(0.0049) = 14.2923 +32'h40072e87,32'h3f2c9f52,32'h3f33ab0c, 32'h3f275686,32'h3f38f3d8, 32'h3f1e87de,32'h3f41c281,// invsqrt(2.1122) = 0.6881 +32'h3f992cb3,32'h3f6556bf,32'h3f6eb31b, 32'h3f5e517b,32'h3f75b85f, 32'h3f529e08,32'h3f80b5e9,// invsqrt(1.1967) = 0.9141 +32'h3f16b8ce,32'h3fa37b31,32'h3faa2767, 32'h3f9e7a08,32'h3faf2890, 32'h3f9622c4,32'h3fb77fd4,// invsqrt(0.5888) = 1.3033 +32'h3eb1dc9a,32'h3fd4d418,32'h3fdd83f0, 32'h3fce5037,32'h3fe407d1, 32'h3fc3746a,32'h3feee39e,// invsqrt(0.3474) = 1.6967 +32'h406900a8,32'h3f037c1e,32'h3f08da00, 32'h3efeeb69,32'h3f0ce069, 32'h3ef180b5,32'h3f1395c4,// invsqrt(3.6407) = 0.5241 +32'h3e6b0d9a,32'h4002e8f9,32'h400840da, 32'h3ffdce23,32'h400c42c2, 32'h3ff07272,32'h4012f09b,// invsqrt(0.2295) = 2.0872 +32'h3fb6b6d1,32'h3f51fbc0,32'h3f5a8ddc, 32'h3f4b8e2a,32'h3f60fb72, 32'h3f40d786,32'h3f6bb216,// invsqrt(1.4275) = 0.8370 +32'h3f70fbce,32'h3f814a09,32'h3f8690fa, 32'h3f7aa9aa,32'h3f8a862f, 32'h3f6d7850,32'h3f911edc,// invsqrt(0.9413) = 1.0307 +32'h3eda883e,32'h3fc0015e,32'h3fc7d7a0, 32'h3fba20ac,32'h3fcdb852, 32'h3fb054d9,32'h3fd78425,// invsqrt(0.4268) = 1.5307 +32'h3f016308,32'h3fb07217,32'h3fb7a5c4, 32'h3fab0b54,32'h3fbd0c86, 32'h3fa20abc,32'h3fc60d1e,// invsqrt(0.5054) = 1.4066 +32'h406d9621,32'h3f0235d4,32'h3f078664, 32'h3efc72cf,32'h3f0b82d1, 32'h3eef2966,32'h3f122785,// invsqrt(3.7123) = 0.5190 +32'h3f038f2a,32'h3faefb99,32'h3fb61ffd, 32'h3fa9a04e,32'h3fbb7b48, 32'h3fa0b2d0,32'h3fc468c6,// invsqrt(0.5139) = 1.3950 +32'h40231d49,32'h3f1d25fc,32'h3f239006, 32'h3f185674,32'h3f285f8e, 32'h3f1051e7,32'h3f30641b,// invsqrt(2.5487) = 0.6264 +32'h408085f5,32'h3efa5e67,32'h3f024b40, 32'h3ef2b455,32'h3f06204a, 32'h3ee5ee35,32'h3f0c8359,// invsqrt(4.0164) = 0.4990 +32'h3e4dd1e1,32'h400be5f6,32'h40119bc2, 32'h40079d9e,32'h4015e41a, 32'h40007a60,32'h401d0758,// invsqrt(0.2010) = 2.2305 +32'h40909296,32'h3eec1025,32'h3ef5b2c3, 32'h3ee4d62e,32'h3efcecba, 32'h3ed8cae8,32'h3f047c00,// invsqrt(4.5179) = 0.4705 +32'h4043cb57,32'h3f0f6f6f,32'h3f154a2f, 32'h3f0b0b5e,32'h3f19ae40, 32'h3f03b9ee,32'h3f20ffb0,// invsqrt(3.0593) = 0.5717 +32'h3fd17c7f,32'h3f441b67,32'h3f4c1c86, 32'h3f3e1a91,32'h3f521d5d, 32'h3f34192b,32'h3f5c1ec3,// invsqrt(1.6366) = 0.7817 +32'h3f876cb8,32'h3f73e7e2,32'h3f7ddc73, 32'h3f6c7075,32'h3f82a9f0, 32'h3f5ffebf,32'h3f88e2ca,// invsqrt(1.0580) = 0.9722 +32'h402e1743,32'h3f181d15,32'h3f1e5283, 32'h3f137502,32'h3f22fa96, 32'h3f0bb238,32'h3f2abd60,// invsqrt(2.7202) = 0.6063 +32'h3e2ea932,32'h4017dd7b,32'h401e1051, 32'h4013375a,32'h4022b672, 32'h400b77cf,32'h402a75fd,// invsqrt(0.1706) = 2.4213 +32'h3d3e5182,32'h40917bf1,32'h40976c1a, 32'h408d07d2,32'h409be038, 32'h40859b9e,32'h40a34c6c,// invsqrt(0.0465) = 4.6392 +32'h3f1a6077,32'h3fa188ce,32'h3fa820ac, 32'h3f9c96e7,32'h3fad1293, 32'h3f945910,32'h3fb5506a,// invsqrt(0.6030) = 1.2877 +32'h3faaebd2,32'h3f591b4c,32'h3f61f7d7, 32'h3f5275e4,32'h3f689d40, 32'h3f476237,32'h3f73b0ed,// invsqrt(1.3353) = 0.8654 +32'h3eb4e768,32'h3fd30808,32'h3fdba518, 32'h3fcc923c,32'h3fe21ae4, 32'h3fc1cde8,32'h3fecdf38,// invsqrt(0.3533) = 1.6823 +32'h409de1d5,32'h3ee1e4d7,32'h3eeb1d33, 32'h3edafa92,32'h3ef20778, 32'h3ecf741e,32'h3efd8dec,// invsqrt(4.9338) = 0.4502 +32'h3feef80b,32'h3f379c97,32'h3f3f1b25, 32'h3f31fdac,32'h3f44ba10, 32'h3f289f7b,32'h3f4e1841,// invsqrt(1.8669) = 0.7319 +32'h3fbf3b95,32'h3f4d40d1,32'h3f55a180, 32'h3f46f84c,32'h3f5bea04, 32'h3f3c7f71,32'h3f6662df,// invsqrt(1.4940) = 0.8181 +32'h3f389090,32'h3f93bc03,32'h3f99c3b0, 32'h3f8f3642,32'h3f9e4972, 32'h3f87acab,32'h3fa5d309,// invsqrt(0.7210) = 1.1777 +32'h3e7c3a35,32'h3ffcbfd0,32'h40038864, 32'h3ff50315,32'h400766c2, 32'h3fe81dde,32'h400dd95d,// invsqrt(0.2463) = 2.0149 +32'h3dcdc904,32'h4045dcda,32'h404df050, 32'h403fce41,32'h4053fee9, 32'h4035b5ec,32'h405e173e,// invsqrt(0.1005) = 3.1547 +32'h4033de3e,32'h3f15a698,32'h3f1bc24a, 32'h3f1111d2,32'h3f205710, 32'h3f096f32,32'h3f27f9b0,// invsqrt(2.8104) = 0.5965 +32'h3fb5f136,32'h3f526da9,32'h3f5b046b, 32'h3f4bfc96,32'h3f61757e, 32'h3f414023,32'h3f6c31f1,// invsqrt(1.4214) = 0.8388 +32'h3f1303b6,32'h3fa5879b,32'h3fac4939, 32'h3fa07665,32'h3fb15a6f, 32'h3f98045f,32'h3fb9cc75,// invsqrt(0.5743) = 1.3196 +32'h3f0edfaf,32'h3fa7e947,32'h3faec3c8, 32'h3fa2c568,32'h3fb3e7a8, 32'h3f9a3447,32'h3fbc78c9,// invsqrt(0.5581) = 1.3386 +32'h3db9bec8,32'h40504356,32'h4058c378, 32'h4049e33b,32'h405f2393, 32'h403f4310,32'h4069c3be,// invsqrt(0.0907) = 3.3205 +32'h3f7ab4b0,32'h3f7d83dd,32'h3f83ee6b, 32'h3f75c122,32'h3f87cfc9, 32'h3f68d1eb,32'h3f8e4765,// invsqrt(0.9793) = 1.0105 +32'h3f3fc70d,32'h3f90edfc,32'h3f96d85a, 32'h3f8c7e36,32'h3f9b4820, 32'h3f851940,32'h3fa2ad16,// invsqrt(0.7491) = 1.1554 +32'h3f12b2cc,32'h3fa5b53b,32'h3fac78b5, 32'h3fa0a29f,32'h3fb18b51, 32'h3f982e46,32'h3fb9ffaa,// invsqrt(0.5730) = 1.3210 +32'h40f79fc9,32'h3eb45fce,32'h3ebbbc88, 32'h3eaeda42,32'h3ec14214, 32'h3ea5a65a,32'h3eca75fc,// invsqrt(7.7383) = 0.3595 +32'h40949ad9,32'h3ee8d69e,32'h3ef2578a, 32'h3ee1b5ed,32'h3ef9783b, 32'h3ed5d4c5,32'h3f02acb1,// invsqrt(4.6439) = 0.4640 +32'h3f94f915,32'h3f688cee,32'h3f720ad8, 32'h3f616e7e,32'h3f792948, 32'h3f559119,32'h3f828356,// invsqrt(1.1639) = 0.9269 +32'h3dbe73f0,32'h404dac49,32'h4056115b, 32'h4047607b,32'h405c5d29, 32'h403ce223,32'h4066db81,// invsqrt(0.0930) = 3.2792 +32'h3ff4815f,32'h3f35855f,32'h3f3cee15, 32'h3f2ff6d7,32'h3f427c9d, 32'h3f26b3f4,32'h3f4bbf80,// invsqrt(1.9102) = 0.7235 +32'h3e850d43,32'h3ff61235,32'h40000eb3, 32'h3fee89d0,32'h4003d2e5, 32'h3fe1fbd2,32'h400a19e4,// invsqrt(0.2599) = 1.9617 +32'h3e441a5a,32'h400f5287,32'h40152c19, 32'h400aef59,32'h40198f47, 32'h40039f62,32'h4020df3e,// invsqrt(0.1915) = 2.2851 +32'h3f2562d2,32'h3f9c10be,32'h3fa26f78, 32'h3f9749b3,32'h3fa73683, 32'h3f8f534c,32'h3faf2cea,// invsqrt(0.6460) = 1.2441 +32'h3f2f687c,32'h3f978a96,32'h3f9dba0a, 32'h3f92e6ff,32'h3fa25da1, 32'h3f8b2bae,32'h3faa18f2,// invsqrt(0.6852) = 1.2081 +32'h3ff9be31,32'h3f339b84,32'h3f3af03a, 32'h3f2e1bfa,32'h3f406fc4, 32'h3f24f216,32'h3f4999a9,// invsqrt(1.9511) = 0.7159 +32'h3f9237e9,32'h3f6abb13,32'h3f744fc6, 32'h3f638b8e,32'h3f7b7f4c, 32'h3f5791af,32'h3f83bc96,// invsqrt(1.1423) = 0.9356 +32'h409e0398,32'h3ee1ccb3,32'h3eeb0413, 32'h3edae32b,32'h3ef1ed9b, 32'h3ecf5df3,32'h3efd72d3,// invsqrt(4.9379) = 0.4500 +32'h3f2ad17f,32'h3f999053,32'h3f9fd4e9, 32'h3f94dce3,32'h3fa48859, 32'h3f8d0728,32'h3fac5e14,// invsqrt(0.6673) = 1.2242 +32'h3e1f3376,32'h401f116f,32'h40258f89, 32'h401a32dc,32'h402a6e1c, 32'h4012153c,32'h40328bbc,// invsqrt(0.1555) = 2.5362 +32'h3ec48b6a,32'h3fca75e9,32'h3fd2b96b, 32'h3fc44348,32'h3fd8ec0c, 32'h3fb9eee6,32'h3fe3406e,// invsqrt(0.3839) = 1.6140 +32'h3fb4d03a,32'h3f53158e,32'h3f5bb32c, 32'h3f4c9f58,32'h3f622962, 32'h3f41da54,32'h3f6cee66,// invsqrt(1.4126) = 0.8414 +32'h3dcd7c46,32'h404601c9,32'h404e16c2, 32'h403ff20f,32'h4054267d, 32'h4035d7d9,32'h405e40b3,// invsqrt(0.1003) = 3.1570 +32'h3d53818b,32'h408a0144,32'h408fa347, 32'h4085c7c2,32'h4093dcca, 32'h407d7a7e,32'h409ae74d,// invsqrt(0.0516) = 4.4007 +32'h3ed8b8c4,32'h3fc0ce40,32'h3fc8ace0, 32'h3fbae749,32'h3fce93d7, 32'h3fb11102,32'h3fd86a1e,// invsqrt(0.4233) = 1.5370 +32'h400d7dc3,32'h3f28bac6,32'h3f2f9dd3, 32'h3f23907b,32'h3f34c81d, 32'h3f1af4ab,32'h3f3d63ed,// invsqrt(2.2108) = 0.6726 +32'h3f5df835,32'h3f86b683,32'h3f8c3620, 32'h3f8296ce,32'h3f9055d6, 32'h3f776e94,32'h3f97355a,// invsqrt(0.8671) = 1.0739 +32'h3f78c3d7,32'h3f7e808a,32'h3f8471e9, 32'h3f76b612,32'h3f885725, 32'h3f69b9f6,32'h3f8ed533,// invsqrt(0.9717) = 1.0144 +32'h40210c08,32'h3f1e2761,32'h3f249bed, 32'h3f194ff8,32'h3f297356, 32'h3f113e4a,32'h3f318505,// invsqrt(2.5164) = 0.6304 +32'h4060b55c,32'h3f05e3b3,32'h3f0b5ab5, 32'h3f01ca71,32'h3f0f73f7, 32'h3ef5eb5e,32'h3f1648b9,// invsqrt(3.5111) = 0.5337 +32'h3ef79270,32'h3fb464ab,32'h3fbbc197, 32'h3faedef9,32'h3fc14749, 32'h3fa5aad1,32'h3fca7b71,// invsqrt(0.4835) = 1.4381 +32'h3ed42cff,32'h3fc2dc36,32'h3fcad04d, 32'h3fbce524,32'h3fd0c75e, 32'h3fb2f407,32'h3fdab87b,// invsqrt(0.4144) = 1.5534 +32'h3e5c19db,32'h40074897,32'h400cce2b, 32'h40032469,32'h4010f259, 32'h3ff87ae2,32'h4017d951,// invsqrt(0.2149) = 2.1569 +32'h3f5c720e,32'h3f872d84,32'h3f8cb1fd, 32'h3f830a2b,32'h3f90d557, 32'h3f784928,32'h3f97baee,// invsqrt(0.8611) = 1.0776 +32'h406f5269,32'h3f01bcbe,32'h3f07085e, 32'h3efb880e,32'h3f0b0115, 32'h3eee4b00,32'h3f119f9c,// invsqrt(3.7394) = 0.5171 +32'h3f51b846,32'h3f8a9766,32'h3f903f8a, 32'h3f86594c,32'h3f947da4, 32'h3f7e8e3e,32'h3f9b8fd1,// invsqrt(0.8192) = 1.1048 +32'h3f350638,32'h3f952c0f,32'h3f9b42c1, 32'h3f909b09,32'h3f9fd3c7, 32'h3f88feaa,32'h3fa77026,// invsqrt(0.7071) = 1.1892 +32'h3f689005,32'h3f839bf2,32'h3f88fb20, 32'h3f7f291e,32'h3f8d0283, 32'h3f71bb2a,32'h3f93b97d,// invsqrt(0.9084) = 1.0492 +32'h3f2c8aec,32'h3f98cb66,32'h3f9f07f2, 32'h3f941dfd,32'h3fa3b55b, 32'h3f8c524e,32'h3fab810a,// invsqrt(0.6740) = 1.2181 +32'h4183bc13,32'h3e774c58,32'h3e80b22e, 32'h3e6fba56,32'h3e847b2f, 32'h3e631c51,32'h3e8aca32,// invsqrt(16.4668) = 0.2464 +32'h3f09bfa7,32'h3fab01a3,32'h3fb1fc7b, 32'h3fa5c581,32'h3fb7389d, 32'h3f9d0bf4,32'h3fbff22a,// invsqrt(0.5381) = 1.3633 +32'h3fe42c6a,32'h3f3be7b1,32'h3f43931b, 32'h3f362721,32'h3f4953ab, 32'h3f2c90dc,32'h3f52e9f0,// invsqrt(1.7826) = 0.7490 +32'h3fa9bd9e,32'h3f59dc3b,32'h3f62c0a5, 32'h3f5330ea,32'h3f696bf6, 32'h3f481365,32'h3f74897b,// invsqrt(1.3261) = 0.8684 +32'h3e45afb8,32'h400ebf49,32'h401492d9, 32'h400a609d,32'h4018f185, 32'h40031829,32'h402039f9,// invsqrt(0.1931) = 2.2759 +32'h3eac9f12,32'h3fd808ea,32'h3fe0da42, 32'h3fd16be8,32'h3fe77744, 32'h3fc6663a,32'h3ff27cf2,// invsqrt(0.3372) = 1.7222 +32'h3fce5d74,32'h3f4595a4,32'h3f4da632, 32'h3f3f8939,32'h3f53b29d, 32'h3f357487,32'h3f5dc74f,// invsqrt(1.6122) = 0.7876 +32'h3f8568c3,32'h3f75bdc4,32'h3f7fc582, 32'h3f6e37f4,32'h3f83a5a9, 32'h3f61ae46,32'h3f89ea80,// invsqrt(1.0423) = 0.9795 +32'h3ffa2b99,32'h3f337439,32'h3f3ac756, 32'h3f2df5e4,32'h3f4045ac, 32'h3f24ce01,32'h3f496d8f,// invsqrt(1.9545) = 0.7153 +32'h40279038,32'h3f1b0c52,32'h3f21606a, 32'h3f164d40,32'h3f261f7c, 32'h3f0e6421,32'h3f2e089b,// invsqrt(2.6182) = 0.6180 +32'h3f2679b4,32'h3f9b8dce,32'h3fa1e730, 32'h3f96cac5,32'h3fa6aa39, 32'h3f8edb0c,32'h3fae99f2,// invsqrt(0.6503) = 1.2401 +32'h3f5b26be,32'h3f87938c,32'h3f8d1c2e, 32'h3f836d12,32'h3f9142a8, 32'h3f79048e,32'h3f982d73,// invsqrt(0.8561) = 1.0808 +32'h3ea96bd9,32'h3fda10c7,32'h3fe2f757, 32'h3fd363db,32'h3fe9a443, 32'h3fc843a7,32'h3ff4c477,// invsqrt(0.3309) = 1.7384 +32'h3f3e70d6,32'h3f916ff9,32'h3f975fa5, 32'h3f8cfc38,32'h3f9bd366, 32'h3f8590a1,32'h3fa33efd,// invsqrt(0.7439) = 1.1594 +32'h3fac1600,32'h3f585ee2,32'h3f6133bd, 32'h3f51bf3f,32'h3f67d361, 32'h3f46b52e,32'h3f72dd72,// invsqrt(1.3444) = 0.8624 +32'h3f85eee1,32'h3f75429b,32'h3f7f4553, 32'h3f6dc091,32'h3f8363ae, 32'h3f613d2b,32'h3f89a561,// invsqrt(1.0464) = 0.9776 +32'h3efaedba,32'h3fb32ec1,32'h3fba7f08, 32'h3fadb28d,32'h3fbffb3d, 32'h3fa48e34,32'h3fc91f96,// invsqrt(0.4901) = 1.4284 +32'h4090b65e,32'h3eebf2f4,32'h3ef59461, 32'h3ee4b9e2,32'h3efccd72, 32'h3ed8b019,32'h3f046b9e,// invsqrt(4.5223) = 0.4702 +32'h402f9729,32'h3f177670,32'h3f1da512, 32'h3f12d377,32'h3f22480b, 32'h3f0b192e,32'h3f2a0254,// invsqrt(2.7436) = 0.6037 +32'h3fc6b1a5,32'h3f495cd1,32'h3f5194d9, 32'h3f4332ca,32'h3f57bee0, 32'h3f38ecc0,32'h3f6204ea,// invsqrt(1.5523) = 0.8026 +32'h3fc7baa5,32'h3f48d70f,32'h3f5109a2, 32'h3f42b121,32'h3f572f91, 32'h3f3871ea,32'h3f616ec8,// invsqrt(1.5604) = 0.8005 +32'h401c5b7c,32'h3f208211,32'h3f270f36, 32'h3f1b9834,32'h3f2bf912, 32'h3f1367c6,32'h3f342980,// invsqrt(2.4431) = 0.6398 +32'h3fa716fe,32'h3f5b94e7,32'h3f648b4f, 32'h3f54dc1a,32'h3f6b441c, 32'h3f49a818,32'h3f76781e,// invsqrt(1.3054) = 0.8752 +32'h3fc6d62b,32'h3f494a52,32'h3f518199, 32'h3f4320dc,32'h3f57ab0e, 32'h3f38dbc3,32'h3f61f027,// invsqrt(1.5534) = 0.8023 +32'h3f6a4c26,32'h3f831efa,32'h3f88790e, 32'h3f7e36d4,32'h3f8c7c9e, 32'h3f70d5a1,32'h3f932d38,// invsqrt(0.9152) = 1.0453 +32'h3f7fbd12,32'h3f7b021a,32'h3f82a071, 32'h3f735304,32'h3f8677fc, 32'h3f66848b,32'h3f8cdf38,// invsqrt(0.9990) = 1.0005 +32'h3f2f6365,32'h3f978cc9,32'h3f9dbc53, 32'h3f92e920,32'h3fa25ffc, 32'h3f8b2db3,32'h3faa1b69,// invsqrt(0.6851) = 1.2081 +32'h3fac9ff9,32'h3f580859,32'h3f60d9ab, 32'h3f516b5c,32'h3f6776a8, 32'h3f4665b5,32'h3f727c4f,// invsqrt(1.3486) = 0.8611 +32'h3fbeba84,32'h3f4d8637,32'h3f55e9bc, 32'h3f473b94,32'h3f5c3460, 32'h3f3cbf2e,32'h3f66b0c6,// invsqrt(1.4901) = 0.8192 +32'h3f8eb503,32'h3f6d99db,32'h3f774c8b, 32'h3f6653d7,32'h3f7e928f, 32'h3f5a347b,32'h3f8558f6,// invsqrt(1.1149) = 0.9471 +32'h4021f197,32'h3f1db722,32'h3f242718, 32'h3f18e328,32'h3f28fb12, 32'h3f10d734,32'h3f310706,// invsqrt(2.5304) = 0.6286 +32'h3d3a2cf0,32'h4093180b,32'h40991907, 32'h408e974f,32'h409d99c3, 32'h40871615,32'h40a51afd,// invsqrt(0.0455) = 4.6905 +32'h3f785e93,32'h3f7eb467,32'h3f848ce7, 32'h3f76e859,32'h3f8872ee, 32'h3f69e997,32'h3f8ef24e,// invsqrt(0.9702) = 1.0152 +32'h4082f71e,32'h3ef80607,32'h3f0112cf, 32'h3ef06e55,32'h3f04dea8, 32'h3ee3c6d8,32'h3f0b3267,// invsqrt(4.0927) = 0.4943 +32'h3f8564da,32'h3f75c15e,32'h3f7fc942, 32'h3f6e3b72,32'h3f83a797, 32'h3f61b195,32'h3f89ec86,// invsqrt(1.0421) = 0.9796 +32'h429873ed,32'h3de5e190,32'h3def4396, 32'h3dded80c,32'h3df64d1a, 32'h3dd31d84,32'h3e0103d1,// invsqrt(76.2264) = 0.1145 +32'h3e1e69b0,32'h401f769d,32'h4025f8d7, 32'h401a94f1,32'h402ada83, 32'h40127227,32'h4032fd4d,// invsqrt(0.1547) = 2.5425 +32'h3eddf12f,32'h3fbe864f,32'h3fc64d19, 32'h3fb8b138,32'h3fcc2230, 32'h3faef8bc,32'h3fd5daac,// invsqrt(0.4335) = 1.5189 +32'h4056bf9d,32'h3f08f589,32'h3f0e8c9f, 32'h3f04c439,32'h3f12bdef, 32'h3efb8ebe,32'h3f19bac9,// invsqrt(3.3554) = 0.5459 +32'h3f4366f4,32'h3f8f9443,32'h3f957084, 32'h3f8b2f11,32'h3f99d5b5, 32'h3f83dbc0,32'h3fa12906,// invsqrt(0.7633) = 1.1446 +32'h3f1d2977,32'h3fa018bf,32'h3fa6a198, 32'h3f9b321d,32'h3fab883b, 32'h3f93070e,32'h3fb3b34a,// invsqrt(0.6139) = 1.2763 +32'h3fae9fb5,32'h3f56cae5,32'h3f5f8f42, 32'h3f5037a0,32'h3f662288, 32'h3f45422c,32'h3f7117fc,// invsqrt(1.3642) = 0.8562 +32'h421132e3,32'h3e268fbd,32'h3e2d5c22, 32'h3e217670,32'h3e32756e, 32'h3e18f6f1,32'h3e3af4ed,// invsqrt(36.2997) = 0.1660 +32'h3fbd4372,32'h3f4e5179,32'h3f56bd49, 32'h3f48009c,32'h3f5d0e26, 32'h3f3d79d7,32'h3f6794eb,// invsqrt(1.4786) = 0.8224 +32'h3f29da92,32'h3f99ffca,32'h3fa048ec, 32'h3f9548f0,32'h3fa4ffc6, 32'h3f8d6d85,32'h3facdb31,// invsqrt(0.6635) = 1.2277 +32'h3f78e7bf,32'h3f7e6e2e,32'h3f84685c, 32'h3f76a448,32'h3f884d50, 32'h3f69a91c,32'h3f8ecae6,// invsqrt(0.9723) = 1.0142 +32'h3e81647c,32'h3ff986c0,32'h4001db06, 32'h3ff1e347,32'h4005acc2, 32'h3fe52829,32'h400c0a52,// invsqrt(0.2527) = 1.9892 +32'h3ec086a1,32'h3fcc900d,32'h3fd4e986, 32'h3fc64cf3,32'h3fdb2ca1, 32'h3fbbdd1c,32'h3fe59c78,// invsqrt(0.3760) = 1.6308 +32'h40c744a1,32'h3ec91280,32'h3ed14780, 32'h3ec2eac0,32'h3ed76f40, 32'h3eb8a880,32'h3ee1b180,// invsqrt(6.2271) = 0.4007 +32'h400d21fc,32'h3f28f199,32'h3f2fd6e4, 32'h3f23c5a2,32'h3f3502dc, 32'h3f1b2706,32'h3f3da179,// invsqrt(2.2052) = 0.6734 +32'h3e0201cc,32'h40300639,32'h4037357f, 32'h402aa2c4,32'h403c98f4, 32'h4021a7ac,32'h4045940c,// invsqrt(0.1270) = 2.8065 +32'h3ebd6c91,32'h3fce3b13,32'h3fd6a5f9, 32'h3fc7eae6,32'h3fdcf626, 32'h3fbd6545,32'h3fe77bc7,// invsqrt(0.3700) = 1.6441 +32'h3c7523af,32'h4100304a,32'h41056bba, 32'h40f8876a,32'h4109584f, 32'h40eb72d0,32'h410fe29c,// invsqrt(0.0150) = 8.1753 +32'h3f9e2191,32'h3f61b74c,32'h3f6aedcc, 32'h3f5ace6b,32'h3f71d6ad, 32'h3f4f4a4b,32'h3f7d5acd,// invsqrt(1.2354) = 0.8997 +32'h3f93f5c3,32'h3f69585e,32'h3f72de96, 32'h3f6233b4,32'h3f7a0340, 32'h3f564bee,32'h3f82f583,// invsqrt(1.1559) = 0.9301 +32'h40a311b6,32'h3ede45ad,32'h3ee75831, 32'h3ed777c9,32'h3eee2615, 32'h3ecc20a4,32'h3ef97d3a,// invsqrt(5.0959) = 0.4430 +32'h3ec55fcf,32'h3fca08dc,32'h3fd247ea, 32'h3fc3d991,32'h3fd87735, 32'h3fb98ac0,32'h3fe2c607,// invsqrt(0.3855) = 1.6106 +32'h404f2615,32'h3f0b72e6,32'h3f112400, 32'h3f072e14,32'h3f1568d2, 32'h3f0010b4,32'h3f1c8632,// invsqrt(3.2367) = 0.5558 +32'h3fb4150e,32'h3f538324,32'h3f5c253b, 32'h3f4d0994,32'h3f629ecc, 32'h3f423ef8,32'h3f6d6968,// invsqrt(1.4069) = 0.8431 +32'h40be31b9,32'h3ecdd013,32'h3ed6369b, 32'h3ec7832c,32'h3edc8382, 32'h3ebd0302,32'h3ee703ad,// invsqrt(5.9436) = 0.4102 +32'h3cc0362d,32'h40ccbad8,32'h40d51610, 32'h40c6766e,32'h40db5a7a, 32'h40bc0468,32'h40e5cc80,// invsqrt(0.0235) = 6.5284 +32'h408de230,32'h3eee4a20,32'h3ef80402, 32'h3ee6feb7,32'h3eff4f6b, 32'h3edad65c,32'h3f05bbe3,// invsqrt(4.4339) = 0.4749 +32'h3f912b99,32'h3f6b939b,32'h3f753124, 32'h3f645d75,32'h3f7c674b, 32'h3f58588a,32'h3f84361b,// invsqrt(1.1341) = 0.9390 +32'h404433ed,32'h3f0f492f,32'h3f152260, 32'h3f0ae64b,32'h3f198545, 32'h3f0396ce,32'h3f20d4c2,// invsqrt(3.0657) = 0.5711 +32'h3da1aaf7,32'h405f3bc2,32'h40685852, 32'h40586656,32'h406f2dbe, 32'h404d02a3,32'h407a9171,// invsqrt(0.0789) = 3.5592 +32'h3fa18366,32'h3f5f5718,32'h3f6874c5, 32'h3f5880d5,32'h3f6f4b07, 32'h3f4d1bbd,32'h3f7ab01f,// invsqrt(1.2618) = 0.8902 +32'h3fc20dcc,32'h3f4bc178,32'h3f541282, 32'h3f4584b0,32'h3f5a4f4a, 32'h3f3b1f64,32'h3f64b496,// invsqrt(1.5160) = 0.8122 +32'h4057042d,32'h3f08dfb1,32'h3f0e75e3, 32'h3f04af0d,32'h3f12a687, 32'h3efb669f,32'h3f19a245,// invsqrt(3.3596) = 0.5456 +32'h3f1922b2,32'h3fa23010,32'h3fa8cec2, 32'h3f9d390a,32'h3fadc5c8, 32'h3f94f2ab,32'h3fb60c27,// invsqrt(0.5982) = 1.2930 +32'h41522c26,32'h3e8a712c,32'h3e9017c0, 32'h3e86343d,32'h3e9454af, 32'h3e7e4808,32'h3e9b64e8,// invsqrt(13.1358) = 0.2759 +32'h3f106177,32'h3fa7085d,32'h3fadd9af, 32'h3fa1eb5f,32'h3fb2f6ad, 32'h3f9965b9,32'h3fbb7c53,// invsqrt(0.5640) = 1.3316 +32'h3ef03604,32'h3fb722e9,32'h3fbe9c7f, 32'h3fb187b8,32'h3fc437b0, 32'h3fa82fbc,32'h3fcd8fac,// invsqrt(0.4692) = 1.4600 +32'h3f4483b3,32'h3f8f2c17,32'h3f950418, 32'h3f8aca17,32'h3f996619, 32'h3f837c16,32'h3fa0b41a,// invsqrt(0.7676) = 1.1414 +32'h3ef29c5d,32'h3fb63a76,32'h3fbdaa90, 32'h3fb0a663,32'h3fc33ea3, 32'h3fa75a43,32'h3fcc8ac3,// invsqrt(0.4738) = 1.4527 +32'h3f2c6116,32'h3f98ddef,32'h3f9f1b3d, 32'h3f942ff5,32'h3fa3c937, 32'h3f8c6354,32'h3fab95d8,// invsqrt(0.6734) = 1.2186 +32'h4265cdce,32'h3e046591,32'h3e09ccfb, 32'h3e005802,32'h3e0dda8a, 32'h3df32d7e,32'h3e149bcd,// invsqrt(57.4510) = 0.1319 +32'h413242ff,32'h3e9652d4,32'h3e9c758e, 32'h3e91b8c8,32'h3ea10f9a, 32'h3e8a0d5f,32'h3ea8bb03,// invsqrt(11.1414) = 0.2996 +32'h3eee5061,32'h3fb7dd23,32'h3fbf5e53, 32'h3fb23c3e,32'h3fc4ff38, 32'h3fa8dac2,32'h3fce60b4,// invsqrt(0.4655) = 1.4658 +32'h40af829d,32'h3ed63fe0,32'h3edefe90, 32'h3ecfb0dc,32'h3ee58d94, 32'h3ec4c27f,32'h3ef07bf1,// invsqrt(5.4847) = 0.4270 +32'h3ef31d40,32'h3fb60a22,32'h3fbd7842, 32'h3fb07789,32'h3fc30adb, 32'h3fa72de0,32'h3fcc5484,// invsqrt(0.4748) = 1.4512 +32'h4003db3c,32'h3f2ec918,32'h3f35eb6c, 32'h3f296f58,32'h3f3b452c, 32'h3f20846f,32'h3f443015,// invsqrt(2.0603) = 0.6967 +32'h3f8293d2,32'h3f786443,32'h3f8143da, 32'h3f70c9ae,32'h3f851124, 32'h3f641d62,32'h3f8b674a,// invsqrt(1.0201) = 0.9901 +32'h41402a09,32'h3e90c8a3,32'h3e96b17b, 32'h3e8c5a01,32'h3e9b201d, 32'h3e84f6f4,32'h3ea2832a,// invsqrt(12.0103) = 0.2886 +32'h411a12f7,32'h3ea1b169,32'h3ea84aef, 32'h3e9cbe43,32'h3ead3e15, 32'h3e947e5b,32'h3eb57dfd,// invsqrt(9.6296) = 0.3223 +32'h40ee3b9b,32'h3eb7e527,32'h3ebf66ab, 32'h3eb24404,32'h3ec507ce, 32'h3ea8e21e,32'h3ece69b4,// invsqrt(7.4448) = 0.3665 +32'h3f591787,32'h3f8837c9,32'h3f8dc71f, 32'h3f840c48,32'h3f91f2a0, 32'h3f7a3237,32'h3f98e5cc,// invsqrt(0.8480) = 1.0859 +32'h3e61cf2c,32'h4005900c,32'h400b03a4, 32'h4001795a,32'h400f1a56, 32'h3ff551b8,32'h4015ead4,// invsqrt(0.2205) = 2.1295 +32'h3e5e3c0e,32'h4006a1f1,32'h400c20b7, 32'h400282dd,32'h40103fcb, 32'h3ff748ca,32'h40171e43,// invsqrt(0.2170) = 2.1466 +32'h42ab808d,32'h3dd8bd14,32'h3de195c6, 32'h3dd21a8e,32'h3de8384c, 32'h3dc70baf,32'h3df3472b,// invsqrt(85.7511) = 0.1080 +32'h405e9a0d,32'h3f068582,32'h3f0c031e, 32'h3f02674c,32'h3f102154, 32'h3ef71490,32'h3f16fe58,// invsqrt(3.4782) = 0.5362 +32'h411c5b51,32'h3ea08227,32'h3ea70f4d, 32'h3e9b984a,32'h3eabf92a, 32'h3e9367db,32'h3eb42999,// invsqrt(9.7723) = 0.3199 +32'h4126a307,32'h3e9b7a84,32'h3ea1d31b, 32'h3e96b811,32'h3ea6958d, 32'h3e8ec954,32'h3eae844a,// invsqrt(10.4148) = 0.3099 +32'h40335d57,32'h3f15dc54,32'h3f1bfa38, 32'h3f1145e9,32'h3f2090a3, 32'h3f09a08c,32'h3f283600,// invsqrt(2.8026) = 0.5973 +32'h3f27be38,32'h3f9af70e,32'h3fa14a48, 32'h3f9638a2,32'h3fa608b4, 32'h3f8e509a,32'h3fadf0bc,// invsqrt(0.6552) = 1.2354 +32'h3f3abd3f,32'h3f92df2a,32'h3f98ddd3, 32'h3f8e602c,32'h3f9d5cd2, 32'h3f86e1d9,32'h3fa4db25,// invsqrt(0.7295) = 1.1709 +32'h3ebfe881,32'h3fcce443,32'h3fd5412b, 32'h3fc69e94,32'h3fdb86da, 32'h3fbc2a72,32'h3fe5fafd,// invsqrt(0.3748) = 1.6334 +32'h3f939ee8,32'h3f699cfa,32'h3f7325fe, 32'h3f627636,32'h3f7a4cc2, 32'h3f568af0,32'h3f831c04,// invsqrt(1.1533) = 0.9312 +32'h3f4288e9,32'h3f8fe61c,32'h3f95c5b4, 32'h3f8b7e69,32'h3f9a2d67, 32'h3f8426ea,32'h3fa184e6,// invsqrt(0.7599) = 1.1472 +32'h416e4f7d,32'h3e820326,32'h3e8751a4, 32'h3e7c108d,32'h3e8b4c84, 32'h3e6ecc4f,32'h3e91eea2,// invsqrt(14.8944) = 0.2591 +32'h3fc7c99d,32'h3f48cf89,32'h3f5101cd, 32'h3f42a9d6,32'h3f572780, 32'h3f386b00,32'h3f616656,// invsqrt(1.5608) = 0.8004 +32'h404ce949,32'h3f0c3546,32'h3f11ee4e, 32'h3f07ea80,32'h3f163914, 32'h3f00c336,32'h3f1d605e,// invsqrt(3.2017) = 0.5589 +32'h412c5ebf,32'h3e98def9,32'h3e9f1c51, 32'h3e9430f6,32'h3ea3ca54, 32'h3e8c6448,32'h3eab9702,// invsqrt(10.7731) = 0.3047 +32'h404aa9e9,32'h3f0cfbc1,32'h3f12bce3, 32'h3f08aae8,32'h3f170dbc, 32'h3f01797d,32'h3f1e3f27,// invsqrt(3.1666) = 0.5620 +32'h3f5a2726,32'h3f87e2e1,32'h3f8d6ec1, 32'h3f83b9fa,32'h3f9197a8, 32'h3f799645,32'h3f988680,// invsqrt(0.8522) = 1.0833 +32'h407a9d07,32'h3efd8fd5,32'h3f03f4a6, 32'h3ef5ccbc,32'h3f07d632, 32'h3ee8dce8,32'h3f0e4e1c,// invsqrt(3.9158) = 0.5053 +32'h3f465959,32'h3f8e8232,32'h3f945344, 32'h3f8a2565,32'h3f98b011, 32'h3f82e00f,32'h3f9ff567,// invsqrt(0.7748) = 1.1361 +32'h3f9462fa,32'h3f69026f,32'h3f728525, 32'h3f61e066,32'h3f79a72e, 32'h3f55fd03,32'h3f82c549,// invsqrt(1.1593) = 0.9288 +32'h3e2596dd,32'h401bf836,32'h402255ef, 32'h401731eb,32'h40271c39, 32'h400f3cc3,32'h402f1161,// invsqrt(0.1617) = 2.4868 +32'h3eaa58fc,32'h3fd978ca,32'h3fe25926, 32'h3fd2d085,32'h3fe9016b, 32'h3fc7b812,32'h3ff419de,// invsqrt(0.3327) = 1.7337 +32'h3e912f41,32'h3feb90a4,32'h3ff52e0e, 32'h3fe45a95,32'h3ffc641d, 32'h3fd855d0,32'h40043471,// invsqrt(0.2836) = 1.8779 +32'h3f59e396,32'h3f87f7f1,32'h3f8d84ad, 32'h3f83ce65,32'h3f91ae39, 32'h3f79bcf5,32'h3f989e24,// invsqrt(0.8511) = 1.0839 +32'h416ea958,32'h3e81eaaa,32'h3e87382a, 32'h3e7be116,32'h3e8b3249, 32'h3e6e9f58,32'h3e91d328,// invsqrt(14.9163) = 0.2589 +32'h40ac60b9,32'h3ed82ff8,32'h3ee102e8, 32'h3ed191c4,32'h3ee7a11c, 32'h3ec68a18,32'h3ef2a8c8,// invsqrt(5.3868) = 0.4309 +32'h3e3cd889,32'h40120cdd,32'h401802f1, 32'h400d944f,32'h401c7b7f, 32'h400620b6,32'h4023ef18,// invsqrt(0.1844) = 2.3286 +32'h3c334a67,32'h4115e43e,32'h411c0275, 32'h41114d96,32'h4120991e, 32'h4109a7d1,32'h41283ee3,// invsqrt(0.0109) = 9.5594 +32'h3f6a2a0b,32'h3f832886,32'h3f8882fe, 32'h3f7e4957,32'h3f8c86d8, 32'h3f70e72a,32'h3f9337ef,// invsqrt(0.9147) = 1.0456 +32'h3f58c986,32'h3f885049,32'h3f8de09f, 32'h3f842408,32'h3f920ce0, 32'h3f7a5f37,32'h3f99014c,// invsqrt(0.8468) = 1.0867 +32'h3f1fd89a,32'h3f9ebf2f,32'h3fa539ed, 32'h3f99e320,32'h3faa15fc, 32'h3f91c9b3,32'h3fb22f69,// invsqrt(0.6244) = 1.2655 +32'h402c511d,32'h3f18e505,32'h3f1f229d, 32'h3f1436d3,32'h3f23d0cf, 32'h3f0c69d6,32'h3f2b9dcc,// invsqrt(2.6925) = 0.6094 +32'h3f92d452,32'h3f6a3dec,32'h3f73cd82, 32'h3f63123b,32'h3f7af933, 32'h3f571ebe,32'h3f837658,// invsqrt(1.1471) = 0.9337 +32'h3ee19f2d,32'h3fbcf6f2,32'h3fc4ad6e, 32'h3fb72e14,32'h3fca764c, 32'h3fad89f8,32'h3fd41a68,// invsqrt(0.4407) = 1.5064 +32'h40bf271b,32'h3ecd4bcf,32'h3ed5acf1, 32'h3ec702f5,32'h3edbf5cb, 32'h3ebc898a,32'h3ee66f36,// invsqrt(5.9735) = 0.4092 +32'h3f43953b,32'h3f8f8345,32'h3f955ed5, 32'h3f8b1e99,32'h3f99c381, 32'h3f83cc25,32'h3fa115f5,// invsqrt(0.7640) = 1.1441 +32'h3fbb737e,32'h3f4f502f,32'h3f57c665, 32'h3f48f786,32'h3f5e1f0e, 32'h3f3e63c3,32'h3f68b2d1,// invsqrt(1.4645) = 0.8263 +32'h3f1dfdf1,32'h3f9facf4,32'h3fa63166, 32'h3f9ac99e,32'h3fab14bc, 32'h3f92a40f,32'h3fb33a4b,// invsqrt(0.6172) = 1.2729 +32'h4006d99d,32'h3f2cd5a3,32'h3f33e394, 32'h3f278b2d,32'h3f392e09, 32'h3f1eb9bf,32'h3f41ff77,// invsqrt(2.1070) = 0.6889 +32'h400b2dab,32'h3f2a2033,32'h3f3111d7, 32'h3f24eaf8,32'h3f364712, 32'h3f1c3ceb,32'h3f3ef51f,// invsqrt(2.1747) = 0.6781 +32'h3f1d98ae,32'h3f9fe038,32'h3fa666c2, 32'h3f9afb50,32'h3fab4baa, 32'h3f92d324,32'h3fb373d6,// invsqrt(0.6156) = 1.2745 +32'h3fb9604c,32'h3f507862,32'h3f58fab0, 32'h3f4a16a9,32'h3f5f5c69, 32'h3f3f73c8,32'h3f69ff4a,// invsqrt(1.4483) = 0.8310 +32'h3ebdbf83,32'h3fce0dfa,32'h3fd6770a, 32'h3fc7bf2f,32'h3fdcc5d5, 32'h3fbd3bdb,32'h3fe74929,// invsqrt(0.3706) = 1.6427 +32'h3f84daa7,32'h3f76410f,32'h3f802715, 32'h3f6eb73b,32'h3f83ebff, 32'h3f6226d9,32'h3f8a342f,// invsqrt(1.0379) = 0.9816 +32'h3fe65359,32'h3f3b066e,32'h3f42a8a7, 32'h3f354cc4,32'h3f486252, 32'h3f2bc1fd,32'h3f51ed19,// invsqrt(1.7994) = 0.7455 +32'h3ff1f209,32'h3f367a8f,32'h3f3ded47, 32'h3f30e486,32'h3f438350, 32'h3f279520,32'h3f4cd2b6,// invsqrt(1.8902) = 0.7274 +32'h3fd320e9,32'h3f4357c6,32'h3f4b50e8, 32'h3f3d5cec,32'h3f514bc2, 32'h3f336582,32'h3f5b432d,// invsqrt(1.6494) = 0.7786 +32'h3eb7f4e2,32'h3fd145e9,32'h3fd9d099, 32'h3fcadde4,32'h3fe0389e, 32'h3fc03087,32'h3feae5fb,// invsqrt(0.3593) = 1.6683 +32'h3fcb3e16,32'h3f4718b8,32'h3f4f3913, 32'h3f410073,32'h3f555157, 32'h3f36d801,32'h3f5f79c9,// invsqrt(1.5878) = 0.7936 +32'h42233e70,32'h3e1d1606,32'h3e237f6a, 32'h3e1846fc,32'h3e284e74, 32'h3e10433f,32'h3e305231,// invsqrt(40.8110) = 0.1565 +32'h3f286cb2,32'h3f9aa6b5,32'h3fa0f6a7, 32'h3f95eabf,32'h3fa5b29d, 32'h3f8e06d0,32'h3fad968c,// invsqrt(0.6579) = 1.2329 +32'h3effeee6,32'h3fb16c0f,32'h3fb8a9f0, 32'h3fabfda5,32'h3fbe1859, 32'h3fa2f04c,32'h3fc725b2,// invsqrt(0.4999) = 1.4144 +32'h3f6ab7c6,32'h3f8300e6,32'h3f8859c1, 32'h3f7dfc86,32'h3f8c5c65, 32'h3f709e64,32'h3f930b76,// invsqrt(0.9169) = 1.0444 +32'h3e530f5e,32'h400a2693,32'h400fca1b, 32'h4005ebec,32'h401404c2, 32'h3ffdbf03,32'h401b112c,// invsqrt(0.2061) = 2.2027 +32'h3f0559b4,32'h3fadcdbb,32'h3fb4e5cd, 32'h3fa87bad,32'h3fba37db, 32'h3f9f9d97,32'h3fc315f1,// invsqrt(0.5209) = 1.3856 +32'h410c31dc,32'h3ea9820b,32'h3eb06d3a, 32'h3ea451a7,32'h3eb59d9d, 32'h3e9babab,32'h3ebe4399,// invsqrt(8.7622) = 0.3378 +32'h3f5c50a9,32'h3f8737c3,32'h3f8cbca6, 32'h3f831418,32'h3f90e050, 32'h3f785bf7,32'h3f97c66c,// invsqrt(0.8606) = 1.0779 +32'h3f898f48,32'h3f72016f,32'h3f7be226, 32'h3f6a98e7,32'h3f81a557, 32'h3f5e4004,32'h3f87d1c9,// invsqrt(1.0747) = 0.9646 +32'h3f521da0,32'h3f8a75f5,32'h3f901cbb, 32'h3f8638e0,32'h3f9459d0, 32'h3f7e50d2,32'h3f9b6a47,// invsqrt(0.8208) = 1.1038 +32'h3fdf2bb0,32'h3f3dffe0,32'h3f45c12d, 32'h3f382ee6,32'h3f4b9226, 32'h3f2e7d45,32'h3f5543c7,// invsqrt(1.7435) = 0.7573 +32'h3f09aeb2,32'h3fab0c2b,32'h3fb20771, 32'h3fa5cfb7,32'h3fb743e5, 32'h3f9d15a0,32'h3fbffdfc,// invsqrt(0.5378) = 1.3636 +32'h3e9f0c38,32'h3fe1108d,32'h3fea403f, 32'h3fda2cc7,32'h3ff12405, 32'h3fceb129,32'h3ffc9fa3,// invsqrt(0.3106) = 1.7942 +32'h3eb0922e,32'h3fd59ade,32'h3fde52d2, 32'h3fcf10e7,32'h3fe4dcc9, 32'h3fc42af6,32'h3fefc2ba,// invsqrt(0.3449) = 1.7028 +32'h3eb45b2b,32'h3fd35a04,32'h3fdbfa6c, 32'h3fcce1b5,32'h3fe272bb, 32'h3fc21932,32'h3fed3b3e,// invsqrt(0.3523) = 1.6849 +32'h4060fc10,32'h3f05cea7,32'h3f0b44cd, 32'h3f01b60a,32'h3f0f5d6a, 32'h3ef5c4b5,32'h3f163119,// invsqrt(3.5154) = 0.5334 +32'h40292838,32'h3f1a50e4,32'h3f209d56, 32'h3f15978f,32'h3f2556ab, 32'h3f0db800,32'h3f2d363a,// invsqrt(2.6431) = 0.6151 +32'h3f149c93,32'h3fa4a349,32'h3fab5b95, 32'h3f9f9910,32'h3fb065ce, 32'h3f9732b1,32'h3fb8cc2d,// invsqrt(0.5805) = 1.3125 +32'h3fbe55f7,32'h3f4dbc7a,32'h3f562236, 32'h3f47702d,32'h3f5c6e83, 32'h3f3cf102,32'h3f66edae,// invsqrt(1.4870) = 0.8201 +32'h3f070767,32'h3facb852,32'h3fb3c512, 32'h3fa76ec3,32'h3fb90ea1, 32'h3f9e9ed3,32'h3fc1de91,// invsqrt(0.5275) = 1.3769 +32'h401f73b2,32'h3f1ef162,32'h3f256e2c, 32'h3f1a13ca,32'h3f2a4bc4, 32'h3f11f7cd,32'h3f3267c1,// invsqrt(2.4914) = 0.6335 +32'h3f48966d,32'h3f8db60d,32'h3f937ec9, 32'h3f895f7f,32'h3f97d557, 32'h3f822494,32'h3f9f1042,// invsqrt(0.7835) = 1.1297 +32'h3f9c458c,32'h3f630e0f,32'h3f6c528d, 32'h3f5c1ab0,32'h3f7345ec, 32'h3f508513,32'h3f7edb89,// invsqrt(1.2209) = 0.9050 +32'h3f27d8bc,32'h3f9aead0,32'h3fa13d8a, 32'h3f962cc4,32'h3fa5fb96, 32'h3f8e455c,32'h3fade2fe,// invsqrt(0.6557) = 1.2350 +32'h3ee0e81b,32'h3fbd43ca,32'h3fc4fd6a, 32'h3fb77892,32'h3fcac8a2, 32'h3fadd08b,32'h3fd470a9,// invsqrt(0.4393) = 1.5088 +32'h42a18b21,32'h3ddf51c0,32'h3de86f35, 32'h3dd87ba7,32'h3def454d, 32'h3dcd16d4,32'h3dfaaa20,// invsqrt(80.7717) = 0.1113 +32'h404e65b2,32'h3f0bb3d5,32'h3f116795, 32'h3f076d06,32'h3f15ae64, 32'h3f004c56,32'h3f1ccf14,// invsqrt(3.2250) = 0.5568 +32'h3e01eb4f,32'h40301574,32'h4037455a, 32'h402ab188,32'h403ca946, 32'h4021b5aa,32'h4045a525,// invsqrt(0.1269) = 2.8075 +32'h4025e37d,32'h3f1bd42c,32'h3f22306c, 32'h3f170efb,32'h3f26f59d, 32'h3f0f1bab,32'h3f2ee8ed,// invsqrt(2.5920) = 0.6211 +32'h3ecef5fa,32'h3fc54cc8,32'h3fcd5a5e, 32'h3fbf4298,32'h3fd3648e, 32'h3fb5319e,32'h3fdd7588,// invsqrt(0.4042) = 1.5729 +32'h3f8e0c04,32'h3f6e2708,32'h3f77df7c, 32'h3f66dcb2,32'h3f7f29d2, 32'h3f5ab622,32'h3f85a831,// invsqrt(1.1097) = 0.9493 +32'h3e1ea613,32'h401f5841,32'h4025d93f, 32'h401a7783,32'h402ab9fd, 32'h40125646,32'h4032db3a,// invsqrt(0.1549) = 2.5406 +32'h40dbc503,32'h3ebf76cc,32'h3ec74766, 32'h3eb99a58,32'h3ecd23da, 32'h3eafd596,32'h3ed6e89c,// invsqrt(6.8678) = 0.3816 +32'h3e856fc5,32'h3ff5b750,32'h3fffbecb, 32'h3fee31b3,32'h4003a234, 32'h3fe1a858,32'h4009e6e1,// invsqrt(0.2606) = 1.9588 +32'h3f6cc12d,32'h3f827056,32'h3f87c34a, 32'h3f7ce43e,32'h3f8bc181, 32'h3f6f94dd,32'h3f926932,// invsqrt(0.9248) = 1.0399 +32'h3e8f9476,32'h3fece0b0,32'h3ff68bd2, 32'h3fe5a057,32'h3ffdcc2b, 32'h3fd98a6e,32'h4004f10a,// invsqrt(0.2804) = 1.8884 +32'h3ec1f7cc,32'h3fcbcd06,32'h3fd41e88, 32'h3fc58fe3,32'h3fda5bab, 32'h3fbb2a00,32'h3fe4c18e,// invsqrt(0.3788) = 1.6247 +32'h3fccf9fb,32'h3f4640ae,32'h3f4e5838, 32'h3f402f07,32'h3f5469df, 32'h3f36119b,32'h3f5e874b,// invsqrt(1.6014) = 0.7902 +32'h3f4a59e5,32'h3f8d179e,32'h3f92d9e4, 32'h3f88c5eb,32'h3f972b97, 32'h3f819314,32'h3f9e5e6e,// invsqrt(0.7904) = 1.1248 +32'h40a8c106,32'h3eda7f0a,32'h3ee36a1a, 32'h3ed3cebe,32'h3eea1a66, 32'h3ec8a8ea,32'h3ef5403a,// invsqrt(5.2736) = 0.4355 +32'h3f2697f3,32'h3f9b7faf,32'h3fa1d87d, 32'h3f96bd15,32'h3fa69b17, 32'h3f8ece14,32'h3fae8a18,// invsqrt(0.6508) = 1.2396 +32'h3eb926f6,32'h3fd098a7,32'h3fd91c45, 32'h3fca35f0,32'h3fdf7efc, 32'h3fbf916a,32'h3fea2382,// invsqrt(0.3616) = 1.6629 +32'h3f5aea23,32'h3f87a64f,32'h3f8d2fb6, 32'h3f837f43,32'h3f9156c3, 32'h3f792705,32'h3f984283,// invsqrt(0.8551) = 1.0814 +32'h3f5930e0,32'h3f882fd6,32'h3f8dbeda, 32'h3f840494,32'h3f91ea1c, 32'h3f7a239e,32'h3f98dce1,// invsqrt(0.8484) = 1.0857 +32'h40c987c6,32'h3ec7f0c5,32'h3ed019f1, 32'h3ec1d1e3,32'h3ed638d3, 32'h3eb79e6c,32'h3ee06c4b,// invsqrt(6.2978) = 0.3985 +32'h3f04dcad,32'h3fae1f6f,32'h3fb53ad7, 32'h3fa8cae1,32'h3fba8f65, 32'h3f9fe8a0,32'h3fc371a7,// invsqrt(0.5190) = 1.3881 +32'h3ec302a1,32'h3fcb4168,32'h3fd38d38, 32'h3fc5088c,32'h3fd9c614, 32'h3fbaa9c8,32'h3fe424d8,// invsqrt(0.3809) = 1.6203 +32'h3f9bca46,32'h3f6367d2,32'h3f6caffa, 32'h3f5c71b4,32'h3f73a618, 32'h3f50d782,32'h3f7f404a,// invsqrt(1.2171) = 0.9064 +32'h3dcfcbc7,32'h4044e72e,32'h404cf09e, 32'h403ee01a,32'h4052f7b2, 32'h4034d44f,32'h405d037d,// invsqrt(0.1015) = 3.1394 +32'h3f96acf7,32'h3f673b9b,32'h3f70abc1, 32'h3f60277f,32'h3f77bfdd, 32'h3f545b50,32'h3f81c606,// invsqrt(1.1772) = 0.9217 +32'h403576c4,32'h3f14fdc5,32'h3f1b1293, 32'h3f106e2a,32'h3f1fa22e, 32'h3f08d428,32'h3f273c30,// invsqrt(2.8354) = 0.5939 +32'h4114ab2d,32'h3ea49b33,32'h3eab532b, 32'h3e9f9139,32'h3eb05d25, 32'h3e972b44,32'h3eb8c31a,// invsqrt(9.2918) = 0.3281 +32'h3f4e2237,32'h3f8bcab1,32'h3f917f5f, 32'h3f87832e,32'h3f95c6e2, 32'h3f806154,32'h3f9ce8bc,// invsqrt(0.8052) = 1.1144 +32'h3fce2147,32'h3f45b279,32'h3f4dc435, 32'h3f3fa52c,32'h3f53d182, 32'h3f358f02,32'h3f5de7ad,// invsqrt(1.6104) = 0.7880 +32'h41813316,32'h3e79b66f,32'h3e81f3d6, 32'h3e721180,32'h3e85c64e, 32'h3e6553f3,32'h3e8c2514,// invsqrt(16.1499) = 0.2488 +32'h3d9489d6,32'h4068e3f3,32'h4072656a, 32'h4061c2d8,32'h40798684, 32'h4055e103,32'h4082b42c,// invsqrt(0.0725) = 3.7132 +32'h3e42b3a6,32'h400fd650,32'h4015b544, 32'h400b6f1a,32'h401a1c7a, 32'h40041869,32'h4021732b,// invsqrt(0.1901) = 2.2933 +32'h3f80bb85,32'h3f7a2a4c,32'h3f823022, 32'h3f7281d1,32'h3f86045f, 32'h3f65be5a,32'h3f8c661b,// invsqrt(1.0057) = 0.9972 +32'h3fa07661,32'h3f601201,32'h3f693750, 32'h3f593607,32'h3f70134b, 32'h3f4dc765,32'h3f7b81ed,// invsqrt(1.2536) = 0.8931 +32'h3ef32816,32'h3fb60613,32'h3fbd7409, 32'h3fb0739a,32'h3fc30682, 32'h3fa72a26,32'h3fcc4ff6,// invsqrt(0.4749) = 1.4511 +32'h3fc63d78,32'h3f4997c9,32'h3f51d239, 32'h3f436bf4,32'h3f57fe0e, 32'h3f3922e7,32'h3f62471b,// invsqrt(1.5488) = 0.8035 +32'h3ee6db21,32'h3fbacf66,32'h3fc26f60, 32'h3fb5176b,32'h3fc8275b, 32'h3fab8f73,32'h3fd1af53,// invsqrt(0.4509) = 1.4892 +32'h3f86d358,32'h3f747276,32'h3f7e6caf, 32'h3f6cf6cb,32'h3f82f42d, 32'h3f607e03,32'h3f893090,// invsqrt(1.0533) = 0.9744 +32'h3f3894d5,32'h3f93ba4e,32'h3f99c1e8, 32'h3f8f349a,32'h3f9e479c, 32'h3f87ab18,32'h3fa5d11e,// invsqrt(0.7210) = 1.1777 +32'h3e5f6589,32'h40064830,32'h400bc34c, 32'h40022bdb,32'h400fdfa1, 32'h3ff6a3f0,32'h4016b984,// invsqrt(0.2182) = 2.1410 +32'h418c6f51,32'h3e6f83f7,32'h3e794aa9, 32'h3e682ef2,32'h3e804fd7, 32'h3e5bf695,32'h3e866c06,// invsqrt(17.5544) = 0.2387 +32'h3f39c9e0,32'h3f933f3d,32'h3f9941d1, 32'h3f8ebd4d,32'h3f9dc3c1, 32'h3f873a13,32'h3fa546fb,// invsqrt(0.7257) = 1.1738 +32'h3eadf59d,32'h3fd733ce,32'h3fdffc74, 32'h3fd09d53,32'h3fe692ef, 32'h3fc5a284,32'h3ff18dbe,// invsqrt(0.3398) = 1.7156 +32'h3fb324d1,32'h3f5410c8,32'h3f5cb8a6, 32'h3f4d92e1,32'h3f63368d, 32'h3f42c10b,32'h3f6e0863,// invsqrt(1.3996) = 0.8453 +32'h3f89d529,32'h3f71c40f,32'h3f7ba243, 32'h3f6a5d67,32'h3f818476, 32'h3f5e07a5,32'h3f87af57,// invsqrt(1.0768) = 0.9637 +32'h3e45a442,32'h400ec36d,32'h40149728, 32'h400a64a0,32'h4018f5f4, 32'h40031bf6,32'h40203e9e,// invsqrt(0.1930) = 2.2762 +32'h3f8b9563,32'h3f703ea8,32'h3f7a0cf8, 32'h3f68e3ec,32'h3f80b3da, 32'h3f5ca208,32'h3f86d4cc,// invsqrt(1.0905) = 0.9576 +32'h400e2c13,32'h3f285336,32'h3f2f320a, 32'h3f232c18,32'h3f345928, 32'h3f1a9590,32'h3f3cefb0,// invsqrt(2.2214) = 0.6709 +32'h3fd9d460,32'h3f405093,32'h3f482a11, 32'h3f3a6d74,32'h3f4e0d30, 32'h3f309d97,32'h3f57dd0d,// invsqrt(1.7018) = 0.7666 +32'h409e489c,32'h3ee19b74,32'h3eead0d2, 32'h3edab36e,32'h3ef1b8d8, 32'h3ecf30b9,32'h3efd3b8d,// invsqrt(4.9464) = 0.4496 +32'h3f7273f7,32'h3f80e597,32'h3f86286f, 32'h3f79e6ec,32'h3f8a1a90, 32'h3f6cbfd2,32'h3f90ae1d,// invsqrt(0.9471) = 1.0276 +32'h3ebcbe3a,32'h3fce9a3c,32'h3fd70904, 32'h3fc84725,32'h3fdd5c1b, 32'h3fbdbcaa,32'h3fe7e696,// invsqrt(0.3686) = 1.6470 +32'h3f245488,32'h3f9c90e3,32'h3fa2f4d7, 32'h3f97c5ec,32'h3fa7bfce, 32'h3f8fc8fa,32'h3fafbcc0,// invsqrt(0.6419) = 1.2481 +32'h3ecff333,32'h3fc4d483,32'h3fccdd2f, 32'h3fbece01,32'h3fd2e3b1, 32'h3fb4c32a,32'h3fdcee88,// invsqrt(0.4062) = 1.5691 +32'h3f11e005,32'h3fa62cc8,32'h3facf523, 32'h3fa11682,32'h3fb20b68, 32'h3f989c10,32'h3fba85da,// invsqrt(0.5698) = 1.3247 +32'h3fc9fb76,32'h3f47b77a,32'h3f4fde50, 32'h3f419a5a,32'h3f55fb70, 32'h3f3769ce,32'h3f602bfc,// invsqrt(1.5780) = 0.7961 +32'h3e4b770c,32'h400cb49d,32'h401272d7, 32'h400865f1,32'h4016c183, 32'h40013827,32'h401def4d,// invsqrt(0.1987) = 2.2434 +32'h3f17d892,32'h3fa2dfff,32'h3fa985df, 32'h3f9de396,32'h3fae8248, 32'h3f95943e,32'h3fb6d1a1,// invsqrt(0.5931) = 1.2984 +32'h3f965eba,32'h3f6777bb,32'h3f70ea55, 32'h3f6061c8,32'h3f780048, 32'h3f549287,32'h3f81e7c4,// invsqrt(1.1748) = 0.9226 +32'h406b3b75,32'h3f02dc36,32'h3f083392, 32'h3efdb564,32'h3f0c3516, 32'h3ef05b01,32'h3f12e248,// invsqrt(3.6755) = 0.5216 +32'h3f1e51d1,32'h3f9f82a2,32'h3fa6055a, 32'h3f9aa098,32'h3faae764, 32'h3f927d31,32'h3fb30acb,// invsqrt(0.6184) = 1.2716 +32'h40b85484,32'h3ed10f98,32'h3ed99812, 32'h3ecaa93e,32'h3edffe6c, 32'h3ebffea6,32'h3eeaa904,// invsqrt(5.7603) = 0.4167 +32'h3e9de309,32'h3fe1e3fa,32'h3feb1c4e, 32'h3fdaf9bc,32'h3ff2068c, 32'h3fcf7354,32'h3ffd8cf4,// invsqrt(0.3084) = 1.8008 +32'h3e515bb2,32'h400ab607,32'h40105f6b, 32'h400676fd,32'h40149e75, 32'h3ffec680,32'h401bb232,// invsqrt(0.2045) = 2.2116 +32'h3ff169fe,32'h3f36adf3,32'h3f3e22c3, 32'h3f311656,32'h3f43ba60, 32'h3f27c452,32'h3f4d0c64,// invsqrt(1.8860) = 0.7282 +32'h3fa7736a,32'h3f5b5846,32'h3f644c34, 32'h3f54a154,32'h3f6b0326, 32'h3f49706a,32'h3f763410,// invsqrt(1.3082) = 0.8743 +32'h3f69ca36,32'h3f834365,32'h3f889ef6, 32'h3f7e7d6f,32'h3f8ca3a2, 32'h3f711884,32'h3f935618,// invsqrt(0.9132) = 1.0464 +32'h3f839fd6,32'h3f7766de,32'h3f80bffb, 32'h3f6fd40b,32'h3f848964, 32'h3f6334ac,32'h3f8ad914,// invsqrt(1.0283) = 0.9861 +32'h3ee94685,32'h3fb9d6be,32'h3fc16c92, 32'h3fb42660,32'h3fc71cf0, 32'h3faaab17,32'h3fd09839,// invsqrt(0.4556) = 1.4815 +32'h3f6f43d4,32'h3f81c0b2,32'h3f870c7b, 32'h3f7b8fb8,32'h3f8b0552, 32'h3f6e5243,32'h3f91a40c,// invsqrt(0.9346) = 1.0344 +32'h3ff95064,32'h3f33c30c,32'h3f3b1960, 32'h3f2e424d,32'h3f409a1f, 32'h3f251664,32'h3f49c608,// invsqrt(1.9478) = 0.7165 +32'h401eccdd,32'h3f1f44ca,32'h3f25c4fc, 32'h3f1a64a4,32'h3f2aa522, 32'h3f124466,32'h3f32c560,// invsqrt(2.4813) = 0.6348 +32'h4001a002,32'h3f304892,32'h3f377a8d, 32'h3f2ae315,32'h3f3ce009, 32'h3f21e49a,32'h3f45de84,// invsqrt(2.0254) = 0.7027 +32'h41b49aa0,32'h3e5334df,32'h3e5bd3c3, 32'h3e4cbdb3,32'h3e624aef, 32'h3e41f716,32'h3e6d118c,// invsqrt(22.5755) = 0.2105 +32'h3ed98f5f,32'h3fc06f10,32'h3fc849cd, 32'h3fba8b03,32'h3fce2ddb, 32'h3fb0b997,32'h3fd7ff47,// invsqrt(0.4249) = 1.5341 +32'h3eaa5f35,32'h3fd974d2,32'h3fe25504, 32'h3fd2ccac,32'h3fe8fd2a, 32'h3fc7b46d,32'h3ff41569,// invsqrt(0.3328) = 1.7335 +32'h3f52bd45,32'h3f8a4179,32'h3f8fe61b, 32'h3f860600,32'h3f942194, 32'h3f7df06c,32'h3f9b2f5e,// invsqrt(0.8232) = 1.1022 +32'h3e412b91,32'h40106800,32'h40164ce6, 32'h400bfc54,32'h401ab892, 32'h40049e34,32'h402216b2,// invsqrt(0.1886) = 2.3024 +32'h3f028d51,32'h3fafa811,32'h3fb6d37f, 32'h3faa477e,32'h3fbc3412, 32'h3fa15134,32'h3fc52a5c,// invsqrt(0.5100) = 1.4003 +32'h3ee09b7c,32'h3fbd6410,32'h3fc51f00, 32'h3fb797db,32'h3fcaeb35, 32'h3fadee2e,32'h3fd494e2,// invsqrt(0.4387) = 1.5098 +32'h4011d19b,32'h3f2634fe,32'h3f2cfdb0, 32'h3f211e79,32'h3f321435, 32'h3f18a39b,32'h3f3a8f13,// invsqrt(2.2784) = 0.6625 +32'h404f31f3,32'h3f0b6ee8,32'h3f111fd8, 32'h3f072a35,32'h3f15648b, 32'h3f000d0a,32'h3f1c81b6,// invsqrt(3.2374) = 0.5558 +32'h3f7cb394,32'h3f7c8317,32'h3f8368cb, 32'h3f74c838,32'h3f87463a, 32'h3f67e61a,32'h3f8db749,// invsqrt(0.9871) = 1.0065 +32'h40cab34e,32'h3ec75cd4,32'h3ecf7ff6, 32'h3ec1427a,32'h3ed59a50, 32'h3eb7168e,32'h3edfc63c,// invsqrt(6.3344) = 0.3973 +32'h3f378b92,32'h3f9424e7,32'h3f9a30dc, 32'h3f8f9bf0,32'h3f9eb9d4, 32'h3f880cff,32'h3fa648c5,// invsqrt(0.7170) = 1.1810 +32'h3f84bb61,32'h3f765e10,32'h3f80362d, 32'h3f6ed359,32'h3f83fb89, 32'h3f62417c,32'h3f8a4477,// invsqrt(1.0370) = 0.9820 +32'h3fb2d5df,32'h3f543f91,32'h3f5ce959, 32'h3f4dc03c,32'h3f6368ae, 32'h3f42ec03,32'h3f6e3ce7,// invsqrt(1.3972) = 0.8460 +32'h3e824623,32'h3ff8ae47,32'h40016a5e, 32'h3ff1116f,32'h400538cb, 32'h3fe4615b,32'h400b90d4,// invsqrt(0.2544) = 1.9825 +32'h400c3e14,32'h3f297aa8,32'h3f30658a, 32'h3f244a7e,32'h3f3595b4, 32'h3f1ba4e3,32'h3f3e3b4f,// invsqrt(2.1913) = 0.6755 +32'h3f57bc77,32'h3f88a52f,32'h3f8e38fd, 32'h3f847655,32'h3f9267d7, 32'h3f7afb28,32'h3f996098,// invsqrt(0.8427) = 1.0893 +32'h3f0b5d9b,32'h3faa02ee,32'h3fb0f360, 32'h3fa4ce98,32'h3fb627b6, 32'h3f9c220a,32'h3fbed445,// invsqrt(0.5444) = 1.3553 +32'h3f8193fb,32'h3f795901,32'h3f81c337, 32'h3f71b6ef,32'h3f859441, 32'h3f64fe26,32'h3f8bf0a5,// invsqrt(1.0123) = 0.9939 +32'h410c94b8,32'h3ea94666,32'h3eb02f26, 32'h3ea417d6,32'h3eb55db6, 32'h3e9b74e5,32'h3ebe00a7,// invsqrt(8.7863) = 0.3374 +32'h3f4579f2,32'h3f8ed2b7,32'h3f94a713, 32'h3f8a7373,32'h3f990657, 32'h3f832a01,32'h3fa04fc9,// invsqrt(0.7714) = 1.1386 +32'h3fe3a927,32'h3f3c1dd4,32'h3f43cb75, 32'h3f365b9d,32'h3f498dad, 32'h3f2cc294,32'h3f5326b6,// invsqrt(1.7786) = 0.7498 +32'h3e85da7f,32'h3ff55547,32'h3fff58c2, 32'h3fedd2aa,32'h40036daf, 32'h3fe14e50,32'h4009afdc,// invsqrt(0.2614) = 1.9558 +32'h3f08a17f,32'h3fabb459,32'h3fb2b67c, 32'h3fa672bf,32'h3fb7f817, 32'h3f9db014,32'h3fc0bac3,// invsqrt(0.5337) = 1.3688 +32'h40099763,32'h3f2b1aa7,32'h3f321685, 32'h3f25ddc2,32'h3f37536a, 32'h3f1d22ed,32'h3f400e3f,// invsqrt(2.1499) = 0.6820 +32'h3f348b82,32'h3f955eb8,32'h3f9b777b, 32'h3f90cc25,32'h3fa00a0d, 32'h3f892d30,32'h3fa7a902,// invsqrt(0.7053) = 1.1908 +32'h3f192f40,32'h3fa2296b,32'h3fa8c7d7, 32'h3f9d3299,32'h3fadbea9, 32'h3f94ec91,32'h3fb604b1,// invsqrt(0.5984) = 1.2927 +32'h4045f784,32'h3f0ea564,32'h3f1477e6, 32'h3f0a4783,32'h3f18d5c7, 32'h3f030061,32'h3f201ce9,// invsqrt(3.0932) = 0.5686 +32'h3d04efd0,32'h40ae12e6,32'h40b52dcb, 32'h40a8bebb,32'h40ba81f7, 32'h409fdd1d,32'h40c36395,// invsqrt(0.0325) = 5.5508 +32'h3fe421db,32'h3f3bec0a,32'h3f4397a2, 32'h3f362b58,32'h3f495854, 32'h3f2c94da,32'h3f52eed2,// invsqrt(1.7823) = 0.7491 +32'h3d8aaa88,32'h407109c5,32'h407ae05f, 32'h4069a8d1,32'h408120a9, 32'h405d5c90,32'h408746ca,// invsqrt(0.0677) = 3.8431 +32'h3f50294a,32'h3f8b1bf9,32'h3f90c985, 32'h3f86d9cf,32'h3f950baf, 32'h3f7f81be,32'h3f9c249f,// invsqrt(0.8131) = 1.1090 +32'h3f282675,32'h3f9ac6fe,32'h3fa11842, 32'h3f960a0b,32'h3fa5d535, 32'h3f8e2476,32'h3fadbaca,// invsqrt(0.6568) = 1.2339 +32'h40526ea1,32'h3f0a5b4c,32'h3f1000fc, 32'h3f061f09,32'h3f143d3f, 32'h3efe1fda,32'h3f1b4c5b,// invsqrt(3.2880) = 0.5515 +32'h3f42b45f,32'h3f8fd60c,32'h3f95b4fc, 32'h3f8b6ed7,32'h3f9a1c31, 32'h3f84182a,32'h3fa172de,// invsqrt(0.7606) = 1.1467 +32'h3f8f869c,32'h3f6cec1e,32'h3f7697b7, 32'h3f65ab6c,32'h3f7dd86a, 32'h3f5994ed,32'h3f84f774,// invsqrt(1.1213) = 0.9444 +32'h3fcb4d88,32'h3f471127,32'h3f4f3133, 32'h3f40f91e,32'h3f55493c, 32'h3f36d10f,32'h3f5f714b,// invsqrt(1.5883) = 0.7935 +32'h3ecfc661,32'h3fc4e9bd,32'h3fccf347, 32'h3fbee295,32'h3fd2fa6f, 32'h3fb4d6a8,32'h3fdd065c,// invsqrt(0.4058) = 1.5698 +32'h421c088c,32'h3e20acb3,32'h3e273b96, 32'h3e1bc18a,32'h3e2c26c0, 32'h3e138eee,32'h3e34595c,// invsqrt(39.0083) = 0.1601 +32'h3f6da86b,32'h3f8230d1,32'h3f87812d, 32'h3f7c6918,32'h3f8b7d72, 32'h3f6f2031,32'h3f9221e5,// invsqrt(0.9284) = 1.0379 +32'h3f40329d,32'h3f90c568,32'h3f96ae1e, 32'h3f8c56e0,32'h3f9b1ca6, 32'h3f84f3fc,32'h3fa27f8a,// invsqrt(0.7508) = 1.1541 +32'h403d11e4,32'h3f11f6b5,32'h3f17ebe1, 32'h3f0d7ed4,32'h3f1c63c2, 32'h3f060c5d,32'h3f23d639,// invsqrt(2.9542) = 0.5818 +32'h3e3d2b81,32'h4011ecd3,32'h4017e197, 32'h400d753f,32'h401c592b, 32'h40060349,32'h4023cb21,// invsqrt(0.1847) = 2.3266 +32'h3fa525f4,32'h3f5cde5e,32'h3f65e238, 32'h3f561b7a,32'h3f6ca51c, 32'h3f4ad6aa,32'h3f77e9ec,// invsqrt(1.2902) = 0.8804 +32'h3f85a767,32'h3f758427,32'h3f7f898b, 32'h3f6e001b,32'h3f8386cc, 32'h3f61795d,32'h3f89ca2b,// invsqrt(1.0442) = 0.9786 +32'h4100599a,32'h3eb1282b,32'h3eb86347, 32'h3eabbbd6,32'h3ebdcf9c, 32'h3ea2b1f3,32'h3ec6d97f,// invsqrt(8.0219) = 0.3531 +32'h3fd42c6c,32'h3f42dc79,32'h3f4ad093, 32'h3f3ce566,32'h3f50c7a6, 32'h3f32f445,32'h3f5ab8c7,// invsqrt(1.6576) = 0.7767 +32'h3f509788,32'h3f8af731,32'h3f90a33d, 32'h3f86b627,32'h3f94e447, 32'h3f7f3e30,32'h3f9bfb56,// invsqrt(0.8148) = 1.1078 +32'h3f67002b,32'h3f840da8,32'h3f89717b, 32'h3f8002cb,32'h3f8d7c59, 32'h3f728c07,32'h3f943921,// invsqrt(0.9023) = 1.0527 +32'h3f6d139c,32'h3f8259a6,32'h3f87abad, 32'h3f7cb843,32'h3f8ba932, 32'h3f6f6b32,32'h3f924fbb,// invsqrt(0.9261) = 1.0391 +32'h3ff29813,32'h3f363c12,32'h3f3dac3c, 32'h3f30a7f2,32'h3f43405c, 32'h3f275bbd,32'h3f4c8c91,// invsqrt(1.8953) = 0.7264 +32'h3f1aac22,32'h3fa16146,32'h3fa7f787, 32'h3f9c7094,32'h3face838, 32'h3f9434c2,32'h3fb5240a,// invsqrt(0.6042) = 1.2865 +32'h402e6c53,32'h3f17f7f9,32'h3f1e2be3, 32'h3f135108,32'h3f22d2d4, 32'h3f0b9023,32'h3f2a93b9,// invsqrt(2.7254) = 0.6057 +32'h41b061ab,32'h3e55b83c,32'h3e5e7164, 32'h3e4f2d5f,32'h3e64fc41, 32'h3e4445ee,32'h3e6fe3b2,// invsqrt(22.0477) = 0.2130 +32'h3f14d96f,32'h3fa4819d,32'h3fab388a, 32'h3f9f786d,32'h3fb041bb, 32'h3f9713c5,32'h3fb8a663,// invsqrt(0.5814) = 1.3114 +32'h41a2d656,32'h3e5e6e2f,32'h3e67825b, 32'h3e579f0e,32'h3e6e517c, 32'h3e4c45d8,32'h3e79aab2,// invsqrt(20.3547) = 0.2217 +32'h3fc8e18d,32'h3f48436d,32'h3f506ff9, 32'h3f422204,32'h3f569162, 32'h3f37ea54,32'h3f60c912,// invsqrt(1.5694) = 0.7982 +32'h3f3351e8,32'h3f95e11b,32'h3f9bff31, 32'h3f914a8a,32'h3fa095c2, 32'h3f89a4ef,32'h3fa83b5d,// invsqrt(0.7005) = 1.1948 +32'h3f858aef,32'h3f759e51,32'h3f7fa4c7, 32'h3f6e1978,32'h3f8394d0, 32'h3f619164,32'h3f89d8da,// invsqrt(1.0433) = 0.9790 +32'h3e1ae341,32'h4021448c,32'h4027d9a1, 32'h401c54bb,32'h402cc971, 32'h40141a61,32'h403503cb,// invsqrt(0.1513) = 2.5712 +32'h401da896,32'h3f1fd827,32'h3f265e5d, 32'h3f1af37f,32'h3f2b4305, 32'h3f12cbbb,32'h3f336ac9,// invsqrt(2.4634) = 0.6371 +32'h41603b26,32'h3e86082a,32'h3e8b80aa, 32'h3e81edcb,32'h3e8f9b09, 32'h3e762e58,32'h3e9671a8,// invsqrt(14.0144) = 0.2671 +32'h3ff0c844,32'h3f36eb42,32'h3f3e6293, 32'h3f3151c5,32'h3f43fc0f, 32'h3f27fc9f,32'h3f4d5135,// invsqrt(1.8811) = 0.7291 +32'h3f04277e,32'h3fae96a3,32'h3fb5b6e8, 32'h3fa93e6e,32'h3fbb0f1c, 32'h3fa05618,32'h3fc3f772,// invsqrt(0.5162) = 1.3918 +32'h3f6a6965,32'h3f8316cb,32'h3f88708b, 32'h3f7e26f8,32'h3f8c73da, 32'h3f70c69a,32'h3f932409,// invsqrt(0.9157) = 1.0450 +32'h3fd8fd0b,32'h3f40afe8,32'h3f488d4a, 32'h3f3ac9de,32'h3f4e7354, 32'h3f30f523,32'h3f58480f,// invsqrt(1.6952) = 0.7680 +32'h3f79667f,32'h3f7e2d7f,32'h3f8446b2, 32'h3f766593,32'h3f882aa9, 32'h3f696db3,32'h3f8ea698,// invsqrt(0.9742) = 1.0131 +32'h40a5182c,32'h3edce796,32'h3ee5ebd0, 32'h3ed6246a,32'h3eecaefc, 32'h3ecadf21,32'h3ef7f445,// invsqrt(5.1592) = 0.4403 +32'h3f3eeba0,32'h3f91412c,32'h3f972ef0, 32'h3f8cceda,32'h3f9ba142, 32'h3f8565a6,32'h3fa30a76,// invsqrt(0.7458) = 1.1580 +32'h3fea9371,32'h3f3952af,32'h3f40e31f, 32'h3f33a65b,32'h3f468f73, 32'h3f2a31d0,32'h3f5003fe,// invsqrt(1.8326) = 0.7387 +32'h3f021a33,32'h3faff5b6,32'h3fb72450, 32'h3faa92c3,32'h3fbc8743, 32'h3fa19883,32'h3fc58183,// invsqrt(0.5082) = 1.4027 +32'h3e3c49ff,32'h4012441b,32'h40183c6f, 32'h400dc9db,32'h401cb6af, 32'h40065371,32'h40242d19,// invsqrt(0.1839) = 2.3320 +32'h3e5274c3,32'h400a5948,32'h400ffee3, 32'h40061d15,32'h40143b17, 32'h3ffe1c28,32'h401b4a18,// invsqrt(0.2055) = 2.2058 +32'h3f2b5d3f,32'h3f9951a9,32'h3f9f93af, 32'h3f94a023,32'h3fa44535, 32'h3f8ccd9b,32'h3fac17bd,// invsqrt(0.6694) = 1.2222 +32'h3fd41872,32'h3f42e5a6,32'h3f4ada20, 32'h3f3cee4b,32'h3f50d17b, 32'h3f32fcb3,32'h3f5ac313,// invsqrt(1.6570) = 0.7769 +32'h3eca362a,32'h3fc79a7b,32'h3fcfc021, 32'h3fc17e3d,32'h3fd5dc5f, 32'h3fb74f2d,32'h3fe00b6f,// invsqrt(0.3949) = 1.5912 +32'h3ce54efc,32'h40bb707d,32'h40c3170a, 32'h40b5b394,32'h40c8d3f4, 32'h40ac2364,32'h40d26424,// invsqrt(0.0280) = 5.9770 +32'h3f0b4c47,32'h3faa0d81,32'h3fb0fe61, 32'h3fa4d8d8,32'h3fb6330a, 32'h3f9c2bbf,32'h3fbee023,// invsqrt(0.5441) = 1.3557 +32'h40e7978b,32'h3eba8359,32'h3ec22038, 32'h3eb4cdb1,32'h3ec7d5df, 32'h3eab499a,32'h3ed159f6,// invsqrt(7.2372) = 0.3717 +32'h401453d1,32'h3f24cba5,32'h3f2b8597, 32'h3f1fc030,32'h3f30910c, 32'h3f1757c1,32'h3f38f97b,// invsqrt(2.3176) = 0.6569 +32'h3eb149a4,32'h3fd52c3c,32'h3fdddfac, 32'h3fcea5a8,32'h3fe46640, 32'h3fc3c55c,32'h3fef468c,// invsqrt(0.3463) = 1.6994 +32'h3e3dad31,32'h4011bae7,32'h4017ada3, 32'h400d44db,32'h401c23af, 32'h4005d571,32'h40239319,// invsqrt(0.1852) = 2.3235 +32'h3fe9bb24,32'h3f39a85c,32'h3f413c4a, 32'h3f33f968,32'h3f46eb3e, 32'h3f2a807e,32'h3f506428,// invsqrt(1.8260) = 0.7400 +32'h40040a95,32'h3f2ea9bf,32'h3f35cacc, 32'h3f2950f5,32'h3f3b2395, 32'h3f2067a4,32'h3f440ce6,// invsqrt(2.0631) = 0.6962 +32'h3f27fbc4,32'h3f9adaa8,32'h3fa12cb9, 32'h3f961d1a,32'h3fa5ea46, 32'h3f8e3685,32'h3fadd0db,// invsqrt(0.6562) = 1.2345 +32'h40dca603,32'h3ebf1514,32'h3ec6e1b2, 32'h3eb93b9e,32'h3eccbb28, 32'h3eaf7bd9,32'h3ed67aed,// invsqrt(6.8953) = 0.3808 +32'h417a0a42,32'h3e7dda35,32'h3e841b5a, 32'h3e7614d5,32'h3e87fe0a, 32'h3e692135,32'h3e8e77d9,// invsqrt(15.6275) = 0.2530 +32'h3f13eefd,32'h3fa503c5,32'h3fabc001, 32'h3f9ff698,32'h3fb0cd2e, 32'h3f978b4c,32'h3fb9387a,// invsqrt(0.5779) = 1.3155 +32'h3f97a787,32'h3f667c46,32'h3f6fe49d, 32'h3f5f6e06,32'h3f76f2de, 32'h3f53ab9a,32'h3f815aa5,// invsqrt(1.1848) = 0.9187 +32'h3b6495d1,32'h4184bfcc,32'h418a2ae4, 32'h4180af7a,32'h418e3b36, 32'h4173d338,32'h41950114,// invsqrt(0.0035) = 16.9323 +32'h3f1a6a4a,32'h3fa183aa,32'h3fa81b52, 32'h3f9c91eb,32'h3fad0d11, 32'h3f945458,32'h3fb54aa4,// invsqrt(0.6032) = 1.2876 +32'h3f21fc16,32'h3f9db206,32'h3fa421c7, 32'h3f98de34,32'h3fa8f598, 32'h3f90d282,32'h3fb1014a,// invsqrt(0.6328) = 1.2571 +32'h3f61384c,32'h3f85bcc1,32'h3f8b322d, 32'h3f81a4b1,32'h3f8f4a3d, 32'h3f75a3d6,32'h3f961d03,// invsqrt(0.8798) = 1.0661 +32'h41a40000,32'h3e5da3f7,32'h3e66afe2, 32'h3e56db08,32'h3e6d78d2, 32'h3e4b8c22,32'h3e78c7b8,// invsqrt(20.5000) = 0.2209 +32'h3ee2da18,32'h3fbc739b,32'h3fc424bb, 32'h3fb6aec2,32'h3fc9e994, 32'h3fad115a,32'h3fd386fc,// invsqrt(0.4431) = 1.5023 +32'h3fe2c6c0,32'h3f3c7ba4,32'h3f442d18, 32'h3f36b68c,32'h3f49f230, 32'h3f2d18bb,32'h3f539001,// invsqrt(1.7717) = 0.7513 +32'h3dd41877,32'h4042e5a4,32'h404ada1e, 32'h403cee49,32'h4050d179, 32'h4032fcb1,32'h405ac311,// invsqrt(0.1036) = 3.1074 +32'h3f2541c6,32'h3f9c2058,32'h3fa27fb4, 32'h3f9758d2,32'h3fa7473a, 32'h3f8f619f,32'h3faf3e6d,// invsqrt(0.6455) = 1.2446 +32'h41d3807c,32'h3e432b9e,32'h3e4b22f2, 32'h3e3d321e,32'h3e511c72, 32'h3e333cf4,32'h3e5b119c,// invsqrt(26.4377) = 0.1945 +32'h3e6c97a1,32'h40027bc9,32'h4007cf35, 32'h3ffcfa71,32'h400bcdc5, 32'h3fefa9e5,32'h4012760c,// invsqrt(0.2310) = 2.0804 +32'h3f223d2b,32'h3f9d9261,32'h3fa400d8, 32'h3f98bf88,32'h3fa8d3b2, 32'h3f90b574,32'h3fb0ddc6,// invsqrt(0.6337) = 1.2562 +32'h3e6bb090,32'h4002bbb0,32'h400811b7, 32'h3ffd7654,32'h400c123c, 32'h3ff01f42,32'h4012bdc5,// invsqrt(0.2302) = 2.0844 +32'h3f32f43e,32'h3f96084f,32'h3f9c27ff, 32'h3f91708b,32'h3fa0bfc3, 32'h3f89c8f0,32'h3fa8675e,// invsqrt(0.6990) = 1.1960 +32'h3fe27668,32'h3f3c9d11,32'h3f444fe2, 32'h3f36d6f3,32'h3f4a15ff, 32'h3f2d376d,32'h3f53b585,// invsqrt(1.7692) = 0.7518 +32'h3f72f4b7,32'h3f80c36b,32'h3f8604dd, 32'h3f79a4ab,32'h3f89f5f3, 32'h3f6c810e,32'h3f9087c1,// invsqrt(0.9490) = 1.0265 +32'h3eb14982,32'h3fd52c51,32'h3fdddfc2, 32'h3fcea5bc,32'h3fe46656, 32'h3fc3c56e,32'h3fef46a4,// invsqrt(0.3463) = 1.6994 +32'h3eda1e4a,32'h3fc02ffb,32'h3fc80825, 32'h3fba4ddc,32'h3fcdea44, 32'h3fb07fa8,32'h3fd7b878,// invsqrt(0.4260) = 1.5321 +32'h3e17224a,32'h4023421a,32'h4029ebfc, 32'h401e42b1,32'h402eeb65, 32'h4015ee57,32'h40373fbf,// invsqrt(0.1476) = 2.6030 +32'h3ec36f8a,32'h3fcb08be,32'h3fd3523e, 32'h3fc4d19e,32'h3fd9895e, 32'h3fba75be,32'h3fe3e53e,// invsqrt(0.3817) = 1.6186 +32'h3f2c06c0,32'h3f99060d,32'h3f9f44fd, 32'h3f9456d8,32'h3fa3f432, 32'h3f8c882b,32'h3fabc2df,// invsqrt(0.6720) = 1.2199 +32'h40428c84,32'h3f0fe4c7,32'h3f15c451, 32'h3f0b7d1f,32'h3f1a2bf9, 32'h3f0425b1,32'h3f218367,// invsqrt(3.0398) = 0.5736 +32'h3f4d1d4a,32'h3f8c237f,32'h3f91dbcd, 32'h3f87d944,32'h3f962608, 32'h3f80b2e2,32'h3f9d4c6a,// invsqrt(0.8012) = 1.1172 +32'h3fa7a73f,32'h3f5b365b,32'h3f6428e7, 32'h3f548073,32'h3f6adecf, 32'h3f495144,32'h3f760dfe,// invsqrt(1.3098) = 0.8738 +32'h3cfa5773,32'h40b36481,32'h40bab6f9, 32'h40ade6a7,32'h40c034d3, 32'h40a4bf90,32'h40c95bea,// invsqrt(0.0306) = 5.7204 +32'h40276613,32'h3f1b1fd5,32'h3f2174b9, 32'h3f16602a,32'h3f263464, 32'h3f0e760d,32'h3f2e1e81,// invsqrt(2.6156) = 0.6183 +32'h3f249133,32'h3f9c7404,32'h3fa2d6ca, 32'h3f97a9ef,32'h3fa7a0df, 32'h3f8fae76,32'h3faf9c58,// invsqrt(0.6428) = 1.2472 +32'h440c211a,32'h3d298c2d,32'h3d3077c7, 32'h3d245b7a,32'h3d35a87a, 32'h3d1bb4fb,32'h3d3e4ef9,// invsqrt(560.5172) = 0.0422 +32'h3fc9aa04,32'h3f47dfcb,32'h3f500846, 32'h3f41c16e,32'h3f5626a2, 32'h3f378ed4,32'h3f60593c,// invsqrt(1.5755) = 0.7967 +32'h3bb888c1,32'h4150f1ff,32'h41597943, 32'h414a8c8c,32'h415fdeb6, 32'h413fe377,32'h416a87cb,// invsqrt(0.0056) = 13.3256 +32'h3f3cf918,32'h3f920048,32'h3f97f5d8, 32'h3f8d881c,32'h3f9c6e04, 32'h3f861528,32'h3fa3e0f8,// invsqrt(0.7382) = 1.1639 +32'h3f2a1364,32'h3f99e60f,32'h3fa02e24, 32'h3f952ffe,32'h3fa4e434, 32'h3f8d55e3,32'h3facbe4f,// invsqrt(0.6644) = 1.2269 +32'h3e564199,32'h40091dca,32'h400eb684, 32'h4004eb3f,32'h4012e90f, 32'h3ffbd8ad,32'h4019e7f8,// invsqrt(0.2092) = 2.1862 +32'h40883733,32'h3ef33257,32'h3efd1f7f, 32'h3eebc079,32'h3f0248af, 32'h3edf5807,32'h3f087ce8,// invsqrt(4.2567) = 0.4847 +32'h40be9ad7,32'h3ecd974b,32'h3ed5fb82, 32'h3ec74c21,32'h3edc46ab, 32'h3ebccedc,32'h3ee6c3f0,// invsqrt(5.9564) = 0.4097 +32'h3fb4108e,32'h3f5385c9,32'h3f5c27fb, 32'h3f4d0c24,32'h3f62a1a0, 32'h3f424165,32'h3f6d6c5f,// invsqrt(1.4068) = 0.8431 +32'h4053abdf,32'h3f09f377,32'h3f0f94e9, 32'h3f05ba61,32'h3f13cdff, 32'h3efd6123,32'h3f1ad7ce,// invsqrt(3.3074) = 0.5499 +32'h3eba8a97,32'h3fcfd171,32'h3fd84cee, 32'h3fc974d4,32'h3fdea98c, 32'h3fbeda78,32'h3fe943e8,// invsqrt(0.3643) = 1.6567 +32'h41f3dac3,32'h3e35c357,32'h3e3d2e95, 32'h3e3032ea,32'h3e42bf02, 32'h3e26ecdd,32'h3e4c050f,// invsqrt(30.4818) = 0.1811 +32'h3fc102fa,32'h3f4c4e1e,32'h3f54a4e5, 32'h3f460d07,32'h3f5ae5fb, 32'h3f3ba08e,32'h3f655274,// invsqrt(1.5079) = 0.8144 +32'h3e456923,32'h400ed8cc,32'h4014ad66, 32'h400a7958,32'h40190cda, 32'h40032f96,32'h4020569c,// invsqrt(0.1928) = 2.2775 +32'h3f017293,32'h3fb0677e,32'h3fb79abd, 32'h3fab0110,32'h3fbd012c, 32'h3fa20101,32'h3fc6013b,// invsqrt(0.5057) = 1.4063 +32'h3f880301,32'h3f7360fc,32'h3f7d500c, 32'h3f6bedb1,32'h3f8261ac, 32'h3f5f82dd,32'h3f889715,// invsqrt(1.0626) = 0.9701 +32'h3f915865,32'h3f6b6f4b,32'h3f750b59, 32'h3f643a41,32'h3f7c4063, 32'h3f583730,32'h3f8421ba,// invsqrt(1.1355) = 0.9384 +32'h3e4616d7,32'h400e9a1d,32'h40146c28, 32'h400a3c94,32'h4018c9b0, 32'h4002f605,32'h4020103f,// invsqrt(0.1934) = 2.2736 +32'h411be497,32'h3ea0bf3a,32'h3ea74ede, 32'h3e9bd37f,32'h3eac3a99, 32'h3e939ff1,32'h3eb46e27,// invsqrt(9.7433) = 0.3204 +32'h3f8bbe86,32'h3f701b49,32'h3f79e828, 32'h3f68c1a3,32'h3f80a0e8, 32'h3f5c818d,32'h3f86c0f3,// invsqrt(1.0918) = 0.9571 +32'h3fd9452a,32'h3f408fea,32'h3f486bfe, 32'h3f3aaadb,32'h3f4e510d, 32'h3f30d7c2,32'h3f582426,// invsqrt(1.6974) = 0.7675 +32'h406a1dd3,32'h3f032bf2,32'h3f08868e, 32'h3efe4ffa,32'h3f0c8a83, 32'h3ef0ed73,32'h3f133bc6,// invsqrt(3.6581) = 0.5228 +32'h413c218e,32'h3e9253d3,32'h3e984ccb, 32'h3e8dd918,32'h3e9cc786, 32'h3e8661e1,32'h3ea43ebd,// invsqrt(11.7582) = 0.2916 +32'h3d8141cd,32'h4079a838,32'h4081ec71, 32'h407203b9,32'h4085beb0, 32'h406546e5,32'h408c1d1a,// invsqrt(0.0631) = 3.9805 +32'h3ff0d74d,32'h3f36e58c,32'h3f3e5ca2, 32'h3f314c3c,32'h3f43f5f2, 32'h3f27f762,32'h3f4d4acd,// invsqrt(1.8816) = 0.7290 +32'h3e7eea35,32'h3ffb69d5,32'h4002d66c, 32'h3ff3b792,32'h4006af8d, 32'h3fe6e3cd,32'h400d196f,// invsqrt(0.2489) = 2.0043 +32'h3fcd0f18,32'h3f463679,32'h3f4e4d98, 32'h3f402521,32'h3f545eef, 32'h3f36083a,32'h3f5e7bd6,// invsqrt(1.6020) = 0.7901 +32'h3eb8cb30,32'h3fd0cc6d,32'h3fd95228, 32'h3fca6820,32'h3fdfb674, 32'h3fbfc0f6,32'h3fea5d9e,// invsqrt(0.3609) = 1.6645 +32'h40a7a85b,32'h3edb35a1,32'h3ee42825, 32'h3ed47fbe,32'h3eeade08, 32'h3ec95099,32'h3ef60d2d,// invsqrt(5.2393) = 0.4369 +32'h3e1f09a7,32'h401f2656,32'h4025a54a, 32'h401a471f,32'h402a8481, 32'h4012286e,32'h4032a332,// invsqrt(0.1553) = 2.5375 +32'h3eae9e3f,32'h3fd6cbcb,32'h3fdf9032, 32'h3fd0387f,32'h3fe6237f, 32'h3fc542ff,32'h3ff118ff,// invsqrt(0.3411) = 1.7123 +32'h3f4e2388,32'h3f8bca3f,32'h3f917ee9, 32'h3f8782c0,32'h3f95c668, 32'h3f8060ec,32'h3f9ce83c,// invsqrt(0.8052) = 1.1144 +32'h3fe63717,32'h3f3b11e8,32'h3f42b499, 32'h3f3557e4,32'h3f486e9e, 32'h3f2bcc87,32'h3f51f9fb,// invsqrt(1.7986) = 0.7457 +32'h3f83e142,32'h3f772979,32'h3f80a008, 32'h3f6f9887,32'h3f846880, 32'h3f62fc4a,32'h3f8ab69f,// invsqrt(1.0303) = 0.9852 +32'h40487364,32'h3f0dc26f,32'h3f138bad, 32'h3f096b80,32'h3f17e29c, 32'h3f022ff3,32'h3f1f1e29,// invsqrt(3.1320) = 0.5650 +32'h3fc33b27,32'h3f4b23f9,32'h3f536e96, 32'h3f44ec04,32'h3f59a68c, 32'h3f3a8ec1,32'h3f6403cf,// invsqrt(1.5252) = 0.8097 +32'h3f9e2a59,32'h3f61b108,32'h3f6ae746, 32'h3f5ac858,32'h3f71cff6, 32'h3f4f448a,32'h3f7d53c5,// invsqrt(1.2357) = 0.8996 +32'h40afc1d6,32'h3ed61954,32'h3eded671, 32'h3ecf8b7d,32'h3ee56447, 32'h3ec49f18,32'h3ef050ac,// invsqrt(5.4924) = 0.4267 +32'h3df84f27,32'h40342011,32'h403b7a31, 32'h402e9c79,32'h4040fdc9, 32'h40256bd1,32'h404a2e71,// invsqrt(0.1212) = 2.8719 +32'h3f6cec42,32'h3f826479,32'h3f87b6f1, 32'h3f7ccd3e,32'h3f8bb4cb, 32'h3f6f7f13,32'h3f925be1,// invsqrt(0.9255) = 1.0395 +32'h3e6c7b99,32'h40028385,32'h4007d741, 32'h3ffd096f,32'h400bd60e, 32'h3fefb819,32'h40127eba,// invsqrt(0.2309) = 2.0809 +32'h3c180b3a,32'h4122c4db,32'h412969a0, 32'h411dc947,32'h412e6533, 32'h41157b50,32'h4136b32a,// invsqrt(0.0093) = 10.3807 +32'h3fa55982,32'h3f5cbbed,32'h3f65be5f, 32'h3f55fa17,32'h3f6c8035, 32'h3f4ab709,32'h3f77c343,// invsqrt(1.2918) = 0.8798 +32'h3f3adc3a,32'h3f92d2fd,32'h3f98d127, 32'h3f8e545e,32'h3f9d4fc6, 32'h3f86d6aa,32'h3fa4cd7a,// invsqrt(0.7299) = 1.1705 +32'h3e875438,32'h3ff3fdf5,32'h3ffdf36d, 32'h3fec85db,32'h4002b5c3, 32'h3fe01306,32'h4008ef2e,// invsqrt(0.2643) = 1.9451 +32'h3f7710af,32'h3f7f6048,32'h3f84e65a, 32'h3f778ef8,32'h3f88cf02, 32'h3f6a8771,32'h3f8f52c5,// invsqrt(0.9651) = 1.0179 +32'h4003c6fa,32'h3f2ed686,32'h3f35f967, 32'h3f297c5e,32'h3f3b5390, 32'h3f2090c5,32'h3f443f29,// invsqrt(2.0590) = 0.6969 +32'h407858ff,32'h3efeb743,32'h3f048e64, 32'h3ef6eb1f,32'h3f087476, 32'h3ee9ec39,32'h3f0ef3ea,// invsqrt(3.8804) = 0.5076 +32'h3ef666f6,32'h3fb4d22a,32'h3fbc338e, 32'h3faf491e,32'h3fc1bc9a, 32'h3fa60f60,32'h3fcaf658,// invsqrt(0.4813) = 1.4415 +32'h3fda314a,32'h3f40279c,32'h3f47ff6f, 32'h3f3a45bf,32'h3f4de14d, 32'h3f3077f9,32'h3f57af13,// invsqrt(1.7046) = 0.7659 +32'h3fd4dfe8,32'h3f428a42,32'h3f4a7b00, 32'h3f3c95b3,32'h3f506f8f, 32'h3f32a8c4,32'h3f5a5c7e,// invsqrt(1.6631) = 0.7754 +32'h3f000000,32'h3fb16622,32'h3fb8a3c5, 32'h3fabf7e7,32'h3fbe11ff, 32'h3fa2eadb,32'h3fc71f0b,// invsqrt(0.5000) = 1.4142 +32'h3f438712,32'h3f8f8877,32'h3f95643d, 32'h3f8b23a2,32'h3f99c912, 32'h3f83d0eb,32'h3fa11bc9,// invsqrt(0.7638) = 1.1442 +32'h41caad26,32'h3e475fdb,32'h3e4f831d, 32'h3e414569,32'h3e559d8f, 32'h3e371956,32'h3e5fc9a2,// invsqrt(25.3345) = 0.1987 +32'h3f33a042,32'h3f95c068,32'h3f9bdd28, 32'h3f912ad8,32'h3fa072b8, 32'h3f8986e7,32'h3fa816a9,// invsqrt(0.7017) = 1.1938 +32'h3f9dada9,32'h3f620a33,32'h3f6b4415, 32'h3f5b1ec9,32'h3f722f7f, 32'h3f4f966d,32'h3f7db7db,// invsqrt(1.2319) = 0.9010 +32'h3f5d3389,32'h3f86f259,32'h3f8c7467, 32'h3f82d0ce,32'h3f9095f2, 32'h3f77dc7a,32'h3f977883,// invsqrt(0.8641) = 1.0758 +32'h3f454026,32'h3f8ee7a2,32'h3f94bcd8, 32'h3f8a87ba,32'h3f991cc0, 32'h3f833d37,32'h3fa06743,// invsqrt(0.7705) = 1.1392 +32'h3e1a4e49,32'h40219251,32'h40282a93, 32'h401ca020,32'h402d1cc4, 32'h401461cd,32'h40355b17,// invsqrt(0.1507) = 2.5761 +32'h3f9e4017,32'h3f61a186,32'h3f6ad724, 32'h3f5ab951,32'h3f71bf59, 32'h3f4f364c,32'h3f7d425e,// invsqrt(1.2363) = 0.8994 +32'h40075109,32'h3f2c894e,32'h3f339422, 32'h3f27412f,32'h3f38dc41, 32'h3f1e73a6,32'h3f41a9ca,// invsqrt(2.1143) = 0.6877 +32'h3f319608,32'h3f969bf7,32'h3f9cc1ad, 32'h3f91ffae,32'h3fa15df6, 32'h3f8a508a,32'h3fa90d1a,// invsqrt(0.6937) = 1.2006 +32'h402abfec,32'h3f19983a,32'h3f1fdd22, 32'h3f14e48c,32'h3f2490d0, 32'h3f0d0e69,32'h3f2c66f3,// invsqrt(2.6680) = 0.6122 +32'h3f885ea4,32'h3f730f29,32'h3f7cfae1, 32'h3f6b9e5e,32'h3f8235d6, 32'h3f5f37b8,32'h3f886929,// invsqrt(1.0654) = 0.9688 +32'h3e913339,32'h3feb8d6c,32'h3ff52ab4, 32'h3fe45776,32'h3ffc60aa, 32'h3fd852db,32'h400432a2,// invsqrt(0.2836) = 1.8778 +32'h40e5e3d8,32'h3ebb33c4,32'h3ec2d7d6, 32'h3eb578b6,32'h3ec892e4, 32'h3eabeb9f,32'h3ed21ffb,// invsqrt(7.1841) = 0.3731 +32'h3f026688,32'h3fafc22e,32'h3fb6eeae, 32'h3faa60cf,32'h3fbc500d, 32'h3fa16930,32'h3fc547ac,// invsqrt(0.5094) = 1.4011 +32'h3ebb7044,32'h3fcf51f7,32'h3fd7c840, 32'h3fc8f941,32'h3fde20f7, 32'h3fbe6566,32'h3fe8b4d2,// invsqrt(0.3661) = 1.6527 +32'h40079078,32'h3f2c60eb,32'h3f336a19, 32'h3f271a08,32'h3f38b0fc, 32'h3f1e4e8f,32'h3f417c75,// invsqrt(2.1182) = 0.6871 +32'h3edc1bdf,32'h3fbf5101,32'h3fc72011, 32'h3fb975b5,32'h3fccfb5d, 32'h3fafb2e2,32'h3fd6be30,// invsqrt(0.4299) = 1.5252 +32'h3f3cda8e,32'h3f920c16,32'h3f980221, 32'h3f8d938d,32'h3f9c7aa9, 32'h3f861fff,32'h3fa3ee37,// invsqrt(0.7377) = 1.1643 +32'h3f2eeeb2,32'h3f97bf4d,32'h3f9df0e8, 32'h3f931a1a,32'h3fa2961c, 32'h3f8b5c18,32'h3faa541e,// invsqrt(0.6833) = 1.2097 +32'h3f3c2ba5,32'h3f924fe6,32'h3f9848b6, 32'h3f8dd54a,32'h3f9cc352, 32'h3f865e46,32'h3fa43a56,// invsqrt(0.7350) = 1.1664 +32'h3f141433,32'h3fa4ef08,32'h3fabaa6c, 32'h3f9fe27e,32'h3fb0b6f6, 32'h3f977841,32'h3fb92133,// invsqrt(0.5784) = 1.3148 +32'h3e892dac,32'h3ff2577a,32'h3ffc3bb3, 32'h3feaec4e,32'h4001d36f, 32'h3fde8f07,32'h40080212,// invsqrt(0.2679) = 1.9319 +32'h401d883c,32'h3f1fe890,32'h3f266f72, 32'h3f1b0367,32'h3f2b549b, 32'h3f12dace,32'h3f337d34,// invsqrt(2.4614) = 0.6374 +32'h3f4bc322,32'h3f8c9a56,32'h3f92577e, 32'h3f884c78,32'h3f96a55c, 32'h3f812006,32'h3f9dd1ce,// invsqrt(0.7959) = 1.1209 +32'h3fc4ba68,32'h3f4a5db9,32'h3f52a03e, 32'h3f442bd6,32'h3f58d222, 32'h3f39d8b0,32'h3f632548,// invsqrt(1.5369) = 0.8066 +32'h3f023093,32'h3fafe696,32'h3fb71492, 32'h3faa8419,32'h3fbc770f, 32'h3fa18a9f,32'h3fc57089,// invsqrt(0.5086) = 1.4023 +32'h40d483b4,32'h3ec2b471,32'h3ecaa6e9, 32'h3ebcbe98,32'h3ed09cc2, 32'h3eb2cf82,32'h3eda8bd8,// invsqrt(6.6411) = 0.3880 +32'h3fa6ba40,32'h3f5bd1f1,32'h3f64cad6, 32'h3f551744,32'h3f6b8582, 32'h3f49e026,32'h3f76bca0,// invsqrt(1.3026) = 0.8762 +32'h3fd25bed,32'h3f43b326,32'h3f4bb004, 32'h3f3db581,32'h3f51ada9, 32'h3f33b96c,32'h3f5ba9be,// invsqrt(1.6434) = 0.7801 +32'h3fb759dd,32'h3f519e4e,32'h3f5a2c9a, 32'h3f4b3395,32'h3f609753, 32'h3f4081b5,32'h3f6b4933,// invsqrt(1.4324) = 0.8355 +32'h3f646da2,32'h3f84cb79,32'h3f8a370b, 32'h3f80bacc,32'h3f8e47b8, 32'h3f73e8aa,32'h3f950e2f,// invsqrt(0.8923) = 1.0586 +32'h3fcaee01,32'h3f473ffd,32'h3f4f61f2, 32'h3f412684,32'h3f557b6a, 32'h3f36fc12,32'h3f5fa5dd,// invsqrt(1.5854) = 0.7942 +32'h3fd27153,32'h3f43a933,32'h3f4ba5a8, 32'h3f3dabdb,32'h3f51a2ff, 32'h3f33b048,32'h3f5b9e92,// invsqrt(1.6441) = 0.7799 +32'h3e8e717d,32'h3fedd226,32'h3ff78722, 32'h3fe68a69,32'h3ffecedf, 32'h3fda682d,32'h4005788d,// invsqrt(0.2782) = 1.8959 +32'h3d431369,32'h408fb2fe,32'h40959080, 32'h408b4cdc,32'h4099f6a2, 32'h4083f7f9,32'h40a14b85,// invsqrt(0.0476) = 4.5822 +32'h3fe80d0b,32'h3f3a541a,32'h3f41ef0c, 32'h3f349fe5,32'h3f47a341, 32'h3f2b1e38,32'h3f5124ef,// invsqrt(1.8129) = 0.7427 +32'h3f620e77,32'h3f857d58,32'h3f8af02d, 32'h3f816739,32'h3f8f064d, 32'h3f752f5f,32'h3f95d5d6,// invsqrt(0.8830) = 1.0642 +32'h400ea155,32'h3f280df7,32'h3f2ee9f7, 32'h3f22e8f7,32'h3f340ef7, 32'h3f1a55f8,32'h3f3ca1f6,// invsqrt(2.2286) = 0.6699 +32'h3df06361,32'h403711a0,32'h403e8a82, 32'h403176f7,32'h4044252b, 32'h40281fdc,32'h404d7c46,// invsqrt(0.1174) = 2.9188 +32'h400621eb,32'h3f2d4bd4,32'h3f345e98, 32'h3f27fdc0,32'h3f39acac, 32'h3f1f264a,32'h3f428422,// invsqrt(2.0958) = 0.6908 +32'h3fd148c9,32'h3f4433a0,32'h3f4c35bc, 32'h3f3e320c,32'h3f523750, 32'h3f342f69,32'h3f5c39f3,// invsqrt(1.6350) = 0.7821 +32'h3f841998,32'h3f76f4bf,32'h3f808498, 32'h3f6f656b,32'h3f844c42, 32'h3f62cbde,32'h3f8a9908,// invsqrt(1.0320) = 0.9844 +32'h3da9d948,32'h4059ca7c,32'h4062ae2e, 32'h40531fb7,32'h406958f3, 32'h40480319,32'h40747591,// invsqrt(0.0829) = 3.4724 +32'h402267b0,32'h3f1d7dbf,32'h3f23eb5f, 32'h3f18ab88,32'h3f28bd96, 32'h3f10a281,32'h3f30c69d,// invsqrt(2.5376) = 0.6278 +32'h3f186c57,32'h3fa290f8,32'h3fa9339f, 32'h3f9d96fb,32'h3fae2d9d, 32'h3f954bab,32'h3fb678ed,// invsqrt(0.5954) = 1.2960 +32'h3ed21d44,32'h3fc3d052,32'h3fcbce60, 32'h3fbdd1c8,32'h3fd1ccea, 32'h3fb3d436,32'h3fdbca7c,// invsqrt(0.4104) = 1.5610 +32'h3e1d9314,32'h401fe30f,32'h402669b7, 32'h401afe11,32'h402b4eb5, 32'h4012d5c0,32'h40337707,// invsqrt(0.1539) = 2.5492 +32'h3e8323b5,32'h3ff7dbd9,32'h4000fcdc, 32'h3ff04571,32'h4004c80f, 32'h3fe3a01a,32'h400b1abb,// invsqrt(0.2561) = 1.9759 +32'h3ef5c772,32'h3fb50cce,32'h3fbc7098, 32'h3faf81f7,32'h3fc1fb6f, 32'h3fa6453b,32'h3fcb382b,// invsqrt(0.4800) = 1.4433 +32'h4044f75a,32'h3f0f0208,32'h3f14d852, 32'h3f0aa151,32'h3f193909, 32'h3f035575,32'h3f2084e5,// invsqrt(3.0776) = 0.5700 +32'h3fe0a1cc,32'h3f3d6167,32'h3f451c3c, 32'h3f379547,32'h3f4ae85b, 32'h3f2debbc,32'h3f5491e6,// invsqrt(1.7549) = 0.7549 +32'h3f4601c2,32'h3f8ea1b4,32'h3f94740e, 32'h3f8a43ef,32'h3f98d1d3, 32'h3f82fcfe,32'h3fa018c4,// invsqrt(0.7735) = 1.1371 +32'h3fc36ff0,32'h3f4b0889,32'h3f535206, 32'h3f44d16a,32'h3f598924, 32'h3f3a758d,32'h3f63e501,// invsqrt(1.5269) = 0.8093 +32'h3f9008e8,32'h3f6c80dd,32'h3f762815, 32'h3f654373,32'h3f7d657f, 32'h3f59326d,32'h3f84bb43,// invsqrt(1.1253) = 0.9427 +32'h3e256f52,32'h401c0ad9,32'h40226955, 32'h401743fc,32'h40273032, 32'h400f4de2,32'h402f264c,// invsqrt(0.1616) = 2.4879 +32'h3e7e61d4,32'h3ffbad31,32'h4002f97a, 32'h3ff3f8de,32'h4006d3a3, 32'h3fe721aa,32'h400d3f3d,// invsqrt(0.2484) = 2.0063 +32'h42ae3ae3,32'h3dd70902,32'h3ddfcfe8, 32'h3dd073d6,32'h3de66514, 32'h3dc57b36,32'h3df15db4,// invsqrt(87.1150) = 0.1071 +32'h3f3cdccc,32'h3f920b38,32'h3f98013a, 32'h3f8d92b6,32'h3f9c79bc, 32'h3f861f33,32'h3fa3ed3f,// invsqrt(0.7377) = 1.1643 +32'h40c549ed,32'h3eca1410,32'h3ed25394, 32'h3ec3e46e,32'h3ed88336, 32'h3eb9950a,32'h3ee2d29a,// invsqrt(6.1653) = 0.4027 +32'h3f4e7d78,32'h3f8babca,32'h3f915f36, 32'h3f87653a,32'h3f95a5c6, 32'h3f8044f3,32'h3f9cc60d,// invsqrt(0.8066) = 1.1134 +32'h3f98bbcb,32'h3f65ab74,32'h3f6f0b44, 32'h3f5ea397,32'h3f761321, 32'h3f52ebd3,32'h3f80e573,// invsqrt(1.1932) = 0.9155 +32'h4138aeb5,32'h3e93aff4,32'h3e99b723, 32'h3e8f2a92,32'h3e9e3c86, 32'h3e87a198,32'h3ea5c580,// invsqrt(11.5427) = 0.2943 +32'h3fa0de70,32'h3f5fc97d,32'h3f68ebd6, 32'h3f58efbb,32'h3f6fc599, 32'h3f4d84cc,32'h3f7b3088,// invsqrt(1.2568) = 0.8920 +32'h408d7689,32'h3eeea4ba,32'h3ef8624f, 32'h3ee7568b,32'h3effb07f, 32'h3edb2991,32'h3f05eebc,// invsqrt(4.4207) = 0.4756 +32'h41750681,32'h3e8037eb,32'h3e8573ac, 32'h3e789637,32'h3e89607d, 32'h3e6b80d6,32'h3e8feb2d,// invsqrt(15.3141) = 0.2555 +32'h40671ca9,32'h3f040584,32'h3f096902, 32'h3efff5cc,32'h3f0d73a0, 32'h3ef27d12,32'h3f142ffd,// invsqrt(3.6111) = 0.5262 +32'h3f1a1ba7,32'h3fa1acda,32'h3fa84632, 32'h3f9cb9d9,32'h3fad3933, 32'h3f947a2c,32'h3fb578e0,// invsqrt(0.6020) = 1.2889 +32'h3f0d958f,32'h3fa8ac97,32'h3faf8f11, 32'h3fa382bd,32'h3fb4b8eb, 32'h3f9ae7a5,32'h3fbd5403,// invsqrt(0.5531) = 1.3447 +32'h4062f023,32'h3f053ae8,32'h3f0aab07, 32'h3f0126d2,32'h3f0ebf1e, 32'h3ef4b558,32'h3f158b44,// invsqrt(3.5459) = 0.5311 +32'h3fb76f22,32'h3f519226,32'h3f5a1ff4, 32'h3f4b27cc,32'h3f608a4e, 32'h3f40768c,32'h3f6b3b8e,// invsqrt(1.4331) = 0.8353 +32'h3f7f3984,32'h3f7b42c2,32'h3f82c217, 32'h3f7391b2,32'h3f869a9f, 32'h3f66bfec,32'h3f8d0382,// invsqrt(0.9970) = 1.0015 +32'h416c6cae,32'h3e8287a3,32'h3e87db8a, 32'h3e7d116a,32'h3e8bda77, 32'h3e6fbfa8,32'h3e928358,// invsqrt(14.7765) = 0.2601 +32'h3f51d312,32'h3f8a8e8c,32'h3f903654, 32'h3f8650b7,32'h3f947429, 32'h3f7e7dfd,32'h3f9b85e2,// invsqrt(0.8196) = 1.1046 +32'h3f32469f,32'h3f96514c,32'h3f9c73f7, 32'h3f91b74d,32'h3fa10df7, 32'h3f8a0bf8,32'h3fa8b94c,// invsqrt(0.6964) = 1.1983 +32'h4101a7f2,32'h3eb0432c,32'h3eb774f0, 32'h3eaaddda,32'h3ebcda42, 32'h3ea1dfa6,32'h3ec5d876,// invsqrt(8.1035) = 0.3513 +32'h4028de60,32'h3f1a729e,32'h3f20c070, 32'h3f15b840,32'h3f257ace, 32'h3f0dd6fa,32'h3f2d5c14,// invsqrt(2.6386) = 0.6156 +32'h3ffc043e,32'h3f32cba3,32'h3f3a17dd, 32'h3f2d5276,32'h3f3f910a, 32'h3f24332d,32'h3f48b053,// invsqrt(1.9689) = 0.7127 +32'h3f6ba798,32'h3f82be2d,32'h3f88144e, 32'h3f7d7b27,32'h3f8c14e6, 32'h3f7023d4,32'h3f92c090,// invsqrt(0.9205) = 1.0423 +32'h3f616886,32'h3f85ae72,32'h3f8b2348, 32'h3f8196d2,32'h3f8f3ae8, 32'h3f75898e,32'h3f960cf3,// invsqrt(0.8805) = 1.0657 +32'h3f75d148,32'h3f8002fe,32'h3f853c96, 32'h3f782f99,32'h3f8927c7, 32'h3f6b1f9f,32'h3f8fafc5,// invsqrt(0.9602) = 1.0205 +32'h3e46cdf1,32'h400e5862,32'h401427be, 32'h4009fcdc,32'h40188344, 32'h4002b9a8,32'h401fc678,// invsqrt(0.1941) = 2.2695 +32'h3f102f8b,32'h3fa72545,32'h3fadf7c5, 32'h3fa20765,32'h3fb315a5, 32'h3f998044,32'h3fbb9cc6,// invsqrt(0.5632) = 1.3325 +32'h3f45bbc8,32'h3f8ebaee,32'h3f948e51, 32'h3f8a5c65,32'h3f98ecdb, 32'h3f83142a,32'h3fa03516,// invsqrt(0.7724) = 1.1378 +32'h3eb707b9,32'h3fd1cd52,32'h3fda5d8a, 32'h3fcb6128,32'h3fe0c9b4, 32'h3fc0ace3,32'h3feb7df9,// invsqrt(0.3575) = 1.6725 +32'h3fe9be1f,32'h3f39a72d,32'h3f413b0f, 32'h3f33f843,32'h3f46e9f9, 32'h3f2a7f68,32'h3f5062d4,// invsqrt(1.8261) = 0.7400 +32'h404803dc,32'h3f0de9f0,32'h3f13b4ca, 32'h3f0991cc,32'h3f180cee, 32'h3f02543a,32'h3f1f4a80,// invsqrt(3.1252) = 0.5657 +32'h3fe84b3f,32'h3f3a3b26,32'h3f41d513, 32'h3f3487b5,32'h3f478885, 32'h3f2b074d,32'h3f5108ed,// invsqrt(1.8148) = 0.7423 +32'h3f14bf23,32'h3fa49027,32'h3fab47ac, 32'h3f9f8685,32'h3fb0514f, 32'h3f97211f,32'h3fb8b6b5,// invsqrt(0.5810) = 1.3119 +32'h4035f016,32'h3f14cc10,32'h3f1aded7, 32'h3f103dfa,32'h3f1f6cec, 32'h3f08a681,32'h3f270465,// invsqrt(2.8428) = 0.5931 +32'h3f3dc5ae,32'h3f91b180,32'h3f97a3d8, 32'h3f8d3bbd,32'h3f9c199b, 32'h3f85ccce,32'h3fa3888a,// invsqrt(0.7413) = 1.1615 +32'h40202fde,32'h3f1e93eb,32'h3f250ce5, 32'h3f19b930,32'h3f29e7a0, 32'h3f11a1f7,32'h3f31fed9,// invsqrt(2.5029) = 0.6321 +32'h4053fc44,32'h3f09d94c,32'h3f0f79ae, 32'h3f05a104,32'h3f13b1f6, 32'h3efd3114,32'h3f1aba70,// invsqrt(3.3123) = 0.5495 +32'h3f887471,32'h3f72fbbe,32'h3f7ce6ab, 32'h3f6b8b8b,32'h3f822b6e, 32'h3f5f25e2,32'h3f885e43,// invsqrt(1.0661) = 0.9685 +32'h3d8fb6d5,32'h406cc45b,32'h40766e55, 32'h406584e0,32'h407dadd0, 32'h40597069,32'h4084e124,// invsqrt(0.0702) = 3.7750 +32'h3f7209ab,32'h3f8101e2,32'h3f8645e0, 32'h3f7a1dc5,32'h3f8a38df, 32'h3f6cf3c8,32'h3f90cdde,// invsqrt(0.9455) = 1.0284 +32'h4022b9ea,32'h3f1d55f0,32'h3f23c1ef, 32'h3f1884f0,32'h3f2892ee, 32'h3f107df1,32'h3f3099ed,// invsqrt(2.5426) = 0.6271 +32'h3fd8f6ec,32'h3f40b2a0,32'h3f48901e, 32'h3f3acc81,32'h3f4e763d, 32'h3f30f7a2,32'h3f584b1c,// invsqrt(1.6950) = 0.7681 +32'h3edb5e86,32'h3fbfa380,32'h3fc775ee, 32'h3fb9c5ae,32'h3fcd53c0, 32'h3faffea5,32'h3fd71ac9,// invsqrt(0.4285) = 1.5277 +32'h42cf0000,32'h3dc54801,32'h3dcd5565, 32'h3dbf3df7,32'h3dd35f6f, 32'h3db52d3b,32'h3ddd702b,// invsqrt(103.5000) = 0.0983 +32'h40fd45eb,32'h3eb259f4,32'h3eb9a18c, 32'h3eace443,32'h3ebf173d, 32'h3ea3cac6,32'h3ec830ba,// invsqrt(7.9148) = 0.3555 +32'h3e54cf84,32'h400994d0,32'h400f3265, 32'h40055e9f,32'h40136895, 32'h3ffcb348,32'h401a6d90,// invsqrt(0.2078) = 2.1936 +32'h3ffe3729,32'h3f320540,32'h3f394962, 32'h3f2c9227,32'h3f3ebc7b, 32'h3f237cfc,32'h3f47d1a6,// invsqrt(1.9861) = 0.7096 +32'h3dbbe8a9,32'h404f0f82,32'h40578315, 32'h4048b8d5,32'h405dd9c3, 32'h403e285e,32'h40686a3a,// invsqrt(0.0918) = 3.3013 +32'h3e6ddf90,32'h400221b9,32'h40077177, 32'h3ffc4bd4,32'h400b6d46, 32'h3fef0478,32'h401210f4,// invsqrt(0.2323) = 2.0748 +32'h3f8912c2,32'h3f726f43,32'h3f7c5475, 32'h3f6b035e,32'h3f81e02d, 32'h3f5ea4e0,32'h3f880f6c,// invsqrt(1.0709) = 0.9663 +32'h407435ff,32'h3f006e9c,32'h3f05ac98, 32'h3ef9003e,32'h3f099b15, 32'h3eebe548,32'h3f102890,// invsqrt(3.8158) = 0.5119 +32'h3ff1f38d,32'h3f3679fd,32'h3f3decaf, 32'h3f30e3f8,32'h3f4382b4, 32'h3f27949a,32'h3f4cd212,// invsqrt(1.8902) = 0.7273 +32'h400b0e81,32'h3f2a3342,32'h3f3125ae, 32'h3f24fd72,32'h3f365b7e, 32'h3f1c4e6c,32'h3f3f0a84,// invsqrt(2.1728) = 0.6784 +32'h3ccad6ae,32'h40c74b71,32'h40cf6dde, 32'h40c1319f,32'h40d587af, 32'h40b70696,32'h40dfb2b8,// invsqrt(0.0248) = 6.3551 +32'h400560ac,32'h3f2dc931,32'h3f34e113, 32'h3f287747,32'h3f3a32fd, 32'h3f1f996b,32'h3f4310d9,// invsqrt(2.0840) = 0.6927 +32'h408416ed,32'h3ef6f73e,32'h3f0085e4, 32'h3eef67d6,32'h3f044d98, 32'h3ee2ce29,32'h3f0a9a6e,// invsqrt(4.1278) = 0.4922 +32'h402e9141,32'h3f17e7e5,32'h3f1e1b27, 32'h3f134172,32'h3f22c19a, 32'h3f0b815f,32'h3f2a81ad,// invsqrt(2.7276) = 0.6055 +32'h3fbd5b35,32'h3f4e4486,32'h3f56afd0, 32'h3f47f40f,32'h3f5d0047, 32'h3f3d6df4,32'h3f678663,// invsqrt(1.4793) = 0.8222 +32'h3f23ccc1,32'h3f9cd1b9,32'h3fa33853, 32'h3f9804c6,32'h3fa80546, 32'h3f900485,32'h3fb00587,// invsqrt(0.6398) = 1.2502 +32'h420ec765,32'h3e27f78f,32'h3e2ed2a5, 32'h3e22d33f,32'h3e33f6f5, 32'h3e1a4164,32'h3e3c88d0,// invsqrt(35.6947) = 0.1674 +32'h3f8636d7,32'h3f7500d2,32'h3f7f00da, 32'h3f6d80cb,32'h3f834070, 32'h3f6100c1,32'h3f898076,// invsqrt(1.0485) = 0.9766 +32'h3f537d3c,32'h3f8a02ac,32'h3f8fa4be, 32'h3f85c91f,32'h3f93de4b, 32'h3f7d7d13,32'h3f9ae8e1,// invsqrt(0.8261) = 1.1002 +32'h3e84d9ca,32'h3ff641dc,32'h4000277f, 32'h3feeb801,32'h4003ec6c, 32'h3fe22795,32'h400a34a2,// invsqrt(0.2595) = 1.9631 +32'h3ddfe092,32'h403db30f,32'h40457139, 32'h4037e46f,32'h404b3fd9, 32'h402e36ba,32'h4054ed8e,// invsqrt(0.1093) = 3.0245 +32'h3eb99ce4,32'h3fd05658,32'h3fd8d742, 32'h3fc9f5a9,32'h3fdf37f1, 32'h3fbf5485,32'h3fe9d915,// invsqrt(0.3625) = 1.6609 +32'h3f0f5385,32'h3fa7a55f,32'h3fae7d1a, 32'h3fa28394,32'h3fb39ee6, 32'h3f99f5ea,32'h3fbc2c90,// invsqrt(0.5599) = 1.3365 +32'h3dd9730d,32'h40407b98,32'h404856d8, 32'h403a9728,32'h404e3b48, 32'h4030c519,32'h40580d57,// invsqrt(0.1062) = 3.0689 +32'h3f733a03,32'h3f80b112,32'h3f85f1c4, 32'h3f798118,32'h3f89e24a, 32'h3f6c5f5a,32'h3f907329,// invsqrt(0.9501) = 1.0259 +32'h40328c5a,32'h3f1633ef,32'h3f1c5567, 32'h3f119ad6,32'h3f20ee80, 32'h3f09f100,32'h3f289856,// invsqrt(2.7898) = 0.5987 +32'h3f366360,32'h3f949d01,32'h3f9aaddd, 32'h3f90105d,32'h3f9f3a81, 32'h3f887b4a,32'h3fa6cf94,// invsqrt(0.7125) = 1.1847 +32'h401a3121,32'h3f21a197,32'h3f283a79, 32'h3f1caeee,32'h3f2d2d22, 32'h3f146fd4,32'h3f356c3c,// invsqrt(2.4092) = 0.6443 +32'h3f76c5c3,32'h3f7f870a,32'h3f84fa85, 32'h3f77b48a,32'h3f88e3c5, 32'h3f6aab09,32'h3f8f6886,// invsqrt(0.9640) = 1.0185 +32'h3ec99486,32'h3fc7ea72,32'h3fd0135c, 32'h3fc1cbc2,32'h3fd6320c, 32'h3fb7989d,32'h3fe06531,// invsqrt(0.3937) = 1.5937 +32'h3e5727c8,32'h4008d45e,32'h400e6a18, 32'h4004a412,32'h40129a64, 32'h3ffb51d1,32'h4019958e,// invsqrt(0.2101) = 2.1816 +32'h3f8034c1,32'h3f7aada5,32'h3f82747d, 32'h3f730125,32'h3f864abd, 32'h3f6636fa,32'h3f8cafd2,// invsqrt(1.0016) = 0.9992 +32'h41033c4d,32'h3eaf32ce,32'h3eb65974, 32'h3ea9d5d3,32'h3ebbb66f, 32'h3ea0e584,32'h3ec4a6be,// invsqrt(8.2022) = 0.3492 +32'h3fe9371f,32'h3f39dce1,32'h3f4172f5, 32'h3f342c52,32'h3f472384, 32'h3f2ab0ba,32'h3f509f1c,// invsqrt(1.8220) = 0.7408 +32'h3f47c01d,32'h3f8e01fe,32'h3f93cdd4, 32'h3f89a91d,32'h3f9826b5, 32'h3f826a52,32'h3f9f6580,// invsqrt(0.7803) = 1.1321 +32'h3ea666c1,32'h3fdc0910,32'h3fe50436, 32'h3fd54cb4,32'h3febc092, 32'h3fca12c6,32'h3ff6fa80,// invsqrt(0.3250) = 1.7541 +32'h3f87b949,32'h3f73a30c,32'h3f7d94ce, 32'h3f6c2dbb,32'h3f828510, 32'h3f5fbf88,32'h3f88bc29,// invsqrt(1.0603) = 0.9711 +32'h3e1c9326,32'h40206586,32'h4026f182, 32'h401b7c8a,32'h402bda7e, 32'h40134d90,32'h40340978,// invsqrt(0.1529) = 2.5573 +32'h3ee2d09f,32'h3fbc778a,32'h3fc428d4, 32'h3fb6b293,32'h3fc9edcb, 32'h3fad14f7,32'h3fd38b67,// invsqrt(0.4430) = 1.5024 +32'h3db7574f,32'h40519fc4,32'h405a2e1f, 32'h404b34ff,32'h406098e3, 32'h4040830c,32'h406b4ad6,// invsqrt(0.0895) = 3.3422 +32'h4081c8f8,32'h3ef92615,32'h3f01a8b7, 32'h3ef18592,32'h3f0578f9, 32'h3ee4cf62,32'h3f0bd411,// invsqrt(4.0558) = 0.4965 +32'h40c39478,32'h3ecaf592,32'h3ed33e49, 32'h3ec4bf08,32'h3ed974d2, 32'h3eba6422,32'h3ee3cfb8,// invsqrt(6.1119) = 0.4045 +32'h3f956a79,32'h3f68349f,32'h3f71aeef, 32'h3f6118e3,32'h3f78caab, 32'h3f554000,32'h3f8251c7,// invsqrt(1.1673) = 0.9256 +32'h3f216337,32'h3f9dfca4,32'h3fa46f71, 32'h3f99268a,32'h3fa9458a, 32'h3f911709,32'h3fb1550b,// invsqrt(0.6304) = 1.2595 +32'h3f94e162,32'h3f689f6f,32'h3f721e1b, 32'h3f61806e,32'h3f793d1c, 32'h3f55a218,32'h3f828db9,// invsqrt(1.1631) = 0.9272 +32'h402e8c4f,32'h3f17ea0c,32'h3f1e1d65, 32'h3f134388,32'h3f22c3e8, 32'h3f0b8359,32'h3f2a8417,// invsqrt(2.7273) = 0.6055 +32'h3fb12295,32'h3f5543bb,32'h3f5df821, 32'h3f4ebc6f,32'h3f647f6d, 32'h3f43daf0,32'h3f6f60ec,// invsqrt(1.3839) = 0.8501 +32'h400f0730,32'h3f27d216,32'h3f2eaba4, 32'h3f22aeec,32'h3f33cece, 32'h3f1a1efa,32'h3f3c5ec0,// invsqrt(2.2348) = 0.6689 +32'h3fbcdb3f,32'h3f4e8a5c,32'h3f56f87f, 32'h3f4837c1,32'h3f5d4b19, 32'h3f3dae15,32'h3f67d4c5,// invsqrt(1.4754) = 0.8233 +32'h3f894103,32'h3f724666,32'h3f7c29ed, 32'h3f6adbc2,32'h3f81ca49, 32'h3f5e7f59,32'h3f87f87e,// invsqrt(1.0723) = 0.9657 +32'h3f8e6e9c,32'h3f6dd48d,32'h3f7789a3, 32'h3f668cbd,32'h3f7ed173, 32'h3f5a6a62,32'h3f8579e7,// invsqrt(1.1128) = 0.9480 +32'h3f817beb,32'h3f79702b,32'h3f81cf45, 32'h3f71cd63,32'h3f85a0a9, 32'h3f65136c,32'h3f8bfda5,// invsqrt(1.0116) = 0.9943 +32'h3eac67bd,32'h3fd82b92,32'h3fe0fe54, 32'h3fd18d80,32'h3fe79c66, 32'h3fc6860e,32'h3ff2a3d8,// invsqrt(0.3367) = 1.7233 +32'h3f8e3364,32'h3f6e060d,32'h3f77bd28, 32'h3f66bcba,32'h3f7f067c, 32'h3f5a97d8,32'h3f8595af,// invsqrt(1.1109) = 0.9488 +32'h40f2c4d9,32'h3eb62b43,32'h3ebd9abe, 32'h3eb097a7,32'h3ec32e5b, 32'h3ea74c4e,32'h3ecc79b4,// invsqrt(7.5865) = 0.3631 +32'h3ed554f3,32'h3fc254dd,32'h3fca436d, 32'h3fbc61f0,32'h3fd0365a, 32'h3fb277bb,32'h3fda208f,// invsqrt(0.4167) = 1.5492 +32'h3e94eccc,32'h3fe89685,32'h3ff214d3, 32'h3fe177ca,32'h3ff9338e, 32'h3fd599e8,32'h400288b8,// invsqrt(0.2909) = 1.8542 +32'h40070e8c,32'h3f2cb3c1,32'h3f33c050, 32'h3f276a54,32'h3f3909bc, 32'h3f1e9aa1,32'h3f41d96f,// invsqrt(2.1103) = 0.6884 +32'h40346357,32'h3f156f58,32'h3f1b88ca, 32'h3f10dc43,32'h3f201bdf, 32'h3f093c76,32'h3f27bbac,// invsqrt(2.8186) = 0.5956 +32'h3f76d175,32'h3f7f80fc,32'h3f84f75e, 32'h3f77aeab,32'h3f88e087, 32'h3f6aa579,32'h3f8f651f,// invsqrt(0.9641) = 1.0184 +32'h40e4fcd2,32'h3ebb921b,32'h3ec33a07, 32'h3eb5d42a,32'h3ec8f7f8, 32'h3eac4242,32'h3ed289e0,// invsqrt(7.1559) = 0.3738 +32'h3f3c6c3c,32'h3f9236d1,32'h3f982e9a, 32'h3f8dbcf9,32'h3f9ca871, 32'h3f86473c,32'h3fa41e2e,// invsqrt(0.7360) = 1.1656 +32'h40ef5914,32'h3eb7775b,32'h3ebef464, 32'h3eb1d995,32'h3ec4922b, 32'h3ea87d4a,32'h3ecdee76,// invsqrt(7.4796) = 0.3656 +32'h3fa30c71,32'h3f5e4944,32'h3f675bee, 32'h3f577b45,32'h3f6e29ed, 32'h3f4c23f0,32'h3f798142,// invsqrt(1.2738) = 0.8860 +32'h4000eef4,32'h3f30c172,32'h3f37f85c, 32'h3f2b5842,32'h3f3d618c, 32'h3f22539d,32'h3f466631,// invsqrt(2.0146) = 0.7045 +32'h4131a5b6,32'h3e969551,32'h3e9cbac2, 32'h3e91f93c,32'h3ea156d6, 32'h3e8a4a6e,32'h3ea905a4,// invsqrt(11.1030) = 0.3001 +32'h3f80f829,32'h3f79ef75,32'h3f821183, 32'h3f7248c8,32'h3f85e4da, 32'h3f658851,32'h3f8c4515,// invsqrt(1.0076) = 0.9962 +32'h3e1759f0,32'h40232414,32'h4029ccbc, 32'h401e2596,32'h402ecb3a, 32'h4015d2c4,32'h40371e0c,// invsqrt(0.1478) = 2.6011 +32'h3fc59309,32'h3f49eeaa,32'h3f522ca6, 32'h3f43c02c,32'h3f585b24, 32'h3f3972b1,32'h3f62a89f,// invsqrt(1.5435) = 0.8049 +32'h3f01812e,32'h3fb05d8c,32'h3fb79062, 32'h3faaf76b,32'h3fbcf683, 32'h3fa1f7de,32'h3fc5f610,// invsqrt(0.5059) = 1.4060 +32'h3fa2c9b6,32'h3f5e76cf,32'h3f678b55, 32'h3f57a76b,32'h3f6e5ab9, 32'h3f4c4dc3,32'h3f79b461,// invsqrt(1.2718) = 0.8867 +32'h3c4b3dd5,32'h410cc86a,32'h41128774, 32'h41087923,32'h4116d6bb, 32'h41014a57,32'h411e0587,// invsqrt(0.0124) = 8.9785 +32'h3f6ba604,32'h3f82be9d,32'h3f8814c3, 32'h3f7d7c02,32'h3f8c155f, 32'h3f7024a3,32'h3f92c10e,// invsqrt(0.9205) = 1.0423 +32'h3ff06e5f,32'h3f370d71,32'h3f3e8627, 32'h3f3172e8,32'h3f4420b0, 32'h3f281c04,32'h3f4d7794,// invsqrt(1.8784) = 0.7296 +32'h3d75ca09,32'h408004e1,32'h40853e8d, 32'h40783342,32'h408929cd, 32'h406b2316,32'h408fb1e3,// invsqrt(0.0600) = 4.0822 +32'h3f902db5,32'h3f6c62ac,32'h3f7608a8, 32'h3f65262e,32'h3f7d4526, 32'h3f5916b3,32'h3f84aa51,// invsqrt(1.1264) = 0.9422 +32'h4037e10d,32'h3f140274,32'h3f1a0d00, 32'h3f0f7a8a,32'h3f1e94ea, 32'h3f07ed5b,32'h3f262219,// invsqrt(2.8731) = 0.5900 +32'h3f9fd332,32'h3f608448,32'h3f69ae40, 32'h3f59a4cd,32'h3f708dbb, 32'h3f4e3057,32'h3f7c0231,// invsqrt(1.2486) = 0.8949 +32'h3fbb1be6,32'h3f4f80b0,32'h3f57f8e0, 32'h3f49268b,32'h3f5e5305, 32'h3f3e904e,32'h3f68e942,// invsqrt(1.4618) = 0.8271 +32'h3ee5be4d,32'h3fbb430f,32'h3fc2e7c1, 32'h3fb58789,32'h3fc8a347, 32'h3fabf9aa,32'h3fd23126,// invsqrt(0.4487) = 1.4928 +32'h402b7797,32'h3f1945e1,32'h3f1f876d, 32'h3f1494b8,32'h3f243896, 32'h3f0cc2ca,32'h3f2c0a84,// invsqrt(2.6792) = 0.6109 +32'h405ad977,32'h3f07ab7a,32'h3f0d3516, 32'h3f038444,32'h3f115c4c, 32'h3ef93082,32'h3f18484f,// invsqrt(3.4195) = 0.5408 +32'h428cfcb8,32'h3def0bbd,32'h3df8cd86, 32'h3de7ba66,32'h3e000f6e, 32'h3ddb882a,32'h3e06288c,// invsqrt(70.4936) = 0.1191 +32'h3fa5f612,32'h3f5c53b6,32'h3f6551e8, 32'h3f559511,32'h3f6c108d, 32'h3f4a5754,32'h3f774e4a,// invsqrt(1.2966) = 0.8782 +32'h3f9e33f8,32'h3f61aa2b,32'h3f6ae023, 32'h3f5ac1b2,32'h3f71c89c, 32'h3f4f3e3d,32'h3f7d4c11,// invsqrt(1.2360) = 0.8995 +32'h41203d71,32'h3e9e8d34,32'h3ea505e8, 32'h3e99b2ad,32'h3ea9e06f, 32'h3e919bcd,32'h3eb1f74f,// invsqrt(10.0150) = 0.3160 +32'h3eba9bee,32'h3fcfc7c9,32'h3fd842e1, 32'h3fc96b77,32'h3fde9f33, 32'h3fbed199,32'h3fe93911,// invsqrt(0.3645) = 1.6564 +32'h3dc2fb9d,32'h404b4510,32'h40539106, 32'h40450c17,32'h4059c9ff, 32'h403aad24,32'h406428f3,// invsqrt(0.0952) = 3.2409 +32'h4379da5a,32'h3d7df28a,32'h3d842803, 32'h3d762c6b,32'h3d880b13, 32'h3d69378e,32'h3d8e8581,// invsqrt(249.8529) = 0.0633 +32'h3f147f0d,32'h3fa4b3a6,32'h3fab6c9e, 32'h3f9fa8ed,32'h3fb07757, 32'h3f9741b8,32'h3fb8de8c,// invsqrt(0.5801) = 1.3130 +32'h3f410b58,32'h3f90740d,32'h3f965971, 32'h3f8c0802,32'h3f9ac57c, 32'h3f84a946,32'h3fa22438,// invsqrt(0.7541) = 1.1516 +32'h3fb33ed3,32'h3f540165,32'h3f5ca8a2, 32'h3f4d83f6,32'h3f632610, 32'h3f42b2e9,32'h3f6df71d,// invsqrt(1.4004) = 0.8450 +32'h3e7aef76,32'h3ffd662b,32'h4003def7, 32'h3ff5a458,32'h4007bfe0, 32'h3fe8b6a4,32'h400e36ba,// invsqrt(0.2451) = 2.0201 +32'h4034362e,32'h3f158210,32'h3f1b9c45, 32'h3f10ee69,32'h3f202fed, 32'h3f094da7,32'h3f27d0af,// invsqrt(2.8158) = 0.5959 +32'h3eb96451,32'h3fd07620,32'h3fd8f855, 32'h3fca1477,32'h3fdf59fd, 32'h3fbf71b4,32'h3fe9fcc0,// invsqrt(0.3621) = 1.6618 +32'h3f520ba8,32'h3f8a7be1,32'h3f9022e5, 32'h3f863e9e,32'h3f946028, 32'h3f7e5bb2,32'h3f9b70ed,// invsqrt(0.8205) = 1.1040 +32'h3f5acfb0,32'h3f87ae82,32'h3f8d383e, 32'h3f838735,32'h3f915f8b, 32'h3f793613,32'h3f984bb6,// invsqrt(0.8547) = 1.0816 +32'h3fab8b47,32'h3f58b64d,32'h3f618eb9, 32'h3f5213fc,32'h3f68310a, 32'h3f470576,32'h3f733f90,// invsqrt(1.3402) = 0.8638 +32'h3fd6714a,32'h3f41d3dc,32'h3f49bd28, 32'h3f3be4e2,32'h3f4fac22, 32'h3f320142,32'h3f598fc2,// invsqrt(1.6753) = 0.7726 +32'h401c7fbf,32'h3f206f77,32'h3f26fbda, 32'h3f1b862d,32'h3f2be525, 32'h3f1356b2,32'h3f3414a0,// invsqrt(2.4453) = 0.6395 +32'h3f5ec1f6,32'h3f867974,32'h3f8bf693, 32'h3f825b9d,32'h3f90146b, 32'h3f76fe6e,32'h3f96f0d1,// invsqrt(0.8701) = 1.0720 +32'h3f92ad52,32'h3f6a5d0e,32'h3f73edea, 32'h3f633069,32'h3f7b1a8f, 32'h3f573b56,32'h3f8387d1,// invsqrt(1.1459) = 0.9342 +32'h409f8e2f,32'h3ee0b4d1,32'h3ee9e0c4, 32'h3ed9d3da,32'h3ef0c1ba, 32'h3ece5ce9,32'h3efc38ab,// invsqrt(4.9861) = 0.4478 +32'h4021c429,32'h3f1dcd46,32'h3f243e24, 32'h3f18f89f,32'h3f2912cb, 32'h3f10eb89,32'h3f311fe1,// invsqrt(2.5276) = 0.6290 +32'h3eafd8e5,32'h3fd60b4a,32'h3fdec7d4, 32'h3fcf7de1,32'h3fe5553d, 32'h3fc49234,32'h3ff040ea,// invsqrt(0.3435) = 1.7063 +32'h40c1f576,32'h3ecbce40,32'h3ed41fd0, 32'h3ec59114,32'h3eda5cfc, 32'h3ebb2b21,32'h3ee4c2ef,// invsqrt(6.0612) = 0.4062 +32'h3dadc27c,32'h40575375,32'h40601d65, 32'h4050bc01,32'h4066b4d9, 32'h4045bf95,32'h4071b145,// invsqrt(0.0848) = 3.4331 +32'h3d0dd2d6,32'h40a88823,32'h40af691f, 32'h40a35f66,32'h40b491dc, 32'h409ac62a,32'h40bd2b18,// invsqrt(0.0346) = 5.3741 +32'h3fad2ebb,32'h3f57af3d,32'h3f607ceb, 32'h3f5114f9,32'h3f67172f, 32'h3f4613df,32'h3f721849,// invsqrt(1.3530) = 0.8597 +32'h3e8eba76,32'h3fed9552,32'h3ff747d3, 32'h3fe64f72,32'h3ffe8db4, 32'h3fda3051,32'h4005566a,// invsqrt(0.2788) = 1.8940 +32'h3f491e8a,32'h3f8d8611,32'h3f934cd9, 32'h3f8930fc,32'h3f97a1ee, 32'h3f81f883,32'h3f9eda67,// invsqrt(0.7856) = 1.1282 +32'h414f1624,32'h3e8b7844,32'h3e912996, 32'h3e873348,32'h3e956e92, 32'h3e8015a2,32'h3e9c8c38,// invsqrt(12.9429) = 0.2780 +32'h3f5fe98c,32'h3f862094,32'h3f8b9a12, 32'h3f820575,32'h3f8fb531, 32'h3f765b2f,32'h3f968d0e,// invsqrt(0.8747) = 1.0693 +32'h3f315af5,32'h3f96b50a,32'h3f9cdbc6, 32'h3f9217fc,32'h3fa178d4, 32'h3f8a6791,32'h3fa9293f,// invsqrt(0.6928) = 1.2014 +32'h4132d99f,32'h3e961379,32'h3e9c339e, 32'h3e917b5f,32'h3ea0cbb9, 32'h3e89d331,32'h3ea873e7,// invsqrt(11.1781) = 0.2991 +32'h3f906ae0,32'h3f6c3097,32'h3f75d489, 32'h3f64f5a2,32'h3f7d0f7e, 32'h3f58e8b5,32'h3f848e36,// invsqrt(1.1283) = 0.9414 +32'h4116eed1,32'h3ea35dee,32'h3eaa08f2, 32'h3e9e5daa,32'h3eaf0936, 32'h3e9607e5,32'h3eb75efb,// invsqrt(9.4333) = 0.3256 +32'h3f1044f6,32'h3fa718dc,32'h3fadeadb, 32'h3fa1fb5e,32'h3fb3085a, 32'h3f9974e0,32'h3fbb8ed8,// invsqrt(0.5636) = 1.3321 +32'h3e24bd26,32'h401c5f24,32'h4022c110, 32'h401795b2,32'h40278a82, 32'h400f9b4b,32'h402f84e9,// invsqrt(0.1609) = 2.4932 +32'h3f896081,32'h3f722aa0,32'h3f7c0d04, 32'h3f6ac0d4,32'h3f81bb68, 32'h3f5e65d7,32'h3f87e8e7,// invsqrt(1.0733) = 0.9653 +32'h3ef31da0,32'h3fb609fe,32'h3fbd781d, 32'h3fb07766,32'h3fc30ab4, 32'h3fa72dbf,32'h3fcc545b,// invsqrt(0.4748) = 1.4512 +32'h3ee26285,32'h3fbca559,32'h3fc45881, 32'h3fb6defb,32'h3fca1edf, 32'h3fad3f08,32'h3fd3bed2,// invsqrt(0.4422) = 1.5039 +32'h3f9c2ec8,32'h3f631e9a,32'h3f6c63c5, 32'h3f5c2aba,32'h3f7357a6, 32'h3f509445,32'h3f7eee1b,// invsqrt(1.2202) = 0.9053 +32'h3e8d1fb8,32'h3feeee16,32'h3ff8aeaa, 32'h3fe79da8,32'h3fffff18, 32'h3fdb6cf0,32'h400617e8,// invsqrt(0.2756) = 1.9047 +32'h3eff8c58,32'h3fb18e42,32'h3fb8cd88, 32'h3fac1ecd,32'h3fbe3cfd, 32'h3fa30fb4,32'h3fc74c16,// invsqrt(0.4991) = 1.4155 +32'h413d18b8,32'h3e91f412,32'h3e97e922, 32'h3e8d7c46,32'h3e9c60ee, 32'h3e8609f1,32'h3ea3d343,// invsqrt(11.8185) = 0.2909 +32'h3f1b99ea,32'h3fa0e5c8,32'h3fa77700, 32'h3f9bf8df,32'h3fac63e9, 32'h3f93c35a,32'h3fb4996e,// invsqrt(0.6078) = 1.2827 +32'h3f961c75,32'h3f67aacd,32'h3f711f7d, 32'h3f60934a,32'h3f783700, 32'h3f54c16e,32'h3f82046e,// invsqrt(1.1727) = 0.9234 +32'h40b5e84c,32'h3ed272d1,32'h3edb09c9, 32'h3ecc0196,32'h3ee17b04, 32'h3ec144df,32'h3eec37bb,// invsqrt(5.6846) = 0.4194 +32'h40d2fa75,32'h3ec36992,32'h3ecb636e, 32'h3ebd6e2d,32'h3ed15ed3, 32'h3eb375da,32'h3edb5726,// invsqrt(6.5931) = 0.3895 +32'h3f4db35f,32'h3f8bf056,32'h3f91a68e, 32'h3f87a7ac,32'h3f95ef38, 32'h3f8083e7,32'h3f9d12fd,// invsqrt(0.8035) = 1.1156 +32'h3f90e409,32'h3f6bcdc1,32'h3f756daa, 32'h3f6495d3,32'h3f7ca599, 32'h3f588df1,32'h3f8456be,// invsqrt(1.1320) = 0.9399 +32'h3f9cf79b,32'h3f628d22,32'h3f6bcc5c, 32'h3f5b9db5,32'h3f72bbc9, 32'h3f500eac,32'h3f7e4ad2,// invsqrt(1.2263) = 0.9030 +32'h40021889,32'h3f2ff6d6,32'h3f37257c, 32'h3f2a93da,32'h3f3c8878, 32'h3f21998b,32'h3f4582c7,// invsqrt(2.0327) = 0.7014 +32'h3f85533a,32'h3f75d19c,32'h3f7fda2a, 32'h3f6e4b31,32'h3f83b04a, 32'h3f61c080,32'h3f89f5a3,// invsqrt(1.0416) = 0.9798 +32'h3fbe660c,32'h3f4db3c9,32'h3f56192b, 32'h3f4767c1,32'h3f5c6533, 32'h3f3ce907,32'h3f66e3ed,// invsqrt(1.4875) = 0.8199 +32'h3f819597,32'h3f795774,32'h3f81c269, 32'h3f71b56e,32'h3f85936c, 32'h3f64fcb9,32'h3f8befc6,// invsqrt(1.0124) = 0.9939 +32'h3f6ab173,32'h3f8302aa,32'h3f885b97, 32'h3f7dfff2,32'h3f8c5e49, 32'h3f70a1a2,32'h3f930d71,// invsqrt(0.9168) = 1.0444 +32'h3fb9f596,32'h3f5024a3,32'h3f58a385, 32'h3f49c579,32'h3f5f02af, 32'h3f3f26df,32'h3f69a149,// invsqrt(1.4528) = 0.8297 +32'h3de3103d,32'h403c5d22,32'h40440d57, 32'h403698f9,32'h4049d17f, 32'h402cfcb6,32'h40536dc2,// invsqrt(0.1109) = 3.0032 +32'h3f6a052c,32'h3f8332da,32'h3f888dbf, 32'h3f7e5d5f,32'h3f8c91ea, 32'h3f70fa24,32'h3f934388,// invsqrt(0.9141) = 1.0459 +32'h3e57f203,32'h4008943d,32'h400e2759, 32'h400465e7,32'h401255af, 32'h3ffadc07,32'h40194d92,// invsqrt(0.2109) = 2.1776 +32'h3f486acd,32'h3f8dc579,32'h3f938ed7, 32'h3f896e73,32'h3f97e5dd, 32'h3f8232be,32'h3f9f2192,// invsqrt(0.7829) = 1.1302 +32'h3f9ffa9e,32'h3f60689d,32'h3f699174, 32'h3f5989fb,32'h3f707015, 32'h3f4e16ee,32'h3f7be322,// invsqrt(1.2498) = 0.8945 +32'h3fb80cd7,32'h3f51384a,32'h3f59c26c, 32'h3f4ad0b0,32'h3f602a06, 32'h3f402405,32'h3f6ad6b1,// invsqrt(1.4379) = 0.8339 +32'h3fcd495e,32'h3f461a55,32'h3f4e304e, 32'h3f4009da,32'h3f5440c8, 32'h3f35ee62,32'h3f5e5c40,// invsqrt(1.6038) = 0.7896 +32'h3f861887,32'h3f751c81,32'h3f7f1dab, 32'h3f6d9ba2,32'h3f834f45, 32'h3f611a2d,32'h3f898fff,// invsqrt(1.0476) = 0.9770 +32'h3e9f90cd,32'h3fe0b2f9,32'h3fe9ded9, 32'h3fd9d211,32'h3ff0bfc1, 32'h3fce5b38,32'h3ffc369a,// invsqrt(0.3117) = 1.7913 +32'h3f5c08a9,32'h3f874de1,32'h3f8cd3ab, 32'h3f832989,32'h3f90f803, 32'h3f788498,32'h3f97df40,// invsqrt(0.8595) = 1.0786 +32'h4046b16f,32'h3f0e6298,32'h3f143260, 32'h3f0a06c3,32'h3f188e35, 32'h3f02c309,32'h3f1fd1ef,// invsqrt(3.1046) = 0.5675 +32'h3ee94f72,32'h3fb9d330,32'h3fc168df, 32'h3fb422ee,32'h3fc71922, 32'h3faaa7d4,32'h3fd0943c,// invsqrt(0.4557) = 1.4814 +32'h3d9e4698,32'h40619ce4,32'h406ad250, 32'h405ab4d2,32'h4071ba62, 32'h404f320b,32'h407d3d29,// invsqrt(0.0773) = 3.5971 +32'h4374f000,32'h3d803dcf,32'h3d8579cd, 32'h3d78a1a1,32'h3d8966cc, 32'h3d6b8ba6,32'h3d8ff1c9,// invsqrt(244.9375) = 0.0639 +32'h3f688d54,32'h3f839cb5,32'h3f88fbeb, 32'h3f7f2a98,32'h3f8d0354, 32'h3f71bc90,32'h3f93ba58,// invsqrt(0.9084) = 1.0492 +32'h3f529fef,32'h3f8a4b1a,32'h3f8ff020, 32'h3f860f55,32'h3f942be5, 32'h3f7e021b,32'h3f9b3a2d,// invsqrt(0.8228) = 1.1025 +32'h40fd03dd,32'h3eb2713b,32'h3eb9b9c5, 32'h3eacfad3,32'h3ebf302d, 32'h3ea3e026,32'h3ec84ada,// invsqrt(7.9067) = 0.3556 +32'h3f71a16e,32'h3f811db2,32'h3f8662d4, 32'h3f7a53b2,32'h3f8a56ad, 32'h3f6d26df,32'h3f90ed16,// invsqrt(0.9439) = 1.0293 +32'h3eee247e,32'h3fb7ee13,32'h3fbf6ff5, 32'h3fb24caa,32'h3fc5115e, 32'h3fa8ea50,32'h3fce73b8,// invsqrt(0.4651) = 1.4663 +32'h3f5bd075,32'h3f875f2c,32'h3f8ce5ab, 32'h3f833a4c,32'h3f910a8a, 32'h3f78a45a,32'h3f97f2a9,// invsqrt(0.8586) = 1.0792 +32'h40320a9d,32'h3f166a9f,32'h3f1c8e52, 32'h3f11cfd9,32'h3f212919, 32'h3f0a233a,32'h3f28d5b8,// invsqrt(2.7819) = 0.5996 +32'h3f0ea821,32'h3fa809f6,32'h3faee5cc, 32'h3fa2e516,32'h3fb40aac, 32'h3f9a524a,32'h3fbc9d78,// invsqrt(0.5573) = 1.3396 +32'h40439fb8,32'h3f0f7f6c,32'h3f155ad4, 32'h3f0b1ade,32'h3f19bf62, 32'h3f03c89d,32'h3f2111a3,// invsqrt(3.0566) = 0.5720 +32'h3e079c20,32'h402c5982,32'h40336263, 32'h402712da,32'h4038a90c, 32'h401e47c1,32'h40417425,// invsqrt(0.1324) = 2.7479 +32'h3f89ea8e,32'h3f71b14e,32'h3f7b8ebe, 32'h3f6a4b39,32'h3f817a6a, 32'h3f5df66c,32'h3f87a4d0,// invsqrt(1.0775) = 0.9634 +32'h3f99a7a0,32'h3f64faf0,32'h3f6e538c, 32'h3f5df87b,32'h3f755601, 32'h3f5249b8,32'h3f808262,// invsqrt(1.2004) = 0.9127 +32'h401d9ee2,32'h3f1fdd13,32'h3f26637c, 32'h3f1af843,32'h3f2b484b, 32'h3f12d040,32'h3f33704e,// invsqrt(2.4628) = 0.6372 +32'h3f0b9dee,32'h3fa9dbc0,32'h3fb0ca98, 32'h3fa4a89d,32'h3fb5fdbb, 32'h3f9bfe0e,32'h3fbea84a,// invsqrt(0.5454) = 1.3541 +32'h3c42df33,32'h410fc63d,32'h4115a488, 32'h410b5f84,32'h411a0b40, 32'h410409a5,32'h4121611f,// invsqrt(0.0119) = 9.1693 +32'h3f9b2e25,32'h3f63da1b,32'h3f6d26ed, 32'h3f5ce07d,32'h3f74208b, 32'h3f514077,32'h3f7fc091,// invsqrt(1.2123) = 0.9082 +32'h40fedb03,32'h3eb1cbfd,32'h3eb90dc9, 32'h3eac5aa4,32'h3ebe7f22, 32'h3ea34866,32'h3ec79160,// invsqrt(7.9642) = 0.3543 +32'h3d75ddfc,32'h407fff5f,32'h40853924, 32'h40782930,32'h4089243c, 32'h406b198c,32'h408fac0e,// invsqrt(0.0600) = 4.0816 +32'h3fe80dcb,32'h3f3a53cd,32'h3f41eebb, 32'h3f349f9a,32'h3f47a2ee, 32'h3f2b1df0,32'h3f512498,// invsqrt(1.8129) = 0.7427 +32'h3f8bc727,32'h3f7013e0,32'h3f79e072, 32'h3f68ba74,32'h3f809cef, 32'h3f5c7abe,32'h3f86bcca,// invsqrt(1.0920) = 0.9569 +32'h3f97c7ae,32'h3f6663dc,32'h3f6fcb33, 32'h3f5f565a,32'h3f76d8b4, 32'h3f53952d,32'h3f814cf1,// invsqrt(1.1858) = 0.9183 +32'h3f97c32c,32'h3f666747,32'h3f6fcec3, 32'h3f5f59ab,32'h3f76dc5f, 32'h3f539851,32'h3f814edc,// invsqrt(1.1856) = 0.9184 +32'h3fc61081,32'h3f49aeaa,32'h3f51ea0a, 32'h3f438222,32'h3f581692, 32'h3f3937eb,32'h3f6260c9,// invsqrt(1.5474) = 0.8039 +32'h3f90c78c,32'h3f6be4f3,32'h3f7585ce, 32'h3f64ac4f,32'h3f7cbe73, 32'h3f58a33e,32'h3f8463c2,// invsqrt(1.1311) = 0.9403 +32'h3fc927d3,32'h3f48206e,32'h3f504b8d, 32'h3f420018,32'h3f566be4, 32'h3f37ca31,32'h3f60a1cb,// invsqrt(1.5715) = 0.7977 +32'h3fcfb99b,32'h3f44efca,32'h3f4cf994, 32'h3f3ee873,32'h3f5300eb, 32'h3f34dc37,32'h3f5d0d27,// invsqrt(1.6229) = 0.7850 +32'h3f19cec2,32'h3fa1d53f,32'h3fa8703d, 32'h3f9ce101,32'h3fad647b, 32'h3f949f45,32'h3fb5a637,// invsqrt(0.6008) = 1.2901 +32'h3da2cfa6,32'h405e72c0,32'h4067871c, 32'h4057a37c,32'h406e5660, 32'h404c4a09,32'h4079afd3,// invsqrt(0.0795) = 3.5467 +32'h3fb1c102,32'h3f54e49d,32'h3f5d9520, 32'h3f4e6039,32'h3f641983, 32'h3f438395,32'h3f6ef627,// invsqrt(1.3887) = 0.8486 +32'h3fd43ce1,32'h3f42d4eb,32'h3f4ac8b6, 32'h3f3cde13,32'h3f50bf8f, 32'h3f32ed56,32'h3f5ab04c,// invsqrt(1.6581) = 0.7766 +32'h42529bf7,32'h3e0a4c67,32'h3e0ff17b, 32'h3e061098,32'h3e142d4a, 32'h3dfe047f,32'h3e1b3ba3,// invsqrt(52.6523) = 0.1378 +32'h3f727dce,32'h3f80e2fa,32'h3f8625b5, 32'h3f79e1d8,32'h3f8a17c2, 32'h3f6cbb03,32'h3f90ab2c,// invsqrt(0.9472) = 1.0275 +32'h3fb3ebf3,32'h3f539b4c,32'h3f5c3e5f, 32'h3f4d20fe,32'h3f62b8ae, 32'h3f425527,32'h3f6d8485,// invsqrt(1.4056) = 0.8435 +32'h3fd32acb,32'h3f435333,32'h3f4b4c26, 32'h3f3d587e,32'h3f5146dc, 32'h3f33614f,32'h3f5b3e0b,// invsqrt(1.6497) = 0.7786 +32'h3f663f6e,32'h3f8444e2,32'h3f89aaf6, 32'h3f803853,32'h3f8db785, 32'h3f72f176,32'h3f94771d,// invsqrt(0.8994) = 1.0544 +32'h3d940f7d,32'h40694418,32'h4072c97c, 32'h4062200d,32'h4079ed87, 32'h4056394f,32'h4082ea22,// invsqrt(0.0723) = 3.7192 +32'h3f9ea208,32'h3f615bd4,32'h3f6a8e99, 32'h3f5a75c1,32'h3f7174ad, 32'h3f4ef64b,32'h3f7cf423,// invsqrt(1.2393) = 0.8983 +32'h3f81e9f1,32'h3f790675,32'h3f819842, 32'h3f7166e9,32'h3f856808, 32'h3f64b256,32'h3f8bc251,// invsqrt(1.0150) = 0.9926 +32'h3dcb28f1,32'h40472314,32'h404f43db, 32'h40410a7e,32'h40555c70, 32'h4036e185,32'h405f8569,// invsqrt(0.0992) = 3.1750 +32'h4067bb2c,32'h3f03d855,32'h3f0939fb, 32'h3eff9e32,32'h3f0d4337, 32'h3ef22a15,32'h3f13fd46,// invsqrt(3.6208) = 0.5255 +32'h3e90fc62,32'h3febb9f4,32'h3ff5590e, 32'h3fe482a1,32'h3ffc9061, 32'h3fd87bc1,32'h40044ba1,// invsqrt(0.2832) = 1.8792 +32'h4109797f,32'h3eab2d40,32'h3eb229e0, 32'h3ea5efc9,32'h3eb76757, 32'h3e9d3402,32'h3ec0231e,// invsqrt(8.5922) = 0.3412 +32'h3fdff0ef,32'h3f3dac21,32'h3f456a03, 32'h3f37ddb8,32'h3f4b386c, 32'h3f2e305d,32'h3f54e5c7,// invsqrt(1.7495) = 0.7560 +32'h3f2ce383,32'h3f98a43b,32'h3f9edf2d, 32'h3f93f805,32'h3fa38b63, 32'h3f8c2e55,32'h3fab5513,// invsqrt(0.6753) = 1.2168 +32'h3f4e45e2,32'h3f8bbe9a,32'h3f9172ca, 32'h3f877776,32'h3f95b9ee, 32'h3f80563a,32'h3f9cdb2a,// invsqrt(0.8058) = 1.1140 +32'h400b6c48,32'h3f29f9fb,32'h3f30ea10, 32'h3f24c5ec,32'h3f361e20, 32'h3f1c19d2,32'h3f3eca3a,// invsqrt(2.1785) = 0.6775 +32'h40ccf716,32'h3ec64214,32'h3ece59ac, 32'h3ec03062,32'h3ed46b5e, 32'h3eb612e3,32'h3ede88dd,// invsqrt(6.4052) = 0.3951 +32'h3fe3bed1,32'h3f3c14e2,32'h3f43c224, 32'h3f3652f0,32'h3f498416, 32'h3f2cba5c,32'h3f531caa,// invsqrt(1.7793) = 0.7497 +32'h401b622a,32'h3f2102a3,32'h3f279507, 32'h3f1c14d7,32'h3f2c82d3, 32'h3f13ddd9,32'h3f34b9d1,// invsqrt(2.4279) = 0.6418 +32'h3f4a940c,32'h3f8d035d,32'h3f92c4ce, 32'h3f88b247,32'h3f9715e3, 32'h3f818079,32'h3f9e47b1,// invsqrt(0.7913) = 1.1241 +32'h3f2a1a4e,32'h3f99e2ee,32'h3fa02ae2, 32'h3f952cf6,32'h3fa4e0da, 32'h3f8d5304,32'h3facbacc,// invsqrt(0.6645) = 1.2268 +32'h3de6caf6,32'h403ad5f1,32'h4042762f, 32'h40351dc2,32'h40482e5e, 32'h402b9575,32'h4051b6ab,// invsqrt(0.1127) = 2.9789 +32'h3fc03253,32'h3f4cbce6,32'h3f551833, 32'h3f46786b,32'h3f5b5cad, 32'h3f3c064b,32'h3f65cecd,// invsqrt(1.5015) = 0.8161 +32'h3ee46a77,32'h3fbbce29,32'h3fc37889, 32'h3fb60e61,32'h3fc93851, 32'h3fac796a,32'h3fd2cd48,// invsqrt(0.4461) = 1.4972 +32'h41a323d1,32'h3e5e3957,32'h3e674b5a, 32'h3e576bd4,32'h3e6e18dc, 32'h3e4c154f,32'h3e796f61,// invsqrt(20.3925) = 0.2214 +32'h3febe634,32'h3f38cd6e,32'h3f40586e, 32'h3f33252f,32'h3f4600ad, 32'h3f29b770,32'h3f4f6e6c,// invsqrt(1.8430) = 0.7366 +32'h3f14b020,32'h3fa49876,32'h3fab5050, 32'h3f9f8e91,32'h3fb05a35, 32'h3f9728c0,32'h3fb8c007,// invsqrt(0.5808) = 1.3121 +32'h3efce234,32'h3fb27d1a,32'h3fb9c621, 32'h3fad0656,32'h3fbf3ce6, 32'h3fa3eb0e,32'h3fc8582e,// invsqrt(0.4939) = 1.4229 +32'h403e352f,32'h3f1186c5,32'h3f17775f, 32'h3f0d1251,32'h3f1bebd3, 32'h3f05a590,32'h3f235894,// invsqrt(2.9720) = 0.5801 +32'h3e304138,32'h40172d4d,32'h401d58f3, 32'h40128c92,32'h4021f9ae, 32'h400ad603,32'h4029b03d,// invsqrt(0.1721) = 2.4103 +32'h4030de18,32'h3f16ea33,32'h3f1d131b, 32'h3f124b85,32'h3f21b1c9, 32'h3f0a9863,32'h3f2964eb,// invsqrt(2.7636) = 0.6015 +32'h401bd63a,32'h3f20c6a2,32'h3f275694, 32'h3f1bdaad,32'h3f2c4289, 32'h3f13a6bf,32'h3f347677,// invsqrt(2.4350) = 0.6408 +32'h3fd0261f,32'h3f44bc6e,32'h3f4cc41e, 32'h3f3eb6a9,32'h3f52c9e3, 32'h3f34ad0c,32'h3f5cd380,// invsqrt(1.6262) = 0.7842 +32'h3f92723b,32'h3f6a8c51,32'h3f741f1b, 32'h3f635e3a,32'h3f7b4d32, 32'h3f5766bd,32'h3f83a257,// invsqrt(1.1441) = 0.9349 +32'h4088cfe9,32'h3ef2aa76,32'h3efc9212, 32'h3eeb3cc1,32'h3f01ffe4, 32'h3ededb3d,32'h3f0830a5,// invsqrt(4.2754) = 0.4836 +32'h4011bfa1,32'h3f263f3e,32'h3f2d085a, 32'h3f212868,32'h3f321f30, 32'h3f18ad04,32'h3f3a9a94,// invsqrt(2.2773) = 0.6627 +32'h3f612c5a,32'h3f85c04e,32'h3f8b35de, 32'h3f81a822,32'h3f8f4e0a, 32'h3f75aa5b,32'h3f9620ff,// invsqrt(0.8796) = 1.0663 +32'h3e58a719,32'h40085b1d,32'h400debe4, 32'h40042e86,32'h4012187a, 32'h3ffa731a,32'h40190d73,// invsqrt(0.2116) = 2.1740 +32'h3d32c7cc,32'h40961af4,32'h409c3b67, 32'h4091829f,32'h40a0d3bd, 32'h4089da10,32'h40a87c4c,// invsqrt(0.0436) = 4.7865 +32'h3f6d4a91,32'h3f824a8d,32'h3f879bf7, 32'h3f7c9afd,32'h3f8b9905, 32'h3f6f4f77,32'h3f923ec9,// invsqrt(0.9269) = 1.0387 +32'h3fc9cbb3,32'h3f47cf1b,32'h3f4ff6e8, 32'h3f41b142,32'h3f5614c2, 32'h3f377f82,32'h3f604682,// invsqrt(1.5765) = 0.7964 +32'h400aab3d,32'h3f2a7022,32'h3f31650a, 32'h3f253875,32'h3f369cb7, 32'h3f1c8654,32'h3f3f4ed8,// invsqrt(2.1667) = 0.6794 +32'h3f56f367,32'h3f88e508,32'h3f8e7b71, 32'h3f84b43a,32'h3f92ac40, 32'h3f7b706e,32'h3f99a843,// invsqrt(0.8397) = 1.0913 +32'h3e9b3e69,32'h3fe3ce2b,32'h3fed1a81, 32'h3fdcd4eb,32'h3ff413c1, 32'h3fd13581,32'h3fffb32b,// invsqrt(0.3032) = 1.8161 +32'h3cae91f3,32'h40d6d35c,32'h40df9812, 32'h40d03fd4,32'h40e62b9a, 32'h40c549f2,32'h40f1217d,// invsqrt(0.0213) = 6.8503 +32'h3f9ba5b4,32'h3f638287,32'h3f6ccbc6, 32'h3f5c8b98,32'h3f73c2b6, 32'h3f50f00a,32'h3f7f5e45,// invsqrt(1.2160) = 0.9068 +32'h408fc5af,32'h3eecb820,32'h3ef6619a, 32'h3ee57905,32'h3efda0b5, 32'h3ed9652d,32'h3f04da46,// invsqrt(4.4929) = 0.4718 +32'h4011851f,32'h3f2660a6,32'h3f2d2b20, 32'h3f2148cb,32'h3f3242fb, 32'h3f18cbb3,32'h3f3ac013,// invsqrt(2.2738) = 0.6632 +32'h3fd83d45,32'h3f410547,32'h3f48e625, 32'h3f3b1ca0,32'h3f4ececc, 32'h3f31438a,32'h3f58a7e2,// invsqrt(1.6894) = 0.7694 +32'h3fd5020e,32'h3f427aa9,32'h3f4a6ac5, 32'h3f3c8694,32'h3f505eda, 32'h3f329a72,32'h3f5a4afd,// invsqrt(1.6641) = 0.7752 +32'h3d84d5f5,32'h40764569,32'h40802959, 32'h406ebb73,32'h4083ee54, 32'h40622ad8,32'h408a36a1,// invsqrt(0.0649) = 3.9265 +32'h3ec98b1b,32'h3fc7ef1e,32'h3fd01839, 32'h3fc1d049,32'h3fd6370d, 32'h3fb79ce7,32'h3fe06a6f,// invsqrt(0.3936) = 1.5939 +32'h3f0c22e4,32'h3fa98b18,32'h3fb076a6, 32'h3fa45a6d,32'h3fb5a751, 32'h3f9bb3fc,32'h3fbe4dc2,// invsqrt(0.5474) = 1.3516 +32'h3fa2d69d,32'h3f5e6dfe,32'h3f678228, 32'h3f579edf,32'h3f6e5147, 32'h3f4c45ab,32'h3f79aa7b,// invsqrt(1.2722) = 0.8866 +32'h3fa5bc00,32'h3f5c7a4c,32'h3f657a11, 32'h3f55ba79,32'h3f6c39e5, 32'h3f4a7ac4,32'h3f77799a,// invsqrt(1.2948) = 0.8788 +32'h3ed3399d,32'h3fc34c59,32'h3fcb4503, 32'h3fbd51d8,32'h3fd13f84, 32'h3fb35b03,32'h3fdb3659,// invsqrt(0.4125) = 1.5569 +32'h3f121c73,32'h3fa60a67,32'h3facd15b, 32'h3fa0f52f,32'h3fb1e693, 32'h3f987c7e,32'h3fba5f44,// invsqrt(0.5707) = 1.3237 +32'h3ff27fb4,32'h3f36453a,32'h3f3db5c4, 32'h3f30b0d2,32'h3f434a2c, 32'h3f276426,32'h3f4c96d9,// invsqrt(1.8945) = 0.7265 +32'h3f8a2815,32'h3f717b76,32'h3f7b56b4, 32'h3f6a1707,32'h3f815d91, 32'h3f5dc4f9,32'h3f878698,// invsqrt(1.0793) = 0.9625 +32'h3fa560c2,32'h3f5cb716,32'h3f65b956, 32'h3f55f566,32'h3f6c7b06, 32'h3f4ab297,32'h3f77bdd5,// invsqrt(1.2920) = 0.8798 +32'h3f8a6021,32'h3f714a8a,32'h3f7b23c9, 32'h3f69e79a,32'h3f81435c, 32'h3f5d980b,32'h3f876b23,// invsqrt(1.0811) = 0.9618 +32'h3f424a11,32'h3f8ffd60,32'h3f95ddec, 32'h3f8b94f7,32'h3f9a4655, 32'h3f843c49,32'h3fa19f03,// invsqrt(0.7589) = 1.1479 +32'h3df8aeed,32'h4033fd5f,32'h403b5614, 32'h402e7ad6,32'h4040d89c, 32'h40254bf3,32'h404a077f,// invsqrt(0.1214) = 2.8697 +32'h41d0f17f,32'h3e445c98,32'h3e4c605f, 32'h3e3e59c2,32'h3e526334, 32'h3e345508,32'h3e5c67ee,// invsqrt(26.1179) = 0.1957 +32'h3e25d0a7,32'h401bdd06,32'h402239a2, 32'h40171790,32'h4026ff18, 32'h400f23cc,32'h402ef2dc,// invsqrt(0.1619) = 2.4851 +32'h3fa3d2e1,32'h3f5dc27b,32'h3f66cfa5, 32'h3f56f89c,32'h3f6d9984, 32'h3f4ba828,32'h3f78e9f8,// invsqrt(1.2799) = 0.8839 +32'h3f5c709a,32'h3f872df7,32'h3f8cb274, 32'h3f830a99,32'h3f90d5d1, 32'h3f7849f9,32'h3f97bb6e,// invsqrt(0.8611) = 1.0776 +32'h3f7aff39,32'h3f7d5e36,32'h3f83dad3, 32'h3f759ca2,32'h3f87bb9d, 32'h3f68af56,32'h3f8e3243,// invsqrt(0.9805) = 1.0099 +32'h405ffa0a,32'h3f061ba4,32'h3f0b94ee, 32'h3f0200ac,32'h3f0fafe6, 32'h3ef6521d,32'h3f168784,// invsqrt(3.4996) = 0.5346 +32'h3fe3dff0,32'h3f3c0736,32'h3f43b3ea, 32'h3f3645af,32'h3f497571, 32'h3f2cadce,32'h3f530d52,// invsqrt(1.7803) = 0.7495 +32'h3f092ba0,32'h3fab5dd0,32'h3fb25c6a, 32'h3fa61edc,32'h3fb79b5e, 32'h3f9d609a,32'h3fc059a0,// invsqrt(0.5358) = 1.3661 +32'h3f62f28f,32'h3f853a32,32'h3f8aaa49, 32'h3f812621,32'h3f8ebe5b, 32'h3f74b409,32'h3f958a77,// invsqrt(0.8865) = 1.0621 +32'h3fb4f019,32'h3f5302f6,32'h3f5b9fd2, 32'h3f4c8d52,32'h3f621576, 32'h3f41c940,32'h3f6cd988,// invsqrt(1.4136) = 0.8411 +32'h40c3c542,32'h3ecadc46,32'h3ed323f5, 32'h3ec4a682,32'h3ed959b8, 32'h3eba4ce7,32'h3ee3b353,// invsqrt(6.1178) = 0.4043 +32'h3f2e1362,32'h3f981ec7,32'h3f9e5447, 32'h3f9376a7,32'h3fa2fc67, 32'h3f8bb3c6,32'h3faabf48,// invsqrt(0.6800) = 1.2127 +32'h3f0a2a42,32'h3faabf9e,32'h3fb1b7c4, 32'h3fa58582,32'h3fb6f1e0, 32'h3f9ccf52,32'h3fbfa810,// invsqrt(0.5397) = 1.3612 +32'h3e8af2da,32'h3ff0cb03,32'h3ffa9f0d, 32'h3fe96bfb,32'h4000ff0b, 32'h3fdd22ee,32'h40072391,// invsqrt(0.2714) = 1.9196 +32'h4100e448,32'h3eb0c8c3,32'h3eb7fffa, 32'h3eab5f5a,32'h3ebd6964, 32'h3ea25a56,32'h3ec66e69,// invsqrt(8.0557) = 0.3523 +32'h3fc4c0d3,32'h3f4a5a6c,32'h3f529cce, 32'h3f4428a2,32'h3f58ce98, 32'h3f39d5a7,32'h3f632193,// invsqrt(1.5371) = 0.8066 +32'h3f3f11d5,32'h3f9132a6,32'h3f971fd2, 32'h3f8cc0c6,32'h3f9b91b2, 32'h3f855850,32'h3fa2fa28,// invsqrt(0.7464) = 1.1575 +32'h3f84fc24,32'h3f76220b,32'h3f8016f1, 32'h3f6e992b,32'h3f83db62, 32'h3f620a5e,32'h3f8a22c8,// invsqrt(1.0389) = 0.9811 +32'h40c40087,32'h3ecabd97,32'h3ed30406, 32'h3ec488c5,32'h3ed938d9, 32'h3eba30ba,32'h3ee390e4,// invsqrt(6.1251) = 0.4041 +32'h3c1264b7,32'h4125e166,32'h412ca6ae, 32'h4120cd70,32'h4131baa4, 32'h411856d6,32'h413a313e,// invsqrt(0.0089) = 10.5791 +32'h3f398938,32'h3f9358e3,32'h3f995c83, 32'h3f8ed62a,32'h3f9ddf3c, 32'h3f8751a1,32'h3fa563c5,// invsqrt(0.7248) = 1.1746 +32'h3f167697,32'h3fa39f26,32'h3faa4cd4, 32'h3f9e9ce4,32'h3faf4f16, 32'h3f9643ca,32'h3fb7a830,// invsqrt(0.5877) = 1.3044 +32'h3fbe785b,32'h3f4da9e6,32'h3f560ee0, 32'h3f475e2b,32'h3f5c5a9b, 32'h3f3cdff3,32'h3f66d8d3,// invsqrt(1.4880) = 0.8198 +32'h4094713a,32'h3ee8f740,32'h3ef27981, 32'h3ee1d58e,32'h3ef99b32, 32'h3ed5f2bd,32'h3f02bf02,// invsqrt(4.6388) = 0.4643 +32'h3f88abb3,32'h3f72ca9a,32'h3f7cb386, 32'h3f6b5be9,32'h3f82111c, 32'h3f5ef8c2,32'h3f8842af,// invsqrt(1.0677) = 0.9678 +32'h40a44aed,32'h3edd7167,32'h3ee67b41, 32'h3ed6aa03,32'h3eed42a5, 32'h3ecb5db2,32'h3ef88ef6,// invsqrt(5.1341) = 0.4413 +32'h3f1e041f,32'h3f9fa9d4,32'h3fa62e26, 32'h3f9ac697,32'h3fab1163, 32'h3f92a130,32'h3fb336ca,// invsqrt(0.6173) = 1.2728 +32'h3f6fab14,32'h3f81a4bd,32'h3f86ef61, 32'h3f7b5983,32'h3f8ae75d, 32'h3f6e1ee8,32'h3f9184aa,// invsqrt(0.9362) = 1.0335 +32'h40ca0711,32'h3ec7b1be,32'h3ecfd858, 32'h3ec194ca,32'h3ed5f54c, 32'h3eb7648a,32'h3ee0258c,// invsqrt(6.3134) = 0.3980 +32'h3fa27c20,32'h3f5eabe5,32'h3f67c296, 32'h3f57dae1,32'h3f6e939b, 32'h3f4c7e85,32'h3f79eff7,// invsqrt(1.2694) = 0.8876 +32'h3fbf09c5,32'h3f4d5b91,32'h3f55bd59, 32'h3f47123c,32'h3f5c06ae, 32'h3f3c9803,32'h3f6680e7,// invsqrt(1.4925) = 0.8185 +32'h3ebba0c3,32'h3fcf372b,32'h3fd7ac5b, 32'h3fc8df46,32'h3fde0440, 32'h3fbe4cc9,32'h3fe896bd,// invsqrt(0.3665) = 1.6519 +32'h3f007fc1,32'h3fb10ddc,32'h3fb847e6, 32'h3faba256,32'h3fbdb36c, 32'h3fa299ca,32'h3fc6bbf8,// invsqrt(0.5019) = 1.4115 +32'h3f14b1a3,32'h3fa497a0,32'h3fab4f72, 32'h3f9f8dc2,32'h3fb05950, 32'h3f9727fb,32'h3fb8bf17,// invsqrt(0.5808) = 1.3121 +32'h3e86d39a,32'h3ff4723a,32'h3ffe6c70, 32'h3fecf691,32'h4002f40d, 32'h3fe07dcc,32'h4009306f,// invsqrt(0.2633) = 1.9487 +32'h3f82dccc,32'h3f781ef7,32'h3f811fca, 32'h3f708682,32'h3f84ec04, 32'h3f63ddbe,32'h3f8b4066,// invsqrt(1.0224) = 0.9890 +32'h3f82a90e,32'h3f785013,32'h3f813958, 32'h3f70b61d,32'h3f850653, 32'h3f640ad8,32'h3f8b5bf6,// invsqrt(1.0208) = 0.9898 +32'h3fc8ec4d,32'h3f483e11,32'h3f506a65, 32'h3f421cd2,32'h3f568ba4, 32'h3f37e568,32'h3f60c30e,// invsqrt(1.5697) = 0.7982 +32'h408c6502,32'h3eef8cc2,32'h3ef953d0, 32'h3ee83779,32'h3f00548d, 32'h3edbfea8,32'h3f0670f5,// invsqrt(4.3873) = 0.4774 +32'h3ddc646b,32'h403f3182,32'h4046ff48, 32'h4039572d,32'h404cd99d, 32'h402f95f4,32'h40569ad6,// invsqrt(0.1076) = 3.0484 +32'h3e298644,32'h401a2610,32'h402070c2, 32'h40156e0a,32'h402528c8, 32'h400d90ab,32'h402d0627,// invsqrt(0.1656) = 2.4577 +32'h3fd283c0,32'h3f43a0a3,32'h3f4b9cbe, 32'h3f3da38e,32'h3f5199d2, 32'h3f33a86b,32'h3f5b94f5,// invsqrt(1.6446) = 0.7798 +32'h3fa32fc4,32'h3f5e3134,32'h3f6742e2, 32'h3f5763f1,32'h3f6e1025, 32'h3f4c0dd7,32'h3f79663f,// invsqrt(1.2749) = 0.8857 +32'h4072d149,32'h3f00ccd0,32'h3f060ea4, 32'h3ef9b6e1,32'h3f0a0003, 32'h3eec924f,32'h3f10924d,// invsqrt(3.7940) = 0.5134 +32'h3f41afea,32'h3f9036a1,32'h3f961983, 32'h3f8bcc78,32'h3f9a83ac, 32'h3f8470dd,32'h3fa1df47,// invsqrt(0.7566) = 1.1497 +32'h3f879d70,32'h3f73bc0e,32'h3f7daed6, 32'h3f6c45f9,32'h3f829275, 32'h3f5fd680,32'h3f88ca32,// invsqrt(1.0595) = 0.9715 +32'h4048d7a2,32'h3f0d9f0a,32'h3f1366d6, 32'h3f094931,32'h3f17bcaf, 32'h3f020f72,32'h3f1ef66e,// invsqrt(3.1382) = 0.5645 +32'h4080b964,32'h3efa2c5d,32'h3f023136, 32'h3ef283d2,32'h3f06057b, 32'h3ee5c040,32'h3f0c6744,// invsqrt(4.0226) = 0.4986 +32'h3fcff6fa,32'h3f44d2b9,32'h3f4cdb53, 32'h3f3ecc46,32'h3f52e1c6, 32'h3f34c185,32'h3f5cec87,// invsqrt(1.6247) = 0.7845 +32'h40f065ed,32'h3eb710a8,32'h3ebe8980, 32'h3eb17606,32'h3ec42422, 32'h3ea81ef8,32'h3ecd7b30,// invsqrt(7.5124) = 0.3648 +32'h3f57b98f,32'h3f88a61a,32'h3f8e39f2, 32'h3f847739,32'h3f9268d3, 32'h3f7afcd8,32'h3f9961a0,// invsqrt(0.8427) = 1.0894 +32'h3fd7545e,32'h3f416d8d,32'h3f4952ad, 32'h3f3b81b5,32'h3f4f3e85, 32'h3f31a34d,32'h3f591ced,// invsqrt(1.6823) = 0.7710 +32'h40bd232e,32'h3ece6311,32'h3ed6cf99, 32'h3ec811aa,32'h3edd2100, 32'h3ebd8a00,32'h3ee7a8aa,// invsqrt(5.9105) = 0.4113 +32'h3f25f628,32'h3f9bcb68,32'h3fa2274d, 32'h3f97067d,32'h3fa6ec39, 32'h3f8f139f,32'h3faedf17,// invsqrt(0.6483) = 1.2420 +32'h3f5e8433,32'h3f868c1c,32'h3f8c09fe, 32'h3f826db3,32'h3f902867, 32'h3f7720b1,32'h3f9705c2,// invsqrt(0.8692) = 1.0726 +32'h40fbf8d1,32'h3eb2cfb1,32'h3eba1c16, 32'h3ead5664,32'h3ebf9562, 32'h3ea436e6,32'h3ec8b4e0,// invsqrt(7.8741) = 0.3564 +32'h3f2a4599,32'h3f99cf5c,32'h3fa01685, 32'h3f9519ff,32'h3fa4cbe3, 32'h3f8d410c,32'h3faca4d6,// invsqrt(0.6651) = 1.2262 +32'h3f72a840,32'h3f80d7b3,32'h3f8619f9, 32'h3f79cbfd,32'h3f8a0bae, 32'h3f6ca64e,32'h3f909e85,// invsqrt(0.9479) = 1.0271 +32'h40b51093,32'h3ed2f009,32'h3edb8c1f, 32'h3ecc7af9,32'h3ee2012f, 32'h3ec1b7df,32'h3eecc449,// invsqrt(5.6583) = 0.4204 +32'h3eba269e,32'h3fd00938,32'h3fd886fc, 32'h3fc9aae6,32'h3fdee54e, 32'h3fbf0db1,32'h3fe98283,// invsqrt(0.3636) = 1.6585 +32'h3f847c35,32'h3f7698c5,32'h3f8054ba, 32'h3f6f0c42,32'h3f841afc, 32'h3f627767,32'h3f8a656a,// invsqrt(1.0350) = 0.9829 +32'h3fdbde52,32'h3f3f6bc7,32'h3f473bee, 32'h3f398fa9,32'h3f4d180b, 32'h3f2fcb77,32'h3f56dc3d,// invsqrt(1.7177) = 0.7630 +32'h3f9423b5,32'h3f69342c,32'h3f72b8ea, 32'h3f62109e,32'h3f79dc78, 32'h3f562ab0,32'h3f82e133,// invsqrt(1.1573) = 0.9295 +32'h3f003ec1,32'h3fb13ab5,32'h3fb87693, 32'h3fabcdcf,32'h3fbde379, 32'h3fa2c2fa,32'h3fc6ee4e,// invsqrt(0.5010) = 1.4129 +32'h3fb9925d,32'h3f505c41,32'h3f58dd69, 32'h3f49fb64,32'h3f5f3e46, 32'h3f3f59f3,32'h3f69dfb7,// invsqrt(1.4498) = 0.8305 +32'h3fece001,32'h3f386be3,32'h3f3ff2e7, 32'h3f32c6a0,32'h3f45982a, 32'h3f295ddb,32'h3f4f00ef,// invsqrt(1.8506) = 0.7351 +32'h3df945d7,32'h4033c6da,32'h403b1d56, 32'h402e45fd,32'h40409e33, 32'h402519e2,32'h4049ca4e,// invsqrt(0.1217) = 2.8663 +32'h3f43f604,32'h3f8f5fd0,32'h3f9539ee, 32'h3f8afc3a,32'h3f999d84, 32'h3f83ab96,32'h3fa0ee28,// invsqrt(0.7655) = 1.1430 +32'h3ed03b17,32'h3fc4b286,32'h3fccb9d0, 32'h3fbead0f,32'h3fd2bf47, 32'h3fb4a3f4,32'h3fdcc863,// invsqrt(0.4067) = 1.5681 +32'h4006339b,32'h3f2d4068,32'h3f3452b5, 32'h3f27f2ad,32'h3f39a06f, 32'h3f1f1bcd,32'h3f42774f,// invsqrt(2.0969) = 0.6906 +32'h3dede09e,32'h4038084f,32'h403f8b43, 32'h40326619,32'h40452d79, 32'h40290268,32'h404e912a,// invsqrt(0.1162) = 2.9342 +32'h3fc403a4,32'h3f4abbfb,32'h3f530259, 32'h3f448735,32'h3f59371f, 32'h3f3a2f3f,32'h3f638f15,// invsqrt(1.5314) = 0.8081 +32'h3f98509f,32'h3f65fc33,32'h3f6f5f4f, 32'h3f5ef1de,32'h3f7669a4, 32'h3f5335fa,32'h3f8112c4,// invsqrt(1.1900) = 0.9167 +32'h40168e6a,32'h3f239233,32'h3f2a3f59, 32'h3f1e9056,32'h3f2f4136, 32'h3f1637e5,32'h3f3799a7,// invsqrt(2.3524) = 0.6520 +32'h405bf709,32'h3f07534c,32'h3f0cd950, 32'h3f032eca,32'h3f10fdd2, 32'h3ef88e8c,32'h3f17e556,// invsqrt(3.4370) = 0.5394 +32'h40fd721a,32'h3eb24a68,32'h3eb9915c, 32'h3eacd530,32'h3ebf0694, 32'h3ea3bc7f,32'h3ec81f45,// invsqrt(7.9202) = 0.3553 +32'h3f857489,32'h3f75b2ed,32'h3f7fba3a, 32'h3f6e2d72,32'h3f839fda, 32'h3f61a451,32'h3f89e46a,// invsqrt(1.0426) = 0.9793 +32'h3f924a19,32'h3f6aac7c,32'h3f744095, 32'h3f637d68,32'h3f7b6fa8, 32'h3f578447,32'h3f83b464,// invsqrt(1.1429) = 0.9354 +32'h3f3362cd,32'h3f95da0c,32'h3f9bf7d9, 32'h3f9143b4,32'h3fa08e32, 32'h3f899e74,32'h3fa83372,// invsqrt(0.7007) = 1.1946 +32'h3de982a2,32'h4039bed1,32'h404153ab, 32'h40340f2e,32'h4047034e, 32'h402a951e,32'h40507d5e,// invsqrt(0.1140) = 2.9615 +32'h3ee0b6c7,32'h3fbd588f,32'h3fc51308, 32'h3fb78cb5,32'h3fcadee3, 32'h3fade39e,32'h3fd487fa,// invsqrt(0.4389) = 1.5095 +32'h3f3a9d3f,32'h3f92ebc1,32'h3f98eaee, 32'h3f8e6c60,32'h3f9d6a50, 32'h3f86ed69,32'h3fa4e947,// invsqrt(0.7290) = 1.1712 +32'h400e0980,32'h3f2867b1,32'h3f2f475b, 32'h3f233ff2,32'h3f346f1a, 32'h3f1aa85f,32'h3f3d06ad,// invsqrt(2.2193) = 0.6713 +32'h411ea56d,32'h3e9f5894,32'h3ea5d995, 32'h3e9a77d4,32'h3eaaba56, 32'h3e925693,32'h3eb2db97,// invsqrt(9.9154) = 0.3176 +32'h3cb61660,32'h40d2582e,32'h40daee11, 32'h40cbe7c5,32'h40e15e7b, 32'h40c12c6a,32'h40ec19d6,// invsqrt(0.0222) = 6.7074 +32'h3f72c29c,32'h3f80d0b4,32'h3f8612b1, 32'h3f79be6e,32'h3f8a042f, 32'h3f6c9975,32'h3f9096ab,// invsqrt(0.9483) = 1.0269 +32'h3fbf895e,32'h3f4d171f,32'h3f55761b, 32'h3f46cfe2,32'h3f5bbd58, 32'h3f3c5927,32'h3f663413,// invsqrt(1.4964) = 0.8175 +32'h3f89ea8c,32'h3f71b14f,32'h3f7b8ec0, 32'h3f6a4b3b,32'h3f817a6b, 32'h3f5df66e,32'h3f87a4d1,// invsqrt(1.0775) = 0.9634 +32'h3f2c4ec5,32'h3f98e60f,32'h3f9f23b1, 32'h3f9437d5,32'h3fa3d1eb, 32'h3f8c6aca,32'h3fab9ef6,// invsqrt(0.6731) = 1.2189 +32'h3f88ec20,32'h3f729174,32'h3f7c780c, 32'h3f6b2483,32'h3f81f27e, 32'h3f5ec446,32'h3f88229d,// invsqrt(1.0697) = 0.9669 +32'h3f375bea,32'h3f943826,32'h3f9a44e4, 32'h3f8fae98,32'h3f9ece72, 32'h3f881eab,32'h3fa65e5f,// invsqrt(0.7162) = 1.1816 +32'h407cfcbc,32'h3efc5e92,32'h3f0355c9, 32'h3ef4a4d1,32'h3f0732a9, 32'h3ee7c490,32'h3f0da2ca,// invsqrt(3.9529) = 0.5030 +32'h3fc3993c,32'h3f4af319,32'h3f533bb6, 32'h3f44bca2,32'h3f59722c, 32'h3f3a61dd,32'h3f63ccf1,// invsqrt(1.5281) = 0.8090 +32'h3f0dae5b,32'h3fa89dd4,32'h3faf7fb2, 32'h3fa3746c,32'h3fb4a91a, 32'h3f9ada16,32'h3fbd4370,// invsqrt(0.5534) = 1.3442 +32'h3fcda42d,32'h3f45ee92,32'h3f4e02c2, 32'h3f3fdf6e,32'h3f5411e6, 32'h3f35c633,32'h3f5e2b21,// invsqrt(1.6066) = 0.7890 +32'h3f87e732,32'h3f7379e2,32'h3f7d69f5, 32'h3f6c05d2,32'h3f826f02, 32'h3f5f99ba,32'h3f88a50e,// invsqrt(1.0617) = 0.9705 +32'h3f8f045f,32'h3f6d57e6,32'h3f7707e5, 32'h3f6613e7,32'h3f7e4be5, 32'h3f59f7e9,32'h3f8533f2,// invsqrt(1.1173) = 0.9460 +32'h3ed623ba,32'h3fc1f6f3,32'h3fc9e1af, 32'h3fbc06e7,32'h3fcfd1bb, 32'h3fb2217c,32'h3fd9b726,// invsqrt(0.4182) = 1.5463 +32'h3f317fc4,32'h3f96a569,32'h3f9ccb82, 32'h3f9208d6,32'h3fa16814, 32'h3f8a5936,32'h3fa917b4,// invsqrt(0.6934) = 1.2009 +32'h3fb68949,32'h3f5215ef,32'h3f5aa91d, 32'h3f4ba78c,32'h3f611780, 32'h3f40ef92,32'h3f6bcf7a,// invsqrt(1.4261) = 0.8374 +32'h3de6260c,32'h403b18d5,32'h4042bbcf, 32'h40355e9b,32'h40487609, 32'h402bd2e3,32'h405201c1,// invsqrt(0.1124) = 2.9831 +32'h3ec37150,32'h3fcb07d2,32'h3fd35148, 32'h3fc4d0b9,32'h3fd98861, 32'h3fba74e5,32'h3fe3e435,// invsqrt(0.3817) = 1.6185 +32'h3ebaf233,32'h3fcf97d3,32'h3fd810f5, 32'h3fc93cf9,32'h3fde6bcf, 32'h3fbea58d,32'h3fe9033b,// invsqrt(0.3651) = 1.6549 +32'h3ff37043,32'h3f35eb15,32'h3f3d57f1, 32'h3f305970,32'h3f42e996, 32'h3f27115c,32'h3f4c31aa,// invsqrt(1.9019) = 0.7251 +32'h4084d9a8,32'h3ef641fb,32'h3f002790, 32'h3eeeb820,32'h3f03ec7d, 32'h3ee227b2,32'h3f0a34b4,// invsqrt(4.1516) = 0.4908 +32'h3e0d2a45,32'h4028eca4,32'h402fd1ba, 32'h4023c0d3,32'h4034fd8b, 32'h401b2277,32'h403d9be7,// invsqrt(0.1379) = 2.6933 +32'h3fa9b731,32'h3f59e05b,32'h3f62c4f1, 32'h3f5334ea,32'h3f697062, 32'h3f48172f,32'h3f748e1d,// invsqrt(1.3259) = 0.8684 +32'h3f88b85a,32'h3f72bf5e,32'h3f7ca7d4, 32'h3f6b5105,32'h3f820b17, 32'h3f5eee70,32'h3f883c61,// invsqrt(1.0681) = 0.9676 +32'h3f47c916,32'h3f8dfece,32'h3f93ca82, 32'h3f89a606,32'h3f98234a, 32'h3f826764,32'h3f9f61ec,// invsqrt(0.7804) = 1.1320 +32'h402fd29a,32'h3f175cd4,32'h3f1d8a6a, 32'h3f12baa4,32'h3f222c9a, 32'h3f0b01a9,32'h3f29e595,// invsqrt(2.7472) = 0.6033 +32'h4007f1b5,32'h3f2c233a,32'h3f3329e3, 32'h3f26de3a,32'h3f386ee2, 32'h3f1e15e6,32'h3f413736,// invsqrt(2.1241) = 0.6861 +32'h410d0d23,32'h3ea8fe15,32'h3eafe3e1, 32'h3ea3d1bb,32'h3eb5103b, 32'h3e9b327c,32'h3ebdaf7b,// invsqrt(8.8157) = 0.3368 +32'h3f64b503,32'h3f84b6be,32'h3f8a2178, 32'h3f80a6b3,32'h3f8e3183, 32'h3f73c297,32'h3f94f6ea,// invsqrt(0.8934) = 1.0580 +32'h402880a9,32'h3f1a9d8b,32'h3f20ed1d, 32'h3f15e1dd,32'h3f25a8cb, 32'h3f0dfe65,32'h3f2d8c43,// invsqrt(2.6329) = 0.6163 +32'h3f8f8613,32'h3f6cec8f,32'h3f76982d, 32'h3f65abd9,32'h3f7dd8e3, 32'h3f599555,32'h3f84f7b4,// invsqrt(1.1213) = 0.9444 +32'h4121e035,32'h3e9dbf99,32'h3ea42fe9, 32'h3e98eb5e,32'h3ea90424, 32'h3e90defa,32'h3eb11088,// invsqrt(10.1172) = 0.3144 +32'h3f0d3a65,32'h3fa8e2ff,32'h3fafc7b1, 32'h3fa3b77a,32'h3fb4f336, 32'h3f9b199c,32'h3fbd9114,// invsqrt(0.5517) = 1.3464 +32'h415856a2,32'h3e887476,32'h3e8e0646, 32'h3e844719,32'h3e9233a3, 32'h3e7aa1a9,32'h3e9929e7,// invsqrt(13.5212) = 0.2720 +32'h3fb2fcff,32'h3f54285d,32'h3f5cd132, 32'h3f4da9be,32'h3f634fd2, 32'h3f42d6b4,32'h3f6e22dc,// invsqrt(1.3983) = 0.8457 +32'h401d203b,32'h3f201d74,32'h3f26a67d, 32'h3f1b36ac,32'h3f2b8d44, 32'h3f130b5f,32'h3f33b891,// invsqrt(2.4551) = 0.6382 +32'h3fa52a00,32'h3f5cdbaa,32'h3f65df68, 32'h3f5618dc,32'h3f6ca236, 32'h3f4ad42e,32'h3f77e6e4,// invsqrt(1.2903) = 0.8803 +32'h3ea83feb,32'h3fdad2cf,32'h3fe3c14b, 32'h3fd41ff3,32'h3fea7427, 32'h3fc8f5d8,32'h3ff59e42,// invsqrt(0.3286) = 1.7444 +32'h3f162fc0,32'h3fa3c5b8,32'h3faa74f8, 32'h3f9ec247,32'h3faf7869, 32'h3f966736,32'h3fb7d37a,// invsqrt(0.5867) = 1.3056 +32'h3f5690c9,32'h3f89047a,32'h3f8e9c2c, 32'h3f84d2b5,32'h3f92cdf1, 32'h3f7baa2f,32'h3f99cb8e,// invsqrt(0.8381) = 1.0923 +32'h40eff52c,32'h3eb73ba6,32'h3ebeb63f, 32'h3eb19fb3,32'h3ec45231, 32'h3ea84673,32'h3ecdab71,// invsqrt(7.4987) = 0.3652 +32'h3efd2024,32'h3fb26743,32'h3fb9af65, 32'h3facf129,32'h3fbf257f, 32'h3fa3d6ff,32'h3fc83fa9,// invsqrt(0.4944) = 1.4222 +32'h413a2c53,32'h3e931849,32'h3e991947, 32'h3e8e978b,32'h3e9d9a05, 32'h3e87164e,32'h3ea51b42,// invsqrt(11.6358) = 0.2932 +32'h3fbabc6a,32'h3f4fb5b6,32'h3f583012, 32'h3f4959f2,32'h3f5e8bd6, 32'h3f3ec100,32'h3f6924c8,// invsqrt(1.4589) = 0.8279 +32'h3cf71dfe,32'h40b48f26,32'h40bbedcf, 32'h40af0828,32'h40c174ce, 32'h40a5d1d5,32'h40caab21,// invsqrt(0.0302) = 5.7576 +32'h3f48bad0,32'h3f8da934,32'h3f93716b, 32'h3f89530c,32'h3f97c794, 32'h3f8218c8,32'h3f9f01d8,// invsqrt(0.7841) = 1.1293 +32'h400ad633,32'h3f2a55c2,32'h3f314996, 32'h3f251ee3,32'h3f368075, 32'h3f1c6e1b,32'h3f3f313d,// invsqrt(2.1693) = 0.6790 +32'h3db7ffef,32'h40513fa0,32'h4059ca0e, 32'h404ad7cc,32'h406031e2, 32'h40402ac2,32'h406adeed,// invsqrt(0.0898) = 3.3362 +32'h3fd6c009,32'h3f41b04f,32'h3f499829, 32'h3f3bc26c,32'h3f4f860c, 32'h3f31e09c,32'h3f5967dc,// invsqrt(1.6777) = 0.7720 +32'h3f2c8711,32'h3f98cd1b,32'h3f9f09b9, 32'h3f941fa5,32'h3fa3b72f, 32'h3f8c53df,32'h3fab82f5,// invsqrt(0.6739) = 1.2181 +32'h3f5a58a4,32'h3f87d37a,32'h3f8d5eb8, 32'h3f83ab0b,32'h3f918727, 32'h3f7979fa,32'h3f987535,// invsqrt(0.8529) = 1.0828 +32'h3f99ae33,32'h3f64f60a,32'h3f6e4e73, 32'h3f5df3bc,32'h3f7550c2, 32'h3f524539,32'h3f807fa3,// invsqrt(1.2006) = 0.9126 +32'h3d4ed426,32'h408b8e82,32'h409140bc, 32'h408748d7,32'h40958667, 32'h40802a0f,32'h409ca52f,// invsqrt(0.0505) = 4.4501 +32'h3e980d22,32'h3fe62f37,32'h3fef9469, 32'h3fdf2352,32'h3ff6a04e, 32'h3fd364d5,32'h40012f66,// invsqrt(0.2970) = 1.8350 +32'h406da0c9,32'h3f0232e8,32'h3f07835a, 32'h3efc6d25,32'h3f0b7faf, 32'h3eef2408,32'h3f12243e,// invsqrt(3.7129) = 0.5190 +32'h415dabb3,32'h3e86cdc1,32'h3e8c4e51, 32'h3e82ad55,32'h3e906ebd, 32'h3e779943,32'h3e974f70,// invsqrt(13.8544) = 0.2687 +32'h3f65d22a,32'h3f846450,32'h3f89cbac, 32'h3f8056cb,32'h3f8dd931, 32'h3f732b30,32'h3f949a64,// invsqrt(0.8977) = 1.0554 +32'h3eecd136,32'h3fb871a6,32'h3fbff8e6, 32'h3fb2cc36,32'h3fc59e56, 32'h3fa96325,32'h3fcf0767,// invsqrt(0.4625) = 1.4704 +32'h3ef1386d,32'h3fb6c0b6,32'h3fbe364b, 32'h3fb12887,32'h3fc3ce7b, 32'h3fa7d58e,32'h3fcd2174,// invsqrt(0.4711) = 1.4569 +32'h3f520253,32'h3f8a7ef5,32'h3f902619, 32'h3f86419a,32'h3f946374, 32'h3f7e6159,32'h3f9b7461,// invsqrt(0.8203) = 1.1041 +32'h3f95fa99,32'h3f67c4f2,32'h3f713ab3, 32'h3f60aca2,32'h3f785304, 32'h3f54d971,32'h3f82131a,// invsqrt(1.1717) = 0.9238 +32'h3ff32062,32'h3f3608f5,32'h3f3d770a, 32'h3f307666,32'h3f43099a, 32'h3f272ccd,32'h3f4c5333,// invsqrt(1.8994) = 0.7256 +32'h4015eb1f,32'h3f23eb30,32'h3f2a9bf8, 32'h3f1ee699,32'h3f2fa08f, 32'h3f16899f,32'h3f37fd89,// invsqrt(2.3425) = 0.6534 +32'h3db817f2,32'h405131fa,32'h4059bbda, 32'h404aca92,32'h40602342, 32'h40401e39,32'h406acf9b,// invsqrt(0.0899) = 3.3354 +32'h406af7cc,32'h3f02ef0c,32'h3f08472c, 32'h3efdd9e8,32'h3f0c4944, 32'h3ef07d99,32'h3f12f76c,// invsqrt(3.6714) = 0.5219 +32'h3fa2f8a1,32'h3f5e56c7,32'h3f6769fe, 32'h3f57885d,32'h3f6e3867, 32'h3f4c3058,32'h3f79906c,// invsqrt(1.2732) = 0.8862 +32'h3f2e405a,32'h3f980b24,32'h3f9e3fd8, 32'h3f93639e,32'h3fa2e75e, 32'h3f8ba1be,32'h3faaa93e,// invsqrt(0.6807) = 1.2121 +32'h3fb7e616,32'h3f514e54,32'h3f59d95c, 32'h3f4ae60d,32'h3f6041a3, 32'h3f403842,32'h3f6aef6e,// invsqrt(1.4367) = 0.8343 +32'h404e9989,32'h3f0ba24d,32'h3f115555, 32'h3f075c07,32'h3f159b9b, 32'h3f003c3c,32'h3f1cbb66,// invsqrt(3.2281) = 0.5566 +32'h3ee930b9,32'h3fb9df6e,32'h3fc1759c, 32'h3fb42ecb,32'h3fc7263f, 32'h3faab311,32'h3fd0a1f9,// invsqrt(0.4554) = 1.4818 +32'h3f6e15cb,32'h3f8212e6,32'h3f87620a, 32'h3f7c2f17,32'h3f8b5d64, 32'h3f6ee93e,32'h3f920051,// invsqrt(0.9300) = 1.0369 +32'h3fdf11e0,32'h3f3e0ade,32'h3f45cc9e, 32'h3f38398e,32'h3f4b9dee, 32'h3f2e875e,32'h3f55501e,// invsqrt(1.7427) = 0.7575 +32'h3ec9ccf3,32'h3fc7ce7d,32'h3fcff643, 32'h3fc1b0a8,32'h3fd61418, 32'h3fb77ef0,32'h3fe045d0,// invsqrt(0.3941) = 1.5928 +32'h3f3647c1,32'h3f94a843,32'h3f9ab995, 32'h3f901b47,32'h3f9f4691, 32'h3f8885a1,32'h3fa6dc37,// invsqrt(0.7120) = 1.1851 +32'h3fb74766,32'h3f51a8dd,32'h3f5a3797, 32'h3f4b3dd1,32'h3f60a2a3, 32'h3f408b67,32'h3f6b550d,// invsqrt(1.4319) = 0.8357 +32'h3f00df41,32'h3fb0cc36,32'h3fb80390, 32'h3fab62b1,32'h3fbd6d15, 32'h3fa25d80,32'h3fc67247,// invsqrt(0.5034) = 1.4094 +32'h4182ab05,32'h3e784e35,32'h3e813860, 32'h3e70b44e,32'h3e850553, 32'h3e640921,32'h3e8b5aea,// invsqrt(16.3335) = 0.2474 +32'h41d3045e,32'h3e4364fb,32'h3e4b5ea7, 32'h3e3d69ba,32'h3e5159e8, 32'h3e3371a2,32'h3e5b5200,// invsqrt(26.3771) = 0.1947 +32'h40498671,32'h3f0d6190,32'h3f1326da, 32'h3f090d99,32'h3f177ad1, 32'h3f01d6fc,32'h3f1eb16e,// invsqrt(3.1488) = 0.5635 +32'h40849051,32'h3ef68610,32'h3f004afe, 32'h3eeefa1f,32'h3f0410f6, 32'h3ee26639,32'h3f0a5aea,// invsqrt(4.1426) = 0.4913 +32'h405d4447,32'h3f06ed3e,32'h3f0c6f16, 32'h3f02cbdb,32'h3f109079, 32'h3ef7d318,32'h3f1772c8,// invsqrt(3.4573) = 0.5378 +32'h3f3f0bdc,32'h3f9134eb,32'h3f97222e, 32'h3f8cc2f8,32'h3f9b9420, 32'h3f855a64,32'h3fa2fcb4,// invsqrt(0.7463) = 1.1576 +32'h40e2f31b,32'h3ebc6938,32'h3ec419ec, 32'h3eb6a4b1,32'h3ec9de73, 32'h3ead07d0,32'h3ed37b54,// invsqrt(7.0922) = 0.3755 +32'h3f00c61b,32'h3fb0dd78,32'h3fb81588, 32'h3fab736d,32'h3fbd7f93, 32'h3fa26d5a,32'h3fc685a6,// invsqrt(0.5030) = 1.4100 +32'h3fbe9add,32'h3f4d9747,32'h3f55fb7f, 32'h3f474c1e,32'h3f5c46a8, 32'h3f3cced9,32'h3f66c3ed,// invsqrt(1.4891) = 0.8195 +32'h3ecb9a27,32'h3fc6ebaf,32'h3fcf0a33, 32'h3fc0d4cb,32'h3fd52117, 32'h3fb6aea6,32'h3fdf473c,// invsqrt(0.3977) = 1.5858 +32'h3f574e65,32'h3f88c818,32'h3f8e5d52, 32'h3f84982c,32'h3f928d3e, 32'h3f7b3b46,32'h3f9987c7,// invsqrt(0.8410) = 1.0904 +32'h412d85c1,32'h3e985cce,32'h3e9e94d7, 32'h3e93b2c8,32'h3ea33ede, 32'h3e8becbe,32'h3eab04e8,// invsqrt(10.8452) = 0.3037 +32'h3fef745a,32'h3f376ce8,32'h3f3ee984, 32'h3f31cf73,32'h3f4486f9, 32'h3f2873b1,32'h3f4de2bb,// invsqrt(1.8707) = 0.7311 +32'h3f7be51c,32'h3f7cea7e,32'h3f839e9b, 32'h3f752c76,32'h3f877d9f, 32'h3f684511,32'h3f8df152,// invsqrt(0.9840) = 1.0081 +32'h3b8b5d3a,32'h41706f0c,32'h417a3f55, 32'h416912d4,32'h4180cdc6, 32'h415cce78,32'h4186eff4,// invsqrt(0.0043) = 15.3338 +32'h3f815048,32'h3f799a3d,32'h3f81e52a, 32'h3f71f62c,32'h3f85b733, 32'h3f653a0e,32'h3f8c1542,// invsqrt(1.0103) = 0.9949 +32'h3f33fa4a,32'h3f959aee,32'h3f9bb627, 32'h3f910684,32'h3fa04a92, 32'h3f89647d,32'h3fa7ec99,// invsqrt(0.7030) = 1.1926 +32'h4115f5a6,32'h3ea3e56f,32'h3eaa95fb, 32'h3e9ee106,32'h3eaf9a64, 32'h3e968456,32'h3eb7f714,// invsqrt(9.3725) = 0.3266 +32'h3ff164f8,32'h3f36afd9,32'h3f3e24bd, 32'h3f31182e,32'h3f43bc68, 32'h3f27c610,32'h3f4d0e86,// invsqrt(1.8859) = 0.7282 +32'h3fff11ee,32'h3f31b8d8,32'h3f38f9dc, 32'h3f2c4816,32'h3f3e6a9e, 32'h3f2336d1,32'h3f477be3,// invsqrt(1.9927) = 0.7084 +32'h3e7709ab,32'h3fff63e9,32'h4004e83d, 32'h3ff7927c,32'h4008d0f4, 32'h3fea8ac7,32'h400f54cf,// invsqrt(0.2412) = 2.0360 +32'h3d8e5512,32'h406de9e2,32'h40779fd6, 32'h4066a16b,32'h407ee84d, 32'h405a7df9,32'h408585df,// invsqrt(0.0695) = 3.7933 +32'h3db46c93,32'h40534fd2,32'h405befd0, 32'h404cd7d3,32'h406267cf, 32'h40420fd6,32'h406d2fcc,// invsqrt(0.0881) = 3.3691 +32'h408fe502,32'h3eec9e5b,32'h3ef646c7, 32'h3ee5600a,32'h3efd8518, 32'h3ed94d82,32'h3f04cbd0,// invsqrt(4.4967) = 0.4716 +32'h411345d1,32'h3ea56270,32'h3eac228a, 32'h3ea0525d,32'h3eb1329d, 32'h3e97e23d,32'h3eb9a2bd,// invsqrt(9.2045) = 0.3296 +32'h3f5bec8b,32'h3f875686,32'h3f8cdcab, 32'h3f8331eb,32'h3f910147, 32'h3f78947a,32'h3f97e8f5,// invsqrt(0.8591) = 1.0789 +32'h4078f765,32'h3efe662f,32'h3f046433, 32'h3ef69c86,32'h3f084907, 32'h3ee9a1c3,32'h3f0ec669,// invsqrt(3.8901) = 0.5070 +32'h3f6cb32f,32'h3f827431,32'h3f87c74d, 32'h3f7cebb8,32'h3f8bc5a2, 32'h3f6f9bf1,32'h3f926d85,// invsqrt(0.9246) = 1.0400 +32'h3ee6e35d,32'h3fbacc11,32'h3fc26be8, 32'h3fb51430,32'h3fc823ca, 32'h3fab8c64,32'h3fd1ab96,// invsqrt(0.4510) = 1.4891 +32'h401448ba,32'h3f24d1cf,32'h3f2b8c01, 32'h3f1fc629,32'h3f3097a7, 32'h3f175d6a,32'h3f390066,// invsqrt(2.3169) = 0.6570 +32'h3e847c82,32'h3ff6987e,32'h40005495, 32'h3fef0bfd,32'h40041ad6, 32'h3fe27725,32'h400a6541,// invsqrt(0.2588) = 1.9658 +32'h3fac03c4,32'h3f586a5a,32'h3f613fac, 32'h3f51ca5c,32'h3f67dfaa, 32'h3f46bfb6,32'h3f72ea50,// invsqrt(1.3439) = 0.8626 +32'h3d0addc3,32'h40aa511e,32'h40b144c2, 32'h40a51a64,32'h40b67b7c, 32'h409c69d8,32'h40bf2c08,// invsqrt(0.0339) = 5.4310 +32'h3ed74538,32'h3fc1745b,32'h3fc959c2, 32'h3fbb884e,32'h3fcf45d0, 32'h3fb1a98e,32'h3fd92491,// invsqrt(0.4204) = 1.5422 +32'h3f1b5899,32'h3fa10798,32'h3fa79a30, 32'h3f9c19a5,32'h3fac8823, 32'h3f93e267,32'h3fb4bf61,// invsqrt(0.6068) = 1.2837 +32'h3f1cc64d,32'h3fa04b59,32'h3fa6d643, 32'h3f9b632a,32'h3fabbe72, 32'h3f933586,32'h3fb3ec16,// invsqrt(0.6124) = 1.2779 +32'h3e151fca,32'h40245aca,32'h402b1021, 32'h401f52ca,32'h40301822, 32'h4016f01d,32'h40387acf,// invsqrt(0.1456) = 2.6205 +32'h40001a55,32'h3f3153e5,32'h3f3890c9, 32'h3f2be639,32'h3f3dfe75, 32'h3f22da1b,32'h3f470a93,// invsqrt(2.0016) = 0.7068 +32'h3fd678ae,32'h3f41d085,32'h3f49b9af, 32'h3f3be1a6,32'h3f4fa88e, 32'h3f31fe31,32'h3f598c03,// invsqrt(1.6756) = 0.7725 +32'h4082514c,32'h3ef8a3a1,32'h3f0164d4, 32'h3ef1071c,32'h3f053316, 32'h3ee45794,32'h3f0b8ada,// invsqrt(4.0724) = 0.4955 +32'h3f6549d8,32'h3f848ba5,32'h3f89f49c, 32'h3f807ceb,32'h3f8e0355, 32'h3f73736d,32'h3f94c68a,// invsqrt(0.8957) = 1.0566 +32'h3f5b3cf5,32'h3f878cae,32'h3f8d1508, 32'h3f83666a,32'h3f913b4c, 32'h3f78f7f1,32'h3f9825be,// invsqrt(0.8564) = 1.0806 +32'h3e2c4cf8,32'h4018e6db,32'h401f2486, 32'h4014389b,32'h4023d2c7, 32'h400c6b86,32'h402b9fdc,// invsqrt(0.1683) = 2.4378 +32'h3fd4a1a0,32'h3f42a6be,32'h3f4a98a6, 32'h3f3cb150,32'h3f508e14, 32'h3f32c2ed,32'h3f5a7c77,// invsqrt(1.6612) = 0.7759 +32'h3f6fb3ad,32'h3f81a269,32'h3f86ecf5, 32'h3f7b5500,32'h3f8ae4de, 32'h3f6e1aa1,32'h3f91820d,// invsqrt(0.9363) = 1.0334 +32'h3f3be12d,32'h3f926ce3,32'h3f9866e1, 32'h3f8df164,32'h3f9ce260, 32'h3f8678e5,32'h3fa45adf,// invsqrt(0.7339) = 1.1673 +32'h3fdc797f,32'h3f3f285e,32'h3f46f5c5, 32'h3f394e50,32'h3f4ccfd2, 32'h3f2f8d8f,32'h3f569093,// invsqrt(1.7225) = 0.7619 +32'h40c8f8ad,32'h3ec837e7,32'h3ed063fb, 32'h3ec216d8,32'h3ed6850a, 32'h3eb7dfbf,32'h3ee0bc23,// invsqrt(6.2804) = 0.3990 +32'h3f588c88,32'h3f88637a,32'h3f8df499, 32'h3f8436a2,32'h3f922170, 32'h3f7a8277,32'h3f9916d7,// invsqrt(0.8459) = 1.0873 +32'h3fa52041,32'h3f5ce22e,32'h3f65e630, 32'h3f561f2d,32'h3f6ca931, 32'h3f4ada2a,32'h3f77ee34,// invsqrt(1.2900) = 0.8804 +32'h3f8c6a4c,32'h3f6f883f,32'h3f794f1d, 32'h3f683318,32'h3f805222, 32'h3f5bfa83,32'h3f866e6c,// invsqrt(1.0970) = 0.9548 +32'h409fe4c5,32'h3ee077f1,32'h3ee9a169, 32'h3ed998d8,32'h3ef08082, 32'h3ece2502,32'h3efbf458,// invsqrt(4.9967) = 0.4474 +32'h3f94ba7f,32'h3f68bdd6,32'h3f723dc0, 32'h3f619de7,32'h3f795daf, 32'h3f55be04,32'h3f829ec9,// invsqrt(1.1619) = 0.9277 +32'h3eb3b62d,32'h3fd3baf3,32'h3fdc5f50, 32'h3fcd3fac,32'h3fe2da96, 32'h3fc27237,32'h3feda80b,// invsqrt(0.3510) = 1.6879 +32'h4042e1ae,32'h3f0fc552,32'h3f15a394, 32'h3f0b5ea0,32'h3f1a0a46, 32'h3f0408ce,32'h3f216018,// invsqrt(3.0450) = 0.5731 +32'h40025c79,32'h3f2fc8f6,32'h3f36f5bc, 32'h3f2a6761,32'h3f3c5751, 32'h3f216f6a,32'h3f454f48,// invsqrt(2.0369) = 0.7007 +32'h3f0d1a21,32'h3fa8f64d,32'h3fafdbc9, 32'h3fa3ca31,32'h3fb507e5, 32'h3f9b2b57,32'h3fbda6bf,// invsqrt(0.5512) = 1.3470 +32'h3ef20c3a,32'h3fb670b0,32'h3fbde300, 32'h3fb0daf4,32'h3fc378bc, 32'h3fa78c0f,32'h3fccc7a1,// invsqrt(0.4727) = 1.4544 +32'h3f7ccbfa,32'h3f7c76e7,32'h3f836273, 32'h3f74bc67,32'h3f873fb2, 32'h3f67dae9,32'h3f8db072,// invsqrt(0.9875) = 1.0063 +32'h40212a50,32'h3f1e1885,32'h3f248c75, 32'h3f194190,32'h3f29636a, 32'h3f1130a4,32'h3f317456,// invsqrt(2.5182) = 0.6302 +32'h3f8abb21,32'h3f70fb5a,32'h3f7ad15e, 32'h3f699ad7,32'h3f8118f0, 32'h3f5d4f53,32'h3f873eb3,// invsqrt(1.0838) = 0.9605 +32'h3ee576a2,32'h3fbb604b,32'h3fc3062f, 32'h3fb5a3e0,32'h3fc8c29a, 32'h3fac1484,32'h3fd251f6,// invsqrt(0.4482) = 1.4938 +32'h3daff688,32'h4055f942,32'h405eb511, 32'h404f6c68,32'h406541ec, 32'h404481a6,32'h40702cae,// invsqrt(0.0859) = 3.4116 +32'h40468ae7,32'h3f0e7068,32'h3f1440c0, 32'h3f0a1426,32'h3f189d02, 32'h3f02cfb8,32'h3f1fe170,// invsqrt(3.1022) = 0.5678 +32'h3eecbf0a,32'h3fb878ba,32'h3fc00044, 32'h3fb2d312,32'h3fc5a5ec, 32'h3fa969a6,32'h3fcf0f59,// invsqrt(0.4624) = 1.4706 +32'h3ea46a1f,32'h3fdd5c64,32'h3fe66563, 32'h3fd695a5,32'h3fed2c23, 32'h3fcb4a67,32'h3ff87761,// invsqrt(0.3211) = 1.7647 +32'h3f846016,32'h3f76b2f5,32'h3f80625b, 32'h3f6f25a5,32'h3f842904, 32'h3f628f74,32'h3f8a741c,// invsqrt(1.0342) = 0.9833 +32'h40dce63a,32'h3ebef94c,32'h3ec6c4c8, 32'h3eb920b0,32'h3ecc9d64, 32'h3eaf6256,32'h3ed65bbe,// invsqrt(6.9031) = 0.3806 +32'h3f5b17f1,32'h3f879821,32'h3f8d20f3, 32'h3f837183,32'h3f914791, 32'h3f790cf8,32'h3f983298,// invsqrt(0.8558) = 1.0809 +32'h3ff1b6fb,32'h3f3690d9,32'h3f3e0479, 32'h3f30fa21,32'h3f439b31, 32'h3f27a998,32'h3f4cebba,// invsqrt(1.8884) = 0.7277 +32'h416d0854,32'h3e825cc0,32'h3e87aee8, 32'h3e7cbe46,32'h3e8bac85, 32'h3e6f70e4,32'h3e925336,// invsqrt(14.8145) = 0.2598 +32'h3f3942d2,32'h3f9374df,32'h3f9979a5, 32'h3f8ef14c,32'h3f9dfd38, 32'h3f876b55,32'h3fa5832f,// invsqrt(0.7237) = 1.1755 +32'h3cfebe55,32'h40b1d5ff,32'h40b91833, 32'h40ac6458,32'h40be89da, 32'h40a35196,32'h40c79c9c,// invsqrt(0.0311) = 5.6708 +32'h3f555e6a,32'h3f8966b6,32'h3f8f026a, 32'h3f8531ef,32'h3f933731, 32'h3f7c5e9d,32'h3f9a39d2,// invsqrt(0.8335) = 1.0954 +32'h3d097b19,32'h40ab2c41,32'h40b228d5, 32'h40a5eed1,32'h40b76645, 32'h409d3317,32'h40c021ff,// invsqrt(0.0336) = 5.4583 +32'h4017b536,32'h3f22f2f9,32'h3f29999f, 32'h3f1df5fb,32'h3f2e969d, 32'h3f15a5ab,32'h3f36e6ed,// invsqrt(2.3704) = 0.6495 +32'h3f69f361,32'h3f8337d8,32'h3f8892f0, 32'h3f7e670b,32'h3f8c9743, 32'h3f71034e,32'h3f934921,// invsqrt(0.9139) = 1.0461 +32'h3fb93f9b,32'h3f508ac6,32'h3f590dd4, 32'h3f4a287c,32'h3f5f701e, 32'h3f3f84ac,32'h3f6a13ee,// invsqrt(1.4473) = 0.8312 +32'h3de3ff2e,32'h403bfa54,32'h4043a681, 32'h40363932,32'h404967a2, 32'h402ca1f9,32'h4052fedb,// invsqrt(0.1113) = 2.9971 +32'h40c027e5,32'h3eccc274,32'h3ed51dfc, 32'h3ec67dcf,32'h3edb62a1, 32'h3ebc0b66,32'h3ee5d50a,// invsqrt(6.0049) = 0.4081 +32'h3fc6db16,32'h3f4947d5,32'h3f517f02, 32'h3f431e72,32'h3f57a864, 32'h3f38d97a,32'h3f61ed5c,// invsqrt(1.5536) = 0.8023 +32'h3eeb3fe0,32'h3fb90eb7,32'h3fc09c61, 32'h3fb36478,32'h3fc646a0, 32'h3fa9f364,32'h3fcfb7b4,// invsqrt(0.4595) = 1.4753 +32'h40a5b119,32'h3edc818d,32'h3ee5819d, 32'h3ed5c181,32'h3eec41a9, 32'h3eca816c,32'h3ef781be,// invsqrt(5.1779) = 0.4395 +32'h3fc0e904,32'h3f4c5bdc,32'h3f54b334, 32'h3f461a5b,32'h3f5af4b5, 32'h3f3bad2e,32'h3f6561e2,// invsqrt(1.5071) = 0.8146 +32'h3f8f5fa1,32'h3f6d0c51,32'h3f76b93b, 32'h3f65caa2,32'h3f7dfaea, 32'h3f59b27f,32'h3f850986,// invsqrt(1.1201) = 0.9449 +32'h4034e061,32'h3f153ba8,32'h3f1b52fe, 32'h3f10aa28,32'h3f1fe47e, 32'h3f090cfe,32'h3f2781a8,// invsqrt(2.8262) = 0.5948 +32'h3f4e2666,32'h3f8bc946,32'h3f917de6, 32'h3f8781ce,32'h3f95c55e, 32'h3f806007,32'h3f9ce725,// invsqrt(0.8053) = 1.1144 +32'h412af992,32'h3e997e53,32'h3e9fc22d, 32'h3e94cb70,32'h3ea47510, 32'h3e8cf6a0,32'h3eac49e0,// invsqrt(10.6859) = 0.3059 +32'h3e8283fb,32'h3ff87355,32'h40014bb1, 32'h3ff0d84b,32'h40051937, 32'h3fe42b39,32'h400b6fbf,// invsqrt(0.2549) = 1.9806 +32'h3f11cbf0,32'h3fa63839,32'h3fad010d, 32'h3fa1219b,32'h3fb217ab, 32'h3f98a693,32'h3fba92b3,// invsqrt(0.5695) = 1.3251 +32'h3ff499a2,32'h3f357c5e,32'h3f3ce4b6, 32'h3f2fee1d,32'h3f4272f7, 32'h3f26abaf,32'h3f4bb565,// invsqrt(1.9109) = 0.7234 +32'h3f57f209,32'h3f88943b,32'h3f8e2757, 32'h3f8465e5,32'h3f9255ad, 32'h3f7adc03,32'h3f994d90,// invsqrt(0.8435) = 1.0888 +32'h3ea9735f,32'h3fda0bf0,32'h3fe2f24e, 32'h3fd35f2a,32'h3fe99f14, 32'h3fc83f36,32'h3ff4bf09,// invsqrt(0.3310) = 1.7383 +32'h3f69aa8a,32'h3f834c4a,32'h3f88a838, 32'h3f7e8eaf,32'h3f8cad2b, 32'h3f7128db,32'h3f936014,// invsqrt(0.9128) = 1.0467 +32'h3f6bfd77,32'h3f82a661,32'h3f87fb89, 32'h3f7d4d05,32'h3f8bfb68, 32'h3f6ff81f,32'h3f92a5da,// invsqrt(0.9218) = 1.0415 +32'h3e0a9e04,32'h402a7843,32'h40316d7f, 32'h40254056,32'h4036a56c, 32'h401c8dca,32'h403f57f8,// invsqrt(0.1354) = 2.7179 +32'h4165b54d,32'h3e846ca1,32'h3e89d453, 32'h3e805eda,32'h3e8de21a, 32'h3e733a75,32'h3e94a3b9,// invsqrt(14.3568) = 0.2639 +32'h3e02ce30,32'h402f7c7d,32'h4036a624, 32'h402a1d3f,32'h403c0561, 32'h4021292e,32'h4044f972,// invsqrt(0.1277) = 2.7979 +32'h3f813647,32'h3f79b35a,32'h3f81f23c, 32'h3f720e84,32'h3f85c4a7, 32'h3f65511e,32'h3f8c235a,// invsqrt(1.0095) = 0.9953 +32'h3f93241c,32'h3f69fe61,32'h3f738b5f, 32'h3f62d4a2,32'h3f7ab51e, 32'h3f56e463,32'h3f8352ae,// invsqrt(1.1495) = 0.9327 +32'h4041a4ee,32'h3f103ab8,32'h3f161dc5, 32'h3f0bd06f,32'h3f1a880f, 32'h3f04749f,32'h3f21e3df,// invsqrt(3.0257) = 0.5749 +32'h3c7a6794,32'h40fdaae3,32'h410402ba, 32'h40f5e6f7,32'h4107e4b1, 32'h40e8f5c1,32'h410e5d4b,// invsqrt(0.0153) = 8.0889 +32'h3d074ad3,32'h40ac8d43,32'h40b39841, 32'h40a74505,32'h40b8e07f, 32'h409e7748,32'h40c1ae3c,// invsqrt(0.0330) = 5.5023 +32'h3f8db9c7,32'h3f6e6c17,32'h3f78275b, 32'h3f671fa3,32'h3f7f73cf, 32'h3f5af58d,32'h3f85cef3,// invsqrt(1.1072) = 0.9503 +32'h4199aeac,32'h3e64f5b0,32'h3e6e4e16, 32'h3e5df364,32'h3e755062, 32'h3e5244e6,32'h3e807f70,// invsqrt(19.2103) = 0.2282 +32'h3fb481f6,32'h3f53434c,32'h3f5be2c8, 32'h3f4ccbb0,32'h3f625a64, 32'h3f420456,32'h3f6d21be,// invsqrt(1.4102) = 0.8421 +32'h3f1a25cc,32'h3fa1a788,32'h3fa840a8, 32'h3f9cb4b0,32'h3fad3380, 32'h3f947549,32'h3fb572e7,// invsqrt(0.6021) = 1.2887 +32'h40802221,32'h3efabfdc,32'h3f027df8, 32'h3ef312ce,32'h3f06547f, 32'h3ee647b5,32'h3f0cba0b,// invsqrt(4.0042) = 0.4997 +32'h3f1278e0,32'h3fa5d5fc,32'h3fac9acc, 32'h3fa0c25f,32'h3fb1ae69, 32'h3f984c5a,32'h3fba246e,// invsqrt(0.5722) = 1.3220 +32'h3fa318a9,32'h3f5e40f0,32'h3f675343, 32'h3f577332,32'h3f6e2102, 32'h3f4c1c4b,32'h3f7977e9,// invsqrt(1.2742) = 0.8859 +32'h4082374b,32'h3ef8bc73,32'h3f0171be, 32'h3ef11f2c,32'h3f054062, 32'h3ee46e5f,32'h3f0b98c8,// invsqrt(4.0692) = 0.4957 +32'h40f27317,32'h3eb649f8,32'h3ebdbab4, 32'h3eb0b56b,32'h3ec34f41, 32'h3ea76881,32'h3ecc9c2b,// invsqrt(7.5765) = 0.3633 +32'h3f8c3cd1,32'h3f6faf13,32'h3f797787, 32'h3f6858bc,32'h3f8066ef, 32'h3f5c1e2c,32'h3f868437,// invsqrt(1.0956) = 0.9554 +32'h3f6d4d42,32'h3f8249d0,32'h3f879b32, 32'h3f7c998f,32'h3f8b983b, 32'h3f6f4e1b,32'h3f923df4,// invsqrt(0.9270) = 1.0387 +32'h3fe364a3,32'h3f3c3a2a,32'h3f43e8f2, 32'h3f367714,32'h3f49ac08, 32'h3f2cdc99,32'h3f534683,// invsqrt(1.7765) = 0.7503 +32'h40270efd,32'h3f1b483e,32'h3f219ec8, 32'h3f168756,32'h3f265fb0, 32'h3f0e9b29,32'h3f2e4bdd,// invsqrt(2.6103) = 0.6190 +32'h3fcb3b7d,32'h3f4719fd,32'h3f4f3a65, 32'h3f4101af,32'h3f5552b3, 32'h3f36d92c,32'h3f5f7b36,// invsqrt(1.5878) = 0.7936 +32'h3efdedfe,32'h3fb21ee4,32'h3fb96412, 32'h3facab02,32'h3fbed7f4, 32'h3fa39488,32'h3fc7ee6e,// invsqrt(0.4960) = 1.4200 +32'h3f5e0a94,32'h3f86b0f1,32'h3f8c3053, 32'h3f829167,32'h3f904fdd, 32'h3f776457,32'h3f972f19,// invsqrt(0.8673) = 1.0737 +32'h3f1d0838,32'h3fa029b1,32'h3fa6b33b, 32'h3f9b428a,32'h3fab9a62, 32'h3f93169d,32'h3fb3c64f,// invsqrt(0.6134) = 1.2768 +32'h3ff377d7,32'h3f35e841,32'h3f3d54ff, 32'h3f3056b2,32'h3f42e68e, 32'h3f270ec3,32'h3f4c2e7d,// invsqrt(1.9021) = 0.7251 +32'h3f1148c8,32'h3fa6832f,32'h3fad4f12, 32'h3fa16a46,32'h3fb267fc, 32'h3f98eb6a,32'h3fbae6d8,// invsqrt(0.5675) = 1.3274 +32'h3e923410,32'h3feabe2a,32'h3ff452fc, 32'h3fe38e8c,32'h3ffb829a, 32'h3fd79484,32'h4003be51,// invsqrt(0.2856) = 1.8714 +32'h3f375eb4,32'h3f943706,32'h3f9a43b8, 32'h3f8fad81,32'h3f9ecd3d, 32'h3f881da2,32'h3fa65d1c,// invsqrt(0.7163) = 1.1816 +32'h3f8ea8b5,32'h3f6da41a,32'h3f775736, 32'h3f665dc6,32'h3f7e9d8a, 32'h3f5a3de4,32'h3f855eb6,// invsqrt(1.1145) = 0.9472 +32'h3f064d68,32'h3fad2fc3,32'h3fb44162, 32'h3fa7e28b,32'h3fb98e99, 32'h3f9f0c83,32'h3fc264a1,// invsqrt(0.5246) = 1.3806 +32'h3d636f00,32'h408515bb,32'h408a8455, 32'h408102c8,32'h408e9748, 32'h4074710e,32'h40956189,// invsqrt(0.0555) = 4.2438 +32'h3f44fdbb,32'h3f8effb8,32'h3f94d5e9, 32'h3f8a9f12,32'h3f99368e, 32'h3f835355,32'h3fa0824b,// invsqrt(0.7695) = 1.1400 +32'h40146664,32'h3f24c155,32'h3f2b7adb, 32'h3f1fb630,32'h3f308600, 32'h3f174e49,32'h3f38ede7,// invsqrt(2.3187) = 0.6567 +32'h3ea445e6,32'h3fdd74cb,32'h3fe67ec9, 32'h3fd6ad4d,32'h3fed4647, 32'h3fcb60cf,32'h3ff892c5,// invsqrt(0.3208) = 1.7654 +32'h3f66231d,32'h3f844d05,32'h3f89b36d, 32'h3f804036,32'h3f8dc03c, 32'h3f730067,32'h3f94803f,// invsqrt(0.8990) = 1.0547 +32'h407b144b,32'h3efd5394,32'h3f03d54a, 32'h3ef59253,32'h3f07b5ea, 32'h3ee8a592,32'h3f0e2c4b,// invsqrt(3.9231) = 0.5049 +32'h4038c3ba,32'h3f13a78d,32'h3f19ae64, 32'h3f0f226d,32'h3f1e3385, 32'h3f0799e0,32'h3f25bc12,// invsqrt(2.8869) = 0.5885 +32'h4133b45f,32'h3e95b806,32'h3e9bd46e, 32'h3e9122b7,32'h3ea069bd, 32'h3e897f34,32'h3ea80d40,// invsqrt(11.2315) = 0.2984 +32'h3ef9b382,32'h3fb39f5b,32'h3fbaf43b, 32'h3fae1fb4,32'h3fc073e2, 32'h3fa4f59d,32'h3fc99df9,// invsqrt(0.4877) = 1.4319 +32'h401ee2a2,32'h3f1f39e0,32'h3f25b9a0, 32'h3f1a5a10,32'h3f2a9970, 32'h3f123a60,32'h3f32b920,// invsqrt(2.4826) = 0.6347 +32'h40ff7216,32'h3eb19762,32'h3eb8d708, 32'h3eac27a6,32'h3ebe46c4, 32'h3ea31816,32'h3ec75654,// invsqrt(7.9827) = 0.3539 +32'h3f007e40,32'h3fb10ee5,32'h3fb848f9, 32'h3faba356,32'h3fbdb488, 32'h3fa29abe,32'h3fc6bd21,// invsqrt(0.5019) = 1.4115 +32'h3ea63d1a,32'h3fdc249f,32'h3fe520e5, 32'h3fd5676c,32'h3febde18, 32'h3fca2c15,32'h3ff7196f,// invsqrt(0.3247) = 1.7550 +32'h3f95a613,32'h3f68065d,32'h3f717ec9, 32'h3f60ec0c,32'h3f78991a, 32'h3f551584,32'h3f8237d1,// invsqrt(1.1691) = 0.9248 +32'h3f3ffd82,32'h3f90d96c,32'h3f96c2f4, 32'h3f8c6a47,32'h3f9b3219, 32'h3f85065e,32'h3fa29602,// invsqrt(0.7500) = 1.1547 +32'h3e8067ab,32'h3ffa7bed,32'h40025a9d, 32'h3ff2d0f3,32'h4006301a, 32'h3fe60952,32'h400c93eb,// invsqrt(0.2508) = 1.9968 +32'h416bd10e,32'h3e82b2ae,32'h3e880857, 32'h3e7d64dd,32'h3e8c0895, 32'h3e700eb7,32'h3e92b3a9,// invsqrt(14.7385) = 0.2605 +32'h3fb6a306,32'h3f520721,32'h3f5a99b4, 32'h3f4b9932,32'h3f6107a2, 32'h3f40e1f9,32'h3f6bbedb,// invsqrt(1.4269) = 0.8372 +32'h3e742c22,32'h40007134,32'h4005af4c, 32'h3ff90546,32'h40099ddd, 32'h3febea0d,32'h40102b7a,// invsqrt(0.2384) = 2.0479 +32'h40a9045b,32'h3eda5380,32'h3ee33cca, 32'h3ed3a48a,32'h3ee9ebc0, 32'h3ec880ee,32'h3ef50f5c,// invsqrt(5.2818) = 0.4351 +32'h3fdc991b,32'h3f3f1aab,32'h3f46e783, 32'h3f394109,32'h3f4cc125, 32'h3f2f80fb,32'h3f568133,// invsqrt(1.7234) = 0.7617 +32'h408ff942,32'h3eec8db6,32'h3ef63575, 32'h3ee54fe8,32'h3efd7344, 32'h3ed93e3a,32'h3f04c279,// invsqrt(4.4992) = 0.4714 +32'h41019639,32'h3eb04f39,32'h3eb7817b, 32'h3eaae989,32'h3ebce72b, 32'h3ea1eab7,32'h3ec5e5fd,// invsqrt(8.0992) = 0.3514 +32'h3f82bac4,32'h3f783f40,32'h3f813097, 32'h3f70a5ce,32'h3f84fd50, 32'h3f63fb65,32'h3f8b5285,// invsqrt(1.0213) = 0.9895 +32'h3fe8c2a6,32'h3f3a0b5c,32'h3f41a355, 32'h3f345960,32'h3f475550, 32'h3f2adb69,32'h3f50d347,// invsqrt(1.8184) = 0.7416 +32'h3f543630,32'h3f89c67b,32'h3f8f6617, 32'h3f858ec5,32'h3f939dcd, 32'h3f7d0e83,32'h3f9aa550,// invsqrt(0.8290) = 1.0983 +32'h3d14a874,32'h40a49cb5,32'h40ab54bd, 32'h409f92b0,32'h40b05ec2, 32'h40972ca6,32'h40b8c4cc,// invsqrt(0.0363) = 5.2491 +32'h3e212c44,32'h401e1790,32'h40248b76, 32'h401940a3,32'h40296263, 32'h40112fc3,32'h40317343,// invsqrt(0.1574) = 2.5206 +32'h3f1caf7d,32'h3fa05704,32'h3fa6e268, 32'h3f9b6e7a,32'h3fabcaf2, 32'h3f93403d,32'h3fb3f92f,// invsqrt(0.6121) = 1.2782 +32'h3e47481c,32'h400e2cba,32'h4013fa4e, 32'h4009d28a,32'h4018547e, 32'h40029190,32'h401f9578,// invsqrt(0.1946) = 2.2668 +32'h42161252,32'h3e23d5c6,32'h3e2a85ae, 32'h3e1ed1d7,32'h3e2f899d, 32'h3e1675f4,32'h3e37e580,// invsqrt(37.5179) = 0.1633 +32'h40f13e6f,32'h3eb6be70,32'h3ebe33ec, 32'h3eb12652,32'h3ec3cc0a, 32'h3ea7d376,32'h3ecd1ee6,// invsqrt(7.5389) = 0.3642 +32'h3fba6b4d,32'h3f4fe2e1,32'h3f585f14, 32'h3f4985bb,32'h3f5ebc3b, 32'h3f3eea7c,32'h3f69577b,// invsqrt(1.4564) = 0.8286 +32'h3fe50a0b,32'h3f3b8cb1,32'h3f433465, 32'h3f35ceea,32'h3f48f22c, 32'h3f2c3d4a,32'h3f5283cc,// invsqrt(1.7894) = 0.7476 +32'h3f2468c1,32'h3f9c8741,32'h3fa2ead1, 32'h3f97bc95,32'h3fa7b57d, 32'h3f8fc022,32'h3fafb1f0,// invsqrt(0.6422) = 1.2478 +32'h3eaa3b07,32'h3fd98bec,32'h3fe26d10, 32'h3fd2e311,32'h3fe915eb, 32'h3fc7c9a5,32'h3ff42f57,// invsqrt(0.3325) = 1.7343 +32'h3f905eba,32'h3f6c3a87,32'h3f75dee0, 32'h3f64ff44,32'h3f7d1a22, 32'h3f58f1d4,32'h3f8493c9,// invsqrt(1.1279) = 0.9416 +32'h3e0992f3,32'h402b1d6a,32'h40321964, 32'h4025e06f,32'h4037565f, 32'h401d2576,32'h40401158,// invsqrt(0.1343) = 2.7282 +32'h400e926e,32'h3f2816bf,32'h3f2ef31b, 32'h3f22f17b,32'h3f34185f, 32'h3f1a5e08,32'h3f3cabd2,// invsqrt(2.2277) = 0.6700 +32'h3fdcc269,32'h3f3f08ca,32'h3f46d4e7, 32'h3f392fb4,32'h3f4cadfc, 32'h3f2f708f,32'h3f566d21,// invsqrt(1.7247) = 0.7615 +32'h41367123,32'h3e949766,32'h3e9aa807, 32'h3e900aee,32'h3e9f3480, 32'h3e887625,32'h3ea6c949,// invsqrt(11.4026) = 0.2961 +32'h3fa7795a,32'h3f5b5462,32'h3f644828, 32'h3f549d8e,32'h3f6afefc, 32'h3f496cd8,32'h3f762fb2,// invsqrt(1.3084) = 0.8742 +32'h3d11a9c0,32'h40a64bb9,32'h40ad1559, 32'h40a13482,32'h40b22c90, 32'h4098b87b,32'h40baa897,// invsqrt(0.0356) = 5.3028 +32'h3fbed2e9,32'h3f4d7914,32'h3f55dc10, 32'h3f472ed8,32'h3f5c264c, 32'h3f3cb31d,32'h3f66a207,// invsqrt(1.4908) = 0.8190 +32'h3e34bc3b,32'h40154a94,32'h401b6286, 32'h4010b8a0,32'h401ff47a, 32'h40091ab2,32'h40279268,// invsqrt(0.1765) = 2.3803 +32'h3f2c52fb,32'h3f98e431,32'h3f9f21c0, 32'h3f943605,32'h3fa3cfeb, 32'h3f8c6912,32'h3fab9cde,// invsqrt(0.6731) = 1.2188 +32'h3f562625,32'h3f892694,32'h3f8ebfaa, 32'h3f84f3c4,32'h3f92f27a, 32'h3f7be8d1,32'h3f99f1d5,// invsqrt(0.8365) = 1.0934 +32'h3d09b4b8,32'h40ab086d,32'h40b2038c, 32'h40a5cc17,32'h40b73fe3, 32'h409d1230,32'h40bff9ca,// invsqrt(0.0336) = 5.4539 +32'h3ff19b7f,32'h3f369b3b,32'h3f3e0f47, 32'h3f310431,32'h3f43a651, 32'h3f27b321,32'h3f4cf761,// invsqrt(1.8876) = 0.7279 +32'h3eac632e,32'h3fd82e6d,32'h3fe1014d, 32'h3fd19045,32'h3fe79f75, 32'h3fc688ad,32'h3ff2a70d,// invsqrt(0.3367) = 1.7234 +32'h3f891ebe,32'h3f7264ab,32'h3f7c496e, 32'h3f6af918,32'h3f81da80, 32'h3f5e9b24,32'h3f88097a,// invsqrt(1.0713) = 0.9662 +32'h3e21cdf9,32'h401dc87d,32'h40243929, 32'h4018f3fc,32'h40290daa, 32'h4010e724,32'h40311a82,// invsqrt(0.1580) = 2.5157 +32'h3f81e435,32'h3f790bf4,32'h3f819b1e, 32'h3f716c3d,32'h3f856af9, 32'h3f64b762,32'h3f8bc567,// invsqrt(1.0148) = 0.9927 +32'h40049249,32'h3f2e5043,32'h3f356da9, 32'h3f28fa36,32'h3f3ac3b6, 32'h3f201577,32'h3f43a875,// invsqrt(2.0714) = 0.6948 +32'h4052e2c9,32'h3f0a352d,32'h3f0fd94e, 32'h3f05fa14,32'h3f141466, 32'h3efdd9d4,32'h3f1b2190,// invsqrt(3.2951) = 0.5509 +32'h3e1425c7,32'h4024e53f,32'h402ba03c, 32'h401fd900,32'h4030ac7a, 32'h40176f44,32'h40391636,// invsqrt(0.1447) = 2.6291 +32'h3e91de17,32'h3feb034d,32'h3ff49af3, 32'h3fe3d192,32'h3ffbccae, 32'h3fd7d403,32'h4003e51e,// invsqrt(0.2849) = 1.8735 +32'h3f15ff00,32'h3fa3e053,32'h3faa90a9, 32'h3f9edc11,32'h3faf94eb, 32'h3f967fa5,32'h3fb7f157,// invsqrt(0.5859) = 1.3064 +32'h40d000d9,32'h3ec4ce0e,32'h3eccd677, 32'h3ebec7bf,32'h3ed2dcc5, 32'h3eb4bd3b,32'h3edce749,// invsqrt(6.5001) = 0.3922 +32'h40061ede,32'h3f2d4dcc,32'h3f3460a6, 32'h3f27ffa9,32'h3f39aec9, 32'h3f1f281a,32'h3f428658,// invsqrt(2.0956) = 0.6908 +32'h402e5e3b,32'h3f17fe1d,32'h3f1e3247, 32'h3f1356fc,32'h3f22d968, 32'h3f0b95c7,32'h3f2a9a9d,// invsqrt(2.7245) = 0.6058 +32'h3f3c67bf,32'h3f92388e,32'h3f98306a, 32'h3f8dbea9,32'h3f9caa4f, 32'h3f8648d6,32'h3fa42022,// invsqrt(0.7360) = 1.1657 +32'h3fc21921,32'h3f4bbb85,32'h3f540c51, 32'h3f457eec,32'h3f5a48ea, 32'h3f3b19ed,32'h3f64ade9,// invsqrt(1.5164) = 0.8121 +32'h40befd2e,32'h3ecd6256,32'h3ed5c464, 32'h3ec718cc,32'h3edc0dee, 32'h3ebc9e3a,32'h3ee68880,// invsqrt(5.9684) = 0.4093 +32'h3f8d248b,32'h3f6eea01,32'h3f78aa69, 32'h3f6799b2,32'h3f7ffab8, 32'h3f5b6930,32'h3f86159d,// invsqrt(1.1027) = 0.9523 +32'h4079aa59,32'h3efe0af2,32'h3f0434b7, 32'h3ef64414,32'h3f081826, 32'h3ee94df8,32'h3f0e9334,// invsqrt(3.9010) = 0.5063 +32'h3de44de3,32'h403bd9ea,32'h404384c4, 32'h403619c6,32'h404944e8, 32'h402c8435,32'h4052da79,// invsqrt(0.1115) = 2.9951 +32'h3ff117b2,32'h3f36cd1e,32'h3f3e4334, 32'h3f31348d,32'h3f43dbc5, 32'h3f27e0f2,32'h3f4d2f60,// invsqrt(1.8835) = 0.7286 +32'h3f13cd52,32'h3fa5168f,32'h3fabd38f, 32'h3fa008ce,32'h3fb0e150, 32'h3f979c8e,32'h3fb94d91,// invsqrt(0.5774) = 1.3161 +32'h40abc0e7,32'h3ed89476,32'h3ee16b80, 32'h3ed1f32e,32'h3ee80cc8, 32'h3ec6e662,32'h3ef31994,// invsqrt(5.3673) = 0.4316 +32'h3f2031c7,32'h3f9e92f9,32'h3fa50be9, 32'h3f99b845,32'h3fa9e69d, 32'h3f91a119,32'h3fb1fdc9,// invsqrt(0.6258) = 1.2641 +32'h406ab411,32'h3f0301ef,32'h3f085ad5, 32'h3efdfe87,32'h3f0c5d80, 32'h3ef0a04a,32'h3f130c9f,// invsqrt(3.6672) = 0.5222 +32'h3e9726f2,32'h3fe6de3a,32'h3ff04a90, 32'h3fdfccfa,32'h3ff75bd0, 32'h3fd4058e,32'h4001919e,// invsqrt(0.2952) = 1.8405 +32'h3fdf9bdc,32'h3f3dd032,32'h3f458f8c, 32'h3f3800ad,32'h3f4b5f11, 32'h3f2e517c,32'h3f550e42,// invsqrt(1.7469) = 0.7566 +32'h3f8bd286,32'h3f700a1d,32'h3f79d647, 32'h3f68b0fc,32'h3f8097b4, 32'h3f5c71c7,32'h3f86b74f,// invsqrt(1.0924) = 0.9568 +32'h3ea41cd0,32'h3fdd9082,32'h3fe69ba1, 32'h3fd6c82a,32'h3fed63f8, 32'h3fcb7a42,32'h3ff8b1e0,// invsqrt(0.3205) = 1.7663 +32'h41a77f32,32'h3e5b508f,32'h3e64442c, 32'h3e5499d8,32'h3e6afae2, 32'h3e496954,32'h3e762b66,// invsqrt(20.9371) = 0.2185 +32'h3f9b2e6c,32'h3f63d9e7,32'h3f6d26b7, 32'h3f5ce04b,32'h3f742053, 32'h3f514047,32'h3f7fc057,// invsqrt(1.2124) = 0.9082 +32'h3f8ebfde,32'h3f6d90d2,32'h3f774324, 32'h3f664b15,32'h3f7e88e1, 32'h3f5a2c2f,32'h3f8553e4,// invsqrt(1.1152) = 0.9469 +32'h40b9291f,32'h3ed09770,32'h3ed91b01, 32'h3eca34c2,32'h3edf7dae, 32'h3ebf904c,32'h3eea2224,// invsqrt(5.7863) = 0.4157 +32'h4208d366,32'h3e2b9507,32'h3e3295e3, 32'h3e265462,32'h3e37d688, 32'h3e1d9350,32'h3e40979a,// invsqrt(34.2064) = 0.1710 +32'h3f4bea35,32'h3f8c8cdc,32'h3f924978, 32'h3f883f68,32'h3f9696ec, 32'h3f8113a6,32'h3f9dc2ae,// invsqrt(0.7965) = 1.1205 +32'h3ed7bd97,32'h3fc13e5c,32'h3fc9218e, 32'h3fbb53f6,32'h3fcf0bf4, 32'h3fb177f6,32'h3fd8e7f4,// invsqrt(0.4214) = 1.5405 +32'h4032b909,32'h3f162127,32'h3f1c41db, 32'h3f1188a1,32'h3f20da61, 32'h3f09dfc1,32'h3f288341,// invsqrt(2.7925) = 0.5984 +32'h40790f17,32'h3efe5a15,32'h3f045de6, 32'h3ef690ca,32'h3f08428b, 32'h3ee996a5,32'h3f0ebf9e,// invsqrt(3.8915) = 0.5069 +32'h3f55c687,32'h3f89453c,32'h3f8edf92, 32'h3f85117b,32'h3f931353, 32'h3f7c2120,32'h3f9a143e,// invsqrt(0.8351) = 1.0943 +32'h3feb2caf,32'h3f391644,32'h3f40a43c, 32'h3f336bca,32'h3f464eb6, 32'h3f29fa53,32'h3f4fc02d,// invsqrt(1.8373) = 0.7378 +32'h4303cd8a,32'h3daed22c,32'h3db5f4e0, 32'h3da97826,32'h3dbb4ee6, 32'h3da08cc5,32'h3dc43a47,// invsqrt(131.8029) = 0.0871 +32'h3f058e24,32'h3fadab99,32'h3fb4c247, 32'h3fa85a97,32'h3fba1349, 32'h3f9f7e3e,32'h3fc2efa2,// invsqrt(0.5217) = 1.3845 +32'h40e7b50d,32'h3eba7778,32'h3ec213db, 32'h3eb4c22e,32'h3ec7c926, 32'h3eab3eb3,32'h3ed14ca1,// invsqrt(7.2409) = 0.3716 +32'h3f001d32,32'h3fb151ea,32'h3fb88eba, 32'h3fabe44e,32'h3fbdfc56, 32'h3fa2d84a,32'h3fc7085a,// invsqrt(0.5004) = 1.4136 +32'h3f962769,32'h3f67a25a,32'h3f7116b1, 32'h3f608b18,32'h3f782df2, 32'h3f54b9ab,32'h3f81ffb0,// invsqrt(1.1731) = 0.9233 +32'h4000cd81,32'h3f30d864,32'h3f38103e, 32'h3f2b6e80,32'h3f3d7a22, 32'h3f2268af,32'h3f467ff3,// invsqrt(2.0125) = 0.7049 +32'h3edb1613,32'h3fbfc32e,32'h3fc796e6, 32'h3fb9e463,32'h3fcd75b1, 32'h3fb01bbc,32'h3fd73e58,// invsqrt(0.4279) = 1.5287 +32'h3ebb4148,32'h3fcf6bf8,32'h3fd7e351, 32'h3fc91276,32'h3fde3cd4, 32'h3fbe7d48,32'h3fe8d202,// invsqrt(0.3657) = 1.6536 +32'h3f4aab3b,32'h3f8cfb4c,32'h3f92bc69, 32'h3f88aa76,32'h3f970d3e, 32'h3f817911,32'h3f9e3ea3,// invsqrt(0.7917) = 1.1239 +32'h3f5f83a0,32'h3f863f25,32'h3f8bb9e3, 32'h3f822317,32'h3f8fd5f1, 32'h3f769354,32'h3f96af5e,// invsqrt(0.8731) = 1.0702 +32'h3f5d4fba,32'h3f86e9c1,32'h3f8c6b75, 32'h3f82c87a,32'h3f908cbc, 32'h3f77ccb1,32'h3f976ede,// invsqrt(0.8645) = 1.0755 +32'h40fdbdf1,32'h3eb22fc1,32'h3eb9759f, 32'h3eacbb5a,32'h3ebeea06, 32'h3ea3a405,32'h3ec8015b,// invsqrt(7.9294) = 0.3551 +32'h3fd880fa,32'h3f40e716,32'h3f48c6b8, 32'h3f3aff5b,32'h3f4eae73, 32'h3f3127d0,32'h3f5885fe,// invsqrt(1.6914) = 0.7689 +32'h3e65b289,32'h40046d6d,32'h4009d528, 32'h40005fa0,32'h400de2f4, 32'h3ff33bec,32'h4014a49e,// invsqrt(0.2243) = 2.1114 +32'h400bee0f,32'h3f29ab17,32'h3f3097f3, 32'h3f247972,32'h3f35c998, 32'h3f1bd15e,32'h3f3e71ac,// invsqrt(2.1864) = 0.6763 +32'h3e0943b4,32'h402b4ec8,32'h40324cc5, 32'h40261049,32'h40378b43, 32'h401d52cc,32'h404048c0,// invsqrt(0.1340) = 2.7313 +32'h3fe57dc1,32'h3f3b5d63,32'h3f430329, 32'h3f35a10f,32'h3f48bf7d, 32'h3f2c11d9,32'h3f524eb3,// invsqrt(1.7929) = 0.7468 +32'h3f638b4c,32'h3f850d74,32'h3f8a7bb8, 32'h3f80fac2,32'h3f8e8e6a, 32'h3f7461db,32'h3f95583f,// invsqrt(0.8888) = 1.0607 +32'h3f9e5530,32'h3f61927e,32'h3f6ac77e, 32'h3f5aaabe,32'h3f71af3e, 32'h3f4f287e,32'h3f7d317e,// invsqrt(1.2370) = 0.8991 +32'h3e2915d7,32'h401a5947,32'h4020a611, 32'h40159fb0,32'h40255fa8, 32'h400dbfb4,32'h402d3fa4,// invsqrt(0.1651) = 2.4609 +32'h3dd40278,32'h4042efc0,32'h404ae4a4, 32'h403cf816,32'h4050dc4e, 32'h403305fa,32'h405ace6a,// invsqrt(0.1035) = 3.1080 +32'h3f731354,32'h3f80bb4f,32'h3f85fc6d, 32'h3f7994f3,32'h3f89ed43, 32'h3f6c7229,32'h3f907ea7,// invsqrt(0.9495) = 1.0262 +32'h4111453f,32'h3ea68536,32'h3ead512e, 32'h3ea16c3c,32'h3eb26a28, 32'h3e98ed47,32'h3ebae91d,// invsqrt(9.0794) = 0.3319 +32'h3e76b23f,32'h3fff9125,32'h4004ffc8, 32'h3ff7be56,32'h4008e92f, 32'h3feab451,32'h400f6e32,// invsqrt(0.2409) = 2.0374 +32'h4047b1d7,32'h3f0e0711,32'h3f13d31d, 32'h3f09ae09,32'h3f182c25, 32'h3f026efb,32'h3f1f6b33,// invsqrt(3.1202) = 0.5661 +32'h3f813d09,32'h3f79acd2,32'h3f81eed6, 32'h3f72082f,32'h3f85c127, 32'h3f654b1f,32'h3f8c1faf,// invsqrt(1.0097) = 0.9952 +32'h3d302967,32'h40973785,32'h409d6395, 32'h40929679,32'h40a204a1, 32'h408adf65,32'h40a9bbb5,// invsqrt(0.0430) = 4.8220 +32'h3e3be249,32'h40126c74,32'h4018666e, 32'h400df0f8,32'h401ce1ea, 32'h4006787f,32'h40245a63,// invsqrt(0.1835) = 2.3346 +32'h3f60fded,32'h3f85ce19,32'h3f8b443a, 32'h3f81b582,32'h3f8f5cd2, 32'h3f75c3b2,32'h3f96307b,// invsqrt(0.8789) = 1.0667 +32'h3f026a77,32'h3fafbf88,32'h3fb6ebeb, 32'h3faa5e3d,32'h3fbc4d35, 32'h3fa166c0,32'h3fc544b2,// invsqrt(0.5094) = 1.4011 +32'h3f61ba12,32'h3f85964a,32'h3f8b0a24, 32'h3f817f67,32'h3f8f2107, 32'h3f755d30,32'h3f95f1d6,// invsqrt(0.8817) = 1.0649 +32'h3ebc079d,32'h3fcefe77,32'h3fd77157, 32'h3fc8a84f,32'h3fddc77f, 32'h3fbe18b6,32'h3fe85718,// invsqrt(0.3672) = 1.6501 +32'h3e409840,32'h40109f30,32'h40168656, 32'h400c31d3,32'h401af3b3, 32'h4004d0e3,32'h402254a3,// invsqrt(0.1881) = 2.3058 +32'h40037201,32'h3f2f0f00,32'h3f363430, 32'h3f29b31d,32'h3f3b9013, 32'h3f20c4a2,32'h3f447e8e,// invsqrt(2.0538) = 0.6978 +32'h3facbb12,32'h3f57f767,32'h3f60c807, 32'h3f515aee,32'h3f676480, 32'h3f465625,32'h3f726949,// invsqrt(1.3495) = 0.8608 +32'h4035b1c2,32'h3f14e593,32'h3f1af965, 32'h3f1056b6,32'h3f1f8842, 32'h3f08bdf0,32'h3f272108,// invsqrt(2.8390) = 0.5935 +32'h3f8ef426,32'h3f6d655d,32'h3f7715e9, 32'h3f6620f4,32'h3f7e5a52, 32'h3f5a0446,32'h3f853b80,// invsqrt(1.1168) = 0.9463 +32'h400e8a7c,32'h3f281b6e,32'h3f2ef7fb, 32'h3f22f606,32'h3f341d64, 32'h3f1a6256,32'h3f3cb114,// invsqrt(2.2272) = 0.6701 +32'h3e431062,32'h400fb41b,32'h401591a9, 32'h400b4df0,32'h4019f7d4, 32'h4003f8ff,32'h40214cc5,// invsqrt(0.1905) = 2.2912 +32'h3fefd781,32'h3f3746fa,32'h3f3ec20a, 32'h3f31aaaf,32'h3f445e55, 32'h3f2850db,32'h3f4db829,// invsqrt(1.8738) = 0.7305 +32'h3e2fd59f,32'h40175b87,32'h401d890f, 32'h4012b961,32'h40222b35, 32'h400b0077,32'h4029e41f,// invsqrt(0.1717) = 2.4132 +32'h40aa3427,32'h3ed99051,32'h3ee271a3, 32'h3ed2e754,32'h3ee91aa0, 32'h3ec7cdae,32'h3ef43446,// invsqrt(5.3189) = 0.4336 +32'h3f4e0061,32'h3f8bd62b,32'h3f918b52, 32'h3f878e4f,32'h3f95d32f, 32'h3f806bdf,32'h3f9cf59f,// invsqrt(0.8047) = 1.1148 +32'h3f4d02bd,32'h3f8c2c92,32'h3f91e53f, 32'h3f87e210,32'h3f962fc0, 32'h3f80bb37,32'h3f9d5699,// invsqrt(0.8008) = 1.1175 +32'h411b7f88,32'h3ea0f36e,32'h3ea78534, 32'h3e9c061a,32'h3eac7288, 32'h3e93cfe2,32'h3eb4a8c0,// invsqrt(9.7186) = 0.3208 +32'h3dadc04b,32'h405754d1,32'h40601ecf, 32'h4050bd52,32'h4066b64e, 32'h4045c0d5,32'h4071b2cb,// invsqrt(0.0848) = 3.4332 +32'h3f8178ba,32'h3f79733e,32'h3f81d0df, 32'h3f71d05e,32'h3f85a24f, 32'h3f65163e,32'h3f8bff5f,// invsqrt(1.0115) = 0.9943 +32'h3eb3012c,32'h3fd425e4,32'h3fdcce9e, 32'h3fcda757,32'h3fe34d2b, 32'h3fc2d46e,32'h3fee2014,// invsqrt(0.3496) = 1.6912 +32'h3f57a1d2,32'h3f88ada0,32'h3f8e41c6, 32'h3f847e84,32'h3f9270e2, 32'h3f7b0aa9,32'h3f996a12,// invsqrt(0.8423) = 1.0896 +32'h3f7c8c67,32'h3f7c96ac,32'h3f8372fc, 32'h3f74db34,32'h3f8750b8, 32'h3f67f817,32'h3f8dc247,// invsqrt(0.9865) = 1.0068 +32'h3cb89868,32'h40d0e923,32'h40d9700b, 32'h40ca83f6,32'h40dfd538, 32'h40bfdb55,32'h40ea7dd9,// invsqrt(0.0225) = 6.6617 +32'h3eb378a7,32'h3fd3df3a,32'h3fdc8512, 32'h3fcd62d7,32'h3fe30175, 32'h3fc29389,32'h3fedd0c3,// invsqrt(0.3505) = 1.6890 +32'h3fa26b5c,32'h3f5eb763,32'h3f67ce8b, 32'h3f57e604,32'h3f6e9fea, 32'h3f4c8912,32'h3f79fcdd,// invsqrt(1.2689) = 0.8877 +32'h3ea174fe,32'h3fdf610e,32'h3fe87f24, 32'h3fd88a7e,32'h3fef55b4, 32'h3fcd24e3,32'h3ffabb4f,// invsqrt(0.3153) = 1.7808 +32'h3deb98b3,32'h4038ebd2,32'h4040780e, 32'h403342a4,32'h4046213c, 32'h4029d358,32'h404f9088,// invsqrt(0.1150) = 2.9484 +32'h3f18343c,32'h3fa2aeec,32'h3fa952cc, 32'h3f9db404,32'h3fae4db4, 32'h3f95672c,32'h3fb69a8c,// invsqrt(0.5945) = 1.2969 +32'h3f711f2d,32'h3f81408e,32'h3f86871b, 32'h3f7a9746,32'h3f8a7c05, 32'h3f6d66e4,32'h3f911436,// invsqrt(0.9419) = 1.0304 +32'h3f72a762,32'h3f80d7ee,32'h3f861a36, 32'h3f79cc6f,32'h3f8a0bec, 32'h3f6ca6ba,32'h3f909ec7,// invsqrt(0.9479) = 1.0271 +32'h401056d1,32'h3f270e86,32'h3f2de019, 32'h3f21f159,32'h3f32fd47, 32'h3f196b62,32'h3f3b833e,// invsqrt(2.2553) = 0.6659 +32'h3f042b39,32'h3fae942c,32'h3fb5b458, 32'h3fa93c0c,32'h3fbb0c78, 32'h3fa053d5,32'h3fc3f4af,// invsqrt(0.5163) = 1.3917 +32'h3bfdcc3c,32'h41322abc,32'h41397066, 32'h412cb67d,32'h413ee4a5, 32'h41239f69,32'h4147fbb9,// invsqrt(0.0077) = 11.3627 +32'h3f983c0e,32'h3f660bbb,32'h3f6f6f79, 32'h3f5f00ec,32'h3f767a48, 32'h3f53443e,32'h3f811b7b,// invsqrt(1.1893) = 0.9170 +32'h41d9aaab,32'h3e4062ff,32'h3e483d3d, 32'h3e3a7f50,32'h3e4e20ec, 32'h3e30ae81,32'h3e57f1bb,// invsqrt(27.2083) = 0.1917 +32'h4057a8a1,32'h3f08ab77,32'h3f0e3f87, 32'h3f047c6c,32'h3f126e92, 32'h3efb06b1,32'h3f1967a5,// invsqrt(3.3697) = 0.5448 +32'h3f64dffa,32'h3f84aa49,32'h3f8a1481, 32'h3f809aa0,32'h3f8e242a, 32'h3f73abb6,32'h3f94e8ef,// invsqrt(0.8940) = 1.0576 +32'h3f637ae6,32'h3f851240,32'h3f8a80b5, 32'h3f80ff67,32'h3f8e938d, 32'h3f746aa8,32'h3f955da0,// invsqrt(0.8886) = 1.0608 +32'h3f5df09e,32'h3f86b8d1,32'h3f8c3885, 32'h3f829909,32'h3f90584d, 32'h3f7772cd,32'h3f9737ef,// invsqrt(0.8670) = 1.0740 +32'h3f84e716,32'h3f76358a,32'h3f802116, 32'h3f6eac10,32'h3f83e5d3, 32'h3f621c45,32'h3f8a2db8,// invsqrt(1.0383) = 0.9814 +32'h3f32c5f1,32'h3f961bbc,32'h3f9c3c36, 32'h3f918360,32'h3fa0d492, 32'h3f89dac6,32'h3fa87d2c,// invsqrt(0.6983) = 1.1967 +32'h3f62ed8c,32'h3f853bab,32'h3f8aabd1, 32'h3f81278e,32'h3f8ebfee, 32'h3f74b6bc,32'h3f958c1e,// invsqrt(0.8864) = 1.0621 +32'h4090299d,32'h3eec6607,32'h3ef60c27, 32'h3ee5296f,32'h3efd48bf, 32'h3ed919c8,32'h3f04ac33,// invsqrt(4.5051) = 0.4711 +32'h4021f417,32'h3f1db5ea,32'h3f2425d4, 32'h3f18e1fa,32'h3f28f9c4, 32'h3f10d616,32'h3f3105a9,// invsqrt(2.5305) = 0.6286 +32'h3ea81f91,32'h3fdae7dc,32'h3fe3d733, 32'h3fd4345a,32'h3fea8ab4, 32'h3fc9092d,32'h3ff5b5e1,// invsqrt(0.3284) = 1.7451 +32'h3d40a91e,32'h409098db,32'h40967fbf, 32'h408c2bb0,32'h409aecea, 32'h4084cb12,32'h40a24d88,// invsqrt(0.0470) = 4.6109 +32'h3e89aaf9,32'h3ff1e917,32'h3ffbc8cf, 32'h3fea814d,32'h4001984c, 32'h3fde29a8,32'h4007c41f,// invsqrt(0.2689) = 1.9285 +32'h3fbb30e0,32'h3f4f750f,32'h3f57ecc7, 32'h3f491b46,32'h3f5e4690, 32'h3f3e85a0,32'h3f68dc36,// invsqrt(1.4624) = 0.8269 +32'h3f70f0f1,32'h3f814cf4,32'h3f869403, 32'h3f7aaf50,32'h3f8a894e, 32'h3f6d7daa,32'h3f912221,// invsqrt(0.9412) = 1.0308 +32'h3ece658e,32'h3fc591c3,32'h3fcda229, 32'h3fbf8576,32'h3fd3ae76, 32'h3fb570f7,32'h3fddc2f5,// invsqrt(0.4031) = 1.5750 +32'h3e029086,32'h402fa5e8,32'h4036d140, 32'h402a4566,32'h403c31c2, 32'h40214f38,32'h404527f0,// invsqrt(0.1275) = 2.8005 +32'h3ed76859,32'h3fc16495,32'h3fc94957, 32'h3fbb7903,32'h3fcf34e9, 32'h3fb19b11,32'h3fd912db,// invsqrt(0.4207) = 1.5417 +32'h40850425,32'h3ef61aa4,32'h3f001316, 32'h3eee91fc,32'h3f03d76a, 32'h3ee20391,32'h3f0a1ea0,// invsqrt(4.1568) = 0.4905 +32'h3b094301,32'h41ab4f37,32'h41b24d39, 32'h41a610b5,32'h41b78bbb, 32'h419d5332,32'h41c0493e,// invsqrt(0.0021) = 21.8507 +32'h404fef48,32'h3f0b2f5f,32'h3f10ddb6, 32'h3f06ec9d,32'h3f152077, 32'h3effa55f,32'h3f1c3a65,// invsqrt(3.2490) = 0.5548 +32'h3fc183bb,32'h3f4c0a1b,32'h3f545e1c, 32'h3f45cb1a,32'h3f5a9d1c, 32'h3f3b6218,32'h3f65061e,// invsqrt(1.5118) = 0.8133 +32'h3f45954e,32'h3f8ec8d4,32'h3f949cc7, 32'h3f8a69dc,32'h3f98fbbe, 32'h3f8320ec,32'h3fa044ae,// invsqrt(0.7718) = 1.1383 +32'h3f3e4e3a,32'h3f917d32,32'h3f976d68, 32'h3f8d0909,32'h3f9be191, 32'h3f859cc5,32'h3fa34dd5,// invsqrt(0.7434) = 1.1598 +32'h3f877f38,32'h3f73d73b,32'h3f7dcb1e, 32'h3f6c6050,32'h3f82a104, 32'h3f5fef74,32'h3f88d972,// invsqrt(1.0586) = 0.9719 +32'h3fdfc521,32'h3f3dbeb0,32'h3f457d54, 32'h3f37efb5,32'h3f4b4c4f, 32'h3f2e4168,32'h3f54fa9c,// invsqrt(1.7482) = 0.7563 +32'h41ebebe2,32'h3e38cb35,32'h3e40561d, 32'h3e332307,32'h3e45fe4b, 32'h3e29b565,32'h3e4f6bed,// invsqrt(29.4902) = 0.1841 +32'h40768b54,32'h3effa550,32'h3f050a46, 32'h3ef7d1e2,32'h3f08f3fd, 32'h3eeac6d6,32'h3f0f7983,// invsqrt(3.8523) = 0.5095 +32'h3e971c60,32'h3fe6e64d,32'h3ff052f8, 32'h3fdfd4ce,32'h3ff76478, 32'h3fd40cf9,32'h40019626,// invsqrt(0.2951) = 1.8407 +32'h3f4bf36d,32'h3f8c89af,32'h3f924629, 32'h3f883c53,32'h3f969385, 32'h3f8110bb,32'h3f9dbf1d,// invsqrt(0.7967) = 1.1204 +32'h401e7edb,32'h3f1f6bf7,32'h3f25edc2, 32'h3f1a8a9e,32'h3f2acf1a, 32'h3f126860,32'h3f32f158,// invsqrt(2.4765) = 0.6355 +32'h3ef401e6,32'h3fb5b4c3,32'h3fbd1f67, 32'h3fb024c7,32'h3fc2af63, 32'h3fa6df79,32'h3fcbf4b1,// invsqrt(0.4766) = 1.4485 +32'h3fa7e7d7,32'h3f5b0c2c,32'h3f63fcff, 32'h3f54578e,32'h3f6ab19e, 32'h3f492a87,32'h3f75dea5,// invsqrt(1.3118) = 0.8731 +32'h40930872,32'h3eea1463,32'h3ef3a247, 32'h3ee2e9f7,32'h3efaccb3, 32'h3ed6f899,32'h3f035f08,// invsqrt(4.5948) = 0.4665 +32'h3f156db2,32'h3fa42fed,32'h3faae383, 32'h3f9f293c,32'h3fafea34, 32'h3f96c8bf,32'h3fb84ab1,// invsqrt(0.5837) = 1.3089 +32'h4049bfa7,32'h3f0d4d83,32'h3f1311fb, 32'h3f08fa29,32'h3f176555, 32'h3f01c492,32'h3f1e9aec,// invsqrt(3.1523) = 0.5632 +32'h3f0052d2,32'h3fb12cd9,32'h3fb86825, 32'h3fabc05f,32'h3fbdd49f, 32'h3fa2b63f,32'h3fc6debf,// invsqrt(0.5013) = 1.4124 +32'h3fa0ff94,32'h3f5fb274,32'h3f68d3dc, 32'h3f58d966,32'h3f6facea, 32'h3f4d6fa4,32'h3f7b16ac,// invsqrt(1.2578) = 0.8916 +32'h3e9077a1,32'h3fec262a,32'h3ff5c9ae, 32'h3fe4eb87,32'h3ffd0451, 32'h3fd8df21,32'h4004885b,// invsqrt(0.2822) = 1.8826 +32'h3f91f0d1,32'h3f6af439,32'h3f748b41, 32'h3f63c2f4,32'h3f7bbc86, 32'h3f57c62a,32'h3f83dca8,// invsqrt(1.1402) = 0.9365 +32'h3fa3085a,32'h3f5e4c0e,32'h3f675ed5, 32'h3f577df8,32'h3f6e2cea, 32'h3f4c267f,32'h3f798463,// invsqrt(1.2737) = 0.8861 +32'h4037c49b,32'h3f140de8,32'h3f1a18ec, 32'h3f0f85a5,32'h3f1ea12f, 32'h3f07f7df,32'h3f262ef5,// invsqrt(2.8714) = 0.5901 +32'h3f579e2b,32'h3f88aec8,32'h3f8e42fa, 32'h3f847fa3,32'h3f92721f, 32'h3f7b0cc8,32'h3f996b5e,// invsqrt(0.8423) = 1.0896 +32'h40175034,32'h3f232953,32'h3f29d231, 32'h3f1e2aac,32'h3f2ed0d8, 32'h3f15d795,32'h3f3723ef,// invsqrt(2.3643) = 0.6504 +32'h404e3848,32'h3f0bc336,32'h3f117796, 32'h3f077bee,32'h3f15bede, 32'h3f005a75,32'h3f1ce057,// invsqrt(3.2222) = 0.5571 +32'h3f4a4574,32'h3f8d1ebf,32'h3f92e14f, 32'h3f88ccd3,32'h3f97333b, 32'h3f8199a0,32'h3f9e666e,// invsqrt(0.7901) = 1.1250 +32'h3f0bdca4,32'h3fa9b5a7,32'h3fb0a2f1, 32'h3fa483af,32'h3fb5d4e9, 32'h3f9bdb11,32'h3fbe7d87,// invsqrt(0.5463) = 1.3529 +32'h42164f53,32'h3e23b483,32'h3e2a6311, 32'h3e1eb19a,32'h3e2f65fa, 32'h3e165769,32'h3e37c02b,// invsqrt(37.5775) = 0.1631 +32'h3ffd63a1,32'h3f324f7f,32'h3f3996a9, 32'h3f2cda20,32'h3f3f0c08, 32'h3f23c12c,32'h3f4824fc,// invsqrt(1.9796) = 0.7107 +32'h3ed80857,32'h3fc11cea,32'h3fc8fec0, 32'h3fbb338a,32'h3fcee820, 32'h3fb15940,32'h3fd8c26a,// invsqrt(0.4219) = 1.5395 +32'h3fe96f47,32'h3f39c684,32'h3f415bae, 32'h3f3416a5,32'h3f470b8d, 32'h3f2a9c30,32'h3f508602,// invsqrt(1.8237) = 0.7405 +32'h4029a924,32'h3f1a1638,32'h3f206044, 32'h3f155eae,32'h3f2517ce, 32'h3f0d821e,32'h3f2cf45e,// invsqrt(2.6509) = 0.6142 +32'h3edada5f,32'h3fbfdd54,32'h3fc7b21e, 32'h3fb9fdbd,32'h3fcd91b5, 32'h3fb033c0,32'h3fd75bb2,// invsqrt(0.4274) = 1.5295 +32'h3f823821,32'h3f78bba7,32'h3f817154, 32'h3f711e65,32'h3f853ff4, 32'h3f646da3,32'h3f8b9855,// invsqrt(1.0173) = 0.9914 +32'h4069a89a,32'h3f034cd5,32'h3f08a8c9, 32'h3efe8fbc,32'h3f0cadc0, 32'h3ef129db,32'h3f1360b0,// invsqrt(3.6509) = 0.5234 +32'h40882bd6,32'h3ef33c7c,32'h3efd2a0e, 32'h3eebca4e,32'h3f024e1e, 32'h3edf6158,32'h3f088299,// invsqrt(4.2554) = 0.4848 +32'h3f52dbde,32'h3f8a3771,32'h3f8fdba9, 32'h3f85fc46,32'h3f9416d4, 32'h3f7dddfe,32'h3f9b241b,// invsqrt(0.8237) = 1.1019 +32'h420a61cc,32'h3e2a9d57,32'h3e319416, 32'h3e256446,32'h3e36cd26, 32'h3e1cafd7,32'h3e3f8195,// invsqrt(34.5955) = 0.1700 +32'h3d270fd9,32'h409b47d8,32'h40a19e5e, 32'h409686f3,32'h40a65f43, 32'h408e9acc,32'h40ae4b6a,// invsqrt(0.0408) = 4.9516 +32'h3ff393b4,32'h3f35ddd9,32'h3f3d4a2b, 32'h3f304c9c,32'h3f42db68, 32'h3f270535,32'h3f4c22cf,// invsqrt(1.9029) = 0.7249 +32'h3ecdeca9,32'h3fc5cbba,32'h3fcdde7d, 32'h3fbfbda6,32'h3fd3ec90, 32'h3fb5a632,32'h3fde0404,// invsqrt(0.4022) = 1.5768 +32'h3f0c9e70,32'h3fa9408d,32'h3fb02910, 32'h3fa4122a,32'h3fb55772, 32'h3f9b6f86,32'h3fbdfa16,// invsqrt(0.5493) = 1.3493 +32'h3ee2213f,32'h3fbcc091,32'h3fc474d6, 32'h3fb6f95e,32'h3fca3c0a, 32'h3fad5808,32'h3fd3dd60,// invsqrt(0.4417) = 1.5047 +32'h40513efa,32'h3f0abf8c,32'h3f106952, 32'h3f068036,32'h3f14a8a8, 32'h3efed7fb,32'h3f1bbce0,// invsqrt(3.2695) = 0.5530 +32'h3fbf73c6,32'h3f4d22af,32'h3f558224, 32'h3f46db18,32'h3f5bc9bc, 32'h3f3c63c6,32'h3f66410e,// invsqrt(1.4957) = 0.8177 +32'h3fc484a5,32'h3f4a7965,32'h3f52bd0b, 32'h3f4446a8,32'h3f58efc8, 32'h3f39f219,32'h3f634457,// invsqrt(1.5353) = 0.8071 +32'h3ee60d44,32'h3fbb22e9,32'h3fc2c64b, 32'h3fb5685f,32'h3fc880d5, 32'h3fabdc24,32'h3fd20d10,// invsqrt(0.4493) = 1.4918 +32'h3f753f70,32'h3f802908,32'h3f85642d, 32'h3f78795a,32'h3f895089, 32'h3f6b657d,32'h3f8fda77,// invsqrt(0.9580) = 1.0217 +32'h3f768b19,32'h3f7fa56f,32'h3f850a56, 32'h3f77d200,32'h3f88f40e, 32'h3f6ac6f3,32'h3f8f7994,// invsqrt(0.9631) = 1.0190 +32'h3fb535d1,32'h3f52da5b,32'h3f5b758d, 32'h3f4c65f5,32'h3f61e9f3, 32'h3f41a3f5,32'h3f6cabf3,// invsqrt(1.4157) = 0.8405 +32'h3f8d3764,32'h3f6eda0f,32'h3f7899d1, 32'h3f678a3e,32'h3f7fe9a2, 32'h3f5b5a8b,32'h3f860caa,// invsqrt(1.1033) = 0.9521 +32'h3f16621c,32'h3fa3aa4a,32'h3faa586c, 32'h3f9ea7b0,32'h3faf5b06, 32'h3f964e05,32'h3fb7b4b1,// invsqrt(0.5874) = 1.3047 +32'h3fac163c,32'h3f585ebd,32'h3f613395, 32'h3f51bf1a,32'h3f67d338, 32'h3f46b50b,32'h3f72dd47,// invsqrt(1.3444) = 0.8624 +32'h403d0f14,32'h3f11f7cb,32'h3f17ed02, 32'h3f0d7fe1,32'h3f1c64eb, 32'h3f060d5c,32'h3f23d770,// invsqrt(2.9540) = 0.5818 +32'h3f98fa4f,32'h3f657c82,32'h3f6eda68, 32'h3f5e7616,32'h3f75e0d4, 32'h3f52c0b6,32'h3f80cb1a,// invsqrt(1.1951) = 0.9147 +32'h3f2061c9,32'h3f9e7b3c,32'h3fa4f334, 32'h3f99a142,32'h3fa9cd2e, 32'h3f918b4c,32'h3fb1e324,// invsqrt(0.6265) = 1.2634 +32'h3f2c41be,32'h3f98ebd7,32'h3f9f29b5, 32'h3f943d6f,32'h3fa3d81d, 32'h3f8c7019,32'h3faba573,// invsqrt(0.6729) = 1.2191 +32'h3f8b1f20,32'h3f70a4af,32'h3f7a7729, 32'h3f6946d3,32'h3f80ea82, 32'h3f5cffbb,32'h3f870e0f,// invsqrt(1.0869) = 0.9592 +32'h3fc6b9ef,32'h3f49589e,32'h3f51907a, 32'h3f432eb8,32'h3f57ba60, 32'h3f38e8e4,32'h3f620034,// invsqrt(1.5525) = 0.8026 +32'h3f8d6225,32'h3f6eb5ef,32'h3f787437, 32'h3f676738,32'h3f7fc2ee, 32'h3f5b395e,32'h3f85f864,// invsqrt(1.1046) = 0.9515 +32'h3f3d5a93,32'h3f91daae,32'h3f97ceb6, 32'h3f8d63a9,32'h3f9c45bb, 32'h3f85f2a0,32'h3fa3b6c4,// invsqrt(0.7397) = 1.1627 +32'h3f9359f7,32'h3f69d39a,32'h3f735eda, 32'h3f62ab2a,32'h3f7a874a, 32'h3f56bd1b,32'h3f833aad,// invsqrt(1.1512) = 0.9320 +32'h3e8ba0e5,32'h3ff034c2,32'h3ffa02aa, 32'h3fe8da53,32'h4000ae8c, 32'h3fdc98f1,32'h4006cf3e,// invsqrt(0.2727) = 1.9149 +32'h41f37204,32'h3e35ea6e,32'h3e3d5743, 32'h3e3058cd,32'h3e42e8e3, 32'h3e2710c2,32'h3e4c30ee,// invsqrt(30.4307) = 0.1813 +32'h3f7005af,32'h3f818c42,32'h3f86d5e6, 32'h3f7b2a0c,32'h3f8acd22, 32'h3f6df1f1,32'h3f916930,// invsqrt(0.9376) = 1.0327 +32'h3f87113c,32'h3f743a6e,32'h3f7e325e, 32'h3f6cc07a,32'h3f82d629, 32'h3f604a8f,32'h3f89111e,// invsqrt(1.0552) = 0.9735 +32'h3f276abf,32'h3f9b1dab,32'h3fa17279, 32'h3f965e11,32'h3fa63213, 32'h3f8e7410,32'h3fae1c14,// invsqrt(0.6540) = 1.2366 +32'h3d9598fd,32'h40681083,32'h40718959, 32'h4060f5e2,32'h4078a3fa, 32'h40551ed6,32'h40823d83,// invsqrt(0.0730) = 3.7000 +32'h408095cd,32'h3efa4efa,32'h3f024339, 32'h3ef2a560,32'h3f061806, 32'h3ee5e00a,32'h3f0c7ab1,// invsqrt(4.0183) = 0.4989 +32'h3e8ad4a1,32'h3ff0e537,32'h3ffaba53, 32'h3fe98562,32'h40010d14, 32'h3fdd3afe,32'h40073246,// invsqrt(0.2712) = 1.9204 +32'h3eb742f7,32'h3fd1ab66,32'h3fda3a3c, 32'h3fcb4047,32'h3fe0a55b, 32'h3fc08dbc,32'h3feb57e6,// invsqrt(0.3579) = 1.6715 +32'h3d17ed8e,32'h40a2d4bf,32'h40a97a2a, 32'h409dd8ae,32'h40ae763a, 32'h409589e8,32'h40b6c500,// invsqrt(0.0371) = 5.1923 +32'h3f6f65f6,32'h3f81b772,32'h3f8702da, 32'h3f7b7dc8,32'h3f8afb68, 32'h3f6e4144,32'h3f9199aa,// invsqrt(0.9351) = 1.0341 +32'h3eeb24a2,32'h3fb9196f,32'h3fc0a789, 32'h3fb36edc,32'h3fc6521c, 32'h3fa9fd3c,32'h3fcfc3bc,// invsqrt(0.4593) = 1.4756 +32'h3e24d66b,32'h401c5327,32'h4022b497, 32'h40178a14,32'h40277daa, 32'h400f9049,32'h402f7775,// invsqrt(0.1610) = 2.4924 +32'h401c958b,32'h3f20644c,32'h3f26f03a, 32'h3f1b7b59,32'h3f2bd92d, 32'h3f134c70,32'h3f340817,// invsqrt(2.4466) = 0.6393 +32'h3f6b681a,32'h3f82cfcd,32'h3f8826a7, 32'h3f7d9d55,32'h3f8c27ca, 32'h3f704435,32'h3f92d459,// invsqrt(0.9196) = 1.0428 +32'h3f662991,32'h3f844b2a,32'h3f89b180, 32'h3f803e6a,32'h3f8dbe40, 32'h3f72fcff,32'h3f947e2a,// invsqrt(0.8991) = 1.0546 +32'h410c7611,32'h3ea958de,32'h3eb0425f, 32'h3ea429bc,32'h3eb57180, 32'h3e9b85db,32'h3ebe1561,// invsqrt(8.7788) = 0.3375 +32'h3eaa5f5e,32'h3fd974b7,32'h3fe254e9, 32'h3fd2cc92,32'h3fe8fd0e, 32'h3fc7b455,32'h3ff4154b,// invsqrt(0.3328) = 1.7335 +32'h3e9d77aa,32'h3fe230f0,32'h3feb6c68, 32'h3fdb4457,32'h3ff25901, 32'h3fcfba01,32'h3ffde357,// invsqrt(0.3076) = 1.8032 +32'h3ffd4ae3,32'h3f325834,32'h3f399fb9, 32'h3f2ce291,32'h3f3f155d, 32'h3f23c92b,32'h3f482ec3,// invsqrt(1.9788) = 0.7109 +32'h3f7f4dec,32'h3f7b38b7,32'h3f82bcdd, 32'h3f7387f5,32'h3f86953d, 32'h3f66b6b2,32'h3f8cfddf,// invsqrt(0.9973) = 1.0014 +32'h3ee086c5,32'h3fbd6ccc,32'h3fc52818, 32'h3fb7a053,32'h3fcaf491, 32'h3fadf633,32'h3fd49eb1,// invsqrt(0.4385) = 1.5101 +32'h3f03b006,32'h3faee5c3,32'h3fb60943, 32'h3fa98b23,32'h3fbb63e3, 32'h3fa09ec3,32'h3fc45043,// invsqrt(0.5144) = 1.3943 +32'h3f4e4ba1,32'h3f8bbca8,32'h3f9170c4, 32'h3f877593,32'h3f95b7d9, 32'h3f805471,32'h3f9cd8fb,// invsqrt(0.8058) = 1.1140 +32'h3e0669a2,32'h402d1d92,32'h40342e74, 32'h4027d0e9,32'h40397b1d, 32'h401efbd0,32'h40425037,// invsqrt(0.1313) = 2.7601 +32'h404087db,32'h3f10a558,32'h3f168cbe, 32'h3f0c37ca,32'h3f1afa4c, 32'h3f04d68a,32'h3f225b8c,// invsqrt(3.0083) = 0.5766 +32'h3f947945,32'h3f68f0f0,32'h3f7272f0, 32'h3f61cf71,32'h3f79946f, 32'h3f55ecf2,32'h3f82bb77,// invsqrt(1.1600) = 0.9285 +32'h40d7bd0d,32'h3ec13e9a,32'h3ec921cf, 32'h3ebb5431,32'h3ecf0c37, 32'h3eb1782f,32'h3ed8e839,// invsqrt(6.7418) = 0.3851 +32'h3fe701ac,32'h3f3abfd0,32'h3f425f26, 32'h3f35084e,32'h3f4816a8, 32'h3f2b8122,32'h3f519dd4,// invsqrt(1.8047) = 0.7444 +32'h429a054b,32'h3de4b545,32'h3dee0b09, 32'h3dddb4f2,32'h3df50b5c, 32'h3dd209bd,32'h3e005b49,// invsqrt(77.0103) = 0.1140 +32'h3fedb6db,32'h3f381879,32'h3f3f9c15, 32'h3f3275c3,32'h3f453ecb, 32'h3f291140,32'h3f4ea34e,// invsqrt(1.8571) = 0.7338 +32'h3f92af5f,32'h3f6a5b6b,32'h3f73ec35, 32'h3f632ed2,32'h3f7b18ce, 32'h3f5739d5,32'h3f8386e6,// invsqrt(1.1460) = 0.9341 +32'h3fe92b6a,32'h3f39e18b,32'h3f4177cf, 32'h3f3430d8,32'h3f472882, 32'h3f2ab502,32'h3f50a458,// invsqrt(1.8216) = 0.7409 +32'h4136c34d,32'h3e9475fc,32'h3e9a8540, 32'h3e8fea89,32'h3e9f10b3, 32'h3e885775,32'h3ea6a3c7,// invsqrt(11.4227) = 0.2959 +32'h3f1005cd,32'h3fa73d7c,32'h3fae10fa, 32'h3fa21ede,32'h3fb32f98, 32'h3f999682,32'h3fbbb7f4,// invsqrt(0.5626) = 1.3332 +32'h3ebc046e,32'h3fcf0037,32'h3fd7732a, 32'h3fc8aa02,32'h3fddc960, 32'h3fbe1a52,32'h3fe85910,// invsqrt(0.3672) = 1.6502 +32'h3faf99ae,32'h3f5631cd,32'h3f5eefeb, 32'h3f4fa337,32'h3f657e81, 32'h3f44b593,32'h3f706c25,// invsqrt(1.3719) = 0.8538 +32'h3fd8e5f6,32'h3f40ba28,32'h3f4897f6, 32'h3f3ad3ce,32'h3f4e7e50, 32'h3f30fe8e,32'h3f585391,// invsqrt(1.6945) = 0.7682 +32'h3f6a746e,32'h3f8313b6,32'h3f886d54, 32'h3f7e20fd,32'h3f8c708c, 32'h3f70c0ef,32'h3f932092,// invsqrt(0.9158) = 1.0449 +32'h407a1ad2,32'h3efdd1cd,32'h3f0416fa, 32'h3ef60cae,32'h3f07f989, 32'h3ee9197d,32'h3f0e7322,// invsqrt(3.9079) = 0.5059 +32'h3f6d7f74,32'h3f823c0b,32'h3f878cdc, 32'h3f7c7eda,32'h3f8b8979, 32'h3f6f34cf,32'h3f922e7e,// invsqrt(0.9277) = 1.0382 +32'h3e9932db,32'h3fe55223,32'h3feeae4f, 32'h3fde4d03,32'h3ff5b36f, 32'h3fd299cd,32'h4000b353,// invsqrt(0.2992) = 1.8281 +32'h41380bf0,32'h3e93f134,32'h3e99fb0d, 32'h3e8f69d3,32'h3e9e826f, 32'h3e87dd84,32'h3ea60ebe,// invsqrt(11.5029) = 0.2948 +32'h3f066b60,32'h3fad1c73,32'h3fb42d49, 32'h3fa7cfd3,32'h3fb979e9, 32'h3f9efac8,32'h3fc24ef4,// invsqrt(0.5251) = 1.3800 +32'h402b7a2d,32'h3f1944b9,32'h3f1f8639, 32'h3f149399,32'h3f243759, 32'h3f0cc1ba,32'h3f2c0938,// invsqrt(2.6793) = 0.6109 +32'h3f005054,32'h3fb12e92,32'h3fb869f0, 32'h3fabc20b,32'h3fbdd677, 32'h3fa2b7d4,32'h3fc6e0ae,// invsqrt(0.5012) = 1.4125 +32'h3fc7734c,32'h3f48faf8,32'h3f512f02, 32'h3f42d3f0,32'h3f57560a, 32'h3f3892e4,32'h3f619716,// invsqrt(1.5582) = 0.8011 +32'h400ec23b,32'h3f27fa99,32'h3f2ed5ce, 32'h3f22d630,32'h3f33fa36, 32'h3f1a442e,32'h3f3c8c38,// invsqrt(2.2306) = 0.6696 +32'h3e80a52e,32'h3ffa4003,32'h40023b6f, 32'h3ff296df,32'h40061002, 32'h3fe5d24c,32'h400c724b,// invsqrt(0.2513) = 1.9950 +32'h3ffeece2,32'h3f31c5c1,32'h3f39074c, 32'h3f2c549a,32'h3f3e7874, 32'h3f2342ad,32'h3f478a61,// invsqrt(1.9916) = 0.7086 +32'h40aecb94,32'h3ed6afef,32'h3edf7333, 32'h3ed01d7d,32'h3ee605a5, 32'h3ec52969,32'h3ef0f9b9,// invsqrt(5.4624) = 0.4279 +32'h3f040fa2,32'h3faea667,32'h3fb5c751, 32'h3fa94db7,32'h3fbb2001, 32'h3fa06493,32'h3fc40925,// invsqrt(0.5159) = 1.3923 +32'h3ecaeb34,32'h3fc7415d,32'h3fcf6360, 32'h3fc127d9,32'h3fd57ce3, 32'h3fb6fd55,32'h3fdfa767,// invsqrt(0.3963) = 1.5885 +32'h403fac32,32'h3f10f822,32'h3f16e2ea, 32'h3f0c880c,32'h3f1b5300, 32'h3f052292,32'h3f22b87a,// invsqrt(2.9949) = 0.5778 +32'h402dff51,32'h3f18278c,32'h3f1e5d68, 32'h3f137f27,32'h3f2305cd, 32'h3f0bbbd4,32'h3f2ac920,// invsqrt(2.7187) = 0.6065 +32'h409393f3,32'h3ee9a5a6,32'h3ef32f06, 32'h3ee27e9e,32'h3efa560e, 32'h3ed692e7,32'h3f0320e2,// invsqrt(4.6118) = 0.4657 +32'h3f7db405,32'h3f7c0358,32'h3f832650, 32'h3f744c63,32'h3f8701cb, 32'h3f6770c9,32'h3f8d6f97,// invsqrt(0.9910) = 1.0045 +32'h3f8046ca,32'h3f7a9c05,32'h3f826b51, 32'h3f72f00f,32'h3f86414c, 32'h3f6626cb,32'h3f8ca5ee,// invsqrt(1.0022) = 0.9989 +32'h3f6e5685,32'h3f82013b,32'h3f874fa6, 32'h3f7c0cd7,32'h3f8b4a77, 32'h3f6ec8cb,32'h3f91ec7c,// invsqrt(0.9310) = 1.0364 +32'h3ee23410,32'h3fbcb8b7,32'h3fc46caa, 32'h3fb6f1c2,32'h3fca33a0, 32'h3fad50d2,32'h3fd3d490,// invsqrt(0.4418) = 1.5045 +32'h3fb7d6f4,32'h3f5156f1,32'h3f59e253, 32'h3f4aee67,32'h3f604add, 32'h3f40402b,32'h3f6af919,// invsqrt(1.4362) = 0.8344 +32'h4037d25d,32'h3f14085e,32'h3f1a1328, 32'h3f0f8046,32'h3f1e9b40, 32'h3f07f2c9,32'h3f2628bd,// invsqrt(2.8722) = 0.5901 +32'h404eb45d,32'h3f0b993d,32'h3f114be7, 32'h3f07533e,32'h3f1591e6, 32'h3f0033ea,32'h3f1cb13a,// invsqrt(3.2298) = 0.5564 +32'h3f2006cd,32'h3f9ea843,32'h3fa52211, 32'h3f99cce8,32'h3fa9fd6c, 32'h3f91b4a6,32'h3fb215ae,// invsqrt(0.6251) = 1.2648 +32'h3f27accf,32'h3f9aff19,32'h3fa152a7, 32'h3f96406e,32'h3fa61152, 32'h3f8e57fd,32'h3fadf9c3,// invsqrt(0.6550) = 1.2356 +32'h3f94aa3d,32'h3f68ca90,32'h3f724afe, 32'h3f61aa3d,32'h3f796b51, 32'h3f55c9b3,32'h3f82a5ed,// invsqrt(1.1614) = 0.9279 +32'h3eac789f,32'h3fd820fd,32'h3fe0f351, 32'h3fd1833f,32'h3fe7910f, 32'h3fc67c56,32'h3ff297f8,// invsqrt(0.3369) = 1.7230 +32'h3dfe6833,32'h4031f417,32'h40393785, 32'h402c8184,32'h403eaa18, 32'h40236d39,32'h4047be63,// invsqrt(0.1242) = 2.8373 +32'h405fb728,32'h3f062fae,32'h3f0ba9ca, 32'h3f021419,32'h3f0fc55f, 32'h3ef676ec,32'h3f169e02,// invsqrt(3.4956) = 0.5349 +32'h3f908b82,32'h3f6c15ec,32'h3f75b8c6, 32'h3f64dbc8,32'h3f7cf2ea, 32'h3f58d036,32'h3f847f3e,// invsqrt(1.1293) = 0.9410 +32'h3efbfd80,32'h3fb2ce07,32'h3fba1a5b, 32'h3fad54c8,32'h3fbf939a, 32'h3fa4355f,32'h3fc8b303,// invsqrt(0.4922) = 1.4254 +32'h3f0f5fbb,32'h3fa79e3c,32'h3fae75ac, 32'h3fa27ca8,32'h3fb39740, 32'h3f99ef5c,32'h3fbc248c,// invsqrt(0.5601) = 1.3362 +32'h40635e51,32'h3f051a9d,32'h3f0a8969, 32'h3f010783,32'h3f0e9c83, 32'h3ef47a05,32'h3f156703,// invsqrt(3.5526) = 0.5305 +32'h3f07ad36,32'h3fac4ea8,32'h3fb35717, 32'h3fa70854,32'h3fb89d6a, 32'h3f9e3dc9,32'h3fc167f5,// invsqrt(0.5300) = 1.3736 +32'h3f51c5a4,32'h3f8a92fc,32'h3f903af1, 32'h3f865503,32'h3f9478e9, 32'h3f7e8621,32'h3f9b8adb,// invsqrt(0.8194) = 1.1047 +32'h3d73ce3b,32'h408089ee,32'h4085c908, 32'h40793536,32'h4089b85b, 32'h406c1777,32'h4090473a,// invsqrt(0.0595) = 4.0988 +32'h3f43a990,32'h3f8f7bd0,32'h3f955712, 32'h3f8b175f,32'h3f99bb83, 32'h3f83c54c,32'h3fa10d96,// invsqrt(0.7643) = 1.1438 +32'h3fa9a5ca,32'h3f59eb87,32'h3f62d091, 32'h3f533fbf,32'h3f697c59, 32'h3f482171,32'h3f749aa7,// invsqrt(1.3254) = 0.8686 +32'h4009e455,32'h3f2aeae3,32'h3f31e4cd, 32'h3f25af74,32'h3f37203c, 32'h3f1cf70f,32'h3f3fd8a1,// invsqrt(2.1546) = 0.6813 +32'h3f307c61,32'h3f9713f4,32'h3f9d3e91, 32'h3f927400,32'h3fa1de86, 32'h3f8abebc,32'h3fa993ca,// invsqrt(0.6894) = 1.2044 +32'h3e501167,32'h400b23f5,32'h4010d1d5, 32'h4006e18d,32'h4015143d, 32'h3fff9069,32'h401c2d96,// invsqrt(0.2032) = 2.2184 +32'h3fd2f8e2,32'h3f436a4d,32'h3f4b6431, 32'h3f3d6ee2,32'h3f515f9c, 32'h3f337686,32'h3f5b57f9,// invsqrt(1.6482) = 0.7789 +32'h3ea83943,32'h3fdad723,32'h3fe3c5cc, 32'h3fd42425,32'h3fea78cb, 32'h3fc8f9d2,32'h3ff5a31e,// invsqrt(0.3286) = 1.7446 +32'h3eb124c6,32'h3fd5426a,32'h3fddf6c2, 32'h3fcebb28,32'h3fe47e04, 32'h3fc3d9ba,32'h3fef5f72,// invsqrt(0.3460) = 1.7001 +32'h3fca9a29,32'h3f476933,32'h3f4f8cd7, 32'h3f414e78,32'h3f55a792, 32'h3f3721eb,32'h3f5fd41f,// invsqrt(1.5828) = 0.7948 +32'h3f8078c0,32'h3f7a6b45,32'h3f8251f2, 32'h3f72c0ce,32'h3f86272e, 32'h3f65fa06,32'h3f8c8a92,// invsqrt(1.0037) = 0.9982 +32'h3fb5b96d,32'h3f528df3,32'h3f5b2607, 32'h3f4c1be4,32'h3f619816, 32'h3f415dca,32'h3f6c5630,// invsqrt(1.4197) = 0.8393 +32'h402275c6,32'h3f1d76eb,32'h3f23e443, 32'h3f18a4e9,32'h3f28b645, 32'h3f109c3b,32'h3f30bef3,// invsqrt(2.5384) = 0.6276 +32'h3e15bb68,32'h4024054c,32'h402ab726, 32'h401effe9,32'h402fbc89, 32'h4016a19a,32'h40381ad8,// invsqrt(0.1462) = 2.6151 +32'h3ded1ba9,32'h403854ae,32'h403fdac0, 32'h4032b021,32'h40457f4d, 32'h4029488b,32'h404ee6e3,// invsqrt(0.1158) = 2.9389 +32'h401858dc,32'h3f229b5d,32'h3f293e70, 32'h3f1da10e,32'h3f2e38be, 32'h3f155535,32'h3f368497,// invsqrt(2.3804) = 0.6481 +32'h3f9b5a44,32'h3f63b9be,32'h3f6d053e, 32'h3f5cc11e,32'h3f73fdde, 32'h3f5122be,32'h3f7f9c3e,// invsqrt(1.2137) = 0.9077 +32'h3e670d33,32'h400409ef,32'h40096d9b, 32'h3ffffe5d,32'h400d785c, 32'h3ff2852f,32'h401434f2,// invsqrt(0.2256) = 2.1052 +32'h3e869d45,32'h3ff4a38a,32'h3ffe9fc4, 32'h3fed265f,32'h40030e78, 32'h3fe0ab16,32'h40094c1c,// invsqrt(0.2629) = 1.9502 +32'h3d20b1f0,32'h409e53b1,32'h40a4ca0b, 32'h40997aec,32'h40a9a2d0, 32'h409166fb,32'h40b1b6c1,// invsqrt(0.0392) = 5.0487 +32'h40b22896,32'h3ed4a6b1,32'h3edd54ad, 32'h3ece2433,32'h3ee3d72b, 32'h3ec34ab7,32'h3eeeb0a7,// invsqrt(5.5675) = 0.4238 +32'h3f46d90e,32'h3f8e5468,32'h3f94239b, 32'h3f89f901,32'h3f987f01, 32'h3f82b601,32'h3f9fc201,// invsqrt(0.7767) = 1.1346 +32'h4033dbcf,32'h3f15a79b,32'h3f1bc359, 32'h3f1112ce,32'h3f205826, 32'h3f097021,32'h3f27fad3,// invsqrt(2.8103) = 0.5965 +32'h3e5e4ef3,32'h40069c39,32'h400c1ac3, 32'h40027d51,32'h401039ab, 32'h3ff73e49,32'h401717d7,// invsqrt(0.2171) = 2.1462 +32'h40363e0e,32'h3f14ac38,32'h3f1abdb2, 32'h3f101f1c,32'h3f1f4ace, 32'h3f088943,32'h3f26e0a7,// invsqrt(2.8475) = 0.5926 +32'h3f1c81bb,32'h3fa06e73,32'h3fa6facb, 32'h3f9b8531,32'h3fabe40d, 32'h3f9355c2,32'h3fb4137c,// invsqrt(0.6114) = 1.2789 +32'h429129a5,32'h3deb9531,32'h3df532cb, 32'h3de45efe,32'h3dfc68fe, 32'h3dd859fe,32'h3e0436ff,// invsqrt(72.5813) = 0.1174 +32'h3e6a1ed8,32'h40032ba9,32'h40088642, 32'h3ffe4f6b,32'h400c8a34, 32'h3ff0ecec,32'h40133b74,// invsqrt(0.2286) = 2.0914 +32'h4039d6b8,32'h3f133a26,32'h3f193c86, 32'h3f0eb85e,32'h3f1dbe4e, 32'h3f073567,32'h3f254145,// invsqrt(2.9037) = 0.5868 +32'h3f3e07e0,32'h3f91981d,32'h3f97896d, 32'h3f8d2322,32'h3f9bfe68, 32'h3f85b57e,32'h3fa36c0c,// invsqrt(0.7423) = 1.1607 +32'h3f43fc79,32'h3f8f5d73,32'h3f953778, 32'h3f8af9f0,32'h3f999afc, 32'h3f83a96a,32'h3fa0eb82,// invsqrt(0.7656) = 1.1429 +32'h3f2b8bca,32'h3f993cdb,32'h3f9f7e09, 32'h3f948bf9,32'h3fa42eeb, 32'h3f8cba80,32'h3fac0064,// invsqrt(0.6701) = 1.2216 +32'h3f650a6b,32'h3f849dfe,32'h3f8a07b4, 32'h3f808eb5,32'h3f8e16fd, 32'h3f739520,32'h3f94db22,// invsqrt(0.8947) = 1.0572 +32'h3e9bd136,32'h3fe362c2,32'h3fecaab4, 32'h3fdc6ccb,32'h3ff3a0ab, 32'h3fd0d2dc,32'h3fff3a9b,// invsqrt(0.3043) = 1.8127 +32'h3fa470f2,32'h3f5d57cc,32'h3f66609b, 32'h3f569131,32'h3f6d2737, 32'h3f4b462f,32'h3f787239,// invsqrt(1.2847) = 0.8823 +32'h3dce5b19,32'h404596c5,32'h404da75f, 32'h403f8a51,32'h4053b3d3, 32'h40357590,32'h405dc894,// invsqrt(0.1008) = 3.1503 +32'h3f220f96,32'h3f9da888,32'h3fa417e7, 32'h3f98d502,32'h3fa8eb6e, 32'h3f90c9cc,32'h3fb0f6a4,// invsqrt(0.6331) = 1.2568 +32'h3f639455,32'h3f850ad0,32'h3f8a78f8, 32'h3f80f832,32'h3f8e8b96, 32'h3f745d01,32'h3f955548,// invsqrt(0.8890) = 1.0606 +32'h40a44444,32'h3edd75e4,32'h3ee67fee, 32'h3ed6ae5d,32'h3eed4775, 32'h3ecb61d2,32'h3ef89400,// invsqrt(5.1333) = 0.4414 +32'h3ea9c408,32'h3fd9d81d,32'h3fe2bc5d, 32'h3fd32ced,32'h3fe9678d, 32'h3fc80f9d,32'h3ff484dd,// invsqrt(0.3316) = 1.7366 +32'h3f25c951,32'h3f9be078,32'h3fa23d3a, 32'h3f971ae8,32'h3fa702ca, 32'h3f8f26f6,32'h3faef6bc,// invsqrt(0.6476) = 1.2426 +32'h3f99cb33,32'h3f64e073,32'h3f6e37fb, 32'h3f5ddece,32'h3f7539a0, 32'h3f523165,32'h3f807385,// invsqrt(1.2015) = 0.9123 +32'h3e6ea562,32'h4001ebbe,32'h40073948, 32'h3ffbe32c,32'h400b3370, 32'h3feea152,32'h4011d45d,// invsqrt(0.2331) = 2.0714 +32'h3d8cc041,32'h406f3f10,32'h407902f1, 32'h4067ec26,32'h40802aed, 32'h405bb74d,32'h4086455a,// invsqrt(0.0687) = 3.8145 +32'h3ea4a9b6,32'h3fdd31a2,32'h3fe638e2, 32'h3fd66c32,32'h3fecfe52, 32'h3fcb2322,32'h3ff84762,// invsqrt(0.3216) = 1.7633 +32'h3f9b7007,32'h3f63a9cc,32'h3f6cf4a6, 32'h3f5cb1a9,32'h3f73ecc9, 32'h3f51141a,32'h3f7f8a58,// invsqrt(1.2144) = 0.9075 +32'h3f6e32a3,32'h3f820b05,32'h3f8759d7, 32'h3f7c1fd1,32'h3f8b54f4, 32'h3f6edac6,32'h3f91f779,// invsqrt(0.9305) = 1.0367 +32'h3e6d2320,32'h40025563,32'h4007a73d, 32'h3ffcaffe,32'h400ba4a1, 32'h3fef635d,32'h40124af2,// invsqrt(0.2316) = 2.0780 +32'h3fa9af7a,32'h3f59e54e,32'h3f62ca18, 32'h3f5339b7,32'h3f6975af, 32'h3f481bbb,32'h3f7493ab,// invsqrt(1.3257) = 0.8685 +32'h3eb696b7,32'h3fd20e35,32'h3fdaa113, 32'h3fcba00f,32'h3fe10f39, 32'h3fc0e87a,32'h3febc6ce,// invsqrt(0.3566) = 1.6745 +32'h3f024d13,32'h3fafd359,32'h3fb7008b, 32'h3faa7173,32'h3fbc6271, 32'h3fa178f3,32'h3fc55af1,// invsqrt(0.5090) = 1.4017 +32'h3f48ebc3,32'h3f8d97f2,32'h3f935f74, 32'h3f894250,32'h3f97b516, 32'h3f8208ee,32'h3f9eee78,// invsqrt(0.7848) = 1.1288 +32'h3f9702ec,32'h3f66f9c2,32'h3f706738, 32'h3f5fe7aa,32'h3f777950, 32'h3f541ed7,32'h3f81a112,// invsqrt(1.1798) = 0.9207 +32'h3e5eda71,32'h40067211,32'h400beee3, 32'h40025474,32'h40100c80, 32'h3ff6f0dc,32'h4016e886,// invsqrt(0.2176) = 2.1436 +32'h40917e26,32'h3eeb50bd,32'h3ef4eb8b, 32'h3ee41ca2,32'h3efc1fa6, 32'h3ed81b20,32'h3f041094,// invsqrt(4.5466) = 0.4690 +32'h3f486870,32'h3f8dc64f,32'h3f938fb5, 32'h3f896f42,32'h3f97e6c2, 32'h3f823382,32'h3f9f2282,// invsqrt(0.7828) = 1.1302 +32'h3faaa8bb,32'h3f5945f5,32'h3f62243d, 32'h3f529f3e,32'h3f68caf4, 32'h3f478963,32'h3f73e0cf,// invsqrt(1.3333) = 0.8660 +32'h3e6b1850,32'h4002e5fe,32'h40083dc0, 32'h3ffdc85b,32'h400c3f91, 32'h3ff06cf8,32'h4012ed42,// invsqrt(0.2296) = 2.0870 +32'h40925e1b,32'h3eea9c71,32'h3ef42fe3, 32'h3ee36ddb,32'h3efb5e79, 32'h3ed7758c,32'h3f03ab64,// invsqrt(4.5740) = 0.4676 +32'h3feab7dd,32'h3f39444e,32'h3f40d427, 32'h3f33986a,32'h3f46800a, 32'h3f2a249b,32'h3f4ff3d9,// invsqrt(1.8337) = 0.7385 +32'h41941111,32'h3e6942da,32'h3e72c831, 32'h3e621ed8,32'h3e79ec32, 32'h3e56382b,32'h3e82e970,// invsqrt(18.5083) = 0.2324 +32'h3fbe7cfa,32'h3f4da768,32'h3f560c47, 32'h3f475bbf,32'h3f5c57ef, 32'h3f3cdda8,32'h3f66d606,// invsqrt(1.4882) = 0.8197 +32'h3fc18f28,32'h3f4c0415,32'h3f5457d7, 32'h3f45c543,32'h3f5a96a9, 32'h3f3b5c91,32'h3f64ff5b,// invsqrt(1.5122) = 0.8132 +32'h426c4c7b,32'h3e029087,32'h3e07e4cb, 32'h3dfd22a8,32'h3e0be3fe, 32'h3defcffd,32'h3e128d54,// invsqrt(59.0747) = 0.1301 +32'h402c3db9,32'h3f18eda0,32'h3f1f2b91, 32'h3f143f2a,32'h3f23da06, 32'h3f0c71bc,32'h3f2ba774,// invsqrt(2.6913) = 0.6096 +32'h3fc9b443,32'h3f47dab7,32'h3f5002fd, 32'h3f41bc82,32'h3f562132, 32'h3f378a2b,32'h3f605389,// invsqrt(1.5758) = 0.7966 +32'h3f6968d1,32'h3f835ec5,32'h3f88bb74, 32'h3f7eb282,32'h3f8cc0f7, 32'h3f714acc,32'h3f9374d2,// invsqrt(0.9118) = 1.0473 +32'h3fa86e42,32'h3f5ab4b3,32'h3f63a1f3, 32'h3f5402c2,32'h3f6a53e4, 32'h3f48da31,32'h3f757c75,// invsqrt(1.3159) = 0.8718 +32'h3ed8a5bd,32'h3fc0d6b7,32'h3fc8b5af, 32'h3fbaef7d,32'h3fce9ce9, 32'h3fb118c8,32'h3fd8739f,// invsqrt(0.4231) = 1.5373 +32'h4056b2da,32'h3f08f99b,32'h3f0e90db, 32'h3f04c82b,32'h3f12c24b, 32'h3efb9637,32'h3f19bf5a,// invsqrt(3.3547) = 0.5460 +32'h3fef49ce,32'h3f377d36,32'h3f3efa7c, 32'h3f31df41,32'h3f449871, 32'h3f2882aa,32'h3f4df508,// invsqrt(1.8694) = 0.7314 +32'h3fe96969,32'h3f39c8da,32'h3f415e1c, 32'h3f3418e8,32'h3f470e0e, 32'h3f2a9e55,32'h3f5088a1,// invsqrt(1.8235) = 0.7405 +32'h401c84ed,32'h3f206cd0,32'h3f26f917, 32'h3f1b839a,32'h3f2be24c, 32'h3f135441,32'h3f3411a5,// invsqrt(2.4456) = 0.6394 +32'h3fa004ca,32'h3f60617b,32'h3f698a07, 32'h3f598311,32'h3f706871, 32'h3f4e1061,32'h3f7bdb21,// invsqrt(1.2501) = 0.8944 +32'h3fbe7dcd,32'h3f4da6f6,32'h3f560bd0, 32'h3f475b51,32'h3f5c5775, 32'h3f3cdd40,32'h3f66d587,// invsqrt(1.4882) = 0.8197 +32'h3f9eb161,32'h3f6150ee,32'h3f6a8341, 32'h3f5a6b30,32'h3f716900, 32'h3f4eec49,32'h3f7ce7e7,// invsqrt(1.2398) = 0.8981 +32'h3d7d300e,32'h407c44fd,32'h40834879, 32'h40748c05,32'h408724f5, 32'h4067ad12,32'h408d946f,// invsqrt(0.0618) = 4.0222 +32'h40bab335,32'h3ecfbad5,32'h3ed83565, 32'h3ec95ee8,32'h3ede9152, 32'h3ebec5b4,32'h3ee92a86,// invsqrt(5.8344) = 0.4140 +32'h3fe47d9e,32'h3f3bc64a,32'h3f437058, 32'h3f3606c0,32'h3f492fe2, 32'h3f2c722f,32'h3f52c473,// invsqrt(1.7851) = 0.7485 +32'h3fbd1854,32'h3f4e68fd,32'h3f56d5c3, 32'h3f481768,32'h3f5d2758, 32'h3f3d8f70,32'h3f67af50,// invsqrt(1.4773) = 0.8227 +32'h3ec26191,32'h3fcb958c,32'h3fd3e4cb, 32'h3fc55a1c,32'h3fda203a, 32'h3fbaf70d,32'h3fe48349,// invsqrt(0.3797) = 1.6230 +32'h3f2f1410,32'h3f97af1b,32'h3f9de00d, 32'h3f930a66,32'h3fa284c2, 32'h3f8b4d38,32'h3faa41f0,// invsqrt(0.6839) = 1.2092 +32'h3ee25d55,32'h3fbca783,32'h3fc45ac1, 32'h3fb6e114,32'h3fca2130, 32'h3fad4105,32'h3fd3c13f,// invsqrt(0.4421) = 1.5039 +32'h3f0c4fed,32'h3fa96fe0,32'h3fb05a52, 32'h3fa4400b,32'h3fb58a27, 32'h3f9b9afd,32'h3fbe2f35,// invsqrt(0.5481) = 1.3507 +32'h424eed82,32'h3e0b85f5,32'h3e1137d5, 32'h3e07408d,32'h3e157d3d, 32'h3e002234,32'h3e1c9b96,// invsqrt(51.7319) = 0.1390 +32'h3f5f0b85,32'h3f866346,32'h3f8bdf7d, 32'h3f82461c,32'h3f8ffca6, 32'h3f76d5af,32'h3f96d7eb,// invsqrt(0.8713) = 1.0713 +32'h3f3b97fc,32'h3f928970,32'h3f98849a, 32'h3f8e0d12,32'h3f9d00f8, 32'h3f86931e,32'h3fa47aec,// invsqrt(0.7328) = 1.1682 +32'h3f86ea96,32'h3f745d67,32'h3f7e56c4, 32'h3f6ce261,32'h3f82e8e5, 32'h3f606aac,32'h3f8924bf,// invsqrt(1.0540) = 0.9740 +32'h3fe7225e,32'h3f3ab29a,32'h3f425166, 32'h3f34fb80,32'h3f480880, 32'h3f2b7500,32'h3f518f00,// invsqrt(1.8057) = 0.7442 +32'h4011803e,32'h3f266370,32'h3f2d2e06, 32'h3f214b7e,32'h3f3245f8, 32'h3f18ce42,32'h3f3ac334,// invsqrt(2.2735) = 0.6632 +32'h3f5a39df,32'h3f87dd0d,32'h3f8d68af, 32'h3f83b453,32'h3f919169, 32'h3f798b90,32'h3f987ff4,// invsqrt(0.8524) = 1.0831 +32'h40870293,32'h3ef447b0,32'h3efe402a, 32'h3eeccd54,32'h3f02dd43, 32'h3ee056bc,32'h3f09188f,// invsqrt(4.2191) = 0.4868 +32'h3e40456e,32'h4010be52,32'h4016a6be, 32'h400c5001,32'h401b150f, 32'h4004ed7a,32'h40227796,// invsqrt(0.1878) = 2.3078 +32'h3f74f88a,32'h3f803b93,32'h3f857779, 32'h3f789d4b,32'h3f896466, 32'h3f6b878b,32'h3f8fef47,// invsqrt(0.9569) = 1.0223 +32'h3f1fa4d8,32'h3f9ed8e9,32'h3fa554b3, 32'h3f99fc10,32'h3faa318c, 32'h3f91e153,32'h3fb24c49,// invsqrt(0.6236) = 1.2663 +32'h3f37865d,32'h3f942701,32'h3f9a330b, 32'h3f8f9df9,32'h3f9ebc13, 32'h3f880eec,32'h3fa64b20,// invsqrt(0.7169) = 1.1811 +32'h400064ec,32'h3f31205b,32'h3f385b25, 32'h3f2bb443,32'h3f3dc73d, 32'h3f22aac6,32'h3f46d0ba,// invsqrt(2.0062) = 0.7060 +32'h409166cf,32'h3eeb639f,32'h3ef4ff33, 32'h3ee42ef1,32'h3efc33e1, 32'h3ed82c78,32'h3f041b2d,// invsqrt(4.5438) = 0.4691 +32'h3f568cfe,32'h3f8905b0,32'h3f8e9d6e, 32'h3f84d3e1,32'h3f92cf3d, 32'h3f7bac68,32'h3f99ccea,// invsqrt(0.8381) = 1.0923 +32'h3f7a3742,32'h3f7dc360,32'h3f840f78, 32'h3f75feb3,32'h3f87f1cf, 32'h3f690c3e,32'h3f8e6b09,// invsqrt(0.9774) = 1.0115 +32'h3e5a7e23,32'h4007c7d2,32'h400d5296, 32'h40039fbe,32'h40117aaa, 32'h3ff96491,32'h40186820,// invsqrt(0.2134) = 2.1649 +32'h3f76a6af,32'h3f7f9723,32'h3f8502e5, 32'h3f77c423,32'h3f88ec64, 32'h3f6ab9d1,32'h3f8f718e,// invsqrt(0.9635) = 1.0188 +32'h3f8cbf65,32'h3f6f3fcb,32'h3f7903b3, 32'h3f67ecdc,32'h3f802b51, 32'h3f5bb7f9,32'h3f8645c3,// invsqrt(1.0996) = 0.9536 +32'h3f806fbc,32'h3f7a740f,32'h3f825685, 32'h3f72c953,32'h3f862be4, 32'h3f660218,32'h3f8c8f81,// invsqrt(1.0034) = 0.9983 +32'h41ab1ba5,32'h3e58fcf3,32'h3e61d841, 32'h3e525879,32'h3e687cbb, 32'h3e474657,32'h3e738edd,// invsqrt(21.3885) = 0.2162 +32'h3f85c308,32'h3f756aca,32'h3f7f6f26, 32'h3f6de785,32'h3f837935, 32'h3f616212,32'h3f89bbef,// invsqrt(1.0450) = 0.9782 +32'h3ea6c524,32'h3fdbcac3,32'h3fe4c35d, 32'h3fd5104f,32'h3feb7dd1, 32'h3fc9d98e,32'h3ff6b492,// invsqrt(0.3257) = 1.7522 +32'h3fc821bb,32'h3f48a34f,32'h3f50d3c5, 32'h3f427ef6,32'h3f56f81e, 32'h3f384263,32'h3f6134b1,// invsqrt(1.5635) = 0.7997 +32'h3f411b32,32'h3f906e1f,32'h3f965345, 32'h3f8c0243,32'h3f9abf21, 32'h3f84a3d3,32'h3fa21d91,// invsqrt(0.7543) = 1.1514 +32'h3dde68d5,32'h403e5309,32'h404617bb, 32'h40387f84,32'h404beb40, 32'h402ec9a5,32'h4055a11f,// invsqrt(0.1086) = 3.0345 +32'h3f9ddabc,32'h3f61e9eb,32'h3f6b227c, 32'h3f5aff7d,32'h3f720ce9, 32'h3f4f78c8,32'h3f7d939f,// invsqrt(1.2332) = 0.9005 +32'h3f5f03a8,32'h3f8665a4,32'h3f8be1f4, 32'h3f824868,32'h3f8fff30, 32'h3f76da09,32'h3f96da94,// invsqrt(0.8711) = 1.0714 +32'h3e1e8ad3,32'h401f65f2,32'h4025e77e, 32'h401a84c8,32'h402ac8a8, 32'h401262d9,32'h4032ea97,// invsqrt(0.1548) = 2.5414 +32'h3f047b66,32'h3fae5f50,32'h3fb57d54, 32'h3fa908ce,32'h3fbad3d6, 32'h3fa0234a,32'h3fc3b95a,// invsqrt(0.5175) = 1.3901 +32'h3ec90871,32'h3fc8300d,32'h3fd05bcf, 32'h3fc20f3c,32'h3fd67ca0, 32'h3fb7d889,32'h3fe0b353,// invsqrt(0.3926) = 1.5959 +32'h3f93711e,32'h3f69c13d,32'h3f734bbd, 32'h3f62995d,32'h3f7a739d, 32'h3f56ac3d,32'h3f83305e,// invsqrt(1.1519) = 0.9317 +32'h3f91e037,32'h3f6b0197,32'h3f74992b, 32'h3f63cfe9,32'h3f7bcad9, 32'h3f57d271,32'h3f83e429,// invsqrt(1.1397) = 0.9367 +32'h40b7bd88,32'h3ed1656c,32'h3ed9f166, 32'h3ecafc71,32'h3ee05a61, 32'h3ec04d78,32'h3eeb095a,// invsqrt(5.7419) = 0.4173 +32'h3e819549,32'h3ff957bf,32'h4001c290, 32'h3ff1b5b7,32'h40059394, 32'h3fe4fcfe,32'h400beff1,// invsqrt(0.2531) = 1.9877 +32'h3f952a0a,32'h3f6866c1,32'h3f71e31d, 32'h3f61497d,32'h3f790061, 32'h3f556e0a,32'h3f826dea,// invsqrt(1.1653) = 0.9263 +32'h3f314282,32'h3f96bf6e,32'h3f9ce698, 32'h3f922210,32'h3fa183f6, 32'h3f8a711c,32'h3fa934ea,// invsqrt(0.6924) = 1.2018 +32'h4120052f,32'h3e9ea910,32'h3ea522e7, 32'h3e99cdaf,32'h3ea9fe49, 32'h3e91b563,32'h3eb21695,// invsqrt(10.0013) = 0.3162 +32'h3d1b9eb2,32'h40a0e34f,32'h40a7746d, 32'h409bf679,32'h40ac6143, 32'h4093c115,32'h40b496a7,// invsqrt(0.0380) = 5.1304 +32'h3ee63bbc,32'h3fbb1005,32'h3fc2b2a2, 32'h3fb55610,32'h3fc86c98, 32'h3fabcacc,32'h3fd1f7dc,// invsqrt(0.4497) = 1.4913 +32'h40972621,32'h3ee6deda,32'h3ef04b36, 32'h3edfcd94,32'h3ef75c7c, 32'h3ed40621,32'h3f0191f8,// invsqrt(4.7234) = 0.4601 +32'h400fbbec,32'h3f276872,32'h3f2e3db0, 32'h3f224883,32'h3f335d9f, 32'h3f19bdf6,32'h3f3be82c,// invsqrt(2.2458) = 0.6673 +32'h3f8e75a7,32'h3f6dceac,32'h3f778384, 32'h3f66870a,32'h3f7ecb26, 32'h3f5a64fc,32'h3f85769a,// invsqrt(1.1130) = 0.9479 +32'h3e9ed20a,32'h3fe139c2,32'h3fea6b23, 32'h3fda54ba,32'h3ff1502c, 32'h3fced701,32'h3ffccde5,// invsqrt(0.3102) = 1.7955 +32'h3f8ecfcb,32'h3f6d8393,32'h3f77355b, 32'h3f663e3e,32'h3f7e7ab0, 32'h3f5a2005,32'h3f854c75,// invsqrt(1.1157) = 0.9467 +32'h3f155e99,32'h3fa43839,32'h3faaec26, 32'h3f9f3146,32'h3faff318, 32'h3f96d05e,32'h3fb85401,// invsqrt(0.5835) = 1.3091 +32'h4039fa4f,32'h3f132c0f,32'h3f192ddc, 32'h3f0eaab6,32'h3f1daf36, 32'h3f072877,32'h3f253175,// invsqrt(2.9059) = 0.5866 +32'h3f3ffc77,32'h3f90d9d1,32'h3f96c35d, 32'h3f8c6aa9,32'h3f9b3285, 32'h3f8506bb,32'h3fa29673,// invsqrt(0.7499) = 1.1547 +32'h3ed578cd,32'h3fc2448b,32'h3fca3271, 32'h3fbc521e,32'h3fd024de, 32'h3fb268be,32'h3fda0e3e,// invsqrt(0.4169) = 1.5487 +32'h3f4a6175,32'h3f8d14fb,32'h3f92d725, 32'h3f88c35c,32'h3f9728c4, 32'h3f8190a8,32'h3f9e5b78,// invsqrt(0.7905) = 1.1247 +32'h3f2caf2d,32'h3f98bb5b,32'h3f9ef73f, 32'h3f940e70,32'h3fa3a42a, 32'h3f8c4392,32'h3fab6f08,// invsqrt(0.6745) = 1.2176 +32'h40354ba8,32'h3f150f7b,32'h3f1b2503, 32'h3f107f55,32'h3f1fb529, 32'h3f08e46c,32'h3f275012,// invsqrt(2.8327) = 0.5942 +32'h4047a6b9,32'h3f0e0b06,32'h3f13d73a, 32'h3f09b1de,32'h3f183062, 32'h3f02729d,32'h3f1f6fa3,// invsqrt(3.1196) = 0.5662 +32'h4086acf1,32'h3ef4954d,32'h3efe90f3, 32'h3eed1892,32'h3f0306d7, 32'h3ee09e03,32'h3f09441e,// invsqrt(4.2086) = 0.4875 +32'h410c42fe,32'h3ea977b0,32'h3eb06274, 32'h3ea4479e,32'h3eb59286, 32'h3e9ba22a,32'h3ebe37fa,// invsqrt(8.7664) = 0.3377 +32'h3f569ec3,32'h3f890004,32'h3f8e9787, 32'h3f84ce62,32'h3f92c92a, 32'h3f7ba1fe,32'h3f99c68d,// invsqrt(0.8384) = 1.0922 +32'h4042f22f,32'h3f0fbf3c,32'h3f159d3f, 32'h3f0b58bb,32'h3f1a03c1, 32'h3f040338,32'h3f215944,// invsqrt(3.0460) = 0.5730 +32'h41127f35,32'h3ea5d266,32'h3eac9712, 32'h3ea0bee6,32'h3eb1aa92, 32'h3e984910,32'h3eba2068,// invsqrt(9.1561) = 0.3305 +32'h3f341b37,32'h3f958d41,32'h3f9ba7eb, 32'h3f90f942,32'h3fa03bea, 32'h3f8957ed,32'h3fa7dd3f,// invsqrt(0.7035) = 1.1922 +32'h3e838d35,32'h3ff77861,32'h4000c918, 32'h3fefe505,32'h400492c6, 32'h3fe344c2,32'h400ae2e8,// invsqrt(0.2569) = 1.9728 +32'h405457d7,32'h3f09bb8f,32'h3f0f5aba, 32'h3f058430,32'h3f13921a, 32'h3efcfa76,32'h3f1a990f,// invsqrt(3.3179) = 0.5490 +32'h3edf8f76,32'h3fbdd575,32'h3fc59507, 32'h3fb805c8,32'h3fcb64b4, 32'h3fae5651,32'h3fd5142b,// invsqrt(0.4366) = 1.5133 +32'h3f8bef78,32'h3f6ff148,32'h3f79bc70, 32'h3f6898eb,32'h3f808a67, 32'h3f5c5af9,32'h3f86a95f,// invsqrt(1.0932) = 0.9564 +32'h3e5f1ae0,32'h40065ea6,32'h400bdaac, 32'h400241a1,32'h400ff7b1, 32'h3ff6cd30,32'h4016d2ba,// invsqrt(0.2179) = 2.1424 +32'h400fe75f,32'h3f274f2a,32'h3f2e2360, 32'h3f223002,32'h3f334288, 32'h3f19a6be,32'h3f3bcbcc,// invsqrt(2.2485) = 0.6669 +32'h406536d2,32'h3f049125,32'h3f09fa55, 32'h3f008240,32'h3f0e093a, 32'h3ef37d87,32'h3f14ccb6,// invsqrt(3.5815) = 0.5284 +32'h3f870d16,32'h3f743e2e,32'h3f7e3645, 32'h3f6cc41e,32'h3f82d82b, 32'h3f604e01,32'h3f89133a,// invsqrt(1.0551) = 0.9735 +32'h3e0b5c75,32'h402a03a1,32'h4030f41b, 32'h4024cf46,32'h40362876, 32'h401c22ae,32'h403ed50e,// invsqrt(0.1361) = 2.7107 +32'h41ef2827,32'h3e378a1f,32'h3e3f07ec, 32'h3e31ebc5,32'h3e44a645, 32'h3e288e84,32'h3e4e0386,// invsqrt(29.8946) = 0.1829 +32'h401be697,32'h3f20be32,32'h3f274dcc, 32'h3f1bd27f,32'h3f2c397f, 32'h3f139eff,32'h3f346cff,// invsqrt(2.4359) = 0.6407 +32'h40a4ca19,32'h3edd1be4,32'h3ee62241, 32'h3ed6571f,32'h3eece707, 32'h3ecb0f2b,32'h3ef82efb,// invsqrt(5.1497) = 0.4407 +32'h3f32ee55,32'h3f960aca,32'h3f9c2a94, 32'h3f9172f3,32'h3fa0c26b, 32'h3f89cb37,32'h3fa86a27,// invsqrt(0.6989) = 1.1961 +32'h3f4d87c9,32'h3f8bff2c,32'h3f91b5fe, 32'h3f87b60e,32'h3f95ff1c, 32'h3f809186,32'h3f9d23a4,// invsqrt(0.8029) = 1.1160 +32'h40aecf99,32'h3ed6ad77,32'h3edf70a1, 32'h3ed01b18,32'h3ee60300, 32'h3ec52724,32'h3ef0f6f4,// invsqrt(5.4628) = 0.4278 +32'h3ef1d20a,32'h3fb686a1,32'h3fbdf9d7, 32'h3fb0f039,32'h3fc3903f, 32'h3fa7a036,32'h3fcce042,// invsqrt(0.4723) = 1.4551 +32'h3f7ba230,32'h3f7d0c1e,32'h3f83b01a, 32'h3f754d0c,32'h3f878fa2, 32'h3f6863f1,32'h3f8e0430,// invsqrt(0.9829) = 1.0086 +32'h3f67f02e,32'h3f83c943,32'h3f892a4b, 32'h3f7f80fa,32'h3f8d3311, 32'h3f720e66,32'h3f93ec5b,// invsqrt(0.9060) = 1.0506 +32'h3e799df8,32'h3ffe113f,32'h400437ff, 32'h3ff64a30,32'h40081b86, 32'h3fe953c1,32'h400e96bd,// invsqrt(0.2438) = 2.0254 +32'h3f3f5b81,32'h3f9116b0,32'h3f9702b8, 32'h3f8ca5ab,32'h3f9b73bd, 32'h3f853ea2,32'h3fa2dac6,// invsqrt(0.7475) = 1.1566 +32'h3ef2abff,32'h3fb63497,32'h3fbda473, 32'h3fb0a0b2,32'h3fc33858, 32'h3fa754de,32'h3fcc842c,// invsqrt(0.4740) = 1.4525 +32'h41511134,32'h3e8acebb,32'h3e907921, 32'h3e868eef,32'h3e94b8ed, 32'h3e7ef3e0,32'h3e9bcdec,// invsqrt(13.0667) = 0.2766 +32'h3f1bedf1,32'h3fa0ba68,32'h3fa749da, 32'h3f9bced3,32'h3fac356f, 32'h3f939b84,32'h3fb468be,// invsqrt(0.6091) = 1.2813 +32'h3ef06907,32'h3fb70f7a,32'h3fbe8845, 32'h3fb174e1,32'h3fc422dd, 32'h3fa81de2,32'h3fcd79dc,// invsqrt(0.4696) = 1.4593 +32'h3ed57e26,32'h3fc2421c,32'h3fca2fe8, 32'h3fbc4fc2,32'h3fd02242, 32'h3fb26682,32'h3fda0b82,// invsqrt(0.4170) = 1.5486 +32'h3f8f516b,32'h3f6d1812,32'h3f76c576, 32'h3f65d607,32'h3f7e0781, 32'h3f59bd4a,32'h3f85101f,// invsqrt(1.1197) = 0.9450 +32'h3e2827dd,32'h401ac658,32'h40211796, 32'h4016096b,32'h4025d483, 32'h400e23de,32'h402dba10,// invsqrt(0.1642) = 2.4677 +32'h3fabb44c,32'h3f589c69,32'h3f6173c6, 32'h3f51fae3,32'h3f68154b, 32'h3f46edae,32'h3f732280,// invsqrt(1.3414) = 0.8634 +32'h3fa61f90,32'h3f5c3831,32'h3f653543, 32'h3f557a64,32'h3f6bf310, 32'h3f4a3e0e,32'h3f772f66,// invsqrt(1.2978) = 0.8778 +32'h414c80ed,32'h3e8c5908,32'h3e921386, 32'h3e880d2a,32'h3e965f64, 32'h3e80e40d,32'h3e9d8881,// invsqrt(12.7815) = 0.2797 +32'h406088df,32'h3f05f0f6,32'h3f0b6882, 32'h3f01d74c,32'h3f0f822c, 32'h3ef603b9,32'h3f16579c,// invsqrt(3.5084) = 0.5339 +32'h3f9e63c5,32'h3f61881b,32'h3f6abcaf, 32'h3f5aa0ad,32'h3f71a41d, 32'h3f4f1ef4,32'h3f7d25d6,// invsqrt(1.2374) = 0.8990 +32'h3f78a634,32'h3f7e8fb5,32'h3f8479ce, 32'h3f76c4c6,32'h3f885f45, 32'h3f69c7e4,32'h3f8eddb6,// invsqrt(0.9713) = 1.0147 +32'h3eefa6de,32'h3fb75992,32'h3fbed564, 32'h3fb1bcb5,32'h3fc47241, 32'h3fa861ef,32'h3fcdcd07,// invsqrt(0.4681) = 1.4617 +32'h3edc8f0b,32'h3fbf1f07,32'h3fc6ec0d, 32'h3fb94543,32'h3fccc5d1, 32'h3faf84fc,32'h3fd68618,// invsqrt(0.4308) = 1.5236 +32'h3fcbd0d5,32'h3f46d0fe,32'h3f4eee6c, 32'h3f40baec,32'h3f55047e, 32'h3f369623,32'h3f5f2947,// invsqrt(1.5923) = 0.7925 +32'h3fde66ab,32'h3f3e53f6,32'h3f4618b2, 32'h3f388069,32'h3f4bec3f, 32'h3f2eca7f,32'h3f55a229,// invsqrt(1.7375) = 0.7586 +32'h3f9e1a8e,32'h3f61bc4d,32'h3f6af302, 32'h3f5ad346,32'h3f71dc0a, 32'h3f4f4ee4,32'h3f7d606c,// invsqrt(1.2352) = 0.8998 +32'h40ccf4b0,32'h3ec6433d,32'h3ece5ae1, 32'h3ec03181,32'h3ed46c9d, 32'h3eb613f4,32'h3ede8a2a,// invsqrt(6.4049) = 0.3951 +32'h3fc08a63,32'h3f4c8e0e,32'h3f54e772, 32'h3f464b03,32'h3f5b2a7d, 32'h3f3bdb46,32'h3f659a3a,// invsqrt(1.5042) = 0.8153 +32'h407decc2,32'h3efbe72f,32'h3f0317a8, 32'h3ef43116,32'h3f06f2b5, 32'h3ee756ed,32'h3f0d5fca,// invsqrt(3.9676) = 0.5020 +32'h40307c03,32'h3f17141d,32'h3f1d3ebb, 32'h3f127427,32'h3f21deb1, 32'h3f0abee1,32'h3f2993f7,// invsqrt(2.7576) = 0.6022 +32'h3e95b9ae,32'h3fe7f72c,32'h3ff16ef9, 32'h3fe0dd51,32'h3ff888d3, 32'h3fd50790,32'h40022f4a,// invsqrt(0.2924) = 1.8492 +32'h3f6c8ae6,32'h3f827f4c,32'h3f87d2dc, 32'h3f7d0140,32'h3f8bd188, 32'h3f6fb057,32'h3f9279fc,// invsqrt(0.9240) = 1.0403 +32'h3f6c2fa5,32'h3f82987f,32'h3f87ed17, 32'h3f7d321b,32'h3f8bec88, 32'h3f6fdea1,32'h3f929646,// invsqrt(0.9226) = 1.0411 +32'h3fe036ca,32'h3f3d8e92,32'h3f454b40, 32'h3f37c111,32'h3f4b18c1, 32'h3f2e1538,32'h3f54c49a,// invsqrt(1.7517) = 0.7556 +32'h3fae5c99,32'h3f56f437,32'h3f5fba44, 32'h3f505fae,32'h3f664ece, 32'h3f45681e,32'h3f71465e,// invsqrt(1.3622) = 0.8568 +32'h3f0836aa,32'h3fabf7a2,32'h3fb2fc84, 32'h3fa6b3f8,32'h3fb8402e, 32'h3f9dedde,32'h3fc10648,// invsqrt(0.5321) = 1.3709 +32'h3f8b8df1,32'h3f704511,32'h3f7a13a3, 32'h3f68ea22,32'h3f80b749, 32'h3f5ca7eb,32'h3f86d865,// invsqrt(1.0903) = 0.9577 +32'h3f2effd1,32'h3f97b7e1,32'h3f9de92e, 32'h3f9312e7,32'h3fa28e27, 32'h3f8b5546,32'h3faa4bc8,// invsqrt(0.6836) = 1.2095 +32'h3ef1ec98,32'h3fb67c9d,32'h3fbdef69, 32'h3fb0e683,32'h3fc38583, 32'h3fa79703,32'h3fccd503,// invsqrt(0.4725) = 1.4548 +32'h3d8cdcdb,32'h406f26c5,32'h4078e9a8, 32'h4067d49a,32'h40801de9, 32'h405ba0fd,32'h408637b7,// invsqrt(0.0688) = 3.8130 +32'h401ad88c,32'h3f214a1f,32'h3f27df6f, 32'h3f1c5a23,32'h3f2ccf6b, 32'h3f141f80,32'h3f350a0e,// invsqrt(2.4195) = 0.6429 +32'h3eb47083,32'h3fd34d83,32'h3fdbed69, 32'h3fccd596,32'h3fe26556, 32'h3fc20db7,32'h3fed2d35,// invsqrt(0.3524) = 1.6845 +32'h3f54ce40,32'h3f899538,32'h3f8f32d2, 32'h3f855f05,32'h3f936905, 32'h3f7cb409,32'h3f9a6e06,// invsqrt(0.8313) = 1.0968 +32'h4016059a,32'h3f23dcb8,32'h3f2a8ce9, 32'h3f1ed892,32'h3f2f910e, 32'h3f167c55,32'h3f37ed4b,// invsqrt(2.3441) = 0.6531 +32'h40745202,32'h3f00673f,32'h3f05a4ee, 32'h3ef8f1f8,32'h3f099332, 32'h3eebd7c3,32'h3f10204c,// invsqrt(3.8175) = 0.5118 +32'h3e916eb0,32'h3feb5d3f,32'h3ff4f88f, 32'h3fe428c2,32'h3ffc2d0c, 32'h3fd8269d,32'h40041799,// invsqrt(0.2840) = 1.8763 +32'h3f013f93,32'h3fb08a49,32'h3fb7bef3, 32'h3fab22c9,32'h3fbd2673, 32'h3fa220f5,32'h3fc62847,// invsqrt(0.5049) = 1.4074 +32'h3fa0dcde,32'h3f5fca95,32'h3f68ecf9, 32'h3f58f0ca,32'h3f6fc6c4, 32'h3f4d85cd,32'h3f7b31c1,// invsqrt(1.2567) = 0.8920 +32'h3f20239e,32'h3f9e99fc,32'h3fa51336, 32'h3f99bf11,32'h3fa9ee21, 32'h3f91a78a,32'h3fb205a8,// invsqrt(0.6255) = 1.2644 +32'h4073460d,32'h3f00ade3,32'h3f05ee75, 32'h3ef97aed,32'h3f09dee1, 32'h3eec5982,32'h3f106f97,// invsqrt(3.8012) = 0.5129 +32'h4002949a,32'h3f2fa32a,32'h3f36ce66, 32'h3f2a42be,32'h3f3c2ed2, 32'h3f214cb4,32'h3f4524dc,// invsqrt(2.0403) = 0.7001 +32'h3fe76c75,32'h3f3a94b5,32'h3f423249, 32'h3f34de85,32'h3f47e879, 32'h3f2b598c,32'h3f516d72,// invsqrt(1.8080) = 0.7437 +32'h405a26ca,32'h3f07e2fe,32'h3f0d6ede, 32'h3f03ba15,32'h3f1197c7, 32'h3ef99679,32'h3f18869f,// invsqrt(3.4086) = 0.5416 +32'h3f0032ad,32'h3fb1430e,32'h3fb87f43, 32'h3fabd5e7,32'h3fbdec6b, 32'h3fa2caa5,32'h3fc6f7ad,// invsqrt(0.5008) = 1.4131 +32'h3f721d60,32'h3f80fca2,32'h3f86406a, 32'h3f7a1398,32'h3f8a3340, 32'h3f6cea24,32'h3f90c7fa,// invsqrt(0.9458) = 1.0283 +32'h413c3c84,32'h3e924958,32'h3e9841e3, 32'h3e8dceef,32'h3e9cbc4b, 32'h3e865840,32'h3ea432fa,// invsqrt(11.7648) = 0.2915 +32'h3fae31d4,32'h3f570e99,32'h3f5fd5b9, 32'h3f507941,32'h3f666b11, 32'h3f458058,32'h3f7163fa,// invsqrt(1.3609) = 0.8572 +32'h3f5f5534,32'h3f864d19,32'h3f8bc868, 32'h3f82309d,32'h3f8fe4e3, 32'h3f76acf3,32'h3f96bf06,// invsqrt(0.8724) = 1.0706 +32'h3f405e9a,32'h3f90b4da,32'h3f969ce2, 32'h3f8c46d3,32'h3f9b0ae9, 32'h3f84e4c8,32'h3fa26cf4,// invsqrt(0.7514) = 1.1536 +32'h3ede0771,32'h3fbe7cc2,32'h3fc64328, 32'h3fb8a7f6,32'h3fcc17f4, 32'h3faeeff6,32'h3fd5cff4,// invsqrt(0.4337) = 1.5186 +32'h3fa027d8,32'h3f6048eb,32'h3f697077, 32'h3f596b42,32'h3f704e20, 32'h3f4df9d2,32'h3f7bbf90,// invsqrt(1.2512) = 0.8940 +32'h4051c8a4,32'h3f0a91fe,32'h3f1039ea, 32'h3f06540e,32'h3f1477da, 32'h3efe8451,32'h3f1b89c0,// invsqrt(3.2779) = 0.5523 +32'h3ec63b28,32'h3fc998f6,32'h3fd1d374, 32'h3fc36d19,32'h3fd7ff51, 32'h3fb923fc,32'h3fe2486e,// invsqrt(0.3872) = 1.6071 +32'h3d985f07,32'h4065f153,32'h406f53fd, 32'h405ee753,32'h40765dfd, 32'h40532bfe,32'h40810ca9,// invsqrt(0.0744) = 3.6662 +32'h3f975091,32'h3f66be78,32'h3f702982, 32'h3f5fae30,32'h3f7739ca, 32'h3f53e864,32'h3f817fcb,// invsqrt(1.1821) = 0.9197 +32'h3cb116d2,32'h40d54ad0,32'h40ddff80, 32'h40cec34c,32'h40e48704, 32'h40c3e171,32'h40ef68df,// invsqrt(0.0216) = 6.8014 +32'h40412bed,32'h3f1067dd,32'h3f164cc2, 32'h3f0bfc32,32'h3f1ab86e, 32'h3f049e15,32'h3f22168b,// invsqrt(3.0183) = 0.5756 +32'h3e9764f5,32'h3fe6aeed,32'h3ff01955, 32'h3fdf9f1f,32'h3ff72923, 32'h3fd3da1e,32'h40017712,// invsqrt(0.2957) = 1.8390 +32'h3df17e78,32'h4036a634,32'h403e1ab3, 32'h40310ed4,32'h4043b212, 32'h4027bd34,32'h404d03b2,// invsqrt(0.1179) = 2.9121 +32'h3f868b24,32'h3f74b404,32'h3f7eb0ea, 32'h3f6d3657,32'h3f83174b, 32'h3f60ba38,32'h3f89555b,// invsqrt(1.0511) = 0.9754 +32'h3ef86016,32'h3fb419ed,32'h3fbb73cd, 32'h3fae9685,32'h3fc0f735, 32'h3fa5662d,32'h3fca278d,// invsqrt(0.4851) = 1.4358 +32'h3f978150,32'h3f669956,32'h3f7002dc, 32'h3f5f8a31,32'h3f771201, 32'h3f53c64a,32'h3f816af4,// invsqrt(1.1836) = 0.9192 +32'h3e385fc8,32'h4013cf8d,32'h4019d806, 32'h400f4933,32'h401e5e61, 32'h4007be9c,32'h4025e8f8,// invsqrt(0.1801) = 2.3567 +32'h3ee87e46,32'h3fba26b5,32'h3fc1bfcd, 32'h3fb473e4,32'h3fc7729e, 32'h3faaf487,32'h3fd0f1fb,// invsqrt(0.4541) = 1.4840 +32'h4051674c,32'h3f0ab230,32'h3f105b6b, 32'h3f067343,32'h3f149a57, 32'h3efebf71,32'h3f1bade2,// invsqrt(3.2719) = 0.5528 +32'h3f0c5bd4,32'h3fa968b1,32'h3fb052d7, 32'h3fa43914,32'h3fb58274, 32'h3f9b9464,32'h3fbe2724,// invsqrt(0.5483) = 1.3505 +32'h3f9396e6,32'h3f69a350,32'h3f732c98, 32'h3f627c5b,32'h3f7a538d, 32'h3f5690c2,32'h3f831f93,// invsqrt(1.1530) = 0.9313 +32'h3fb1148e,32'h3f554c2e,32'h3f5e00ec, 32'h3f4ec4a0,32'h3f64887a, 32'h3f43e2b2,32'h3f6f6a68,// invsqrt(1.3834) = 0.8502 +32'h3fea6343,32'h3f3965ba,32'h3f40f6f1, 32'h3f33b8d2,32'h3f46a3da, 32'h3f2a434d,32'h3f50195f,// invsqrt(1.8312) = 0.7390 +32'h3e5bd781,32'h40075d00,32'h400ce368, 32'h40033831,32'h40110837, 32'h3ff8a05e,32'h4017f039,// invsqrt(0.2147) = 2.1582 +32'h3ea32d21,32'h3fde32ff,32'h3fe744c1, 32'h3fd765ae,32'h3fee1212, 32'h3fcc0f7d,32'h3ff96843,// invsqrt(0.3187) = 1.7714 +32'h401e1ad3,32'h3f1f9e5d,32'h3f262237, 32'h3f1abb7a,32'h3f2b051a, 32'h3f1296a9,32'h3f3329eb,// invsqrt(2.4704) = 0.6362 +32'h3f2820e8,32'h3f9ac98c,32'h3fa11aea, 32'h3f960c85,32'h3fa5d7f1, 32'h3f8e26cf,32'h3fadbda7,// invsqrt(0.6568) = 1.2340 +32'h3e8be406,32'h3feffb19,32'h3ff9c6a7, 32'h3fe8a26e,32'h40008fa9, 32'h3fdc63fd,32'h4006aee2,// invsqrt(0.2732) = 1.9131 +32'h400a6222,32'h3f2a9d22,32'h3f3193df, 32'h3f256413,32'h3f36cced, 32'h3f1cafa6,32'h3f3f815a,// invsqrt(2.1622) = 0.6801 +32'h3fc72b1c,32'h3f491f61,32'h3f5154e7, 32'h3f42f73c,32'h3f577d0c, 32'h3f38b454,32'h3f61bff4,// invsqrt(1.5560) = 0.8017 +32'h3f910b59,32'h3f6badcb,32'h3f754c65, 32'h3f6476d7,32'h3f7c8359, 32'h3f587096,32'h3f8444cd,// invsqrt(1.1332) = 0.9394 +32'h3fae9cd8,32'h3f56cca8,32'h3f5f9118, 32'h3f503955,32'h3f66246b, 32'h3f4543ca,32'h3f7119f6,// invsqrt(1.3642) = 0.8562 +32'h3ebcdd78,32'h3fce8924,32'h3fd6f73b, 32'h3fc83694,32'h3fdd49cc, 32'h3fbdacf8,32'h3fe7d368,// invsqrt(0.3689) = 1.6465 +32'h3ef49c3c,32'h3fb57b67,32'h3fbce3b5, 32'h3fafed2d,32'h3fc271ef, 32'h3fa6aacd,32'h3fcbb44f,// invsqrt(0.4778) = 1.4468 +32'h3d823862,32'h4078bb68,32'h40817134, 32'h40711e29,32'h40853fd3, 32'h40646d6a,32'h408b9833,// invsqrt(0.0636) = 3.9658 +32'h3f78a641,32'h3f7e8fae,32'h3f8479cb, 32'h3f76c4c0,32'h3f885f42, 32'h3f69c7df,32'h3f8eddb3,// invsqrt(0.9713) = 1.0147 +32'h4041f647,32'h3f101c76,32'h3f15fe47, 32'h3f0bb31a,32'h3f1a67a4, 32'h3f0458d6,32'h3f21c1e8,// invsqrt(3.0307) = 0.5744 +32'h3fbcca81,32'h3f4e9384,32'h3f570206, 32'h3f4840a2,32'h3f5d54e8, 32'h3f3db67e,32'h3f67df0c,// invsqrt(1.4749) = 0.8234 +32'h3fcabf9b,32'h3f4756c8,32'h3f4f79ac, 32'h3f413c9e,32'h3f5593d6, 32'h3f371101,32'h3f5fbf73,// invsqrt(1.5840) = 0.7946 +32'h3f86b73a,32'h3f748bf7,32'h3f7e873b, 32'h3f6d0f85,32'h3f8301d7, 32'h3f609570,32'h3f893ee1,// invsqrt(1.0525) = 0.9748 +32'h3f03334b,32'h3faf38d2,32'h3fb65fb6, 32'h3fa9dba7,32'h3fbbbce1, 32'h3fa0eb0a,32'h3fc4ad7e,// invsqrt(0.5125) = 1.3969 +32'h3fa2cc33,32'h3f5e751b,32'h3f678990, 32'h3f57a5c5,32'h3f6e58e7, 32'h3f4c4c34,32'h3f79b278,// invsqrt(1.2719) = 0.8867 +32'h3fd872f6,32'h3f40ed54,32'h3f48cd38, 32'h3f3b0569,32'h3f4eb523, 32'h3f312d8c,32'h3f588d00,// invsqrt(1.6910) = 0.7690 +32'h3f93588b,32'h3f69d4bb,32'h3f736007, 32'h3f62ac43,32'h3f7a887f, 32'h3f56be24,32'h3f833b4f,// invsqrt(1.1511) = 0.9320 +32'h402df0ba,32'h3f182ded,32'h3f1e640c, 32'h3f138557,32'h3f230ca3, 32'h3f0bc1b0,32'h3f2ad04a,// invsqrt(2.7178) = 0.6066 +32'h3eee956b,32'h3fb7c287,32'h3fbf42a1, 32'h3fb22273,32'h3fc4e2b5, 32'h3fa8c252,32'h3fce42d6,// invsqrt(0.4660) = 1.4649 +32'h3ef7b1a9,32'h3fb4594c,32'h3fbbb5c2, 32'h3faed3f3,32'h3fc13b1b, 32'h3fa5a060,32'h3fca6eae,// invsqrt(0.4838) = 1.4377 +32'h3f106621,32'h3fa705aa,32'h3fadd6e0, 32'h3fa1e8c2,32'h3fb2f3c8, 32'h3f99633e,32'h3fbb794c,// invsqrt(0.5641) = 1.3315 +32'h3fc9f5f5,32'h3f47ba33,32'h3f4fe125, 32'h3f419cfd,32'h3f55fe5b, 32'h3f376c4e,32'h3f602f0a,// invsqrt(1.5778) = 0.7961 +32'h3eec860e,32'h3fb88ef1,32'h3fc01763, 32'h3fb2e89b,32'h3fc5bdb9, 32'h3fa97e0c,32'h3fcf2848,// invsqrt(0.4620) = 1.4713 +32'h4084172c,32'h3ef6f703,32'h3f0085c5, 32'h3eef679d,32'h3f044d78, 32'h3ee2cdf3,32'h3f0a9a4d,// invsqrt(4.1278) = 0.4922 +32'h3f807f7a,32'h3f7a64b7,32'h3f824e89, 32'h3f72ba73,32'h3f8623ab, 32'h3f65f401,32'h3f8c86e4,// invsqrt(1.0039) = 0.9981 +32'h3e9ed9bc,32'h3fe1344e,32'h3fea6576, 32'h3fda4f70,32'h3ff14a54, 32'h3fced1ff,32'h3ffcc7c5,// invsqrt(0.3103) = 1.7953 +32'h4355b000,32'h3d894c79,32'h3d8ee71a, 32'h3d85187f,32'h3d931b13, 32'h3d7c2e6a,32'h3d9a1c5d,// invsqrt(213.6875) = 0.0684 +32'h3f720be6,32'h3f81014a,32'h3f864542, 32'h3f7a1c9f,32'h3f8a383d, 32'h3f6cf2b1,32'h3f90cd33,// invsqrt(0.9455) = 1.0284 +32'h3f54e959,32'h3f898c77,32'h3f8f29b5, 32'h3f855688,32'h3f935fa4, 32'h3f7ca3f4,32'h3f9a6432,// invsqrt(0.8317) = 1.0965 +32'h408f2811,32'h3eed3a4d,32'h3ef6e917, 32'h3ee5f736,32'h3efe2c2e, 32'h3ed9dcba,32'h3f052355,// invsqrt(4.4736) = 0.4728 +32'h3ecac63c,32'h3fc75386,32'h3fcf7647, 32'h3fc13974,32'h3fd59058, 32'h3fb70e02,32'h3fdfbbca,// invsqrt(0.3960) = 1.5890 +32'h3db9f33c,32'h405025f4,32'h4058a4e4, 32'h4049c6c0,32'h405f0418, 32'h403f2814,32'h4069a2c4,// invsqrt(0.0908) = 3.3187 +32'h406f54bb,32'h3f01bc1d,32'h3f0707b6, 32'h3efb86d6,32'h3f0b0069, 32'h3eee49d8,32'h3f119ee8,// invsqrt(3.7395) = 0.5171 +32'h3f37642e,32'h3f9434cf,32'h3f9a416a, 32'h3f8fab5c,32'h3f9ecade, 32'h3f881b9a,32'h3fa65aa0,// invsqrt(0.7164) = 1.1815 +32'h3e29cc9f,32'h401a061d,32'h40204f82, 32'h40154f12,32'h4025068e, 32'h400d7355,32'h402ce24b,// invsqrt(0.1658) = 2.4557 +32'h3fc6e75b,32'h3f49419f,32'h3f51788b, 32'h3f43186e,32'h3f57a1bc, 32'h3f38d3c6,32'h3f61e664,// invsqrt(1.5539) = 0.8022 +32'h4006e47f,32'h3f2cceaa,32'h3f33dc52, 32'h3f27846b,32'h3f392691, 32'h3f1eb358,32'h3f41f7a4,// invsqrt(2.1077) = 0.6888 +32'h3f89c1ff,32'h3f71d4df,32'h3f7bb3c4, 32'h3f6a6db4,32'h3f818d78, 32'h3f5e1717,32'h3f87b8c7,// invsqrt(1.0762) = 0.9639 +32'h40cfbb38,32'h3ec4ef07,32'h3eccf8c9, 32'h3ebee7b6,32'h3ed3001a, 32'h3eb4db84,32'h3edd0c4c,// invsqrt(6.4916) = 0.3925 +32'h3f6c9316,32'h3f827d0a,32'h3f87d082, 32'h3f7cfcdf,32'h3f8bcf1d, 32'h3f6fac31,32'h3f927773,// invsqrt(0.9241) = 1.0402 +32'h3f86a363,32'h3f749dfb,32'h3f7e99fb, 32'h3f6d20fb,32'h3f830b7d, 32'h3f60a5fc,32'h3f8948fd,// invsqrt(1.0519) = 0.9750 +32'h3f6ab966,32'h3f830072,32'h3f885948, 32'h3f7dfba4,32'h3f8c5be8, 32'h3f709d8e,32'h3f930af3,// invsqrt(0.9169) = 1.0443 +32'h4008039d,32'h3f2c17e4,32'h3f331e18, 32'h3f26d33e,32'h3f3862be, 32'h3f1e0b7e,32'h3f412a7e,// invsqrt(2.1252) = 0.6860 +32'h3f60038a,32'h3f8618cc,32'h3f8b91f8, 32'h3f81fdea,32'h3f8facda, 32'h3f764ce4,32'h3f968452,// invsqrt(0.8751) = 1.0690 +32'h40360ace,32'h3f14c124,32'h3f1ad37a, 32'h3f103365,32'h3f1f6139, 32'h3f089c7a,32'h3f26f824,// invsqrt(2.8444) = 0.5929 +32'h3f6e05cf,32'h3f821744,32'h3f876695, 32'h3f7c378d,32'h3f8b6211, 32'h3f6ef142,32'h3f920537,// invsqrt(0.9298) = 1.0371 +32'h414aa0e1,32'h3e8cfee5,32'h3e92c028, 32'h3e88adf3,32'h3e97111b, 32'h3e817c60,32'h3e9e42ae,// invsqrt(12.6643) = 0.2810 +32'h3f5a435f,32'h3f87da18,32'h3f8d659c, 32'h3f83b176,32'h3f918e3e, 32'h3f798622,32'h3f987ca3,// invsqrt(0.8526) = 1.0830 +32'h3fc41a06,32'h3f4ab069,32'h3f52f64d, 32'h3f447bfd,32'h3f592ab9, 32'h3f3a249f,32'h3f638217,// invsqrt(1.5320) = 0.8079 +32'h3f35e50d,32'h3f94d093,32'h3f9ae389, 32'h3f90425a,32'h3f9f71c2, 32'h3f88aaa6,32'h3fa70976,// invsqrt(0.7105) = 1.1863 +32'h3fb787f3,32'h3f5183fb,32'h3f5a1134, 32'h3f4b1a0f,32'h3f607b1f, 32'h3f406988,32'h3f6b2ba6,// invsqrt(1.4338) = 0.8351 +32'h3f9a527b,32'h3f647c0b,32'h3f6dcf7a, 32'h3f5d7d79,32'h3f74ce0d, 32'h3f51d530,32'h3f803b2b,// invsqrt(1.2056) = 0.9107 +32'h40720afb,32'h3f010188,32'h3f064584, 32'h3efa1d18,32'h3f0a3880, 32'h3eecf324,32'h3f10cd7a,// invsqrt(3.7819) = 0.5142 +32'h3f2bc30b,32'h3f992433,32'h3f9f645f, 32'h3f947412,32'h3fa41480, 32'h3f8ca3db,32'h3fabe4b7,// invsqrt(0.6709) = 1.2208 +32'h404e8264,32'h3f0baa20,32'h3f115d7a, 32'h3f07639c,32'h3f15a3fe, 32'h3f00436c,32'h3f1cc42e,// invsqrt(3.2267) = 0.5567 +32'h401c6b2d,32'h3f207a03,32'h3f2706d5, 32'h3f1b9067,32'h3f2bf071, 32'h3f136061,32'h3f342077,// invsqrt(2.4440) = 0.6397 +32'h41176998,32'h3ea31ba4,32'h3ea9c3f4, 32'h3e9e1d68,32'h3eaec230, 32'h3e95cb04,32'h3eb71494,// invsqrt(9.4633) = 0.3251 +32'h3e6c79df,32'h400283ff,32'h4007d7c0, 32'h3ffd0a5b,32'h400bd691, 32'h3fefb8f8,32'h40127f42,// invsqrt(0.2309) = 2.0809 +32'h3d0206bc,32'h40b002e1,32'h40b73205, 32'h40aa9f87,32'h40bc955f, 32'h40a1a49b,32'h40c5904b,// invsqrt(0.0317) = 5.6126 +32'h4026bcc6,32'h3f1b6e82,32'h3f21c69c, 32'h3f16ac6e,32'h3f2688b0, 32'h3f0ebe4e,32'h3f2e76d0,// invsqrt(2.6053) = 0.6195 +32'h3cd91dbc,32'h40c0a166,32'h40c87e30, 32'h40babbce,32'h40ce63c8, 32'h40b0e7d0,32'h40d837c6,// invsqrt(0.0265) = 6.1426 +32'h3fc9f4c9,32'h3f47bac7,32'h3f4fe1c0, 32'h3f419d8d,32'h3f55fefb, 32'h3f376cd7,32'h3f602fb1,// invsqrt(1.5778) = 0.7961 +32'h3eb82eb9,32'h3fd1250a,32'h3fd9ae64, 32'h3fcabe07,32'h3fe01567, 32'h3fc01258,32'h3feac116,// invsqrt(0.3597) = 1.6673 +32'h3f03d426,32'h3faecdca,32'h3fb5f050, 32'h3fa973e6,32'h3fbb4a34, 32'h3fa088bf,32'h3fc4355b,// invsqrt(0.5150) = 1.3935 +32'h3df3ac18,32'h4035d4be,32'h403d40b1, 32'h403043c8,32'h4042d1a8, 32'h4026fcd9,32'h404c1897,// invsqrt(0.1190) = 2.8991 +32'h3fc66138,32'h3f49859e,32'h3f51bf51, 32'h3f435a58,32'h3f57ea98, 32'h3f391239,32'h3f6232b7,// invsqrt(1.5498) = 0.8033 +32'h3eb2b55c,32'h3fd452df,32'h3fdcfd6f, 32'h3fcdd2f2,32'h3fe37d5c, 32'h3fc2fdbd,32'h3fee5291,// invsqrt(0.3490) = 1.6926 +32'h3ef355ad,32'h3fb5f505,32'h3fbd6249, 32'h3fb06312,32'h3fc2f43c, 32'h3fa71a7d,32'h3fcc3cd1,// invsqrt(0.4753) = 1.4506 +32'h3f555ac7,32'h3f8967e2,32'h3f8f03a2, 32'h3f853312,32'h3f933872, 32'h3f7c60c4,32'h3f9a3b22,// invsqrt(0.8334) = 1.0954 +32'h3f8ef8bb,32'h3f6d6190,32'h3f7711f4, 32'h3f661d45,32'h3f7e563f, 32'h3f5a00c8,32'h3f85395e,// invsqrt(1.1170) = 0.9462 +32'h3e935de0,32'h3fe9d080,32'h3ff35b9f, 32'h3fe2a828,32'h3ffa83f6, 32'h3fd6ba41,32'h400338ef,// invsqrt(0.2878) = 1.8640 +32'h3f4d92e7,32'h3f8bfb63,32'h3f91b20e, 32'h3f87b262,32'h3f95fb0e, 32'h3f808e0c,32'h3f9d1f64,// invsqrt(0.8030) = 1.1159 +32'h3fac913f,32'h3f581191,32'h3f60e343, 32'h3f51744b,32'h3f678089, 32'h3f466e2c,32'h3f7286a8,// invsqrt(1.3482) = 0.8612 +32'h3de9fe5a,32'h40398db0,32'h40412088, 32'h4033df8e,32'h4046ceaa, 32'h402a6800,32'h40504638,// invsqrt(0.1143) = 2.9584 +32'h4088301b,32'h3ef338ac,32'h3efd2616, 32'h3eebc69c,32'h3f024c13, 32'h3edf5dd7,32'h3f088075,// invsqrt(4.2559) = 0.4847 +32'h3fa4f2ef,32'h3f5d0084,32'h3f6605c3, 32'h3f563c95,32'h3f6cc9b3, 32'h3f4af607,32'h3f781041,// invsqrt(1.2887) = 0.8809 +32'h3ed0b800,32'h3fc477a1,32'h3fcc7c83, 32'h3fbe73f8,32'h3fd2802c, 32'h3fb46ddd,32'h3fdc8647,// invsqrt(0.4077) = 1.5662 +32'h403e1a81,32'h3f1190fb,32'h3f178201, 32'h3f0d1c38,32'h3f1bf6c4, 32'h3f05aef1,32'h3f23640b,// invsqrt(2.9704) = 0.5802 +32'h3fd6f856,32'h3f4196f0,32'h3f497dc1, 32'h3f3ba9d5,32'h3f4f6add, 32'h3f31c950,32'h3f594b62,// invsqrt(1.6795) = 0.7716 +32'h3fa467ff,32'h3f5d5dd2,32'h3f6666e0, 32'h3f569708,32'h3f6d2daa, 32'h3f4b4bb6,32'h3f7878fc,// invsqrt(1.2844) = 0.8824 +32'h4027196e,32'h3f1b4364,32'h3f2199bc, 32'h3f1682a2,32'h3f265a7e, 32'h3f0e96b5,32'h3f2e466b,// invsqrt(2.6109) = 0.6189 +32'h4030c506,32'h3f16f4e6,32'h3f1d1e3e, 32'h3f1255e4,32'h3f21bd40, 32'h3f0aa237,32'h3f2970ed,// invsqrt(2.7620) = 0.6017 +32'h3e801684,32'h3ffacb3a,32'h400283e2, 32'h3ff31dd2,32'h40065a96, 32'h3fe65226,32'h400cc06c,// invsqrt(0.2502) = 1.9993 +32'h3fa59b49,32'h3f5c9012,32'h3f6590ba, 32'h3f55cf94,32'h3f6c5138, 32'h3f4a8ec2,32'h3f77920a,// invsqrt(1.2938) = 0.8792 +32'h41036036,32'h3eaf1adb,32'h3eb64085, 32'h3ea9be9a,32'h3ebb9cc6, 32'h3ea0cf85,32'h3ec48bdb,// invsqrt(8.2110) = 0.3490 +32'h3e981b10,32'h3fe624ad,32'h3fef8970, 32'h3fdf191a,32'h3ff69502, 32'h3fd35b26,32'h4001297b,// invsqrt(0.2971) = 1.8347 +32'h40689956,32'h3f03994f,32'h3f08f862, 32'h3eff2403,32'h3f0cffb1, 32'h3ef1b653,32'h3f13b688,// invsqrt(3.6344) = 0.5245 +32'h400c1371,32'h3f299471,32'h3f308061, 32'h3f24637d,32'h3f35b155, 32'h3f1bbc92,32'h3f3e5840,// invsqrt(2.1887) = 0.6759 +32'h3f5a0027,32'h3f87ef08,32'h3f8d7b66, 32'h3f83c5c1,32'h3f91a4ad, 32'h3f79ac96,32'h3f989423,// invsqrt(0.8516) = 1.0837 +32'h3fa9a32a,32'h3f59ed37,32'h3f62d253, 32'h3f534162,32'h3f697e28, 32'h3f4822fe,32'h3f749c8c,// invsqrt(1.3253) = 0.8686 +32'h3de3c094,32'h403c1428,32'h4043c163, 32'h4036523b,32'h4049834f, 32'h402cb9b1,32'h40531bd9,// invsqrt(0.1112) = 2.9987 +32'h3f538e66,32'h3f89fd13,32'h3f8f9ee9, 32'h3f85c3b1,32'h3f93d84b, 32'h3f7d72c9,32'h3f9ae297,// invsqrt(0.8264) = 1.1000 +32'h3f36223d,32'h3f94b792,32'h3f9ac983, 32'h3f902a1d,32'h3f9f56f7, 32'h3f8893af,32'h3fa6ed65,// invsqrt(0.7115) = 1.1856 +32'h3f88b4f0,32'h3f72c266,32'h3f7caafc, 32'h3f6b53f5,32'h3f820cb7, 32'h3f5ef139,32'h3f883e15,// invsqrt(1.0680) = 0.9676 +32'h3f6c38ae,32'h3f829600,32'h3f87ea7e, 32'h3f7d2d44,32'h3f8be9dc, 32'h3f6fda0b,32'h3f929378,// invsqrt(0.9227) = 1.0410 +32'h3fa509a6,32'h3f5cf14e,32'h3f65f5ee, 32'h3f562dd6,32'h3f6cb966, 32'h3f4ae80e,32'h3f77ff2e,// invsqrt(1.2894) = 0.8807 +32'h3f63e56a,32'h3f84f323,32'h3f8a6053, 32'h3f80e13e,32'h3f8e7238, 32'h3f743184,32'h3f953ab4,// invsqrt(0.8902) = 1.0599 +32'h43332800,32'h3d95f2a2,32'h3d9c116f, 32'h3d915b88,32'h3da0a888, 32'h3d89b507,32'h3da84f09,// invsqrt(179.1563) = 0.0747 +32'h3e6a64c8,32'h40031816,32'h400871e2, 32'h3ffe2978,32'h400c753c, 32'h3ff0c8f9,32'h4013257c,// invsqrt(0.2289) = 2.0901 +32'h3fcfdbd1,32'h3f44df95,32'h3f4ce8b5, 32'h3f3ed8bd,32'h3f52ef8d, 32'h3f34cd54,32'h3f5cfaf6,// invsqrt(1.6239) = 0.7847 +32'h40609a7a,32'h3f05ebb6,32'h3f0b630c, 32'h3f01d236,32'h3f0f7c8c, 32'h3ef5fa15,32'h3f1651b8,// invsqrt(3.5094) = 0.5338 +32'h3ee610c3,32'h3fbb217d,32'h3fc2c4d1, 32'h3fb566ff,32'h3fc87f4f, 32'h3fabdad6,32'h3fd20b78,// invsqrt(0.4493) = 1.4918 +32'h40bedde3,32'h3ecd732b,32'h3ed5d5e9, 32'h3ec7291d,32'h3edc1ff7, 32'h3ebcadaf,32'h3ee69b65,// invsqrt(5.9646) = 0.4095 +32'h40b11d61,32'h3ed546dd,32'h3eddfb64, 32'h3ecebf79,32'h3ee482c9, 32'h3ec3ddd1,32'h3eef6471,// invsqrt(5.5348) = 0.4251 +32'h3f803e07,32'h3f7aa494,32'h3f826fc6, 32'h3f72f85c,32'h3f8645e2, 32'h3f662ea8,32'h3f8caabc,// invsqrt(1.0019) = 0.9991 +32'h3ee69605,32'h3fbaeb63,32'h3fc28c81, 32'h3fb5328c,32'h3fc84558, 32'h3faba927,32'h3fd1cebd,// invsqrt(0.4504) = 1.4901 +32'h3f6607af,32'h3f8454e8,32'h3f89bba4, 32'h3f8047dc,32'h3f8dc8b0, 32'h3f730ee4,32'h3f94891a,// invsqrt(0.8986) = 1.0549 +32'h3f2072da,32'h3f9e72ce,32'h3fa4ea6e, 32'h3f999916,32'h3fa9c426, 32'h3f91838e,32'h3fb1d9ae,// invsqrt(0.6268) = 1.2631 +32'h4046dae6,32'h3f0e53bf,32'h3f1422eb, 32'h3f09f85e,32'h3f187e4c, 32'h3f02b566,32'h3f1fc144,// invsqrt(3.1071) = 0.5673 +32'h40a13470,32'h3edf8dc4,32'h3ee8adac, 32'h3ed8b5d5,32'h3eef859b, 32'h3ecd4df2,32'h3efaed7e,// invsqrt(5.0377) = 0.4455 +32'h3ed72c18,32'h3fc17fa6,32'h3fc96584, 32'h3fbb9341,32'h3fcf51e9, 32'h3fb1b3ec,32'h3fd9313e,// invsqrt(0.4203) = 1.5426 +32'h3f84bd6b,32'h3f765c2b,32'h3f803530, 32'h3f6ed182,32'h3f83fa85, 32'h3f623fbf,32'h3f8a4366,// invsqrt(1.0370) = 0.9820 +32'h4071f70e,32'h3f0106d8,32'h3f064b0a, 32'h3efa2764,32'h3f0a3e30, 32'h3eecfce5,32'h3f10d370,// invsqrt(3.7807) = 0.5143 +32'h3f20bf54,32'h3f9e4d18,32'h3fa4c32e, 32'h3f997488,32'h3fa99bbe, 32'h3f9160ec,32'h3fb1af5a,// invsqrt(0.6279) = 1.2620 +32'h3f8d61ff,32'h3f6eb60f,32'h3f787459, 32'h3f676758,32'h3f7fc310, 32'h3f5b397c,32'h3f85f876,// invsqrt(1.1046) = 0.9515 +32'h3f81b83d,32'h3f793625,32'h3f81b113, 32'h3f719524,32'h3f858194, 32'h3f64de22,32'h3f8bdd15,// invsqrt(1.0134) = 0.9933 +32'h3f3b297f,32'h3f92b4ab,32'h3f98b197, 32'h3f8e36f9,32'h3f9d2f49, 32'h3f86bad1,32'h3fa4ab71,// invsqrt(0.7311) = 1.1695 +32'h4002acb6,32'h3f2f92f6,32'h3f36bd88, 32'h3f2a3309,32'h3f3c1d75, 32'h3f213dd2,32'h3f4512ac,// invsqrt(2.0418) = 0.6998 +32'h4000d4c4,32'h3f30d368,32'h3f380b0e, 32'h3f2b69ab,32'h3f3d74cb, 32'h3f22641c,32'h3f467a5b,// invsqrt(2.0130) = 0.7048 +32'h3ff8b58d,32'h3f33faf9,32'h3f3b5395, 32'h3f2e7883,32'h3f40d60b, 32'h3f2549c0,32'h3f4a04ce,// invsqrt(1.9430) = 0.7174 +32'h3f1840b8,32'h3fa2a840,32'h3fa94bda, 32'h3f9dad8c,32'h3fae468e, 32'h3f95610c,32'h3fb6930e,// invsqrt(0.5947) = 1.2967 +32'h3ac03975,32'h41ccb919,32'h41d5143f, 32'h41c674bd,32'h41db589b, 32'h41bc02ce,32'h41e5ca8a,// invsqrt(0.0015) = 26.1126 +32'h3f8c71a6,32'h3f6f81fa,32'h3f794896, 32'h3f682d04,32'h3f804ec6, 32'h3f5bf4c1,32'h3f866ae8,// invsqrt(1.0972) = 0.9547 +32'h406665c5,32'h3f0439e0,32'h3f099f80, 32'h3f002da7,32'h3f0dabb9, 32'h3ef2dd3d,32'h3f146ac2,// invsqrt(3.6000) = 0.5270 +32'h3f82b1a4,32'h3f7847eb,32'h3f81351a, 32'h3f70ae35,32'h3f8501f5, 32'h3f64035a,32'h3f8b5762,// invsqrt(1.0210) = 0.9896 +32'h3ecec8e1,32'h3fc5624b,32'h3fcd70c1, 32'h3fbf5772,32'h3fd37b9a, 32'h3fb5455f,32'h3fdd8dad,// invsqrt(0.4039) = 1.5735 +32'h3f7099b4,32'h3f816462,32'h3f86ac66, 32'h3f7adcbe,32'h3f8aa269, 32'h3f6da8b4,32'h3f913c6e,// invsqrt(0.9398) = 1.0315 +32'h3fa57f36,32'h3f5ca2c7,32'h3f65a432, 32'h3f55e1b6,32'h3f6c6542, 32'h3f4a9ff0,32'h3f77a708,// invsqrt(1.2929) = 0.8794 +32'h3e025efd,32'h402fc744,32'h4036f3f8, 32'h402a65bc,32'h403c5580, 32'h40216ddb,32'h40454d61,// invsqrt(0.1273) = 2.8026 +32'h3f0991dc,32'h3fab1e17,32'h3fb21a18, 32'h3fa5e117,32'h3fb75719, 32'h3f9d2616,32'h3fc0121a,// invsqrt(0.5374) = 1.3641 +32'h3fc1c284,32'h3f4be909,32'h3f543bb1, 32'h3f45ab0c,32'h3f5a79ae, 32'h3f3b43ba,32'h3f64e100,// invsqrt(1.5137) = 0.8128 +32'h3f3d93c5,32'h3f91c4ac,32'h3f97b7ce, 32'h3f8d4e54,32'h3f9c2e26, 32'h3f85de6a,32'h3fa39e10,// invsqrt(0.7405) = 1.1621 +32'h3f344c2c,32'h3f9578f2,32'h3f9b92c8, 32'h3f90e592,32'h3fa02628, 32'h3f894547,32'h3fa7c673,// invsqrt(0.7043) = 1.1916 +32'h3f1c7723,32'h3fa073e1,32'h3fa70073, 32'h3f9b8a75,32'h3fabe9df, 32'h3f935abf,32'h3fb41995,// invsqrt(0.6112) = 1.2791 +32'h3fa57248,32'h3f5cab66,32'h3f65ad2b, 32'h3f55ea11,32'h3f6c6e7f, 32'h3f4aa7da,32'h3f77b0b6,// invsqrt(1.2926) = 0.8796 +32'h3ddf0bcb,32'h403e0d75,32'h4045cf50, 32'h40383c11,32'h404ba0b5, 32'h402e89c0,32'h40555307,// invsqrt(0.1089) = 3.0302 +32'h402046e6,32'h3f1e8886,32'h3f250109, 32'h3f19ae24,32'h3f29db6c, 32'h3f119781,32'h3f31f20f,// invsqrt(2.5043) = 0.6319 +32'h3e34b75c,32'h40154c97,32'h401b649d, 32'h4010ba92,32'h401ff6a2, 32'h40091c8b,32'h402794a9,// invsqrt(0.1765) = 2.3804 +32'h40299bae,32'h3f1a1c55,32'h3f2066a1, 32'h3f15649b,32'h3f251e5b, 32'h3f0d87bc,32'h3f2cfb3a,// invsqrt(2.6501) = 0.6143 +32'h3ea1d237,32'h3fdf20ad,32'h3fe83c22, 32'h3fd84c16,32'h3fef10ba, 32'h3fcce9c4,32'h3ffa730c,// invsqrt(0.3161) = 1.7788 +32'h3eb1b6d3,32'h3fd4eab6,32'h3fdd9b7a, 32'h3fce6624,32'h3fe4200c, 32'h3fc3892f,32'h3feefd01,// invsqrt(0.3471) = 1.6974 +32'h3ef2e9b6,32'h3fb61d70,32'h3fbd8c5b, 32'h3fb08a41,32'h3fc31f8b, 32'h3fa73f9c,32'h3fcc6a30,// invsqrt(0.4744) = 1.4518 +32'h3fc5a0f2,32'h3f49e78e,32'h3f522540, 32'h3f43b948,32'h3f585386, 32'h3f396c2a,32'h3f62a0a5,// invsqrt(1.5440) = 0.8048 +32'h40174eca,32'h3f232a16,32'h3f29d2fd, 32'h3f1e2b6a,32'h3f2ed1aa, 32'h3f15d849,32'h3f3724cb,// invsqrt(2.3642) = 0.6504 +32'h3fcfae2e,32'h3f44f535,32'h3f4cff37, 32'h3f3eedb3,32'h3f5306b9, 32'h3f34e131,32'h3f5d133b,// invsqrt(1.6225) = 0.7851 +32'h4094fdca,32'h3ee88941,32'h3ef20705, 32'h3ee16aee,32'h3ef92558, 32'h3ed58db9,32'h3f028146,// invsqrt(4.6560) = 0.4634 +32'h3f3ac819,32'h3f92dae6,32'h3f98d962, 32'h3f8e5c09,32'h3f9d583f, 32'h3f86dded,32'h3fa4d65b,// invsqrt(0.7296) = 1.1707 +32'h3f7d7d90,32'h3f7c1e68,32'h3f833466, 32'h3f74669f,32'h3f87104a, 32'h3f6789a4,32'h3f8d7ec8,// invsqrt(0.9902) = 1.0049 +32'h3f3d0927,32'h3f91fa14,32'h3f97ef64, 32'h3f8d8219,32'h3f9c675f, 32'h3f860f76,32'h3fa3da02,// invsqrt(0.7384) = 1.1637 +32'h3fcfc4b7,32'h3f44ea87,32'h3f4cf419, 32'h3f3ee359,32'h3f52fb47, 32'h3f34d762,32'h3f5d073e,// invsqrt(1.6232) = 0.7849 +32'h40949d64,32'h3ee8d4a0,32'h3ef25577, 32'h3ee1b3fe,32'h3ef97618, 32'h3ed5d2f0,32'h3f02ab93,// invsqrt(4.6442) = 0.4640 +32'h3e31c8e0,32'h4016866c,32'h401cab42, 32'h4011eacc,32'h402146e2, 32'h400a3cc2,32'h4028f4ec,// invsqrt(0.1736) = 2.4000 +32'h3e857f81,32'h3ff5a8d4,32'h3fffafb8, 32'h3fee23a9,32'h40039a72, 32'h3fe19b0c,32'h4009dec0,// invsqrt(0.2607) = 1.9584 +32'h3ff49022,32'h3f357fe5,32'h3f3ce861, 32'h3f2ff188,32'h3f4276be, 32'h3f26aeec,32'h3f4bb95a,// invsqrt(1.9106) = 0.7235 +32'h4026bbe0,32'h3f1b6eed,32'h3f21c70c, 32'h3f16acd7,32'h3f268923, 32'h3f0ebeb0,32'h3f2e774a,// invsqrt(2.6052) = 0.6196 +32'h407ac38c,32'h3efd7c5a,32'h3f03ea83, 32'h3ef5b9da,32'h3f07cbc3, 32'h3ee8cb05,32'h3f0e432e,// invsqrt(3.9182) = 0.5052 +32'h3f82e20e,32'h3f7819fb,32'h3f811d32, 32'h3f7081ad,32'h3f84e959, 32'h3f63d92a,32'h3f8b3d9a,// invsqrt(1.0225) = 0.9889 +32'h3f39f4e0,32'h3f932e36,32'h3f993018, 32'h3f8eaccb,32'h3f9db183, 32'h3f872a70,32'h3fa533de,// invsqrt(0.7264) = 1.1733 +32'h40829e85,32'h3ef85a16,32'h3f013e8e, 32'h3ef0bfd1,32'h3f050bb0, 32'h3ee4140a,32'h3f0b6194,// invsqrt(4.0819) = 0.4950 +32'h3d9e46ff,32'h40619c9a,32'h406ad204, 32'h405ab48b,32'h4071ba13, 32'h404f31c7,32'h407d3cd7,// invsqrt(0.0773) = 3.5971 +32'h3f98e6ad,32'h3f658b3d,32'h3f6ee9bd, 32'h3f5e845d,32'h3f75f09d, 32'h3f52ce3d,32'h3f80d35e,// invsqrt(1.1945) = 0.9150 +32'h3f5fb5a1,32'h3f863024,32'h3f8baa44, 32'h3f82148b,32'h3f8fc5dd, 32'h3f7677c4,32'h3f969e86,// invsqrt(0.8739) = 1.0697 +32'h412b0b6b,32'h3e997651,32'h3e9fb9d7, 32'h3e94c3ad,32'h3ea46c7b, 32'h3e8cef45,32'h3eac40e3,// invsqrt(10.6903) = 0.3058 +32'h3fde51a4,32'h3f3e5cf6,32'h3f462210, 32'h3f388923,32'h3f4bf5e3, 32'h3f2ed2c3,32'h3f55ac43,// invsqrt(1.7369) = 0.7588 +32'h3fb0db17,32'h3f556ed2,32'h3f5e24fa, 32'h3f4ee634,32'h3f64ad98, 32'h3f440282,32'h3f6f914a,// invsqrt(1.3817) = 0.8507 +32'h3f6dc112,32'h3f822a11,32'h3f877a27, 32'h3f7c5c02,32'h3f8b7637, 32'h3f6f13cc,32'h3f921a52,// invsqrt(0.9287) = 1.0377 +32'h3f1be5ca,32'h3fa0be9c,32'h3fa74e3a, 32'h3f9bd2e6,32'h3fac39f0, 32'h3f939f60,32'h3fb46d76,// invsqrt(0.6090) = 1.2814 +32'h40299da8,32'h3f1a1b6f,32'h3f2065b2, 32'h3f1563bc,32'h3f251d64, 32'h3f0d86e8,32'h3f2cfa38,// invsqrt(2.6502) = 0.6143 +32'h3ffa0882,32'h3f3380d1,32'h3f3ad471, 32'h3f2e0219,32'h3f405329, 32'h3f24d991,32'h3f497bb1,// invsqrt(1.9534) = 0.7155 +32'h3fe2cf08,32'h3f3c7833,32'h3f442984, 32'h3f36b337,32'h3f49ee81, 32'h3f2d1593,32'h3f538c25,// invsqrt(1.7719) = 0.7512 +32'h422cbc3c,32'h3e18b595,32'h3e1ef13d, 32'h3e1408d7,32'h3e239dfb, 32'h3e0c3e45,32'h3e2b688d,// invsqrt(43.1838) = 0.1522 +32'h3f75013a,32'h3f80394d,32'h3f85751b, 32'h3f7898e3,32'h3f8961f7, 32'h3f6b835e,32'h3f8fecb9,// invsqrt(0.9570) = 1.0222 +32'h3f448cd4,32'h3f8f28c4,32'h3f9500a2, 32'h3f8ac6dd,32'h3f996289, 32'h3f837908,32'h3fa0b05e,// invsqrt(0.7678) = 1.1413 +32'h3f9ba036,32'h3f63868b,32'h3f6ccff4, 32'h3f5c8f7d,32'h3f73c703, 32'h3f50f3ba,32'h3f7f62c6,// invsqrt(1.2158) = 0.9069 +32'h3e18d91f,32'h40225715,32'h4028f75f, 32'h401d5ede,32'h402def96, 32'h40151681,32'h403637f3,// invsqrt(0.1493) = 2.5883 +32'h3f24fc7e,32'h3f9c411c,32'h3fa2a1cf, 32'h3f977896,32'h3fa76a56, 32'h3f8f7fb7,32'h3faf6335,// invsqrt(0.6445) = 1.2457 +32'h3e109a25,32'h4026e79d,32'h402db799, 32'h4021cba0,32'h4032d396, 32'h401947a5,32'h403b5791,// invsqrt(0.1412) = 2.6611 +32'h40ba32c8,32'h3ed0026c,32'h3ed87fe9, 32'h3ec9a44f,32'h3edede07, 32'h3ebf0774,32'h3ee97ae3,// invsqrt(5.8187) = 0.4146 +32'h3ff1d4f5,32'h3f368588,32'h3f3df8b2, 32'h3f30ef28,32'h3f438f12, 32'h3f279f34,32'h3f4cdf06,// invsqrt(1.8893) = 0.7275 +32'h3ffcb3ab,32'h3f328d89,32'h3f39d73b, 32'h3f2d1644,32'h3f3f4e80, 32'h3f23fa25,32'h3f486a9f,// invsqrt(1.9742) = 0.7117 +32'h3f9a5d5f,32'h3f6473fc,32'h3f6dc716, 32'h3f5d75a9,32'h3f74c569, 32'h3f51cdc8,32'h3f8036a5,// invsqrt(1.2060) = 0.9106 +32'h3fe2f3a2,32'h3f3c6900,32'h3f4419b2, 32'h3f36a47b,32'h3f49de37, 32'h3f2d079d,32'h3f537b15,// invsqrt(1.7731) = 0.7510 +32'h3f35745a,32'h3f94fec3,32'h3f9b139b, 32'h3f906f20,32'h3f9fa33e, 32'h3f88d511,32'h3fa73d4d,// invsqrt(0.7088) = 1.1878 +32'h3f7c4433,32'h3f7cbacf,32'h3f8385ca, 32'h3f74fe3c,32'h3f876414, 32'h3f681947,32'h3f8dd68f,// invsqrt(0.9854) = 1.0074 +32'h3f437cc0,32'h3f8f8c41,32'h3f95682f, 32'h3f8b274f,32'h3f99cd21, 32'h3f83d466,32'h3fa1200a,// invsqrt(0.7636) = 1.1444 +32'h40c65943,32'h3ec989a9,32'h3ed1c386, 32'h3ec35e43,32'h3ed7eeeb, 32'h3eb915ee,32'h3ee23740,// invsqrt(6.1984) = 0.4017 +32'h3f7fc578,32'h3f7afdfb,32'h3f829e4c, 32'h3f734f06,32'h3f8675c7, 32'h3f6680c3,32'h3f8cdce9,// invsqrt(0.9991) = 1.0004 +32'h40032bac,32'h3f2f3de9,32'h3f366502, 32'h3f29e096,32'h3f3bc254, 32'h3f20efb6,32'h3f44b334,// invsqrt(2.0495) = 0.6985 +32'h3ee28100,32'h3fbc98a7,32'h3fc44b4b, 32'h3fb6d2ad,32'h3fca1145, 32'h3fad3360,32'h3fd3b092,// invsqrt(0.4424) = 1.5035 +32'h3ff07777,32'h3f3709fb,32'h3f3e828d, 32'h3f316f8d,32'h3f441cfb, 32'h3f2818d7,32'h3f4d73b1,// invsqrt(1.8786) = 0.7296 +32'h3f344c73,32'h3f9578d4,32'h3f9b92a9, 32'h3f90e575,32'h3fa02609, 32'h3f89452c,32'h3fa7c652,// invsqrt(0.7043) = 1.1916 +32'h406aea5e,32'h3f02f2ca,32'h3f084b11, 32'h3efde12b,32'h3f0c4d47, 32'h3ef08479,32'h3f12fb9f,// invsqrt(3.6706) = 0.5220 +32'h3f9ae767,32'h3f640e1c,32'h3f6d5d0e, 32'h3f5d12e7,32'h3f745843, 32'h3f517039,32'h3f7ffaf1,// invsqrt(1.2102) = 0.9090 +32'h4162b574,32'h3e854c26,32'h3e8abcf8, 32'h3e813788,32'h3e8ed196, 32'h3e74d501,32'h3e959e9d,// invsqrt(14.1693) = 0.2657 +32'h3f9c9fe9,32'h3f62cc86,32'h3f6c0e57, 32'h3f5bdb28,32'h3f72ffb4, 32'h3f5048e3,32'h3f7e91f9,// invsqrt(1.2236) = 0.9040 +32'h3f9b52ef,32'h3f63bf1e,32'h3f6d0ad6, 32'h3f5cc654,32'h3f7403a0, 32'h3f5127ae,32'h3f7fa246,// invsqrt(1.2135) = 0.9078 +32'h3f0ebccd,32'h3fa7fdcb,32'h3faed921, 32'h3fa2d94a,32'h3fb3fda2, 32'h3f9a471d,32'h3fbc8fcf,// invsqrt(0.5576) = 1.3392 +32'h3e125001,32'h4025ed24,32'h402cb2e6, 32'h4020d8d2,32'h4031c738, 32'h4018619e,32'h403a3e6c,// invsqrt(0.1429) = 2.6455 +32'h3f5483ac,32'h3f89ad5a,32'h3f8f4bf0, 32'h3f85766a,32'h3f9382e0, 32'h3f7ce05c,32'h3f9a891c,// invsqrt(0.8301) = 1.0976 +32'h3cd9c882,32'h40c055d1,32'h40c82f85, 32'h40ba7289,32'h40ce12cd, 32'h40b0a267,32'h40d7e2ef,// invsqrt(0.0266) = 6.1331 +32'h3e936ff6,32'h3fe9c228,32'h3ff34cb2, 32'h3fe29a41,32'h3ffa7499, 32'h3fd6ad15,32'h400330e2,// invsqrt(0.2880) = 1.8635 +32'h3f420791,32'h3f90160b,32'h3f95f798, 32'h3f8bace0,32'h3f9a60c2, 32'h3f8452ef,32'h3fa1bab3,// invsqrt(0.7579) = 1.1486 +32'h3fa395a4,32'h3f5debf9,32'h3f66fad5, 32'h3f5720d5,32'h3f6dc5f9, 32'h3f4bce43,32'h3f79188b,// invsqrt(1.2780) = 0.8846 +32'h3fc2626d,32'h3f4b9518,32'h3f53e453, 32'h3f4559ad,32'h3f5a1fbf, 32'h3f3af6a4,32'h3f6482c8,// invsqrt(1.5186) = 0.8115 +32'h40ad3ede,32'h3ed7a531,32'h3ee07277, 32'h3ed10b3d,32'h3ee70c6b, 32'h3ec60aa5,32'h3ef20d03,// invsqrt(5.4139) = 0.4298 +32'h3fab0368,32'h3f590c53,32'h3f61e841, 32'h3f526760,32'h3f688d34, 32'h3f475476,32'h3f73a01e,// invsqrt(1.3360) = 0.8651 +32'h3f3bf706,32'h3f926460,32'h3f985e06, 32'h3f8de924,32'h3f9cd942, 32'h3f867114,32'h3fa45152,// invsqrt(0.7342) = 1.1670 +32'h3f045c1a,32'h3fae73ed,32'h3fb592c7, 32'h3fa91cc9,32'h3fbae9eb, 32'h3fa03637,32'h3fc3d07d,// invsqrt(0.5170) = 1.3907 +32'h3fcbb9fd,32'h3f46dc23,32'h3f4efa05, 32'h3f40c5b9,32'h3f55106f, 32'h3f36a05f,32'h3f5f35c9,// invsqrt(1.5916) = 0.7926 +32'h3f7b025e,32'h3f7d5ca0,32'h3f83da00, 32'h3f759b19,32'h3f87bac4, 32'h3f68ade2,32'h3f8e315f,// invsqrt(0.9805) = 1.0099 +32'h3d5a4605,32'h4087d945,32'h408d64bf, 32'h4083b0a8,32'h40918d5c, 32'h4079849d,32'h40987bb5,// invsqrt(0.0533) = 4.3319 +32'h40ae4b29,32'h3ed6fef8,32'h3edfc575, 32'h3ed06a1a,32'h3ee65a52, 32'h3ec571fd,32'h3ef1526f,// invsqrt(5.4467) = 0.4285 +32'h3e1edbe8,32'h401f3d3f,32'h4025bd23, 32'h401a5d55,32'h402a9d0d, 32'h40123d79,32'h4032bce9,// invsqrt(0.1551) = 2.5389 +32'h3fd8d441,32'h3f40c207,32'h3f48a027, 32'h3f3adb6f,32'h3f4e86bf, 32'h3f3105c8,32'h3f585c66,// invsqrt(1.6940) = 0.7683 +32'h3f58733d,32'h3f886b71,32'h3f8dfce3, 32'h3f843e5b,32'h3f9229f9, 32'h3f7a9118,32'h3f991fc8,// invsqrt(0.8455) = 1.0875 +32'h3f041371,32'h3faea3e3,32'h3fb5c4b3, 32'h3fa94b47,32'h3fbb1d4f, 32'h3fa06244,32'h3fc40653,// invsqrt(0.5159) = 1.3922 +32'h405a5c43,32'h3f07d259,32'h3f0d5d8c, 32'h3f03a9f4,32'h3f1185f2, 32'h3ef977e9,32'h3f1873f2,// invsqrt(3.4119) = 0.5414 +32'h3fbefa45,32'h3f4d63e6,32'h3f55c605, 32'h3f471a50,32'h3f5c0f9c, 32'h3f3c9faa,32'h3f668a42,// invsqrt(1.4920) = 0.8187 +32'h3f030ed5,32'h3faf5130,32'h3fb67912, 32'h3fa9f346,32'h3fbbd6fc, 32'h3fa1016a,32'h3fc4c8d8,// invsqrt(0.5119) = 1.3976 +32'h3eb4acd4,32'h3fd32a3b,32'h3fdbc8b1, 32'h3fccb363,32'h3fe23f89, 32'h3fc1ed51,32'h3fed059b,// invsqrt(0.3529) = 1.6834 +32'h40c97029,32'h3ec7fc7c,32'h3ed02624, 32'h3ec1dd3f,32'h3ed64561, 32'h3eb7a92e,32'h3ee07972,// invsqrt(6.2949) = 0.3986 +32'h3e68fd6d,32'h40037d08,32'h4008daf3, 32'h3ffeed2e,32'h400ce163, 32'h3ff18261,32'h401396ca,// invsqrt(0.2275) = 2.0964 +32'h3f049268,32'h3fae502e,32'h3fb56d94, 32'h3fa8fa23,32'h3fbac39f, 32'h3fa01564,32'h3fc3a85e,// invsqrt(0.5179) = 1.3896 +32'h3fd63e6e,32'h3f41eadc,32'h3f49d51a, 32'h3f3bfb2e,32'h3f4fc4c8, 32'h3f321662,32'h3f59a994,// invsqrt(1.6738) = 0.7729 +32'h3e97abce,32'h3fe67906,32'h3fefe13b, 32'h3fdf6adf,32'h3ff6ef63, 32'h3fd3a89e,32'h400158d2,// invsqrt(0.2962) = 1.8373 +32'h403ea9c9,32'h3f115a3f,32'h3f174908, 32'h3f0ce728,32'h3f1bbc1e, 32'h3f057cac,32'h3f23269a,// invsqrt(2.9791) = 0.5794 +32'h3fb59250,32'h3f52a49f,32'h3f5b3da1, 32'h3f4c31de,32'h3f61b062, 32'h3f41729d,32'h3f6c6fa3,// invsqrt(1.4185) = 0.8396 +32'h3e0002c1,32'h40316439,32'h4038a1c9, 32'h402bf60e,32'h403e0ff4, 32'h4022e91a,32'h40471ce8,// invsqrt(0.1250) = 2.8283 +32'h3f670ac9,32'h3f840aa0,32'h3f896e52, 32'h3f7fffb3,32'h3f8d7919, 32'h3f728673,32'h3f9435b8,// invsqrt(0.9025) = 1.0526 +32'h3f7ea1f5,32'h3f7b8d7e,32'h3f82e8fb, 32'h3f73da24,32'h3f86c2a8, 32'h3f67048e,32'h3f8d2d73,// invsqrt(0.9947) = 1.0027 +32'h3f76fef0,32'h3f7f6975,32'h3f84eb20, 32'h3f7797dc,32'h3f88d3ec, 32'h3f6a8fde,32'h3f8f57eb,// invsqrt(0.9648) = 1.0181 +32'h3fdac8e9,32'h3f3fe4fc,32'h3f47ba16, 32'h3f3a0529,32'h3f4d99e9, 32'h3f303ac8,32'h3f57644a,// invsqrt(1.7093) = 0.7649 +32'h3f3a1202,32'h3f9322b0,32'h3f99241a, 32'h3f8ea1a0,32'h3f9da52a, 32'h3f871fdb,32'h3fa526ef,// invsqrt(0.7268) = 1.1730 +32'h3f2f8c50,32'h3f977b1e,32'h3f9da9f0, 32'h3f92d800,32'h3fa24d0e, 32'h3f8b1d7a,32'h3faa0794,// invsqrt(0.6857) = 1.2076 +32'h3f46d36c,32'h3f8e566c,32'h3f9425b4, 32'h3f89faf6,32'h3f98812a, 32'h3f82b7db,32'h3f9fc445,// invsqrt(0.7767) = 1.1347 +32'h3ee8063c,32'h3fba56d6,32'h3fc1f1e4, 32'h3fb4a28c,32'h3fc7a62e, 32'h3fab20ba,32'h3fd12800,// invsqrt(0.4532) = 1.4855 +32'h3ecc3585,32'h3fc69ff4,32'h3fcebb62, 32'h3fc08b62,32'h3fd4cff4, 32'h3fb6691a,32'h3fdef23c,// invsqrt(0.3988) = 1.5834 +32'h40a08b2f,32'h3ee0037c,32'h3ee92833, 32'h3ed927f4,32'h3ef003bc, 32'h3ecdba0f,32'h3efb71a1,// invsqrt(5.0170) = 0.4465 +32'h3ed622ad,32'h3fc1f76d,32'h3fc9e22d, 32'h3fbc075c,32'h3fcfd23e, 32'h3fb221ec,32'h3fd9b7ae,// invsqrt(0.4182) = 1.5463 +32'h3f8ef88f,32'h3f6d61b4,32'h3f77121a, 32'h3f661d68,32'h3f7e5666, 32'h3f5a00ea,32'h3f853972,// invsqrt(1.1170) = 0.9462 +32'h3d4c7a32,32'h408c5b57,32'h409215ed, 32'h40880f67,32'h409661dd, 32'h4080e62b,32'h409d8b19,// invsqrt(0.0499) = 4.4757 +32'h3fcde10c,32'h3f45d14e,32'h3f4de44c, 32'h3f3fc310,32'h3f53f28a, 32'h3f35ab52,32'h3f5e0a48,// invsqrt(1.6084) = 0.7885 +32'h3fa202f3,32'h3f5eff1c,32'h3f681932, 32'h3f582b8b,32'h3f6eecc3, 32'h3f4ccaf0,32'h3f7a4d5e,// invsqrt(1.2657) = 0.8889 +32'h3fdb8f5b,32'h3f3f8e30,32'h3f475fbe, 32'h3f39b104,32'h3f4d3cea, 32'h3f2feb12,32'h3f5702dd,// invsqrt(1.7153) = 0.7635 +32'h3ea259e3,32'h3fdec35f,32'h3fe7db05, 32'h3fd7f1a3,32'h3feeacc1, 32'h3fcc9413,32'h3ffa0a51,// invsqrt(0.3171) = 1.7759 +32'h3f823b35,32'h3f78b8b6,32'h3f816fcc, 32'h3f711b8b,32'h3f853e61, 32'h3f646af0,32'h3f8b96af,// invsqrt(1.0174) = 0.9914 +32'h3f848f7e,32'h3f7686d5,32'h3f804b64, 32'h3f6efade,32'h3f841160, 32'h3f6266ee,32'h3f8a5b58,// invsqrt(1.0356) = 0.9826 +32'h3f3f1ccf,32'h3f912e7a,32'h3f971b7a, 32'h3f8cbcba,32'h3f9b8d3a, 32'h3f85547b,32'h3fa2f579,// invsqrt(0.7465) = 1.1574 +32'h3e35b80b,32'h4014e300,32'h401af6b6, 32'h40105436,32'h401f8580, 32'h4008bb92,32'h40271e24,// invsqrt(0.1775) = 2.3738 +32'h3f17ef3d,32'h3fa2d3d8,32'h3fa9793a, 32'h3f9dd7cf,32'h3fae7543, 32'h3f958915,32'h3fb6c3fd,// invsqrt(0.5935) = 1.2981 +32'h3f31fc33,32'h3f9670b6,32'h3f9c94a9, 32'h3f91d5c1,32'h3fa12f9f, 32'h3f8a28d2,32'h3fa8dc8e,// invsqrt(0.6953) = 1.1993 +32'h3e3f8d59,32'h401103ce,32'h4016ef10, 32'h400c935d,32'h401b5f81, 32'h40052d4a,32'h4022c594,// invsqrt(0.1871) = 2.3121 +32'h3c2a1d0e,32'h4119e1af,32'h41202997, 32'h41152bc1,32'h4124df85, 32'h410d51e0,32'h412cb966,// invsqrt(0.0104) = 9.8139 +32'h3f740481,32'h3f807ba2,32'h3f85ba26, 32'h3f79197e,32'h3f89a909, 32'h3f6bfd34,32'h3f90372e,// invsqrt(0.9532) = 1.0243 +32'h3f05b39e,32'h3fad9340,32'h3fb4a8f0, 32'h3fa842fd,32'h3fb9f933, 32'h3f9f67e2,32'h3fc2d44e,// invsqrt(0.5223) = 1.3837 +32'h3f9d782c,32'h3f623093,32'h3f6b6c07, 32'h3f5b43fc,32'h3f72589e, 32'h3f4fb9ac,32'h3f7de2ee,// invsqrt(1.2302) = 0.9016 +32'h3ed819c0,32'h3fc11523,32'h3fc8f6a7, 32'h3fbb2c00,32'h3fcedfca, 32'h3fb1521b,32'h3fd8b9af,// invsqrt(0.4221) = 1.5392 +32'h3e97192c,32'h3fe6e8c0,32'h3ff05584, 32'h3fdfd72d,32'h3ff76717, 32'h3fd40f38,32'h40019786,// invsqrt(0.2951) = 1.8408 +32'h3e85612f,32'h3ff5c4bf,32'h3fffccc7, 32'h3fee3eb9,32'h4003a966, 32'h3fe1b4b0,32'h4009ee6b,// invsqrt(0.2605) = 1.9593 +32'h3e74a368,32'h400051e1,32'h40058eb1, 32'h3ff8c88b,32'h40097c4d, 32'h3febb083,32'h40100850,// invsqrt(0.2389) = 2.0459 +32'h3f8f3ec1,32'h3f6d2783,32'h3f76d589, 32'h3f65e4ff,32'h3f7e180d, 32'h3f59cb79,32'h3f8518ca,// invsqrt(1.1191) = 0.9453 +32'h4025c80a,32'h3f1be112,32'h3f223dda, 32'h3f171b7d,32'h3f27036f, 32'h3f0f2784,32'h3f2ef768,// invsqrt(2.5903) = 0.6213 +32'h3e46fab5,32'h400e485e,32'h40141714, 32'h4009ed56,32'h4018721c, 32'h4002aaf3,32'h401fb47f,// invsqrt(0.1943) = 2.2685 +32'h3eaba921,32'h3fd8a375,32'h3fe17b1b, 32'h3fd201b8,32'h3fe81cd8, 32'h3fc6f427,32'h3ff32a69,// invsqrt(0.3353) = 1.7270 +32'h40a40cbb,32'h3edd9b5d,32'h3ee6a6ee, 32'h3ed6d2b1,32'h3eed6f9b, 32'h3ecb843c,32'h3ef8be10,// invsqrt(5.1266) = 0.4417 +32'h3fea9a28,32'h3f395008,32'h3f40e05c, 32'h3f33a3c9,32'h3f468c9b, 32'h3f2a2f60,32'h3f500104,// invsqrt(1.8328) = 0.7387 +32'h3fa08909,32'h3f6004fc,32'h3f6929c2, 32'h3f592967,32'h3f700557, 32'h3f4dbb6f,32'h3f7b734f,// invsqrt(1.2542) = 0.8929 +32'h409feb77,32'h3ee0733e,32'h3ee99c84, 32'h3ed99449,32'h3ef07b79, 32'h3ece20b1,32'h3efbef11,// invsqrt(4.9975) = 0.4473 +32'h402a6c21,32'h3f19bdf8,32'h3f20046a, 32'h3f150922,32'h3f24b940, 32'h3f0d3112,32'h3f2c9150,// invsqrt(2.6628) = 0.6128 +32'h41b056d7,32'h3e55becc,32'h3e5e7838, 32'h3e4f33bc,32'h3e650348, 32'h3e444bf5,32'h3e6feb0f,// invsqrt(22.0424) = 0.2130 +32'h406062ca,32'h3f05fc53,32'h3f0b7457, 32'h3f01e251,32'h3f0f8e59, 32'h3ef61899,32'h3f16645e,// invsqrt(3.5060) = 0.5341 +32'h3fde3cb9,32'h3f3e65eb,32'h3f462b63, 32'h3f3891d2,32'h3f4bff7c, 32'h3f2edafd,32'h3f55b651,// invsqrt(1.7362) = 0.7589 +32'h3fcaccd9,32'h3f475046,32'h3f4f72e5, 32'h3f41364e,32'h3f558cdc, 32'h3f370b06,32'h3f5fb824,// invsqrt(1.5844) = 0.7945 +32'h3f1dac95,32'h3f9fd621,32'h3fa65c41, 32'h3f9af188,32'h3fab40da, 32'h3f92c9df,32'h3fb36883,// invsqrt(0.6159) = 1.2742 +32'h3f81a24a,32'h3f794b3d,32'h3f81bc0d, 32'h3f71a997,32'h3f858ce1, 32'h3f64f181,32'h3f8be8eb,// invsqrt(1.0128) = 0.9937 +32'h3fa206b5,32'h3f5efc86,32'h3f681680, 32'h3f582909,32'h3f6ee9fd, 32'h3f4cc890,32'h3f7a4a77,// invsqrt(1.2658) = 0.8888 +32'h40d96ef9,32'h3ec07d66,32'h3ec858b8, 32'h3eba98e8,32'h3ece3d36, 32'h3eb0c6c1,32'h3ed80f5d,// invsqrt(6.7948) = 0.3836 +32'h4022d436,32'h3f1d493b,32'h3f23b4b5, 32'h3f18789f,32'h3f288551, 32'h3f107246,32'h3f308baa,// invsqrt(2.5442) = 0.6269 +32'h3f8246b9,32'h3f78adb8,32'h3f816a14, 32'h3f7110e4,32'h3f85387e, 32'h3f6460d8,32'h3f8b9084,// invsqrt(1.0178) = 0.9912 +32'h3ff899de,32'h3f3404fe,32'h3f3b5e04, 32'h3f2e823b,32'h3f40e0c7, 32'h3f2552f4,32'h3f4a100e,// invsqrt(1.9422) = 0.7176 +32'h3e2cd602,32'h4018aa32,32'h401ee563, 32'h4013fdcd,32'h402391c7, 32'h400c33cf,32'h402b5bc5,// invsqrt(0.1688) = 2.4341 +32'h3fc23fdf,32'h3f4ba733,32'h3f53f72b, 32'h3f456b39,32'h3f5a3325, 32'h3f3b0744,32'h3f64971a,// invsqrt(1.5176) = 0.8118 +32'h3fbe4e0f,32'h3f4dc0c0,32'h3f5626a8, 32'h3f477451,32'h3f5c7317, 32'h3f3cf4ef,32'h3f66f279,// invsqrt(1.4868) = 0.8201 +32'h3e3bcd7a,32'h40127490,32'h40186ee0, 32'h400df8d5,32'h401cea9b, 32'h40067ff2,32'h4024637e,// invsqrt(0.1834) = 2.3351 +32'h3e256be6,32'h401c0c76,32'h40226b02, 32'h4017458c,32'h402731ec, 32'h400f4f5c,32'h402f281c,// invsqrt(0.1615) = 2.4880 +32'h3f0efc10,32'h3fa7d89d,32'h3faeb26f, 32'h3fa2b53f,32'h3fb3d5cd, 32'h3f9a24f9,32'h3fbc6613,// invsqrt(0.5585) = 1.3381 +32'h3f7b47ff,32'h3f7d3983,32'h3f83c7ba, 32'h3f75790f,32'h3f87a7f4, 32'h3f688da3,32'h3f8e1dab,// invsqrt(0.9816) = 1.0093 +32'h3f6a8497,32'h3f830f31,32'h3f8868a1, 32'h3f7e183b,32'h3f8c6bb5, 32'h3f70b8a3,32'h3f931b80,// invsqrt(0.9161) = 1.0448 +32'h3f5973d5,32'h3f881add,32'h3f8da905, 32'h3f83f03f,32'h3f91d3a3, 32'h3f79fd18,32'h3f98c556,// invsqrt(0.8494) = 1.0850 +32'h3eb37dd0,32'h3fd3dc2e,32'h3fdc81e7, 32'h3fcd5fe4,32'h3fe2fe32, 32'h3fc290bd,32'h3fedcd59,// invsqrt(0.3506) = 1.6889 +32'h40821a33,32'h3ef8d841,32'h3f018037, 32'h3ef13a1f,32'h3f054f47, 32'h3ee487e8,32'h3f0ba863,// invsqrt(4.0657) = 0.4959 +32'h3e7a5fbd,32'h3ffdaedc,32'h400404cb, 32'h3ff5eacf,32'h4007e6d1, 32'h3fe8f966,32'h400e5f85,// invsqrt(0.2445) = 2.0223 +32'h408c1f00,32'h3eefc892,32'h3ef99210, 32'h3ee87173,32'h3f007497, 32'h3edc3596,32'h3f069286,// invsqrt(4.3788) = 0.4779 +32'h3f5e1d59,32'h3f86ab40,32'h3f8c2a67, 32'h3f828be2,32'h3f9049c4, 32'h3f7759e2,32'h3f9728b5,// invsqrt(0.8676) = 1.0736 +32'h3f430807,32'h3f8fb72f,32'h3f9594dd, 32'h3f8b50ec,32'h3f99fb20, 32'h3f83fbd2,32'h3fa1503a,// invsqrt(0.7618) = 1.1457 +32'h3ebb3456,32'h3fcf7324,32'h3fd7eac8, 32'h3fc9196a,32'h3fde4482, 32'h3fbe83dd,32'h3fe8da0f,// invsqrt(0.3656) = 1.6538 +32'h3eb29dc7,32'h3fd460e3,32'h3fdd0c06, 32'h3fcde088,32'h3fe38c60, 32'h3fc30a9c,32'h3fee624c,// invsqrt(0.3489) = 1.6931 +32'h3e62bdca,32'h400549b2,32'h400aba6b, 32'h40013528,32'h400ecef6, 32'h3ff4d081,32'h40159bdd,// invsqrt(0.2214) = 2.1251 +32'h3fe47865,32'h3f3bc86f,32'h3f437293, 32'h3f3608d4,32'h3f49322e, 32'h3f2c7427,32'h3f52c6db,// invsqrt(1.7849) = 0.7485 +32'h3f943a91,32'h3f692230,32'h3f72a632, 32'h3f61ff2f,32'h3f79c933, 32'h3f561a2c,32'h3f82d71b,// invsqrt(1.1580) = 0.9293 +32'h3f758e79,32'h3f801467,32'h3f854eb4, 32'h3f785159,32'h3f893a6e, 32'h3f6b3f97,32'h3f8fc34e,// invsqrt(0.9592) = 1.0210 +32'h419d99c0,32'h3e621879,32'h3e6b52f1, 32'h3e5b2c9f,32'h3e723ecb, 32'h3e4fa389,32'h3e7dc7e1,// invsqrt(19.7001) = 0.2253 +32'h3e0e1d3f,32'h40285bfe,32'h402f3b2c, 32'h4023349a,32'h40346290, 32'h401a9da0,32'h403cf98a,// invsqrt(0.1388) = 2.6843 +32'h3fa2553e,32'h3f5ec68f,32'h3f67de56, 32'h3f57f4b9,32'h3f6eb02b, 32'h3f4c9700,32'h3f7a0de4,// invsqrt(1.2682) = 0.8880 +32'h3fa91f8a,32'h3f5a41f4,32'h3f632a86, 32'h3f539387,32'h3f69d8f3, 32'h3f4870d0,32'h3f74fbaa,// invsqrt(1.3213) = 0.8700 +32'h400146b5,32'h3f30856a,32'h3f37b9e2, 32'h3f2b1e11,32'h3f3d213b, 32'h3f221c7c,32'h3f4622d0,// invsqrt(2.0199) = 0.7036 +32'h3ff5d194,32'h3f350912,32'h3f3c6cb5, 32'h3f2f7e59,32'h3f41f76f, 32'h3f2641cd,32'h3f4b33fb,// invsqrt(1.9205) = 0.7216 +32'h3efb70b8,32'h3fb3000f,32'h3fba4e6d, 32'h3fad8548,32'h3fbfc934, 32'h3fa46351,32'h3fc8eb2b,// invsqrt(0.4911) = 1.4270 +32'h3f68df2d,32'h3f838592,32'h3f88e3d6, 32'h3f7efdbc,32'h3f8cea8a, 32'h3f719211,32'h3f93a060,// invsqrt(0.9097) = 1.0485 +32'h3ffa6cc1,32'h3f335ce0,32'h3f3aaf08, 32'h3f2ddf41,32'h3f402ca7, 32'h3f24b88f,32'h3f495359,// invsqrt(1.9564) = 0.7149 +32'h3f0f479c,32'h3fa7ac57,32'h3fae845b, 32'h3fa28a55,32'h3fb3a65d, 32'h3f99fc50,32'h3fbc3462,// invsqrt(0.5597) = 1.3367 +32'h403c9e65,32'h3f12235e,32'h3f181a5c, 32'h3f0daa1f,32'h3f1c939b, 32'h3f063560,32'h3f24085a,// invsqrt(2.9472) = 0.5825 +32'h3f3fbf10,32'h3f90f100,32'h3f96db7e, 32'h3f8c8122,32'h3f9b4b5c, 32'h3f851c06,32'h3fa2b078,// invsqrt(0.7490) = 1.1555 +32'h3e3fb639,32'h4010f458,32'h4016def8, 32'h400c8460,32'h401b4ef0, 32'h40051f17,32'h4022b439,// invsqrt(0.1872) = 2.3111 +32'h3a8a2166,32'h41f1814e,32'h41fb5cc9, 32'h41ea1cb1,32'h420160b3, 32'h41ddca57,32'h420789e0,// invsqrt(0.0011) = 30.8042 +32'h3f2c5c17,32'h3f98e026,32'h3f9f1d8b, 32'h3f94321b,32'h3fa3cb97, 32'h3f8c655d,32'h3fab9855,// invsqrt(0.6733) = 1.2187 +32'h40232685,32'h3f1d2189,32'h3f238b65, 32'h3f185224,32'h3f285aca, 32'h3f104dd2,32'h3f305f1d,// invsqrt(2.5492) = 0.6263 +32'h3fea4e0c,32'h3f396e1f,32'h3f40ffad, 32'h3f33c0f4,32'h3f46acd8, 32'h3f2a4b02,32'h3f5022ca,// invsqrt(1.8305) = 0.7391 +32'h40391faa,32'h3f1382df,32'h3f198837, 32'h3f0efede,32'h3f1e0c38, 32'h3f077830,32'h3f2592e6,// invsqrt(2.8926) = 0.5880 +32'h3f19dd21,32'h3fa1cdb0,32'h3fa8685e, 32'h3f9cd9ad,32'h3fad5c61, 32'h3f949853,32'h3fb59dbb,// invsqrt(0.6010) = 1.2899 +32'h42240feb,32'h3e1cb19c,32'h3e2316e6, 32'h3e17e5a4,32'h3e27e2de, 32'h3e0fe707,32'h3e2fe17b,// invsqrt(41.0155) = 0.1561 +32'h3e998a6f,32'h3fe510b3,32'h3fee6a33, 32'h3fde0d94,32'h3ff56d52, 32'h3fd25db4,32'h40008e99,// invsqrt(0.2999) = 1.8261 +32'h401b0e31,32'h3f212e36,32'h3f27c262, 32'h3f1c3f15,32'h3f2cb183, 32'h3f1405de,32'h3f34eaba,// invsqrt(2.4227) = 0.6425 +32'h41031de8,32'h3eaf471b,32'h3eb66e95, 32'h3ea9e980,32'h3ebbcc30, 32'h3ea0f829,32'h3ec4bd87,// invsqrt(8.1948) = 0.3493 +32'h3fdd60ce,32'h3f3ec466,32'h3f468db8, 32'h3f38ed68,32'h3f4c64b6, 32'h3f2f31c1,32'h3f56205d,// invsqrt(1.7295) = 0.7604 +32'h3f6fc0d5,32'h3f819edb,32'h3f86e941, 32'h3f7b4e1b,32'h3f8ae10f, 32'h3f6e1419,32'h3f917e0f,// invsqrt(0.9365) = 1.0333 +32'h3df73ae2,32'h40348499,32'h403be2d4, 32'h402efdee,32'h40416980, 32'h4025c825,32'h404a9f49,// invsqrt(0.1207) = 2.8782 +32'h3f4196f5,32'h3f903fed,32'h3f962330, 32'h3f8bd57a,32'h3f9a8da2, 32'h3f847966,32'h3fa1e9b6,// invsqrt(0.7562) = 1.1499 +32'h3e6eadb3,32'h4001e97b,32'h400736ed, 32'h3ffbdec9,32'h400b3103, 32'h3fee9d2a,32'h4011d1d3,// invsqrt(0.2331) = 2.0713 +32'h3e61c85f,32'h4005920f,32'h400b05bd, 32'h40017b4e,32'h400f1c7e, 32'h3ff5556b,32'h4015ed17,// invsqrt(0.2205) = 2.1296 +32'h3f2788fa,32'h3f9b0fab,32'h3fa163e7, 32'h3f96507f,32'h3fa62313, 32'h3f8e6735,32'h3fae0c5d,// invsqrt(0.6544) = 1.2361 +32'h3dd77f56,32'h40415a44,32'h40493e9a, 32'h403b6f03,32'h404f29db, 32'h40319197,32'h40590747,// invsqrt(0.1052) = 3.0828 +32'h3ecfff9a,32'h3fc4cea5,32'h3fccd714, 32'h3fbec851,32'h3fd2dd67, 32'h3fb4bdc6,32'h3fdce7f2,// invsqrt(0.4062) = 1.5689 +32'h3e0b5363,32'h402a092a,32'h4030f9de, 32'h4024d4a4,32'h40362e64, 32'h401c27c4,32'h403edb44,// invsqrt(0.1361) = 2.7110 +32'h4084b18d,32'h3ef6672f,32'h3f003aec, 32'h3eeedc31,32'h3f04006c, 32'h3ee249dd,32'h3f0a4995,// invsqrt(4.1467) = 0.4911 +32'h3ee44028,32'h3fbbdf90,32'h3fc38aa6, 32'h3fb61f40,32'h3fc94af6, 32'h3fac8965,32'h3fd2e0d1,// invsqrt(0.4458) = 1.4977 +32'h403614a9,32'h3f14bd1d,32'h3f1acf48, 32'h3f102f7d,32'h3f1f5ce9, 32'h3f0898c8,32'h3f26f39e,// invsqrt(2.8450) = 0.5929 +32'h3fc0d84d,32'h3f4c64b7,32'h3f54bc6b, 32'h3f4622f0,32'h3f5afe32, 32'h3f3bb54f,32'h3f656bd3,// invsqrt(1.5066) = 0.8147 +32'h3f9c2726,32'h3f632427,32'h3f6c698c, 32'h3f5c301c,32'h3f735d98, 32'h3f50995e,32'h3f7ef456,// invsqrt(1.2199) = 0.9054 +32'h40799df7,32'h3efe113f,32'h3f0437ff, 32'h3ef64a30,32'h3f081b86, 32'h3ee953c1,32'h3f0e96bd,// invsqrt(3.9003) = 0.5064 +32'h3f8cbd80,32'h3f6f4167,32'h3f790561, 32'h3f67ee6c,32'h3f802c2e, 32'h3f5bb974,32'h3f8646aa,// invsqrt(1.0995) = 0.9537 +32'h3d0072c9,32'h40b116cc,32'h40b85132, 32'h40abaaff,32'h40bdbcff, 32'h40a2a1ff,32'h40c6c5ff,// invsqrt(0.0314) = 5.6470 +32'h3fea0ac3,32'h3f3988c5,32'h3f411b69, 32'h3f33dac9,32'h3f46c965, 32'h3f2a637b,32'h3f5040b3,// invsqrt(1.8285) = 0.7395 +32'h3c8fcd83,32'h40ecb1af,32'h40f65ae5, 32'h40e572c6,32'h40fd99ce, 32'h40d95f43,32'h4104d6a9,// invsqrt(0.0176) = 7.5476 +32'h3f2b8598,32'h3f993f9f,32'h3f9f80e9, 32'h3f948ea7,32'h3fa431e1, 32'h3f8cbd0a,32'h3fac037e,// invsqrt(0.6700) = 1.2217 +32'h3f2c412f,32'h3f98ec16,32'h3f9f29f8, 32'h3f943dad,32'h3fa3d861, 32'h3f8c7053,32'h3faba5bb,// invsqrt(0.6729) = 1.2191 +32'h413be90a,32'h3e9269d2,32'h3e9863b1, 32'h3e8dee6c,32'h3e9cdf18, 32'h3e867615,32'h3ea4576f,// invsqrt(11.7444) = 0.2918 +32'h3de36736,32'h403c3919,32'h4043e7d6, 32'h4036760b,32'h4049aae3, 32'h402cdb9e,32'h40534550,// invsqrt(0.1110) = 3.0010 +32'h3f17d78b,32'h3fa2e08c,32'h3fa98672, 32'h3f9de41f,32'h3fae82df, 32'h3f9594bf,32'h3fb6d23f,// invsqrt(0.5931) = 1.2984 +32'h3f89965c,32'h3f71fb36,32'h3f7bdbab, 32'h3f6a92de,32'h3f81a201, 32'h3f5e3a4b,32'h3f87ce4a,// invsqrt(1.0749) = 0.9645 +32'h3f5e54a7,32'h3f869a7f,32'h3f8c18f7, 32'h3f827ba5,32'h3f9037d1, 32'h3f773b1d,32'h3f9715e7,// invsqrt(0.8685) = 1.0731 +32'h3fe24c31,32'h3f3caea7,32'h3f446231, 32'h3f36e800,32'h3f4a28d8, 32'h3f2d4794,32'h3f53c944,// invsqrt(1.7680) = 0.7521 +32'h40ad20dd,32'h3ed7b7e0,32'h3ee085e9, 32'h3ed11d59,32'h3ee7206f, 32'h3ec61bcd,32'h3ef221fb,// invsqrt(5.4103) = 0.4299 +32'h3f216c2e,32'h3f9df841,32'h3fa46ae0, 32'h3f992249,32'h3fa940d7, 32'h3f911302,32'h3fb1501e,// invsqrt(0.6306) = 1.2593 +32'h403071c7,32'h3f17187e,32'h3f1d434a, 32'h3f127865,32'h3f21e363, 32'h3f0ac2e7,32'h3f2998e1,// invsqrt(2.7569) = 0.6023 +32'h3f1dcb86,32'h3f9fc674,32'h3fa64bf0, 32'h3f9ae256,32'h3fab300e, 32'h3f92bb7a,32'h3fb356ea,// invsqrt(0.6164) = 1.2737 +32'h3e109148,32'h4026ecbb,32'h402dbced, 32'h4021d096,32'h4032d912, 32'h40194c58,32'h403b5d50,// invsqrt(0.1412) = 2.6614 +32'h412c8454,32'h3e98ce51,32'h3e9f0afb, 32'h3e9420d1,32'h3ea3b87b, 32'h3e8c54fc,32'h3eab8450,// invsqrt(10.7823) = 0.3045 +32'h3f9054c2,32'h3f6c42af,32'h3f75e75d, 32'h3f65072c,32'h3f7d22e0, 32'h3f58f952,32'h3f84985d,// invsqrt(1.1276) = 0.9417 +32'h3ef48893,32'h3fb582b3,32'h3fbceb4d, 32'h3faff440,32'h3fc279c0, 32'h3fa6b180,32'h3fcbbc80,// invsqrt(0.4776) = 1.4470 +32'h3d75b705,32'h408009d5,32'h408543b4, 32'h40783cdd,32'h40892f1c, 32'h406b2c2f,32'h408fb772,// invsqrt(0.0600) = 4.0829 +32'h3e1aa18a,32'h402166cd,32'h4027fd48, 32'h401c75f0,32'h402cee24, 32'h401439d6,32'h40352a3e,// invsqrt(0.1510) = 2.5734 +32'h4019195f,32'h3f223501,32'h3f28d3e7, 32'h3f1d3dd5,32'h3f2dcb13, 32'h3f14f735,32'h3f3611b3,// invsqrt(2.3922) = 0.6466 +32'h3f7db262,32'h3f7c0428,32'h3f8326bc, 32'h3f744d2c,32'h3f87023a, 32'h3f677188,32'h3f8d700c,// invsqrt(0.9910) = 1.0045 +32'h3fb92f1a,32'h3f509411,32'h3f59177f, 32'h3f4a317e,32'h3f5f7a12, 32'h3f3f8d34,32'h3f6a1e5c,// invsqrt(1.4467) = 0.8314 +32'h3f91e469,32'h3f6afe36,32'h3f7495a6, 32'h3f63cca2,32'h3f7bc73a, 32'h3f57cf56,32'h3f83e243,// invsqrt(1.1398) = 0.9367 +32'h3ec0d3cf,32'h3fcc6719,32'h3fd4bee5, 32'h3fc6253f,32'h3fdb00bf, 32'h3fbbb77f,32'h3fe56e7f,// invsqrt(0.3766) = 1.6295 +32'h3f983a1a,32'h3f660d35,32'h3f6f7103, 32'h3f5f025b,32'h3f767bdd, 32'h3f534599,32'h3f811c4f,// invsqrt(1.1893) = 0.9170 +32'h3cc9df0d,32'h40c7c587,32'h40cfecf0, 32'h40c1a7f9,32'h40d60a7f, 32'h40b776b6,32'h40e03bc2,// invsqrt(0.0246) = 6.3703 +32'h3f5213f6,32'h3f8a7924,32'h3f90200c, 32'h3f863bf7,32'h3f945d39, 32'h3f7e56ab,32'h3f9b6dda,// invsqrt(0.8206) = 1.1039 +32'h3f22f023,32'h3f9d3bc0,32'h3fa3a6ae, 32'h3f986b8e,32'h3fa876e0, 32'h3f9065e5,32'h3fb07c89,// invsqrt(0.6365) = 1.2535 +32'h3d31933e,32'h40969d25,32'h409cc2e8, 32'h409200d3,32'h40a15f3b, 32'h408a51a0,32'h40a90e6e,// invsqrt(0.0434) = 4.8027 +32'h406354ed,32'h3f051d5c,32'h3f0a8c46, 32'h3f010a2d,32'h3f0e9f75, 32'h3ef47f12,32'h3f156a19,// invsqrt(3.5521) = 0.5306 +32'h3fb48d34,32'h3f533cb9,32'h3f5bdbef, 32'h3f4cc550,32'h3f625358, 32'h3f41fe4c,32'h3f6d1a5c,// invsqrt(1.4106) = 0.8420 +32'h40660573,32'h3f04558c,32'h3f09bc4e, 32'h3f00487b,32'h3f0dc95f, 32'h3ef31011,32'h3f1489d2,// invsqrt(3.5941) = 0.5275 +32'h3dba6f4e,32'h404fe0a6,32'h40585cc2, 32'h40498391,32'h405eb9d7, 32'h403ee86f,32'h406954f9,// invsqrt(0.0910) = 3.3144 +32'h3f4c52c8,32'h3f8c68e0,32'h3f922404, 32'h3f881c86,32'h3f96705e, 32'h3f80f29a,32'h3f9d9a4a,// invsqrt(0.7981) = 1.1193 +32'h41bfd9bf,32'h3e4cec24,32'h3e55495e, 32'h3e46a637,32'h3e5b8f4b, 32'h3e3c31ae,32'h3e6603d4,// invsqrt(23.9813) = 0.2042 +32'h3c92b0f5,32'h40ea5a26,32'h40f3eae4, 32'h40e32d98,32'h40fb1772, 32'h40d738ab,32'h41038630,// invsqrt(0.0179) = 7.4730 +32'h3f837205,32'h3f7791f8,32'h3f80d669, 32'h3f6ffdd3,32'h3f84a07b, 32'h3f635c41,32'h3f8af144,// invsqrt(1.0269) = 0.9868 +32'h3f0ecd54,32'h3fa7f412,32'h3faecf03, 32'h3fa2cfdd,32'h3fb3f337, 32'h3f9a3e2f,32'h3fbc84e5,// invsqrt(0.5578) = 1.3389 +32'h4207a0ac,32'h3e2c569f,32'h3e335f61, 32'h3e27100d,32'h3e38a5f3, 32'h3e1e451a,32'h3e4170e6,// invsqrt(33.9069) = 0.1717 +32'h3ecaf73a,32'h3fc73b76,32'h3fcf5d3c, 32'h3fc12221,32'h3fd57691, 32'h3fb6f7ea,32'h3fdfa0c8,// invsqrt(0.3964) = 1.5883 +32'h40127730,32'h3f25d6f0,32'h3f2c9bcb, 32'h3f20c34c,32'h3f31af70, 32'h3f184d3b,32'h3f3a2581,// invsqrt(2.2885) = 0.6610 +32'h401c7ed4,32'h3f206ff0,32'h3f26fc58, 32'h3f1b86a2,32'h3f2be5a6, 32'h3f135720,32'h3f341528,// invsqrt(2.4452) = 0.6395 +32'h3f79b4d2,32'h3f7e059f,32'h3f8431f2, 32'h3f763eec,32'h3f88154c, 32'h3f694915,32'h3f8e9038,// invsqrt(0.9754) = 1.0125 +32'h425aa7a5,32'h3e07baee,32'h3e0d452c, 32'h3e039340,32'h3e116cda, 32'h3df94ce4,32'h3e1859a8,// invsqrt(54.6637) = 0.1353 +32'h3e253539,32'h401c2646,32'h402285e0, 32'h40175e92,32'h40274d94, 32'h400f6711,32'h402f4515,// invsqrt(0.1613) = 2.4896 +32'h3fd7e4c7,32'h3f412cd1,32'h3f490f4d, 32'h3f3b42f5,32'h3f4ef929, 32'h3f3167da,32'h3f58d444,// invsqrt(1.6867) = 0.7700 +32'h3ec34480,32'h3fcb1f1c,32'h3fd36986, 32'h3fc4e74d,32'h3fd9a155, 32'h3fba8a49,32'h3fe3fe59,// invsqrt(0.3814) = 1.6193 +32'h410ade99,32'h3eaa509b,32'h3eb14439, 32'h3ea519e5,32'h3eb67aef, 32'h3e9c695f,32'h3ebf2b75,// invsqrt(8.6793) = 0.3394 +32'h3f8fffba,32'h3f6c8866,32'h3f762fed, 32'h3f654ac2,32'h3f7d6d92, 32'h3f593959,32'h3f84bf7e,// invsqrt(1.1250) = 0.9428 +32'h3fedee72,32'h3f3802f6,32'h3f3f85b2, 32'h3f3260e9,32'h3f4527bf, 32'h3f28fd7f,32'h3f4e8b29,// invsqrt(1.8588) = 0.7335 +32'h3fc6f77b,32'h3f493977,32'h3f51700f, 32'h3f431086,32'h3f579900, 32'h3f38cc49,32'h3f61dd3d,// invsqrt(1.5544) = 0.8021 +32'h40479374,32'h3f0e11e1,32'h3f13de5d, 32'h3f09b884,32'h3f1837ba, 32'h3f0278e9,32'h3f1f7755,// invsqrt(3.1184) = 0.5663 +32'h3f4911f5,32'h3f8d8a7e,32'h3f935174, 32'h3f893546,32'h3f97a6ac, 32'h3f81fc93,32'h3f9edf5f,// invsqrt(0.7854) = 1.1284 +32'h3f9bde36,32'h3f635946,32'h3f6ca0d6, 32'h3f5c639a,32'h3f739682, 32'h3f50ca26,32'h3f7f2ff6,// invsqrt(1.2177) = 0.9062 +32'h3ec299fa,32'h3fcb7808,32'h3fd3c612, 32'h3fc53d80,32'h3fda009a, 32'h3fbadbf2,32'h3fe46228,// invsqrt(0.3801) = 1.6220 +32'h3f921565,32'h3f6ad6cd,32'h3f746ca1, 32'h3f63a66e,32'h3f7b9d00, 32'h3f57ab25,32'h3f83cc25,// invsqrt(1.1413) = 0.9361 +32'h40054c62,32'h3f2dd66a,32'h3f34eed6, 32'h3f288418,32'h3f3a4128, 32'h3f1fa590,32'h3f431fb0,// invsqrt(2.0828) = 0.6929 +32'h3dbdbe74,32'h404e0e8d,32'h405677a3, 32'h4047bfbd,32'h405cc673, 32'h403d3c62,32'h406749ce,// invsqrt(0.0926) = 3.2853 +32'h3f5eb273,32'h3f867e23,32'h3f8bfb73, 32'h3f826027,32'h3f90196f, 32'h3f770707,32'h3f96f612,// invsqrt(0.8699) = 1.0722 +32'h3ef74c81,32'h3fb47e2b,32'h3fbbdc23, 32'h3faef7b2,32'h3fc1629c, 32'h3fa5c23d,32'h3fca9811,// invsqrt(0.4830) = 1.4389 +32'h422e00d5,32'h3e1826e2,32'h3e1e5cb8, 32'h3e137e83,32'h3e230517, 32'h3e0bbb38,32'h3e2ac862,// invsqrt(43.5008) = 0.1516 +32'h3ee319c2,32'h3fbc592f,32'h3fc4093b, 32'h3fb69526,32'h3fc9cd44, 32'h3facf916,32'h3fd36954,// invsqrt(0.4436) = 1.5015 +32'h40bce066,32'h3ece878a,32'h3ed6f590, 32'h3ec83506,32'h3edd4814, 32'h3ebdab7f,32'h3ee7d19b,// invsqrt(5.9024) = 0.4116 +32'h3f178756,32'h3fa30ba2,32'h3fa9b34a, 32'h3f9e0de3,32'h3faeb109, 32'h3f95bc51,32'h3fb7029b,// invsqrt(0.5919) = 1.2998 +32'h3f79ebb1,32'h3f7de9ba,32'h3f84236e, 32'h3f7623e2,32'h3f88065b, 32'h3f692f78,32'h3f8e8090,// invsqrt(0.9763) = 1.0121 +32'h3f1ed2d0,32'h3f9f41ce,32'h3fa5c1e0, 32'h3f9a61bf,32'h3faaa1ef, 32'h3f9241a8,32'h3fb2c206,// invsqrt(0.6204) = 1.2696 +32'h40277966,32'h3f1b16e2,32'h3f216b68, 32'h3f16577d,32'h3f262acd, 32'h3f0e6dd4,32'h3f2e1476,// invsqrt(2.6168) = 0.6182 +32'h3e0bf305,32'h4029a815,32'h403094d1, 32'h40247687,32'h4035c65f, 32'h401bce9b,32'h403e6e4b,// invsqrt(0.1367) = 2.7050 +32'h40aef9a4,32'h3ed693ab,32'h3edf55c7, 32'h3ed00216,32'h3ee5e75c, 32'h3ec50f73,32'h3ef0d9ff,// invsqrt(5.4680) = 0.4276 +32'h40f75c79,32'h3eb47857,32'h3ebbd612, 32'h3eaef20c,32'h3ec15c5e, 32'h3ea5bce3,32'h3eca9187,// invsqrt(7.7300) = 0.3597 +32'h3e5d6aec,32'h4006e177,32'h400c62d5, 32'h4002c071,32'h401083db, 32'h3ff7bd78,32'h40176590,// invsqrt(0.2162) = 2.1505 +32'h3f6dbde0,32'h3f822af1,32'h3f877b0f, 32'h3f7c5db3,32'h3f8b7726, 32'h3f6f1566,32'h3f921b4d,// invsqrt(0.9287) = 1.0377 +32'h3f35aeb8,32'h3f94e6d2,32'h3f9afab0, 32'h3f9057eb,32'h3f9f8997, 32'h3f88bf14,32'h3fa7226e,// invsqrt(0.7097) = 1.1870 +32'h40144682,32'h3f24d30a,32'h3f2b8d4a, 32'h3f1fc75b,32'h3f3098f9, 32'h3f175e8c,32'h3f3901c8,// invsqrt(2.3168) = 0.6570 +32'h3fa477b3,32'h3f5d5341,32'h3f665be1, 32'h3f568cca,32'h3f6d2258, 32'h3f4b4202,32'h3f786d20,// invsqrt(1.2849) = 0.8822 +32'h3ec9d5b8,32'h3fc7ca26,32'h3fcff1bf, 32'h3fc1ac73,32'h3fd60f71, 32'h3fb77af3,32'h3fe040f1,// invsqrt(0.3942) = 1.5927 +32'h3f80a95d,32'h3f7a3bf2,32'h3f823951, 32'h3f7292ed,32'h3f860dd4, 32'h3f65ce8f,32'h3f8c7002,// invsqrt(1.0052) = 0.9974 +32'h3f2e1808,32'h3f981cbf,32'h3f9e522a, 32'h3f9374ae,32'h3fa2fa3a, 32'h3f8bb1e8,32'h3faabd00,// invsqrt(0.6801) = 1.2126 +32'h3e994979,32'h3fe54138,32'h3fee9cb2, 32'h3fde3c9c,32'h3ff5a14e, 32'h3fd28a43,32'h4000a9d4,// invsqrt(0.2994) = 1.8276 +32'h3f6fb409,32'h3f81a250,32'h3f86ecdc, 32'h3f7b54d0,32'h3f8ae4c4, 32'h3f6e1a74,32'h3f9181f2,// invsqrt(0.9363) = 1.0334 +32'h3ee7cfe6,32'h3fba6cac,32'h3fc2089e, 32'h3fb4b7b6,32'h3fc7bd94, 32'h3fab34c8,32'h3fd14082,// invsqrt(0.4528) = 1.4862 +32'h3eb90b19,32'h3fd0a85b,32'h3fd92c9d, 32'h3fca4529,32'h3fdf8fcf, 32'h3fbf9fd6,32'h3fea3522,// invsqrt(0.3614) = 1.6634 +32'h40654b24,32'h3f048b45,32'h3f09f438, 32'h3f007c8e,32'h3f0e02ee, 32'h3ef372bc,32'h3f14c61e,// invsqrt(3.5827) = 0.5283 +32'h40218abb,32'h3f1de950,32'h3f245b53, 32'h3f1913cd,32'h3f2930d5, 32'h3f110549,32'h3f313f59,// invsqrt(2.5241) = 0.6294 +32'h4111d94d,32'h3ea6309b,32'h3eacf91f, 32'h3ea11a38,32'h3eb20f82, 32'h3e989f94,32'h3eba8a26,// invsqrt(9.1156) = 0.3312 +32'h3e82c4ac,32'h3ff835d9,32'h40012bb2, 32'h3ff09cb1,32'h4004f847, 32'h3fe3f2c2,32'h400b4d3e,// invsqrt(0.2554) = 1.9787 +32'h4030d412,32'h3f16ee7a,32'h3f1d178e, 32'h3f124faa,32'h3f21b65e, 32'h3f0a9c50,32'h3f2969b8,// invsqrt(2.7629) = 0.6016 +32'h3fc326ee,32'h3f4b2e7f,32'h3f53798a, 32'h3f44f638,32'h3f59b1d2, 32'h3f3a986b,32'h3f640f9f,// invsqrt(1.5246) = 0.8099 +32'h420a4752,32'h3e2aadab,32'h3e31a515, 32'h3e25741b,32'h3e36dea5, 32'h3e1cbed6,32'h3e3f93ea,// invsqrt(34.5696) = 0.1701 +32'h400694e8,32'h3f2d01bb,32'h3f341179, 32'h3f27b5ec,32'h3f395d48, 32'h3f1ee23e,32'h3f4230f6,// invsqrt(2.1028) = 0.6896 +32'h3f7aca77,32'h3f7d78db,32'h3f83e8b1, 32'h3f75b676,32'h3f87c9e3, 32'h3f68c7ce,32'h3f8e4137,// invsqrt(0.9797) = 1.0103 +32'h3e620da3,32'h40057d97,32'h400af06e, 32'h40016775,32'h400f068f, 32'h3ff52fd0,32'h4015d61c,// invsqrt(0.2208) = 2.1284 +32'h3fe68641,32'h3f3af1c7,32'h3f429327, 32'h3f3538be,32'h3f484c30, 32'h3f2baf05,32'h3f51d5e9,// invsqrt(1.8010) = 0.7452 +32'h3d2ed5cf,32'h4097ca1a,32'h409dfc26, 32'h40932492,32'h40a2a1ae, 32'h408b6603,32'h40aa603d,// invsqrt(0.0427) = 4.8402 +32'h3ea15536,32'h3fdf770e,32'h3fe89609, 32'h3fd89fd1,32'h3fef6d45, 32'h3fcd3917,32'h3ffad3ff,// invsqrt(0.3151) = 1.7814 +32'h3fb2c53a,32'h3f544972,32'h3f5cf3a1, 32'h3f4dc9d0,32'h3f637344, 32'h3f42f516,32'h3f6e47fe,// invsqrt(1.3966) = 0.8462 +32'h3c3f80aa,32'h4111089c,32'h4116f410, 32'h410c9805,32'h411b64a7, 32'h410531b4,32'h4122caf8,// invsqrt(0.0117) = 9.2496 +32'h3ffb1938,32'h3f331f3c,32'h3f3a6ee0, 32'h3f2da380,32'h3f3fea9c, 32'h3f247ff3,32'h3f490e29,// invsqrt(1.9617) = 0.7140 +32'h3f7b26f7,32'h3f7d4a2a,32'h3f83d064, 32'h3f758932,32'h3f87b0df, 32'h3f689cec,32'h3f8e2702,// invsqrt(0.9811) = 1.0096 +32'h3f159059,32'h3fa41ce6,32'h3faacfb6, 32'h3f9f16ca,32'h3fafd5d2, 32'h3f96b746,32'h3fb83556,// invsqrt(0.5842) = 1.3083 +32'h3f0278b5,32'h3fafb5f0,32'h3fb6e1ef, 32'h3faa54f0,32'h3fbc42ee, 32'h3fa15df1,32'h3fc539ed,// invsqrt(0.5097) = 1.4008 +32'h3f910181,32'h3f6bb5ca,32'h3f7554b8, 32'h3f647e97,32'h3f7c8beb, 32'h3f5877ee,32'h3f84494a,// invsqrt(1.1329) = 0.9395 +32'h3fc263ac,32'h3f4b9471,32'h3f53e3a5, 32'h3f45590a,32'h3f5a1f0c, 32'h3f3af60a,32'h3f64820c,// invsqrt(1.5187) = 0.8115 +32'h3ed8b2df,32'h3fc0d0df,32'h3fc8af9a, 32'h3fbae9d4,32'h3fce96a6, 32'h3fb1136a,32'h3fd86d10,// invsqrt(0.4232) = 1.5371 +32'h40485303,32'h3f0dcde3,32'h3f139799, 32'h3f09769b,32'h3f17eee1, 32'h3f023a78,32'h3f1f2b04,// invsqrt(3.1301) = 0.5652 +32'h3f2759c5,32'h3f9b2589,32'h3fa17aa9, 32'h3f9665b1,32'h3fa63a81, 32'h3f8e7b4a,32'h3fae24e8,// invsqrt(0.6537) = 1.2368 +32'h3f83d33c,32'h3f77369e,32'h3f80a6df, 32'h3f6fa545,32'h3f846f8b, 32'h3f63085d,32'h3f8abe00,// invsqrt(1.0299) = 0.9854 +32'h3f70b0e1,32'h3f815e28,32'h3f86a5ea, 32'h3f7ad0ab,32'h3f8a9bbd, 32'h3f6d9d43,32'h3f913570,// invsqrt(0.9402) = 1.0313 +32'h3f873d29,32'h3f7412c1,32'h3f7e0913, 32'h3f6c9a05,32'h3f82c0e8, 32'h3f60261f,32'h3f88fada,// invsqrt(1.0566) = 0.9729 +32'h411df3f8,32'h3e9fb1fe,32'h3ea636a6, 32'h3e9ace81,32'h3eab1a23, 32'h3e92a8b0,32'h3eb33ff4,// invsqrt(9.8721) = 0.3183 +32'h41228451,32'h3e9d6fdf,32'h3ea3dcee, 32'h3e989e15,32'h3ea8aeb9, 32'h3e9095c3,32'h3eb0b70b,// invsqrt(10.1573) = 0.3138 +32'h40373a9b,32'h3f14459f,32'h3f1a52e9, 32'h3f0fbba7,32'h3f1edce1, 32'h3f082b0a,32'h3f266d7e,// invsqrt(2.8630) = 0.5910 +32'h40884cd5,32'h3ef31f09,32'h3efd0b68, 32'h3eebadc3,32'h3f023e58, 32'h3edf464d,32'h3f087213,// invsqrt(4.2594) = 0.4845 +32'h41a05333,32'h3e602a95,32'h3e6950e5, 32'h3e594dda,32'h3e702da0, 32'h3e4dddf7,32'h3e7b9d83,// invsqrt(20.0406) = 0.2234 +32'h3eb7a71e,32'h3fd17233,32'h3fd9feb2, 32'h3fcb08d3,32'h3fe06811, 32'h3fc05933,32'h3feb17b1,// invsqrt(0.3587) = 1.6697 +32'h400b5e48,32'h3f2a0285,32'h3f30f2f3, 32'h3f24ce33,32'h3f362745, 32'h3f1c21a9,32'h3f3ed3cf,// invsqrt(2.1776) = 0.6777 +32'h3f9c118e,32'h3f6333de,32'h3f6c79e7, 32'h3f5c3f57,32'h3f736e6d, 32'h3f50a7cb,32'h3f7f05f9,// invsqrt(1.2193) = 0.9056 +32'h4075eb13,32'h3efff88e,32'h3f053599, 32'h3ef82295,32'h3f092096, 32'h3eeb134a,32'h3f0fa83b,// invsqrt(3.8425) = 0.5101 +32'h4004f86f,32'h3f2e0d41,32'h3f3527eb, 32'h3f28b942,32'h3f3a7bea, 32'h3f1fd7ed,32'h3f435d3f,// invsqrt(2.0777) = 0.6938 +32'h3fd400b4,32'h3f42f090,32'h3f4ae57c, 32'h3f3cf8df,32'h3f50dd2d, 32'h3f3306b9,32'h3f5acf53,// invsqrt(1.6563) = 0.7770 +32'h3ff44942,32'h3f359a37,32'h3f3d03c7, 32'h3f300b0c,32'h3f4292f2, 32'h3f26c719,32'h3f4bd6e5,// invsqrt(1.9085) = 0.7239 +32'h3f179c33,32'h3fa30069,32'h3fa9a79d, 32'h3f9e0303,32'h3faea503, 32'h3f95b203,32'h3fb6f603,// invsqrt(0.5922) = 1.2994 +32'h3ef258bf,32'h3fb653e0,32'h3fbdc504, 32'h3fb0bf06,32'h3fc359de, 32'h3fa7719a,32'h3fcca74a,// invsqrt(0.4733) = 1.4535 +32'h3f4f03ae,32'h3f8b7e7c,32'h3f91300e, 32'h3f87394e,32'h3f95753c, 32'h3f801b58,32'h3f9c9332,// invsqrt(0.8086) = 1.1120 +32'h3ec1a7ab,32'h3fcbf72b,32'h3fd44a67, 32'h3fc5b8bf,32'h3fda88d3, 32'h3fbb50b5,32'h3fe4f0dd,// invsqrt(0.3782) = 1.6260 +32'h3ee1e9d9,32'h3fbcd7b4,32'h3fc48ceb, 32'h3fb70fcc,32'h3fca54d4, 32'h3fad6d48,32'h3fd3f758,// invsqrt(0.4412) = 1.5054 +32'h3df4afa9,32'h40357433,32'h403cdc35, 32'h402fe631,32'h40426a37, 32'h4026a42f,32'h404bac39,// invsqrt(0.1195) = 2.8931 +32'h3e40622a,32'h4010b383,32'h40169b7d, 32'h400c4586,32'h401b097a, 32'h4004e38d,32'h40226b73,// invsqrt(0.1879) = 2.3071 +32'h3e962007,32'h3fe7a80c,32'h3ff11c9e, 32'h3fe0909e,32'h3ff8340c, 32'h3fd4bee6,32'h400202e2,// invsqrt(0.2932) = 1.8468 +32'h3f643b65,32'h3f84da16,32'h3f8a4640, 32'h3f80c8f6,32'h3f8e5760, 32'h3f740381,32'h3f951e96,// invsqrt(0.8915) = 1.0591 +32'h4023bd2a,32'h3f1cd930,32'h3f234018, 32'h3f180c02,32'h3f280d46, 32'h3f100b60,32'h3f300de8,// invsqrt(2.5584) = 0.6252 +32'h3fbf434d,32'h3f4d3cac,32'h3f559d30, 32'h3f46f448,32'h3f5be594, 32'h3f3c7ba3,32'h3f665e39,// invsqrt(1.4942) = 0.8181 +32'h3f21d207,32'h3f9dc682,32'h3fa4371a, 32'h3f98f210,32'h3fa90b8c, 32'h3f90e553,32'h3fb11849,// invsqrt(0.6321) = 1.2578 +32'h40023c07,32'h3f2fdeda,32'h3f370c84, 32'h3f2a7c99,32'h3f3c6ec5, 32'h3f218384,32'h3f4567da,// invsqrt(2.0349) = 0.7010 +32'h3e52820f,32'h400a54ea,32'h400ffa56, 32'h400618d8,32'h40143668, 32'h3ffe1420,32'h401b4530,// invsqrt(0.2056) = 2.2055 +32'h3f012ff2,32'h3fb094f6,32'h3fb7ca10, 32'h3fab2d23,32'h3fbd31e3, 32'h3fa22ac3,32'h3fc63443,// invsqrt(0.5046) = 1.4077 +32'h3f6eabf7,32'h3f81e9f4,32'h3f87376b, 32'h3f7bdfb3,32'h3f8b3185, 32'h3f6e9e08,32'h3f91d25a,// invsqrt(0.9323) = 1.0357 +32'h4236289c,32'h3e14b4f8,32'h3e1ac6ce, 32'h3e102798,32'h3e1f542e, 32'h3e08914c,32'h3e26ea7a,// invsqrt(45.5397) = 0.1482 +32'h3f8a54a0,32'h3f715492,32'h3f7b2e3a, 32'h3f69f154,32'h3f8148bc, 32'h3f5da142,32'h3f8770c5,// invsqrt(1.0807) = 0.9619 +32'h3e8a0efd,32'h3ff19167,32'h3ffb6d8b, 32'h3fea2c4d,32'h40016953, 32'h3fddd920,32'h400792e9,// invsqrt(0.2696) = 1.9258 +32'h3f0608c8,32'h3fad5c13,32'h3fb46f81, 32'h3fa80d80,32'h3fb9be14, 32'h3f9f3536,32'h3fc2965e,// invsqrt(0.5236) = 1.3820 +32'h3f6899cb,32'h3f83992e,32'h3f88f840, 32'h3f7f23c2,32'h3f8cff8d, 32'h3f71b616,32'h3f93b663,// invsqrt(0.9086) = 1.0491 +32'h3fb6f8f8,32'h3f51d5c7,32'h3f5a6657, 32'h3f4b695b,32'h3f60d2c3, 32'h3f40b4a7,32'h3f6b8777,// invsqrt(1.4295) = 0.8364 +32'h3e6e0c3e,32'h40021582,32'h400764c0, 32'h3ffc3425,32'h400b602f, 32'h3feeee08,32'h4012033e,// invsqrt(0.2325) = 2.0740 +32'h3ed80ba3,32'h3fc11b71,32'h3fc8fd37, 32'h3fbb321d,32'h3fcee68b, 32'h3fb157e5,32'h3fd8c0c3,// invsqrt(0.4220) = 1.5394 +32'h3e7b4eca,32'h3ffd3617,32'h4003c5f2, 32'h3ff575be,32'h4007a61f, 32'h3fe88a7e,32'h400e1bbf,// invsqrt(0.2454) = 2.0186 +32'h41ac5208,32'h3e58392f,32'h3e610c7f, 32'h3e519ab3,32'h3e67aafb, 32'h3e46928e,32'h3e72b320,// invsqrt(21.5401) = 0.2155 +32'h3faa2e28,32'h3f599426,32'h3f6275a0, 32'h3f52eb0b,32'h3f691ebb, 32'h3f47d133,32'h3f743893,// invsqrt(1.3295) = 0.8673 +32'h3f80de5b,32'h3f7a0879,32'h3f821e88, 32'h3f726108,32'h3f85f241, 32'h3f659f4b,32'h3f8c5320,// invsqrt(1.0068) = 0.9966 +32'h3e0261c9,32'h402fc561,32'h4036f201, 32'h402a63e8,32'h403c537a, 32'h40216c1f,32'h40454b43,// invsqrt(0.1273) = 2.8025 +32'h40421862,32'h3f100fcd,32'h3f15f119, 32'h3f0ba6d4,32'h3f1a5a12, 32'h3f044d34,32'h3f21b3b2,// invsqrt(3.0327) = 0.5742 +32'h3f76e4d1,32'h3f7f76f7,32'h3f84f228, 32'h3f77a4f5,32'h3f88db29, 32'h3f6a9c47,32'h3f8f5f81,// invsqrt(0.9644) = 1.0183 +32'h40b94d19,32'h3ed0832f,32'h3ed905ed, 32'h3eca2120,32'h3edf67fc, 32'h3ebf7db3,32'h3eea0b69,// invsqrt(5.7907) = 0.4156 +32'h3f937925,32'h3f69bae1,32'h3f73451e, 32'h3f629332,32'h3f7a6ccc, 32'h3f56a666,32'h3f832ccc,// invsqrt(1.1521) = 0.9316 +32'h3f0a1e7e,32'h3faac6e3,32'h3fb1bf55, 32'h3fa58c8e,32'h3fb6f9aa, 32'h3f9cd600,32'h3fbfb038,// invsqrt(0.5395) = 1.3614 +32'h3f96605d,32'h3f677678,32'h3f70e905, 32'h3f60608f,32'h3f77feef, 32'h3f54915f,32'h3f81e70f,// invsqrt(1.1748) = 0.9226 +32'h3f46edf3,32'h3f8e4cee,32'h3f941bd4, 32'h3f89f1c3,32'h3f9876ff, 32'h3f82af24,32'h3f9fb99e,// invsqrt(0.7771) = 1.1344 +32'h401e4bc9,32'h3f1f85ac,32'h3f260884, 32'h3f1aa38a,32'h3f2aeaa6, 32'h3f127ffc,32'h3f330e34,// invsqrt(2.4734) = 0.6359 +32'h3f4ef3ba,32'h3f8b83dc,32'h3f9135a6, 32'h3f873e84,32'h3f957afe, 32'h3f802047,32'h3f9c993b,// invsqrt(0.8084) = 1.1122 +32'h3f808fc9,32'h3f7a54d5,32'h3f824645, 32'h3f72ab0e,32'h3f861b29, 32'h3f65e56b,32'h3f8c7dfa,// invsqrt(1.0044) = 0.9978 +32'h41271db7,32'h3e9b4167,32'h3ea197a9, 32'h3e9680b4,32'h3ea6585c, 32'h3e8e94e1,32'h3eae442f,// invsqrt(10.4448) = 0.3094 +32'h3f8093b0,32'h3f7a5109,32'h3f82444b, 32'h3f72a75e,32'h3f861920, 32'h3f65e1ee,32'h3f8c7bd8,// invsqrt(1.0045) = 0.9978 +32'h3f8208bf,32'h3f78e8f4,32'h3f8188e7, 32'h3f714a4f,32'h3f855839, 32'h3f64973e,32'h3f8bb1c2,// invsqrt(1.0159) = 0.9921 +32'h3fd0206a,32'h3f44bf20,32'h3f4cc6ee, 32'h3f3eb947,32'h3f52ccc7, 32'h3f34af86,32'h3f5cd688,// invsqrt(1.6260) = 0.7842 +32'h3fb5253c,32'h3f52e401,32'h3f5b7f99, 32'h3f4c6f50,32'h3f61f44a, 32'h3f41acd2,32'h3f6cb6c8,// invsqrt(1.4152) = 0.8406 +32'h3fb51c07,32'h3f52e95e,32'h3f5b852d, 32'h3f4c7482,32'h3f61fa08, 32'h3f41b1be,32'h3f6cbccc,// invsqrt(1.4149) = 0.8407 +32'h3f9668ae,32'h3f677012,32'h3f70e25c, 32'h3f605a5b,32'h3f77f813, 32'h3f548b7e,32'h3f81e378,// invsqrt(1.1751) = 0.9225 +32'h3e9660d1,32'h3fe7761f,32'h3ff0e8a9, 32'h3fe06039,32'h3ff7fe8f, 32'h3fd4910d,32'h4001e6dd,// invsqrt(0.2937) = 1.8452 +32'h40d80ed8,32'h3ec11a02,32'h3ec8fbb9, 32'h3ebb30b9,32'h3ecee503, 32'h3eb15695,32'h3ed8bf27,// invsqrt(6.7518) = 0.3848 +32'h3f8c6dd1,32'h3f6f853f,32'h3f794bfd, 32'h3f683030,32'h3f805086, 32'h3f5bf7c1,32'h3f866cbd,// invsqrt(1.0971) = 0.9547 +32'h3f6bdd49,32'h3f82af4a,32'h3f8804d0, 32'h3f7d5e4c,32'h3f8c04f4, 32'h3f70087e,32'h3f92afdb,// invsqrt(0.9213) = 1.0418 +32'h3e40321b,32'h4010c599,32'h4016ae51, 32'h400c570f,32'h401b1cdb, 32'h4004f429,32'h40227fc1,// invsqrt(0.1877) = 2.3082 +32'h3f89ca5b,32'h3f71cd89,32'h3f7bac21, 32'h3f6a6697,32'h3f818989, 32'h3f5e1059,32'h3f87b4a8,// invsqrt(1.0765) = 0.9638 +32'h3f8d0f26,32'h3f6efc1f,32'h3f78bd45, 32'h3f67ab43,32'h3f800711, 32'h3f5b79d3,32'h3f861fc8,// invsqrt(1.1020) = 0.9526 +32'h3f85c304,32'h3f756ace,32'h3f7f6f2a, 32'h3f6de789,32'h3f837938, 32'h3f616216,32'h3f89bbf1,// invsqrt(1.0450) = 0.9782 +32'h40aaebd6,32'h3ed91b4a,32'h3ee1f7d4, 32'h3ed275e1,32'h3ee89d3d, 32'h3ec76234,32'h3ef3b0ea,// invsqrt(5.3413) = 0.4327 +32'h3df8cb30,32'h4033f325,32'h403b4b70, 32'h402e70ee,32'h4040cda8, 32'h40254290,32'h4049fc06,// invsqrt(0.1215) = 2.8691 +32'h3f912295,32'h3f6b9aed,32'h3f7538c2, 32'h3f64648c,32'h3f7c6f22, 32'h3f585f42,32'h3f843a36,// invsqrt(1.1339) = 0.9391 +32'h402e05c1,32'h3f1824bb,32'h3f1e5a7a, 32'h3f137c6d,32'h3f2302c9, 32'h3f0bb93f,32'h3f2ac5f7,// invsqrt(2.7191) = 0.6064 +32'h3fc3e49a,32'h3f4acc0a,32'h3f531310, 32'h3f4496c6,32'h3f594854, 32'h3f3a3dff,32'h3f63a11b,// invsqrt(1.5304) = 0.8083 +32'h3fae54a5,32'h3f56f91e,32'h3f5fbf5e, 32'h3f50646e,32'h3f66540e, 32'h3f456c9e,32'h3f714bde,// invsqrt(1.3620) = 0.8569 +32'h3ebd6f08,32'h3fce39bb,32'h3fd6a493, 32'h3fc7e998,32'h3fdcf4b6, 32'h3fbd640a,32'h3fe77a45,// invsqrt(0.3700) = 1.6440 +32'h427cc9cf,32'h3dfc77fc,32'h3e036304, 32'h3df4bd75,32'h3e074047, 32'h3de7dbe8,32'h3e0db10e,// invsqrt(63.1971) = 0.1258 +32'h4093ab07,32'h3ee99363,32'h3ef31c04, 32'h3ee26ceb,32'h3efa427d, 32'h3ed68222,32'h3f0316a3,// invsqrt(4.6146) = 0.4655 +32'h403d14e3,32'h3f11f58d,32'h3f17eaad, 32'h3f0d7db5,32'h3f1c6285, 32'h3f060b4d,32'h3f23d4ed,// invsqrt(2.9544) = 0.5818 +32'h3fe94e74,32'h3f39d395,32'h3f416948, 32'h3f342350,32'h3f47198e, 32'h3f2aa831,32'h3f5094ad,// invsqrt(1.8227) = 0.7407 +32'h3ec680f3,32'h3fc97582,32'h3fd1ae8d, 32'h3fc34abb,32'h3fd7d955, 32'h3fb9036e,32'h3fe220a2,// invsqrt(0.3877) = 1.6060 +32'h3f3eff6c,32'h3f9139a5,32'h3f972719, 32'h3f8cc78d,32'h3f9b9931, 32'h3f855ebc,32'h3fa30202,// invsqrt(0.7461) = 1.1577 +32'h400366dc,32'h3f2f166d,32'h3f363be9, 32'h3f29ba4f,32'h3f3b9807, 32'h3f20cb74,32'h3f4486e3,// invsqrt(2.0532) = 0.6979 +32'h3fc067a7,32'h3f4ca084,32'h3f54faa8, 32'h3f465ce8,32'h3f5b3e44, 32'h3f3bec3a,32'h3f65aef2,// invsqrt(1.5032) = 0.8156 +32'h40f77d19,32'h3eb46c72,32'h3ebbc9b0, 32'h3eaee683,32'h3ec14f9f, 32'h3ea5b1f6,32'h3eca842c,// invsqrt(7.7340) = 0.3596 +32'h3f8dae65,32'h3f6e75aa,32'h3f783153, 32'h3f6728ec,32'h3f7f7e12, 32'h3f5afe59,32'h3f85d453,// invsqrt(1.1069) = 0.9505 +32'h400f95b2,32'h3f277eb9,32'h3f2e54df, 32'h3f225e1b,32'h3f33757d, 32'h3f19d26b,32'h3f3c012d,// invsqrt(2.2435) = 0.6676 +32'h406f6aec,32'h3f01b61a,32'h3f070174, 32'h3efb7b2d,32'h3f0af9f7, 32'h3eee3ecd,32'h3f119828,// invsqrt(3.7409) = 0.5170 +32'h3f4d18ba,32'h3f8c250e,32'h3f91dd6c, 32'h3f87dac7,32'h3f9627b3, 32'h3f80b450,32'h3f9d4e2a,// invsqrt(0.8012) = 1.1172 +32'h3ed11b1f,32'h3fc4490b,32'h3fcc4c07, 32'h3fbe46cf,32'h3fd24e43, 32'h3fb44315,32'h3fdc51fd,// invsqrt(0.4084) = 1.5648 +32'h3eff9565,32'h3fb18b1d,32'h3fb8ca43, 32'h3fac1bc1,32'h3fbe399f, 32'h3fa30cd2,32'h3fc7488e,// invsqrt(0.4992) = 1.4154 +32'h3f06d418,32'h3facd92c,32'h3fb3e743, 32'h3fa78e9c,32'h3fb931d4, 32'h3f9ebcff,32'h3fc20371,// invsqrt(0.5267) = 1.3779 +32'h3f85f1f8,32'h3f753fc7,32'h3f7f4261, 32'h3f6dbdd3,32'h3f83622a, 32'h3f613a92,32'h3f89a3cb,// invsqrt(1.0464) = 0.9776 +32'h3d142867,32'h40a4e3c9,32'h40ab9eb7, 32'h409fd796,32'h40b0aaea, 32'h40976ded,32'h40b91493,// invsqrt(0.0362) = 5.2580 +32'h3fe0fb54,32'h3f3d3bb4,32'h3f44f500, 32'h3f3770bc,32'h3f4abff8, 32'h3f2dc91e,32'h3f546796,// invsqrt(1.7577) = 0.7543 +32'h3da05a73,32'h40602584,32'h40694b9e, 32'h405948f0,32'h40702832, 32'h404dd94f,32'h407b97d3,// invsqrt(0.0783) = 3.5738 +32'h400d95de,32'h3f28ac68,32'h3f2f8ee0, 32'h3f23828f,32'h3f34b8b9, 32'h3f1ae77a,32'h3f3d53ce,// invsqrt(2.2123) = 0.6723 +32'h3e909b91,32'h3fec08d0,32'h3ff5ab22, 32'h3fe4cf13,32'h3ffce4df, 32'h3fd8c42d,32'h400477e3,// invsqrt(0.2824) = 1.8817 +32'h40220425,32'h3f1dae19,32'h3f241db2, 32'h3f18da67,32'h3f28f165, 32'h3f10cee9,32'h3f30fce3,// invsqrt(2.5315) = 0.6285 +32'h3f7b8b25,32'h3f7d17b5,32'h3f83b622, 32'h3f755849,32'h3f8795d8, 32'h3f686e96,32'h3f8e0ab1,// invsqrt(0.9826) = 1.0088 +32'h3f42a7ca,32'h3f8fdab2,32'h3f95b9d3, 32'h3f8b7358,32'h3f9a212c, 32'h3f841c6f,32'h3fa17815,// invsqrt(0.7604) = 1.1468 +32'h3e0f2806,32'h4027bed5,32'h402e979a, 32'h40229c42,32'h4033ba2e, 32'h401a0d4c,32'h403c4924,// invsqrt(0.1398) = 2.6745 +32'h3ec49a5a,32'h3fca6e38,32'h3fd2b169, 32'h3fc43bd2,32'h3fd8e3ce, 32'h3fb9e7d5,32'h3fe337cb,// invsqrt(0.3840) = 1.6138 +32'h4080d1e6,32'h3efa1490,32'h3f0224d3, 32'h3ef26cc0,32'h3f05f8bb, 32'h3ee5aa65,32'h3f0c59e8,// invsqrt(4.0256) = 0.4984 +32'h3fc57ddd,32'h3f49f97c,32'h3f5237ea, 32'h3f43caaa,32'h3f5866bc, 32'h3f397ca1,32'h3f62b4c5,// invsqrt(1.5429) = 0.8051 +32'h3f528232,32'h3f8a54de,32'h3f8ffa4a, 32'h3f8618cd,32'h3f94365b, 32'h3f7e140a,32'h3f9b4523,// invsqrt(0.8223) = 1.1028 +32'h3fa15ecd,32'h3f5f706a,32'h3f688f20, 32'h3f589962,32'h3f6f6628, 32'h3f4d32fe,32'h3f7acc8c,// invsqrt(1.2607) = 0.8906 +32'h3fa765fa,32'h3f5b6113,32'h3f64555d, 32'h3f54a9dc,32'h3f6b0c94, 32'h3f49787f,32'h3f763df1,// invsqrt(1.3078) = 0.8744 +32'h3f569e78,32'h3f89001c,32'h3f8e97a0, 32'h3f84ce79,32'h3f92c943, 32'h3f7ba229,32'h3f99c6a7,// invsqrt(0.8384) = 1.0922 +32'h3ed6a3a3,32'h3fc1bd1f,32'h3fc9a57f, 32'h3fbbced8,32'h3fcf93c6, 32'h3fb1ec61,32'h3fd9763d,// invsqrt(0.4192) = 1.5445 +32'h3e788cf8,32'h3ffe9ca0,32'h40048088, 32'h3ff6d14d,32'h40086631, 32'h3fe9d3c2,32'h400ee4f7,// invsqrt(0.2427) = 2.0297 +32'h3fba2d5e,32'h3f500572,32'h3f58830f, 32'h3f49a73e,32'h3f5ee144, 32'h3f3f0a3a,32'h3f697e48,// invsqrt(1.4545) = 0.8292 +32'h3fa50b52,32'h3f5cf030,32'h3f65f4c4, 32'h3f562cc1,32'h3f6cb833, 32'h3f4ae707,32'h3f77fded,// invsqrt(1.2894) = 0.8807 +32'h3ef75173,32'h3fb47c5d,32'h3fbbda41, 32'h3faef5f1,32'h3fc160ad, 32'h3fa5c094,32'h3fca960a,// invsqrt(0.4830) = 1.4388 +32'h408b77d6,32'h3ef0581b,32'h3efa2775, 32'h3ee8fc98,32'h3f00c17c, 32'h3edcb967,32'h3f06e314,// invsqrt(4.3584) = 0.4790 +32'h3e56647b,32'h400912a2,32'h400eaae8, 32'h4004e06e,32'h4012dd1c, 32'h3ffbc42f,32'h4019db72,// invsqrt(0.2094) = 2.1855 +32'h3f9d7bbc,32'h3f622e04,32'h3f6b695e, 32'h3f5b4182,32'h3f7255e0, 32'h3f4fb752,32'h3f7de010,// invsqrt(1.2303) = 0.9015 +32'h40db2dc6,32'h3ebfb8cf,32'h3ec78c1b, 32'h3eb9da56,32'h3ecd6a94, 32'h3eb01236,32'h3ed732b4,// invsqrt(6.8493) = 0.3821 +32'h3fe66190,32'h3f3b00a9,32'h3f42a2a5, 32'h3f35472b,32'h3f485c23, 32'h3f2bbcb0,32'h3f51e69e,// invsqrt(1.7999) = 0.7454 +32'h3fc2d27b,32'h3f4b5a84,32'h3f53a75a, 32'h3f4520e3,32'h3f59e0fb, 32'h3f3ac0d7,32'h3f644107,// invsqrt(1.5220) = 0.8106 +32'h3f0613d5,32'h3fad54ee,32'h3fb46812, 32'h3fa80693,32'h3fb9b66d, 32'h3f9f2ea6,32'h3fc28e5a,// invsqrt(0.5237) = 1.3818 +32'h3de905d7,32'h4039f087,32'h40418768, 32'h40343f5f,32'h40473891, 32'h402ac2c6,32'h4050b52a,// invsqrt(0.1138) = 2.9646 +32'h3fe97ba4,32'h3f39c199,32'h3f41568f, 32'h3f3411e0,32'h3f470648, 32'h3f2a97ac,32'h3f50807c,// invsqrt(1.8241) = 0.7404 +32'h4015abbe,32'h3f240de1,32'h3f2ac013, 32'h3f1f083a,32'h3f2fc5ba, 32'h3f16a97b,32'h3f382479,// invsqrt(2.3386) = 0.6539 +32'h3f49f9b5,32'h3f8d3933,32'h3f92fcd7, 32'h3f88e678,32'h3f974f92, 32'h3f81b1eb,32'h3f9e841f,// invsqrt(0.7890) = 1.1258 +32'h3f771752,32'h3f7f5cda,32'h3f84e491, 32'h3f778ba4,32'h3f88cd2c, 32'h3f6a844b,32'h3f8f50d8,// invsqrt(0.9652) = 1.0179 +32'h3f56af1e,32'h3f88facc,32'h3f8e9218, 32'h3f84c953,32'h3f92c391, 32'h3f7b9867,32'h3f99c0b1,// invsqrt(0.8386) = 1.0920 +32'h3f41e1d4,32'h3f902410,32'h3f960630, 32'h3f8bba78,32'h3f9a6fc8, 32'h3f845fd0,32'h3fa1ca70,// invsqrt(0.7574) = 1.1491 +32'h3f21d6ce,32'h3f9dc42e,32'h3fa434ae, 32'h3f98efcf,32'h3fa9090d, 32'h3f90e330,32'h3fb115ac,// invsqrt(0.6322) = 1.2577 +32'h3e360dd0,32'h4014bfe9,32'h401ad232, 32'h40103234,32'h401f5fe8, 32'h40089b59,32'h4026f6c3,// invsqrt(0.1778) = 2.3716 +32'h4057b2bb,32'h3f08a844,32'h3f0e3c32, 32'h3f047952,32'h3f126b24, 32'h3efb00d1,32'h3f19640e,// invsqrt(3.3703) = 0.5447 +32'h3f87be30,32'h3f739ea6,32'h3f7d903a, 32'h3f6c2977,32'h3f8282b4, 32'h3f5fbb7e,32'h3f88b9b1,// invsqrt(1.0605) = 0.9711 +32'h400940d7,32'h3f2b5091,32'h3f324ea1, 32'h3f261205,32'h3f378d2d, 32'h3f1d5470,32'h3f404ac2,// invsqrt(2.1446) = 0.6829 +32'h3f0daa37,32'h3fa8a04b,32'h3faf8243, 32'h3fa376d0,32'h3fb4abbe, 32'h3f9adc5a,32'h3fbd4635,// invsqrt(0.5534) = 1.3443 +32'h3f89f0db,32'h3f71abc9,32'h3f7b8900, 32'h3f6a45df,32'h3f817774, 32'h3f5df15a,32'h3f87a1b7,// invsqrt(1.0777) = 0.9633 +32'h405278de,32'h3f0a57ef,32'h3f0ffd7b, 32'h3f061bc6,32'h3f1439a4, 32'h3efe19ac,32'h3f1b4894,// invsqrt(3.2886) = 0.5514 +32'h403ab4d3,32'h3f12e27a,32'h3f18e146, 32'h3f0e6362,32'h3f1d605e, 32'h3f06e4e3,32'h3f24dedd,// invsqrt(2.9173) = 0.5855 +32'h4037c324,32'h3f140e7f,32'h3f1a1989, 32'h3f0f8637,32'h3f1ea1d1, 32'h3f07f86a,32'h3f262f9e,// invsqrt(2.8713) = 0.5901 +32'h3f7dfa98,32'h3f7be052,32'h3f831415, 32'h3f742a6e,32'h3f86ef07, 32'h3f67509e,32'h3f8d5bef,// invsqrt(0.9921) = 1.0040 +32'h3fcaa1ca,32'h3f476572,32'h3f4f88ee, 32'h3f414ad4,32'h3f55a38c, 32'h3f371e78,32'h3f5fcfe8,// invsqrt(1.5831) = 0.7948 +32'h3e969c50,32'h3fe74863,32'h3ff0b90f, 32'h3fe033e3,32'h3ff7cd8f, 32'h3fd4670d,32'h4001cd33,// invsqrt(0.2942) = 1.8438 +32'h3f834983,32'h3f77b826,32'h3f80ea48, 32'h3f7022d6,32'h3f84b4f0, 32'h3f637f52,32'h3f8b06b2,// invsqrt(1.0257) = 0.9874 +32'h3f66f0d3,32'h3f84120b,32'h3f89760b, 32'h3f80070a,32'h3f8d810c, 32'h3f729414,32'h3f943e0c,// invsqrt(0.9021) = 1.0529 +32'h3fbf52ab,32'h3f4d346e,32'h3f55949c, 32'h3f46ec4b,32'h3f5bdcbf, 32'h3f3c7411,32'h3f6654f9,// invsqrt(1.4947) = 0.8179 +32'h3f588beb,32'h3f8863ab,32'h3f8df4cd, 32'h3f8436d3,32'h3f9221a5, 32'h3f7a82d2,32'h3f99170f,// invsqrt(0.8459) = 1.0873 +32'h402d6dfd,32'h3f18673f,32'h3f1e9fb4, 32'h3f13bce6,32'h3f234a0c, 32'h3f0bf653,32'h3f2b109f,// invsqrt(2.7098) = 0.6075 +32'h3f32dd58,32'h3f9611ea,32'h3f9c31fe, 32'h3f9179db,32'h3fa0ca0d, 32'h3f89d1c2,32'h3fa87226,// invsqrt(0.6987) = 1.1963 +32'h3f113dd4,32'h3fa68976,32'h3fad559b, 32'h3fa1705c,32'h3fb26eb6, 32'h3f98f12e,32'h3fbaede4,// invsqrt(0.5673) = 1.3276 +32'h3e369ea0,32'h401484e4,32'h401a94c3, 32'h400ff8fc,32'h401f20aa, 32'h40086524,32'h4026b482,// invsqrt(0.1783) = 2.3680 +32'h3e9ff1bb,32'h3fe06ed8,32'h3fe997f1, 32'h3fd99006,32'h3ff076c4, 32'h3fce1ca8,32'h3ffbea22,// invsqrt(0.3124) = 1.7892 +32'h408a1f5d,32'h3ef18315,32'h3efb5ea3, 32'h3eea1e6b,32'h3f0161a7, 32'h3eddcbf9,32'h3f078adf,// invsqrt(4.3163) = 0.4813 +32'h3eb72d55,32'h3fd1b7c7,32'h3fda471d, 32'h3fcb4c46,32'h3fe0b29e, 32'h3fc0991a,32'h3feb65ca,// invsqrt(0.3578) = 1.6719 +32'h408a8415,32'h3ef12b37,32'h3efb032f, 32'h3ee9c93d,32'h3f013294, 32'h3edd7b48,32'h3f07598f,// invsqrt(4.3286) = 0.4806 +32'h410c6582,32'h3ea962da,32'h3eb04cc4, 32'h3ea4336b,32'h3eb57c33, 32'h3e9b8f07,32'h3ebe2097,// invsqrt(8.7748) = 0.3376 +32'h404fcf11,32'h3f0b3a28,32'h3f10e8f0, 32'h3f06f712,32'h3f152c06, 32'h3effb92f,32'h3f1c4680,// invsqrt(3.2470) = 0.5550 +32'h3fd7cfea,32'h3f413628,32'h3f491905, 32'h3f3b4c02,32'h3f4f032a, 32'h3f31706d,32'h3f58debf,// invsqrt(1.6860) = 0.7701 +32'h3f57ea45,32'h3f8896b0,32'h3f8e29e6, 32'h3f846847,32'h3f92584f, 32'h3f7ae087,32'h3f995052,// invsqrt(0.8434) = 1.0889 +32'h3f7317e1,32'h3f80ba1b,32'h3f85fb2b, 32'h3f79929c,32'h3f89ebf8, 32'h3f6c6ff2,32'h3f907d4d,// invsqrt(0.9496) = 1.0262 +32'h409bf52f,32'h3ee34887,32'h3eec8f68, 32'h3edc535f,32'h3ef38491, 32'h3ed0bac6,32'h3eff1d2a,// invsqrt(4.8737) = 0.4530 +32'h3f0b57fa,32'h3faa065d,32'h3fb0f6f3, 32'h3fa4d1ec,32'h3fb62b64, 32'h3f9c2531,32'h3fbed81f,// invsqrt(0.5443) = 1.3554 +32'h3fcd2f69,32'h3f4626dc,32'h3f4e3d58, 32'h3f4015ff,32'h3f544e35, 32'h3f35f9e4,32'h3f5e6a50,// invsqrt(1.6030) = 0.7898 +32'h3f963c74,32'h3f679220,32'h3f7105ce, 32'h3f607b5e,32'h3f781c90, 32'h3f54aac5,32'h3f81f695,// invsqrt(1.1737) = 0.9230 +32'h3f4aaf19,32'h3f8cf9f3,32'h3f92bb03, 32'h3f88a928,32'h3f970bce, 32'h3f8177d5,32'h3f9e3d21,// invsqrt(0.7917) = 1.1239 +32'h3f135a10,32'h3fa55713,32'h3fac16b6, 32'h3fa0475a,32'h3fb12670, 32'h3f97d7ce,32'h3fb995fc,// invsqrt(0.5756) = 1.3181 +32'h3f37e71a,32'h3f940005,32'h3f9a0a78, 32'h3f8f782e,32'h3f9e924e, 32'h3f87eb1e,32'h3fa61f5e,// invsqrt(0.7184) = 1.1798 +32'h3f6cdd8e,32'h3f826885,32'h3f87bb27, 32'h3f7cd517,32'h3f8bb921, 32'h3f6f8681,32'h3f92606b,// invsqrt(0.9253) = 1.0396 +32'h40099f81,32'h3f2b159b,32'h3f321144, 32'h3f25d8de,32'h3f374e02, 32'h3f1d1e4b,32'h3f400895,// invsqrt(2.1504) = 0.6819 +32'h3f78e0c1,32'h3f7e71c1,32'h3f846a38, 32'h3f76a7bd,32'h3f884f39, 32'h3f69ac62,32'h3f8ecce7,// invsqrt(0.9722) = 1.0142 +32'h4271297a,32'h3e013dcb,32'h3e06843b, 32'h3dfa91ec,32'h3e0a7910, 32'h3ded61d2,32'h3e11111d,// invsqrt(60.2905) = 0.1288 +32'h3eda85e9,32'h3fc00264,32'h3fc7d8b2, 32'h3fba21aa,32'h3fcdb96c, 32'h3fb055ca,32'h3fd7854c,// invsqrt(0.4268) = 1.5307 +32'h3e675a17,32'h4003f3fc,32'h400956c2, 32'h3fffd3ce,32'h400d60d7, 32'h3ff25cde,32'h40141c4f,// invsqrt(0.2259) = 2.1038 +32'h3fd5066b,32'h3f4278ac,32'h3f4a68b2, 32'h3f3c84a6,32'h3f505cb8, 32'h3f32989e,32'h3f5a48c1,// invsqrt(1.6643) = 0.7752 +32'h3f2465ac,32'h3f9c88b9,32'h3fa2ec58, 32'h3f97be01,32'h3fa7b70f, 32'h3f8fc17a,32'h3fafb396,// invsqrt(0.6422) = 1.2479 +32'h3ebe6dca,32'h3fcdaf9b,32'h3fd614d0, 32'h3fc763b2,32'h3fdc60b8, 32'h3fbce530,32'h3fe6df3a,// invsqrt(0.3719) = 1.6397 +32'h3e20ec4b,32'h401e36f9,32'h4024ac28, 32'h40195f17,32'h4029840b, 32'h40114c9c,32'h40319686,// invsqrt(0.1572) = 2.5226 +32'h3fa39035,32'h3f5defa9,32'h3f66feab, 32'h3f572468,32'h3f6dc9ec, 32'h3f4bd1a6,32'h3f791cae,// invsqrt(1.2778) = 0.8846 +32'h410b5fac,32'h3eaa01ab,32'h3eb0f211, 32'h3ea4cd60,32'h3eb6265c, 32'h3e9c20e1,32'h3ebed2db,// invsqrt(8.7109) = 0.3388 +32'h3f935442,32'h3f69d821,32'h3f736391, 32'h3f62af8e,32'h3f7a8c24, 32'h3f56c143,32'h3f833d37,// invsqrt(1.1510) = 0.9321 +32'h3ff0add4,32'h3f36f54e,32'h3f3e6d08, 32'h3f315b82,32'h3f4406d4, 32'h3f2805da,32'h3f4d5c7c,// invsqrt(1.8803) = 0.7293 +32'h4006cd31,32'h3f2cdd99,32'h3f33ebde, 32'h3f2792e6,32'h3f393692, 32'h3f1ec110,32'h3f420868,// invsqrt(2.1063) = 0.6890 +32'h3dca1b63,32'h4047a7b3,32'h404fcde5, 32'h40418b0f,32'h4055ea89, 32'h40375b51,32'h40601a47,// invsqrt(0.0987) = 3.1833 +32'h3ea8015e,32'h3fdafb88,32'h3fe3ebac, 32'h3fd4476c,32'h3fea9fc8, 32'h3fc91b3e,32'h3ff5cbf6,// invsqrt(0.3281) = 1.7457 +32'h3f7f3d80,32'h3f7b40cc,32'h3f82c112, 32'h3f738fcc,32'h3f869992, 32'h3f66be1f,32'h3f8d0268,// invsqrt(0.9970) = 1.0015 +32'h3e48999f,32'h400db4ec,32'h40137d9d, 32'h40095e68,32'h4017d422, 32'h4002238b,32'h401f0eff,// invsqrt(0.1959) = 2.2594 +32'h3f562b1d,32'h3f8924fc,32'h3f8ebe02, 32'h3f84f239,32'h3f92f0c5, 32'h3f7be5e5,32'h3f99f00c,// invsqrt(0.8366) = 1.0933 +32'h3fa30b66,32'h3f5e49fa,32'h3f675cac, 32'h3f577bf5,32'h3f6e2ab1, 32'h3f4c2498,32'h3f79820f,// invsqrt(1.2738) = 0.8860 +32'h3f4f1070,32'h3f8b7a30,32'h3f912b95, 32'h3f873524,32'h3f9570a0, 32'h3f801765,32'h3f9c8e5f,// invsqrt(0.8088) = 1.1119 +32'h3fcdf41b,32'h3f45c826,32'h3f4ddac4, 32'h3f3fba2f,32'h3f53e8bb, 32'h3f35a2e9,32'h3f5e0001,// invsqrt(1.6090) = 0.7884 +32'h3f07bb92,32'h3fac458a,32'h3fb34d9a, 32'h3fa6ff7e,32'h3fb893a6, 32'h3f9e356a,32'h3fc15dba,// invsqrt(0.5302) = 1.3733 +32'h41a68553,32'h3e5bf4dd,32'h3e64ef2f, 32'h3e55391f,32'h3e6baaed, 32'h3e4a0039,32'h3e76e3d3,// invsqrt(20.8151) = 0.2192 +32'h3fe9b43a,32'h3f39ab1b,32'h3f413f27, 32'h3f33fc13,32'h3f46ee2f, 32'h3f2a8304,32'h3f50673e,// invsqrt(1.8258) = 0.7401 +32'h3ee0f58f,32'h3fbd3e22,32'h3fc4f786, 32'h3fb77316,32'h3fcac292, 32'h3fadcb58,32'h3fd46a50,// invsqrt(0.4394) = 1.5086 +32'h3f54bc77,32'h3f899af9,32'h3f8f38cf, 32'h3f856499,32'h3f936f2f, 32'h3f7cbe9a,32'h3f9a747b,// invsqrt(0.8310) = 1.0970 +32'h3ed4945f,32'h3fc2accf,32'h3fca9ef7, 32'h3fbcb731,32'h3fd09495, 32'h3fb2c880,32'h3fda8347,// invsqrt(0.4152) = 1.5519 +32'h3fe40bda,32'h3f3bf51b,32'h3f43a111, 32'h3f363422,32'h3f49620a, 32'h3f2c9d2d,32'h3f52f8ff,// invsqrt(1.7816) = 0.7492 +32'h3efcf717,32'h3fb275bc,32'h3fb9be76, 32'h3facff31,32'h3fbf3501, 32'h3fa3e44a,32'h3fc84fe8,// invsqrt(0.4941) = 1.4227 +32'h3ea87841,32'h3fdaae36,32'h3fe39b32, 32'h3fd3fc78,32'h3fea4cf0, 32'h3fc8d43c,32'h3ff5752c,// invsqrt(0.3290) = 1.7433 +32'h3e417361,32'h40104d30,32'h401630fe, 32'h400be256,32'h401a9bd8, 32'h40048595,32'h4021f899,// invsqrt(0.1889) = 2.3007 +32'h3e428ee0,32'h400fe3e7,32'h4015c369, 32'h400b7c46,32'h401a2b0a, 32'h400424e4,32'h4021826c,// invsqrt(0.1900) = 2.2942 +32'h3f785c87,32'h3f7eb574,32'h3f848d73, 32'h3f76e95d,32'h3f88737e, 32'h3f69ea8e,32'h3f8ef2e5,// invsqrt(0.9702) = 1.0153 +32'h3e635536,32'h40051d47,32'h400a8c2f, 32'h40010a18,32'h400e9f5e, 32'h3ff47eea,32'h40156a01,// invsqrt(0.2220) = 2.1224 +32'h3f58fe09,32'h3f883fc9,32'h3f8dcf73, 32'h3f841409,32'h3f91fb33, 32'h3f7a40e9,32'h3f98eec7,// invsqrt(0.8476) = 1.0862 +32'h3f1a3902,32'h3fa19d76,32'h3fa8362c, 32'h3f9caaed,32'h3fad28b5, 32'h3f946c09,32'h3fb56799,// invsqrt(0.6024) = 1.2884 +32'h3f4ebebd,32'h3f8b95bc,32'h3f914842, 32'h3f874fd9,32'h3f958e25, 32'h3f8030b2,32'h3f9cad4c,// invsqrt(0.8076) = 1.1128 +32'h3e822732,32'h3ff8cbd4,32'h400179c0, 32'h3ff12e15,32'h400548a0, 32'h3fe47c7f,32'h400ba16a,// invsqrt(0.2542) = 1.9834 +32'h3f34d6a6,32'h3f953fac,32'h3f9b572c, 32'h3f90ae0d,32'h3f9fe8cb, 32'h3f8910ae,32'h3fa7862a,// invsqrt(0.7064) = 1.1898 +32'h3fc0da3b,32'h3f4c63b2,32'h3f54bb5b, 32'h3f4621f2,32'h3f5afd1a, 32'h3f3bb45f,32'h3f656aad,// invsqrt(1.5067) = 0.8147 +32'h3fc725cd,32'h3f49220f,32'h3f5157b1, 32'h3f42f9d5,32'h3f577feb, 32'h3f38b6ca,32'h3f61c2f6,// invsqrt(1.5558) = 0.8017 +32'h4001ebd2,32'h3f30151b,32'h3f3744fd, 32'h3f2ab132,32'h3f3ca8e6, 32'h3f21b558,32'h3f45a4c0,// invsqrt(2.0300) = 0.7019 +32'h410fee27,32'h3ea74b39,32'h3eae1f45, 32'h3ea22c2f,32'h3eb33e4f, 32'h3e99a31f,32'h3ebbc75f,// invsqrt(8.9956) = 0.3334 +32'h4012c564,32'h3f25aabc,32'h3f2c6dc8, 32'h3f209872,32'h3f318012, 32'h3f1824a2,32'h3f39f3e2,// invsqrt(2.2933) = 0.6603 +32'h40eadd7b,32'h3eb93577,32'h3ec0c4b5, 32'h3eb38a08,32'h3ec67024, 32'h3eaa16fa,32'h3ecfe332,// invsqrt(7.3395) = 0.3691 +32'h3f98ec65,32'h3f6586f2,32'h3f6ee546, 32'h3f5e8034,32'h3f75ec04, 32'h3f52ca4c,32'h3f80d0f6,// invsqrt(1.1947) = 0.9149 +32'h3f2be0ed,32'h3f9916e3,32'h3f9f5683, 32'h3f94672a,32'h3fa4063c, 32'h3f8c97a1,32'h3fabd5c5,// invsqrt(0.6714) = 1.2204 +32'h4051e425,32'h3f0a88ea,32'h3f103076, 32'h3f064b41,32'h3f146e1f, 32'h3efe73a3,32'h3f1b7f8e,// invsqrt(3.2795) = 0.5522 +32'h3f85fcf0,32'h3f7535bc,32'h3f7f37ee, 32'h3f6db417,32'h3f835cc9, 32'h3f613159,32'h3f899e28,// invsqrt(1.0468) = 0.9774 +32'h3f155a8b,32'h3fa43a73,32'h3faaee78, 32'h3f9f3370,32'h3faff57c, 32'h3f96d26a,32'h3fb85682,// invsqrt(0.5834) = 1.3092 +32'h3f7694e0,32'h3f7fa05d,32'h3f8507b3, 32'h3f77cd17,32'h3f88f157, 32'h3f6ac24b,32'h3f8f76bc,// invsqrt(0.9632) = 1.0189 +32'h3f82c204,32'h3f78385e,32'h3f812d02, 32'h3f709f22,32'h3f84f9a0, 32'h3f63f512,32'h3f8b4ea8,// invsqrt(1.0215) = 0.9894 +32'h3f98aa73,32'h3f65b87f,32'h3f6f18d8, 32'h3f5eb03d,32'h3f76211b, 32'h3f52f7ce,32'h3f80ecc5,// invsqrt(1.1927) = 0.9157 +32'h40175473,32'h3f232709,32'h3f29cfcf, 32'h3f1e2873,32'h3f2ece65, 32'h3f15d57b,32'h3f37215d,// invsqrt(2.3645) = 0.6503 +32'h3f5d6baa,32'h3f86e13d,32'h3f8c6299, 32'h3f82c039,32'h3f90839d, 32'h3f77bd0d,32'h3f97654f,// invsqrt(0.8649) = 1.0753 +32'h3f668fc1,32'h3f842dd6,32'h3f8992f8, 32'h3f8021fb,32'h3f8d9ed3, 32'h3f72c720,32'h3f945d3e,// invsqrt(0.9006) = 1.0537 +32'h3f9a7a98,32'h3f645e5f,32'h3f6db097, 32'h3f5d60b5,32'h3f74ae41, 32'h3f51b9ef,32'h3f802a84,// invsqrt(1.2069) = 0.9103 +32'h41bb15d6,32'h3e4f840c,32'h3e57fc60, 32'h3e4929cd,32'h3e5e569f, 32'h3e3e9364,32'h3e68ed08,// invsqrt(23.3857) = 0.2068 +32'h3fa4936a,32'h3f5d409d,32'h3f66487a, 32'h3f567ab8,32'h3f6d0e60, 32'h3f4b30e4,32'h3f785834,// invsqrt(1.2857) = 0.8819 +32'h3ef73d6c,32'h3fb483ac,32'h3fbbe1dd, 32'h3faefd08,32'h3fc16882, 32'h3fa5c74b,32'h3fca9e3f,// invsqrt(0.4829) = 1.4390 +32'h404dcae5,32'h3f0be856,32'h3f119e3a, 32'h3f079feb,32'h3f15e6a5, 32'h3f007c8e,32'h3f1d0a02,// invsqrt(3.2155) = 0.5577 +32'h3f4d722c,32'h3f8c0689,32'h3f91bda8, 32'h3f87bd30,32'h3f960700, 32'h3f809849,32'h3f9d2be7,// invsqrt(0.8025) = 1.1163 +32'h3f075b1f,32'h3fac82e0,32'h3fb38d70, 32'h3fa73af3,32'h3fb8d55d, 32'h3f9e6dbe,32'h3fc1a292,// invsqrt(0.5287) = 1.3752 +32'h3e9bd3db,32'h3fe360d4,32'h3feca8b2, 32'h3fdc6aec,32'h3ff39e9a, 32'h3fd0d116,32'h3fff3870,// invsqrt(0.3044) = 1.8126 +32'h3f6b195d,32'h3f82e5b3,32'h3f883d71, 32'h3f7dc7c9,32'h3f8c3f40, 32'h3f706c6d,32'h3f92eced,// invsqrt(0.9184) = 1.0435 +32'h3f10f8e5,32'h3fa6b10a,32'h3fad7ecc, 32'h3fa196b9,32'h3fb2991d, 32'h3f991587,32'h3fbb1a4f,// invsqrt(0.5663) = 1.3289 +32'h3eafe616,32'h3fd60343,32'h3fdebf79, 32'h3fcf7619,32'h3fe54ca3, 32'h3fc48ad5,32'h3ff037e7,// invsqrt(0.3436) = 1.7061 +32'h3e7f7f4b,32'h3ffb2071,32'h4002b03a, 32'h3ff3706c,32'h4006883c, 32'h3fe6a067,32'h400cf03f,// invsqrt(0.2495) = 2.0020 +32'h3df493e5,32'h40357e7f,32'h403ce6ed, 32'h402ff02d,32'h4042753f, 32'h4026ada4,32'h404bb7c8,// invsqrt(0.1194) = 2.8937 +32'h40275bd2,32'h3f1b2495,32'h3f2179ab, 32'h3f1664c5,32'h3f26397b, 32'h3f0e7a6a,32'h3f2e23d6,// invsqrt(2.6150) = 0.6184 +32'h40b76cd8,32'h3ed19375,32'h3eda2150, 32'h3ecb2911,32'h3ee08bb5, 32'h3ec077c0,32'h3eeb3d07,// invsqrt(5.7320) = 0.4177 +32'h3fab3910,32'h3f58ea4e,32'h3f61c4da, 32'h3f524666,32'h3f6868c2, 32'h3f473538,32'h3f7379f0,// invsqrt(1.3377) = 0.8646 +32'h3e8cf457,32'h3fef12d8,32'h3ff8d4eb, 32'h3fe7c149,32'h4000133d, 32'h3fdb8eb1,32'h40062c89,// invsqrt(0.2753) = 1.9059 +32'h3eef8d51,32'h3fb76359,32'h3fbedf91, 32'h3fb1c62f,32'h3fc47cbb, 32'h3fa86ae9,32'h3fcdd801,// invsqrt(0.4679) = 1.4620 +32'h401488fd,32'h3f24ae24,32'h3f2b66e1, 32'h3f1fa395,32'h3f30716f, 32'h3f173ca8,32'h3f38d85c,// invsqrt(2.3209) = 0.6564 +32'h4091620a,32'h3eeb677c,32'h3ef50338, 32'h3ee432af,32'h3efc3805, 32'h3ed83004,32'h3f041d58,// invsqrt(4.5432) = 0.4692 +32'h40b6e930,32'h3ed1ded4,32'h3eda6fc3, 32'h3ecb7222,32'h3ee0dc76, 32'h3ec0bcf8,32'h3eeb91a0,// invsqrt(5.7160) = 0.4183 +32'h4101ad6b,32'h3eb03f74,32'h3eb77110, 32'h3eaada3f,32'h3ebcd645, 32'h3ea1dc3b,32'h3ec5d449,// invsqrt(8.1048) = 0.3513 +32'h3fb8d24d,32'h3f50c868,32'h3f594dfa, 32'h3f4a643b,32'h3f5fb227, 32'h3f3fbd46,32'h3f6a591c,// invsqrt(1.4439) = 0.8322 +32'h3ffd51d8,32'h3f3255c1,32'h3f399d2d, 32'h3f2ce031,32'h3f3f12bd, 32'h3f23c6eb,32'h3f482c03,// invsqrt(1.9791) = 0.7108 +32'h407ffd53,32'h3efae297,32'h3f02900b, 32'h3ef33478,32'h3f06671a, 32'h3ee6679a,32'h3f0ccd89,// invsqrt(3.9998) = 0.5000 +32'h3f4c61a1,32'h3f8c63c6,32'h3f921eb4, 32'h3f881794,32'h3f966ae6, 32'h3f80edea,32'h3f9d9490,// invsqrt(0.7984) = 1.1192 +32'h3f0f9a74,32'h3fa77bf3,32'h3fae51fd, 32'h3fa25b6c,32'h3fb37284, 32'h3f99cfdf,32'h3fbbfe11,// invsqrt(0.5610) = 1.3352 +32'h3f47eec4,32'h3f8df16c,32'h3f93bc94, 32'h3f89990d,32'h3f9814f3, 32'h3f825b1a,32'h3f9f52e6,// invsqrt(0.7810) = 1.1316 +32'h40899764,32'h3ef1fa4e,32'h3efbdab9, 32'h3eea91fc,32'h3f01a185, 32'h3ede3976,32'h3f07cdc8,// invsqrt(4.2997) = 0.4823 +32'h405379a1,32'h3f0a03d9,32'h3f0fa5f7, 32'h3f05ca43,32'h3f13df8d, 32'h3efd7f3b,32'h3f1aea32,// invsqrt(3.3043) = 0.5501 +32'h3f280dff,32'h3f9ad241,32'h3fa123fb, 32'h3f9614f6,32'h3fa5e146, 32'h3f8e2ece,32'h3fadc76e,// invsqrt(0.6565) = 1.2342 +32'h3e4e49f2,32'h400bbd3a,32'h4011715c, 32'h40077621,32'h4015b875, 32'h400054f7,32'h401cd99f,// invsqrt(0.2015) = 2.2280 +32'h3f695d15,32'h3f836212,32'h3f88bee4, 32'h3f7eb8ea,32'h3f8cc481, 32'h3f7150dd,32'h3f937887,// invsqrt(0.9116) = 1.0474 +32'h3f3096b0,32'h3f9708b3,32'h3f9d32d9, 32'h3f926916,32'h3fa1d276, 32'h3f8ab465,32'h3fa98727,// invsqrt(0.6898) = 1.2040 +32'h3e84544c,32'h3ff6bdf2,32'h40006813, 32'h3fef304b,32'h40042ee6, 32'h3fe2998b,32'h400a7a47,// invsqrt(0.2585) = 1.9670 +32'h3e1a3ca7,32'h40219b8d,32'h40283430, 32'h401ca914,32'h402d26aa, 32'h40146a49,32'h40356575,// invsqrt(0.1506) = 2.5767 +32'h3f919afb,32'h3f6b3970,32'h3f74d34a, 32'h3f64060c,32'h3f7c06ae, 32'h3f5805ba,32'h3f840380,// invsqrt(1.1375) = 0.9376 +32'h3d1b0e51,32'h40a12e25,32'h40a7c251, 32'h409c3f05,32'h40acb171, 32'h409405cf,32'h40b4eaa7,// invsqrt(0.0379) = 5.1397 +32'h3f0565e5,32'h3fadc5ca,32'h3fb4dd89, 32'h3fa873fa,32'h3fba2f58, 32'h3f9f964b,32'h3fc30d07,// invsqrt(0.5211) = 1.3853 +32'h3f7f4b92,32'h3f7b39e0,32'h3f82bd77, 32'h3f738914,32'h3f8695dc, 32'h3f66b7c2,32'h3f8cfe85,// invsqrt(0.9972) = 1.0014 +32'h3e9dfb05,32'h3fe1d2d4,32'h3feb0a74, 32'h3fdae91c,32'h3ff1f42c, 32'h3fcf6394,32'h3ffd79b4,// invsqrt(0.3086) = 1.8003 +32'h3efe038e,32'h3fb21754,32'h3fb95c33, 32'h3faca3ad,32'h3fbecfdb, 32'h3fa38d97,32'h3fc7e5f1,// invsqrt(0.4961) = 1.4197 +32'h3c0a77a3,32'h412a8fe1,32'h41318614, 32'h4125573b,32'h4136bebb, 32'h411ca37c,32'h413f727b,// invsqrt(0.0085) = 10.8777 +32'h3ed04ea6,32'h3fc4a94a,32'h3fccb032, 32'h3fbea41b,32'h3fd2b561, 32'h3fb49b78,32'h3fdcbe04,// invsqrt(0.4069) = 1.5678 +32'h3ad02f78,32'h41c4b803,32'h41ccbf86, 32'h41beb262,32'h41d2c528, 32'h41b4a8fe,32'h41dcce8c,// invsqrt(0.0016) = 25.0917 +32'h3f880e8a,32'h3f7356ab,32'h3f7d454f, 32'h3f6be3b0,32'h3f825c25, 32'h3f5f7964,32'h3f88914b,// invsqrt(1.0629) = 0.9699 +32'h4013f582,32'h3f250022,32'h3f2bbc38, 32'h3f1ff311,32'h3f30c949, 32'h3f1787f5,32'h3f393465,// invsqrt(2.3119) = 0.6577 +32'h3f4fbcd5,32'h3f8b4044,32'h3f90ef4c, 32'h3f86fcfe,32'h3f953292, 32'h3f7fc468,32'h3f9c4d5c,// invsqrt(0.8115) = 1.1101 +32'h3f9e8147,32'h3f61731c,32'h3f6aa6d4, 32'h3f5a8c52,32'h3f718d9e, 32'h3f4f0bac,32'h3f7d0e44,// invsqrt(1.2383) = 0.8986 +32'h3fb295b0,32'h3f5465b2,32'h3f5d1108, 32'h3f4de532,32'h3f639188, 32'h3f430f07,32'h3f6e67b3,// invsqrt(1.3952) = 0.8466 +32'h3f977349,32'h3f66a404,32'h3f700df9, 32'h3f5f948b,32'h3f771d71, 32'h3f53d018,32'h3f8170f2,// invsqrt(1.1832) = 0.9193 +32'h40772e25,32'h3eff5110,32'h3f04de6e, 32'h3ef78036,32'h3f08c6db, 32'h3eea7977,32'h3f0f4a3a,// invsqrt(3.8622) = 0.5088 +32'h3ed8f4ea,32'h3fc0b384,32'h3fc8910c, 32'h3fbacd5e,32'h3fce7732, 32'h3fb0f874,32'h3fd84c1c,// invsqrt(0.4237) = 1.5362 +32'h42652be3,32'h3e04944e,32'h3e09fda0, 32'h3e008551,32'h3e0e0c9d, 32'h3df38356,32'h3e14d043,// invsqrt(57.2929) = 0.1321 +32'h3f32d148,32'h3f9616f9,32'h3f9c3742, 32'h3f917ec3,32'h3fa0cf79, 32'h3f89d668,32'h3fa877d4,// invsqrt(0.6985) = 1.1965 +32'h3f6cf010,32'h3f82636d,32'h3f87b5da, 32'h3f7ccb38,32'h3f8bb3ac, 32'h3f6f7d27,32'h3f925ab4,// invsqrt(0.9255) = 1.0394 +32'h4083434a,32'h3ef7be05,32'h3f00ed56, 32'h3ef02888,32'h3f04b815, 32'h3ee384b6,32'h3f0b09fe,// invsqrt(4.1020) = 0.4937 +32'h3f233695,32'h3f9d19cd,32'h3fa38358, 32'h3f984aa5,32'h3fa85281, 32'h3f9046b8,32'h3fb0566f,// invsqrt(0.6376) = 1.2524 +32'h40fbf23e,32'h3eb2d206,32'h3eba1e84, 32'h3ead58a8,32'h3ebf97e2, 32'h3ea4390b,32'h3ec8b77f,// invsqrt(7.8733) = 0.3564 +32'h41b3b251,32'h3e53bd39,32'h3e5c61ae, 32'h3e4d41e0,32'h3e62dd06, 32'h3e42744e,32'h3e6daa98,// invsqrt(22.4621) = 0.2110 +32'h413c951b,32'h3e9226f8,32'h3e981e1c, 32'h3e8dad9d,32'h3e9c9777, 32'h3e8638af,32'h3ea40c65,// invsqrt(11.7864) = 0.2913 +32'h3f076f6e,32'h3fac75f0,32'h3fb37ffa, 32'h3fa72e69,32'h3fb8c781, 32'h3f9e61dc,32'h3fc1940e,// invsqrt(0.5290) = 1.3748 +32'h409ecd29,32'h3ee13d38,32'h3eea6ebc, 32'h3eda5814,32'h3ef153e0, 32'h3eceda2e,32'h3efcd1c6,// invsqrt(4.9625) = 0.4489 +32'h3ee181d0,32'h3fbd033f,32'h3fc4ba3d, 32'h3fb73a01,32'h3fca837b, 32'h3fad9545,32'h3fd42837,// invsqrt(0.4404) = 1.5068 +32'h3fed6961,32'h3f383680,32'h3f3fbb56, 32'h3f3292df,32'h3f455ef7, 32'h3f292cd4,32'h3f4ec503,// invsqrt(1.8548) = 0.7343 +32'h3eb9410f,32'h3fd089f5,32'h3fd90cf9, 32'h3fca27b1,32'h3fdf6f3d, 32'h3fbf83eb,32'h3fea1303,// invsqrt(0.3618) = 1.6625 +32'h400cce46,32'h3f2923ca,32'h3f300b21, 32'h3f23f64a,32'h3f3538a2, 32'h3f1b551d,32'h3f3dd9cf,// invsqrt(2.2001) = 0.6742 +32'h3f2e07f9,32'h3f9823c3,32'h3f9e5977, 32'h3f937b7c,32'h3fa301be, 32'h3f8bb85a,32'h3faac4e0,// invsqrt(0.6798) = 1.2128 +32'h40338d21,32'h3f15c862,32'h3f1be575, 32'h3f113292,32'h3f207b44, 32'h3f098e3a,32'h3f281f9c,// invsqrt(2.8055) = 0.5970 +32'h3fe7e897,32'h3f3a62bf,32'h3f41fe49, 32'h3f34ae17,32'h3f47b2f1, 32'h3f2b2baa,32'h3f51355e,// invsqrt(1.8118) = 0.7429 +32'h410bc671,32'h3ea9c320,32'h3eb0b0f8, 32'h3ea490bf,32'h3eb5e359, 32'h3e9be771,32'h3ebe8ca7,// invsqrt(8.7359) = 0.3383 +32'h3f3cb7be,32'h3f92198d,32'h3f981025, 32'h3f8da09b,32'h3f9c8917, 32'h3f862c5d,32'h3fa3fd55,// invsqrt(0.7372) = 1.1647 +32'h3f86fd75,32'h3f744c51,32'h3f7e44fc, 32'h3f6cd1d2,32'h3f82dfbe, 32'h3f605afd,32'h3f891b29,// invsqrt(1.0546) = 0.9738 +32'h3df8a648,32'h40340080,32'h403b5956, 32'h402e7ddf,32'h4040dbf7, 32'h40254ed4,32'h404a0b03,// invsqrt(0.1214) = 2.8699 +32'h3fe85922,32'h3f3a3595,32'h3f41cf48, 32'h3f348250,32'h3f47828e, 32'h3f2b0231,32'h3f5102ad,// invsqrt(1.8152) = 0.7422 +32'h3ff285ac,32'h3f3642fc,32'h3f3db36e, 32'h3f30aea6,32'h3f4347c4, 32'h3f276216,32'h3f4c9454,// invsqrt(1.8947) = 0.7265 +32'h3fd0bcd2,32'h3f44755d,32'h3f4c7a27, 32'h3f3e71c5,32'h3f527dbf, 32'h3f346bc8,32'h3f5c83bc,// invsqrt(1.6308) = 0.7831 +32'h3f8a45ee,32'h3f716165,32'h3f7b3b93, 32'h3f69fdc3,32'h3f814f9b, 32'h3f5dad09,32'h3f8777f7,// invsqrt(1.0803) = 0.9621 +32'h3d5a05ce,32'h4087ed45,32'h408d7991, 32'h4083c40c,32'h4091a2ca, 32'h4079a95a,32'h40989229,// invsqrt(0.0532) = 4.3344 +32'h3fd17bc8,32'h3f441bbd,32'h3f4c1cdf, 32'h3f3e1ae4,32'h3f521db8, 32'h3f341979,32'h3f5c1f23,// invsqrt(1.6366) = 0.7817 +32'h3f5f2da3,32'h3f865900,32'h3f8bd4cc, 32'h3f823c27,32'h3f8ff1a5, 32'h3f76c2d1,32'h3f96cc63,// invsqrt(0.8718) = 1.0710 +32'h3e1f801c,32'h401eeb32,32'h402567bc, 32'h401a0dcb,32'h402a4523, 32'h4011f21e,32'h403260d0,// invsqrt(0.1558) = 2.5338 +32'h3f94b617,32'h3f68c149,32'h3f724157, 32'h3f61a13f,32'h3f796161, 32'h3f55c12e,32'h3f82a0b9,// invsqrt(1.1618) = 0.9278 +32'h3ef79a69,32'h3fb461c3,32'h3fbbbe92, 32'h3faedc29,32'h3fc1442d, 32'h3fa5a827,32'h3fca782f,// invsqrt(0.4836) = 1.4380 +32'h41504b77,32'h3e8b108f,32'h3e90bda4, 32'h3e86cebe,32'h3e94ff74, 32'h3e7f6cc7,32'h3e9c17cf,// invsqrt(13.0184) = 0.2772 +32'h3ff1783a,32'h3f36a890,32'h3f3e1d28, 32'h3f31111e,32'h3f43b49a, 32'h3f27bf60,32'h3f4d0658,// invsqrt(1.8865) = 0.7281 +32'h408136cf,32'h3ef9b2d6,32'h3f01f1f8, 32'h3ef20e04,32'h3f05c461, 32'h3ee550a6,32'h3f0c2310,// invsqrt(4.0379) = 0.4976 +32'h3f482d4d,32'h3f8ddb3e,32'h3f93a580, 32'h3f89838d,32'h3f97fd31, 32'h3f8246bc,32'h3f9f3a02,// invsqrt(0.7819) = 1.1309 +32'h3f557bf6,32'h3f895d33,32'h3f8ef884, 32'h3f8528b7,32'h3f932d01, 32'h3f7c4d26,32'h3f9a2f25,// invsqrt(0.8339) = 1.0951 +32'h3f166d31,32'h3fa3a442,32'h3faa5226, 32'h3f9ea1d8,32'h3faf5490, 32'h3f96487c,32'h3fb7adec,// invsqrt(0.5876) = 1.3045 +32'h3f46257d,32'h3f8e94d7,32'h3f9466ab, 32'h3f8a3777,32'h3f98c40b, 32'h3f82f12e,32'h3fa00a54,// invsqrt(0.7740) = 1.1367 +32'h3fb78448,32'h3f518613,32'h3f5a1362, 32'h3f4b1c17,32'h3f607d5d, 32'h3f406b74,32'h3f6b2e00,// invsqrt(1.4337) = 0.8352 +32'h3eb974e1,32'h3fd06cd1,32'h3fd8eea5, 32'h3fca0b72,32'h3fdf5004, 32'h3fbf6928,32'h3fe9f24e,// invsqrt(0.3622) = 1.6616 +32'h4090d1bf,32'h3eebdca5,32'h3ef57d29, 32'h3ee4a442,32'h3efcb58c, 32'h3ed89b9d,32'h3f045f19,// invsqrt(4.5256) = 0.4701 +32'h3fe23e09,32'h3f3cb48f,32'h3f446856, 32'h3f36edb9,32'h3f4a2f2b, 32'h3f2d4d00,32'h3f53cfe4,// invsqrt(1.7675) = 0.7522 +32'h407a7e39,32'h3efd9f6b,32'h3f03fcc2, 32'h3ef5dbd8,32'h3f07de8c, 32'h3ee8eb39,32'h3f0e56dc,// invsqrt(3.9140) = 0.5055 +32'h400ad037,32'h3f2a596e,32'h3f314d68, 32'h3f252272,32'h3f368464, 32'h3f1c717a,32'h3f3f355c,// invsqrt(2.1690) = 0.6790 +32'h3f954783,32'h3f684fcf,32'h3f71cb3a, 32'h3f61333d,32'h3f78e7cb, 32'h3f5558f7,32'h3f826109,// invsqrt(1.1662) = 0.9260 +32'h3f7295da,32'h3f80dc96,32'h3f861f10, 32'h3f79d577,32'h3f8a10ea, 32'h3f6caf49,32'h3f90a402,// invsqrt(0.9476) = 1.0273 +32'h3f8502ca,32'h3f761be5,32'h3f8013be, 32'h3f6e9334,32'h3f83d816, 32'h3f6204b8,32'h3f8a1f54,// invsqrt(1.0391) = 0.9810 +32'h4008d2d9,32'h3f2b955f,32'h3f32963f, 32'h3f2654b8,32'h3f37d6e6, 32'h3f1d93a1,32'h3f4097fd,// invsqrt(2.1379) = 0.6839 +32'h406778f5,32'h3f03eb2f,32'h3f094d9a, 32'h3effc2c0,32'h3f0d576a, 32'h3ef24cb6,32'h3f14126f,// invsqrt(3.6168) = 0.5258 +32'h40428ca7,32'h3f0fe4ba,32'h3f15c444, 32'h3f0b7d12,32'h3f1a2bec, 32'h3f0425a6,32'h3f218358,// invsqrt(3.0398) = 0.5736 +32'h3f99eb2e,32'h3f64c8ab,32'h3f6e1f39, 32'h3f5dc7c0,32'h3f752024, 32'h3f521b8d,32'h3f80662c,// invsqrt(1.2025) = 0.9119 +32'h3ff89ae2,32'h3f3404a0,32'h3f3b5da1, 32'h3f2e81de,32'h3f40e062, 32'h3f25529d,32'h3f4a0fa3,// invsqrt(1.9422) = 0.7175 +32'h40fd5edf,32'h3eb2512c,32'h3eb99867, 32'h3eacdbbf,32'h3ebf0dd3, 32'h3ea3c2b5,32'h3ec826dd,// invsqrt(7.9178) = 0.3554 +32'h3f3ab771,32'h3f92e173,32'h3f98e033, 32'h3f8e6262,32'h3f9d5f44, 32'h3f86e3f1,32'h3fa4ddb5,// invsqrt(0.7294) = 1.1709 +32'h409057df,32'h3eec4023,32'h3ef5e4b7, 32'h3ee504b4,32'h3efd2026, 32'h3ed8f6fc,32'h3f0496ef,// invsqrt(4.5107) = 0.4708 +32'h411585c7,32'h3ea422b3,32'h3eaad5c0, 32'h3e9f1c6a,32'h3eafdc0a, 32'h3e96bc9b,32'h3eb83bd9,// invsqrt(9.3452) = 0.3271 +32'h3f07d1e3,32'h3fac3763,32'h3fb33edf, 32'h3fa6f1c6,32'h3fb8847c, 32'h3f9e286a,32'h3fc14dd8,// invsqrt(0.5305) = 1.3729 +32'h3ee2c212,32'h3fbc7d96,32'h3fc42f1f, 32'h3fb6b870,32'h3fc9f446, 32'h3fad1a85,32'h3fd39231,// invsqrt(0.4429) = 1.5026 +32'h3e6ee08f,32'h4001dba6,32'h40072888, 32'h3ffbc3f8,32'h400b2232, 32'h3fee83c3,32'h4011c24c,// invsqrt(0.2333) = 2.0704 +32'h3fcb0dda,32'h3f47305c,32'h3f4f51ae, 32'h3f41175e,32'h3f556aac, 32'h3f36edb8,32'h3f5f9452,// invsqrt(1.5864) = 0.7940 +32'h3eec8ad4,32'h3fb88d14,32'h3fc01573, 32'h3fb2e6cd,32'h3fc5bbbb, 32'h3fa97c57,32'h3fcf2631,// invsqrt(0.4620) = 1.4712 +32'h3f76ab57,32'h3f7f94b9,32'h3f8501a4, 32'h3f77c1cd,32'h3f88eb1a, 32'h3f6ab79a,32'h3f8f7033,// invsqrt(0.9636) = 1.0187 +32'h3f86129a,32'h3f7521ec,32'h3f7f234e, 32'h3f6da0e2,32'h3f83522c, 32'h3f611f27,32'h3f89930a,// invsqrt(1.0474) = 0.9771 +32'h3e20ca3f,32'h401e47b9,32'h4024bd96, 32'h40196f52,32'h402995fc, 32'h40115bfd,32'h4031a951,// invsqrt(0.1570) = 2.5236 +32'h3cfc5960,32'h40b2ad78,32'h40b9f877, 32'h40ad3537,32'h40bf70b7, 32'h40a41778,32'h40c88e76,// invsqrt(0.0308) = 5.6976 +32'h3f905852,32'h3f6c3fc5,32'h3f75e455, 32'h3f650459,32'h3f7d1fc1, 32'h3f58f6a5,32'h3f8496ba,// invsqrt(1.1277) = 0.9417 +32'h3fc3c864,32'h3f4adaa6,32'h3f532244, 32'h3f44a4ef,32'h3f5957fb, 32'h3f3a4b69,32'h3f63b181,// invsqrt(1.5296) = 0.8086 +32'h3f4ea0dd,32'h3f8b9fd3,32'h3f9152c1, 32'h3f8759a0,32'h3f9598f4, 32'h3f8039f6,32'h3f9cb89e,// invsqrt(0.8071) = 1.1131 +32'h3e85655f,32'h3ff5c0e3,32'h3fffc8c3, 32'h3fee3afc,32'h4003a755, 32'h3fe1b124,32'h4009ec41,// invsqrt(0.2605) = 1.9591 +32'h3fdbd7bc,32'h3f3f6ea5,32'h3f473eea, 32'h3f399270,32'h3f4d1b1e, 32'h3f2fce1a,32'h3f56df75,// invsqrt(1.7175) = 0.7630 +32'h4003039e,32'h3f2f58b0,32'h3f3680e2, 32'h3f29fa8c,32'h3f3bdf06, 32'h3f21084e,32'h3f44d144,// invsqrt(2.0471) = 0.6989 +32'h3fcd1312,32'h3f46348d,32'h3f4e4b97, 32'h3f402344,32'h3f545ce0, 32'h3f360677,32'h3f5e79ad,// invsqrt(1.6021) = 0.7900 +32'h3fce8662,32'h3f45820f,32'h3f4d91d1, 32'h3f3f763e,32'h3f539da2, 32'h3f35628b,32'h3f5db155,// invsqrt(1.6135) = 0.7873 +32'h3f43596b,32'h3f8f993c,32'h3f9575b1, 32'h3f8b33e3,32'h3f99db09, 32'h3f83e051,32'h3fa12e9b,// invsqrt(0.7631) = 1.1448 +32'h3c207205,32'h411e7337,32'h4124eadb, 32'h4119997c,32'h4129c496, 32'h411183ee,32'h4131da24,// invsqrt(0.0098) = 10.1052 +32'h3f815a93,32'h3f79904f,32'h3f81dfff, 32'h3f71ec8b,32'h3f85b1e1, 32'h3f6530f0,32'h3f8c0faf,// invsqrt(1.0106) = 0.9948 +32'h3fbf2998,32'h3f4d4a79,32'h3f55ab8d, 32'h3f4701a9,32'h3f5bf45d, 32'h3f3c8850,32'h3f666db7,// invsqrt(1.4935) = 0.8183 +32'h3f2c970a,32'h3f98c608,32'h3f9f025c, 32'h3f9418c9,32'h3fa3af9b, 32'h3f8c4d60,32'h3fab7b04,// invsqrt(0.6742) = 1.2179 +32'h40616519,32'h3f05af76,32'h3f0b2456, 32'h3f0197ce,32'h3f0f3bfe, 32'h3ef58b6b,32'h3f160e17,// invsqrt(3.5218) = 0.5329 +32'h3bc13c9f,32'h414c2fa2,32'h4154852c, 32'h4145ef7b,32'h415ac553, 32'h413b8490,32'h4165303e,// invsqrt(0.0059) = 13.0221 +32'h3f76cd96,32'h3f7f82fd,32'h3f84f86a, 32'h3f77b09c,32'h3f88e19a, 32'h3f6aa751,32'h3f8f6640,// invsqrt(0.9641) = 1.0185 +32'h3f8bb8e0,32'h3f702024,32'h3f79ed35, 32'h3f68c657,32'h3f80a381, 32'h3f5c8601,32'h3f86c3ab,// invsqrt(1.0916) = 0.9571 +32'h3dac0950,32'h405866dd,32'h40613c0b, 32'h4051c6fb,32'h4067dbed, 32'h4046bc82,32'h4072e666,// invsqrt(0.0840) = 3.4503 +32'h3efc1b4b,32'h3fb2c376,32'h3fba0f5c, 32'h3fad4a8a,32'h3fbf8848, 32'h3fa42bab,32'h3fc8a727,// invsqrt(0.4924) = 1.4251 +32'h3fc5330f,32'h3f4a1fc7,32'h3f525fc5, 32'h3f43efc9,32'h3f588fc3, 32'h3f399fcc,32'h3f62dfc0,// invsqrt(1.5406) = 0.8057 +32'h3e5dbe89,32'h4006c807,32'h400c485b, 32'h4002a7c8,32'h4010689a, 32'h3ff78ebf,32'h40174903,// invsqrt(0.2165) = 2.1489 +32'h3ff4f91a,32'h3f3558fe,32'h3f3cbfe4, 32'h3f2fcbd2,32'h3f424d10, 32'h3f268b32,32'h3f4b8db0,// invsqrt(1.9139) = 0.7228 +32'h3faa1fe1,32'h3f599d47,32'h3f627f21, 32'h3f52f3e5,32'h3f692883, 32'h3f47d995,32'h3f7442d3,// invsqrt(1.3291) = 0.8674 +32'h43960aab,32'h3d67b888,32'h3d712dc8, 32'h3d60a099,32'h3d7845b7, 32'h3d54ce0a,32'h3d820c23,// invsqrt(300.0833) = 0.0577 +32'h3ef20f5b,32'h3fb66f82,32'h3fbde1c6, 32'h3fb0d9cf,32'h3fc37779, 32'h3fa78afa,32'h3fccc64e,// invsqrt(0.4728) = 1.4544 +32'h3f1df2d5,32'h3f9fb291,32'h3fa6373f, 32'h3f9acf10,32'h3fab1ac0, 32'h3f92a937,32'h3fb34099,// invsqrt(0.6170) = 1.2731 +32'h3e00f31a,32'h4030be9a,32'h4037f566, 32'h402b5580,32'h403d5e80, 32'h40225100,32'h40466300,// invsqrt(0.1259) = 2.8180 +32'h40022b4f,32'h3f2fea25,32'h3f371845, 32'h3f2a878c,32'h3f3c7ade, 32'h3f218de3,32'h3f457487,// invsqrt(2.0339) = 0.7012 +32'h3ed579b3,32'h3fc24422,32'h3fca3204, 32'h3fbc51b8,32'h3fd0246e, 32'h3fb2685e,32'h3fda0dc8,// invsqrt(0.4169) = 1.5487 +32'h3f2f9e25,32'h3f97736d,32'h3f9da1ef, 32'h3f92d08c,32'h3fa244d0, 32'h3f8b1669,32'h3fa9fef3,// invsqrt(0.6860) = 1.2074 +32'h3eefdffa,32'h3fb743be,32'h3fbebeac, 32'h3fb1a78c,32'h3fc45ade, 32'h3fa84de3,32'h3fcdb487,// invsqrt(0.4685) = 1.4610 +32'h4009c48a,32'h3f2afe9b,32'h3f31f953, 32'h3f25c291,32'h3f37355d, 32'h3f1d092b,32'h3f3feec3,// invsqrt(2.1526) = 0.6816 +32'h3da53974,32'h405cd155,32'h4065d4a7, 32'h40560ed8,32'h406c9724, 32'h404acab1,32'h4077db4b,// invsqrt(0.0807) = 3.5207 +32'h3f73d05c,32'h3f80895e,32'h3f85c872, 32'h3f79341f,32'h3f89b7c0, 32'h3f6c166e,32'h3f904699,// invsqrt(0.9524) = 1.0247 +32'h3f8e092f,32'h3f6e2968,32'h3f77e1f4, 32'h3f66deff,32'h3f7f2c5d, 32'h3f5ab850,32'h3f85a986,// invsqrt(1.1097) = 0.9493 +32'h3fb0dcf1,32'h3f556db4,32'h3f5e23d0, 32'h3f4ee51f,32'h3f64ac65, 32'h3f44017b,32'h3f6f9009,// invsqrt(1.3817) = 0.8507 +32'h3ef4ce27,32'h3fb568e6,32'h3fbcd072, 32'h3fafdb3d,32'h3fc25e1b, 32'h3fa699ce,32'h3fcb9f8a,// invsqrt(0.4781) = 1.4462 +32'h411b076b,32'h3ea131bb,32'h3ea7c60c, 32'h3e9c427f,32'h3eacb549, 32'h3e94091a,32'h3eb4eeae,// invsqrt(9.6893) = 0.3213 +32'h3ec2b468,32'h3fcb6a38,32'h3fd3b7b2, 32'h3fc5301c,32'h3fd9f1ce, 32'h3fbacf43,32'h3fe452a7,// invsqrt(0.3803) = 1.6216 +32'h3f7a145a,32'h3f7dd515,32'h3f8418b0, 32'h3f760fde,32'h3f87fb4b, 32'h3f691c81,32'h3f8e74fa,// invsqrt(0.9769) = 1.0118 +32'h3f843b46,32'h3f76d54a,32'h3f807439, 32'h3f6f46ec,32'h3f843b68, 32'h3f62aefb,32'h3f8a8760,// invsqrt(1.0331) = 0.9839 +32'h3fddfb8f,32'h3f3e81db,32'h3f464877, 32'h3f38ace7,32'h3f4c1d6b, 32'h3f2ef4a5,32'h3f55d5ad,// invsqrt(1.7342) = 0.7594 +32'h3ecc260f,32'h3fc6a77a,32'h3fcec336, 32'h3fc092ad,32'h3fd4d803, 32'h3fb67002,32'h3fdefaae,// invsqrt(0.3987) = 1.5837 +32'h3dadf9a3,32'h40573151,32'h405ff9dd, 32'h40509ae9,32'h40669045, 32'h4045a03b,32'h40718af3,// invsqrt(0.0849) = 3.4310 +32'h4013dee8,32'h3f250cbe,32'h3f2bc958, 32'h3f1fff4a,32'h3f30d6cc, 32'h3f17938a,32'h3f39428c,// invsqrt(2.3105) = 0.6579 +32'h40bd5009,32'h3ece4a9c,32'h3ed6b626, 32'h3ec7f9f6,32'h3edd06cc, 32'h3ebd738a,32'h3ee78d38,// invsqrt(5.9160) = 0.4111 +32'h3f6c7bd9,32'h3f828373,32'h3f87d72f, 32'h3f7d094d,32'h3f8bd5fb, 32'h3f6fb7f8,32'h3f927ea6,// invsqrt(0.9238) = 1.0404 +32'h3f204f91,32'h3f9e843d,32'h3fa4fc93, 32'h3f99a9fc,32'h3fa9d6d4, 32'h3f919391,32'h3fb1ed3f,// invsqrt(0.6262) = 1.2637 +32'h40098e81,32'h3f2b202e,32'h3f321c44, 32'h3f25e31d,32'h3f375955, 32'h3f1d2800,32'h3f401472,// invsqrt(2.1493) = 0.6821 +32'h3ee1ad8b,32'h3fbcf0ee,32'h3fc4a72c, 32'h3fb72840,32'h3fca6fda, 32'h3fad8472,32'h3fd413a8,// invsqrt(0.4408) = 1.5062 +32'h3f9b003c,32'h3f63fbd7,32'h3f6d4a09, 32'h3f5d0131,32'h3f7444af, 32'h3f515f72,32'h3f7fe66e,// invsqrt(1.2109) = 0.9087 +32'h3f0b415f,32'h3faa1429,32'h3fb10550, 32'h3fa4df4d,32'h3fb63a2d, 32'h3f9c31dd,32'h3fbee79d,// invsqrt(0.5440) = 1.3559 +32'h3da5ee3c,32'h405c58ea,32'h40655752, 32'h40559a1c,32'h406c1620, 32'h404a5c1b,32'h40775421,// invsqrt(0.0810) = 3.5132 +32'h3f97c367,32'h3f66671b,32'h3f6fce94, 32'h3f5f597f,32'h3f76dc2f, 32'h3f539828,32'h3f814ec3,// invsqrt(1.1857) = 0.9184 +32'h3f6206eb,32'h3f857f93,32'h3f8af27f, 32'h3f816962,32'h3f8f08b0, 32'h3f753377,32'h3f95d857,// invsqrt(0.8829) = 1.0642 +32'h3e1bf4ca,32'h4020b6e1,32'h4027462e, 32'h401bcb67,32'h402c31a7, 32'h40139846,32'h403464c8,// invsqrt(0.1523) = 2.5624 +32'h3faea75d,32'h3f56c630,32'h3f5f8a5c, 32'h3f50330f,32'h3f661d7d, 32'h3f453dd9,32'h3f7112b3,// invsqrt(1.3645) = 0.8561 +32'h3e8ff21b,32'h3fec9397,32'h3ff63b93, 32'h3fe5559a,32'h3ffd7990, 32'h3fd943a0,32'h4004c5c5,// invsqrt(0.2811) = 1.8860 +32'h3f5d25cf,32'h3f86f689,32'h3f8c78c3, 32'h3f82d4de,32'h3f909a6e, 32'h3f77e42b,32'h3f977d37,// invsqrt(0.8639) = 1.0759 +32'h3eb14c23,32'h3fd52abc,32'h3fddde1c, 32'h3fcea433,32'h3fe464a5, 32'h3fc3c3fb,32'h3fef44dd,// invsqrt(0.3463) = 1.6994 +32'h3ec72d4e,32'h3fc91e45,32'h3fd153c0, 32'h3fc2f629,32'h3fd77bdd, 32'h3fb8b350,32'h3fe1beb7,// invsqrt(0.3890) = 1.6033 +32'h3ed0630c,32'h3fc49fa9,32'h3fcca62e, 32'h3fbe9ac7,32'h3fd2ab11, 32'h3fb492a1,32'h3fdcb337,// invsqrt(0.4070) = 1.5675 +32'h3f37c0db,32'h3f940f6b,32'h3f9a1a7f, 32'h3f8f871c,32'h3f9ea2ce, 32'h3f87f943,32'h3fa630a7,// invsqrt(0.7178) = 1.1803 +32'h3ff36c37,32'h3f35ec98,32'h3f3d5984, 32'h3f305ae7,32'h3f42eb35, 32'h3f2712c0,32'h3f4c335c,// invsqrt(1.9017) = 0.7251 +32'h3fb26dbb,32'h3f547d79,32'h3f5d29c7, 32'h3f4dfc3e,32'h3f63ab02, 32'h3f4324dd,32'h3f6e8263,// invsqrt(1.3940) = 0.8470 +32'h4000505e,32'h3f312e8b,32'h3f3869e9, 32'h3f2bc204,32'h3f3dd670, 32'h3f22b7ce,32'h3f46e0a6,// invsqrt(2.0049) = 0.7062 +32'h3fcf8eda,32'h3f450412,32'h3f4d0eb0, 32'h3f3efc1c,32'h3f5316a6, 32'h3f34eed7,32'h3f5d23eb,// invsqrt(1.6215) = 0.7853 +32'h3ffb5590,32'h3f3309ba,32'h3f3a587e, 32'h3f2d8ea7,32'h3f3fd391, 32'h3f246c33,32'h3f48f605,// invsqrt(1.9635) = 0.7136 +32'h3f88196f,32'h3f734cee,32'h3f7d3b2b, 32'h3f6bda3f,32'h3f8256ed, 32'h3f5f7071,32'h3f888bd3,// invsqrt(1.0633) = 0.9698 +32'h401c72df,32'h3f207611,32'h3f2702b9, 32'h3f1b8c93,32'h3f2bec37, 32'h3f135cc1,32'h3f341c09,// invsqrt(2.4445) = 0.6396 +32'h3d28ccc7,32'h409a7aab,32'h40a0c8d1, 32'h4095c00e,32'h40a5836e, 32'h408dde5e,32'h40ad651e,// invsqrt(0.0412) = 4.9260 +32'h3f264bf6,32'h3f9ba332,32'h3fa1fd72, 32'h3f96df81,32'h3fa6c123, 32'h3f8eeeb0,32'h3faeb1f4,// invsqrt(0.6496) = 1.2407 +32'h3fa4cba8,32'h3f5d1ad9,32'h3f66212b, 32'h3f56561c,32'h3f6ce5e8, 32'h3f4b0e35,32'h3f782dcf,// invsqrt(1.2875) = 0.8813 +32'h41354ea9,32'h3e950e3f,32'h3e9b23b9, 32'h3e907e23,32'h3e9fb3d5, 32'h3e88e349,32'h3ea74eaf,// invsqrt(11.3317) = 0.2971 +32'h40977cc8,32'h3ee69cc9,32'h3ef00673, 32'h3edf8d89,32'h3ef715b3, 32'h3ed3c975,32'h3f016ce4,// invsqrt(4.7340) = 0.4596 +32'h3f0ef8fe,32'h3fa7da6a,32'h3faeb450, 32'h3fa2b6ff,32'h3fb3d7bb, 32'h3f9a26a0,32'h3fbc681a,// invsqrt(0.5585) = 1.3381 +32'h40050acb,32'h3f2e013f,32'h3f351b6b, 32'h3f28ad9e,32'h3f3a6f0c, 32'h3f1fcce6,32'h3f434fc4,// invsqrt(2.0788) = 0.6936 +32'h3f088dba,32'h3fabc0c7,32'h3fb2c36b, 32'h3fa67ecb,32'h3fb80567, 32'h3f9dbb7d,32'h3fc0c8b5,// invsqrt(0.5334) = 1.3692 +32'h3e0f049a,32'h4027d39a,32'h402ead38, 32'h4022b064,32'h4033d06e, 32'h401a205e,32'h403c6074,// invsqrt(0.1397) = 2.6758 +32'h3f8e5391,32'h3f6deb24,32'h3f77a126, 32'h3f66a2a3,32'h3f7ee9a7, 32'h3f5a7f21,32'h3f858694,// invsqrt(1.1119) = 0.9483 +32'h3fd07361,32'h3f4497f5,32'h3f4c9e29, 32'h3f3e934e,32'h3f52a2d0, 32'h3f348b8e,32'h3f5caa91,// invsqrt(1.6285) = 0.7836 +32'h3fabe46f,32'h3f587e12,32'h3f615432, 32'h3f51dd7a,32'h3f67f4ca, 32'h3f46d1d2,32'h3f730072,// invsqrt(1.3429) = 0.8629 +32'h3f437678,32'h3f8f8e90,32'h3f956a95, 32'h3f8b298b,32'h3f99cf99, 32'h3f83d683,32'h3fa122a1,// invsqrt(0.7635) = 1.1444 +32'h3ffb941d,32'h3f32f377,32'h3f3a4152, 32'h3f2d7912,32'h3f3fbbb6, 32'h3f2457c0,32'h3f48dd08,// invsqrt(1.9655) = 0.7133 +32'h402348e5,32'h3f1d10fe,32'h3f237a2c, 32'h3f18421a,32'h3f284910, 32'h3f103ea0,32'h3f304c8a,// invsqrt(2.5513) = 0.6261 +32'h3e53a34a,32'h4009f643,32'h400f97d3, 32'h4005bd17,32'h4013d0ff, 32'h3ffd6647,32'h401adaf2,// invsqrt(0.2067) = 2.1996 +32'h3d508d71,32'h408afa8e,32'h4090a6bd, 32'h4086b96a,32'h4094e7e0, 32'h407f445c,32'h409bff1c,// invsqrt(0.0509) = 4.4317 +32'h3f55b6e2,32'h3f894a43,32'h3f8ee4cd, 32'h3f85165b,32'h3f9318b5, 32'h3f7c2a5b,32'h3f9a19e2,// invsqrt(0.8348) = 1.0945 +32'h40070c33,32'h3f2cb541,32'h3f33c1e1, 32'h3f276bca,32'h3f390b58, 32'h3f1e9c02,32'h3f41db20,// invsqrt(2.1101) = 0.6884 +32'h3ff462f5,32'h3f3590aa,32'h3f3cf9d6, 32'h3f3001ca,32'h3f4288b6, 32'h3f26be53,32'h3f4bcc2d,// invsqrt(1.9093) = 0.7237 +32'h409621c9,32'h3ee7a6b1,32'h3ef11b35, 32'h3ee08f4d,32'h3ef83299, 32'h3ed4bda8,32'h3f02021f,// invsqrt(4.6916) = 0.4617 +32'h40631b75,32'h3f052e33,32'h3f0a9dcd, 32'h3f011a80,32'h3f0eb180, 32'h3ef49e00,32'h3f157d00,// invsqrt(3.5486) = 0.5309 +32'h4029d29d,32'h3f1a0366,32'h3f204cae, 32'h3f154c70,32'h3f2503a4, 32'h3f0d70d6,32'h3f2cdf3e,// invsqrt(2.6535) = 0.6139 +32'h3d06c11d,32'h40ace558,32'h40b3f3ee, 32'h40a79a68,32'h40b93ede, 32'h409ec82c,32'h40c2111a,// invsqrt(0.0329) = 5.5133 +32'h3f2f9a41,32'h3f97751b,32'h3f9da3af, 32'h3f92d22d,32'h3fa2469d, 32'h3f8b17f4,32'h3faa00d6,// invsqrt(0.6859) = 1.2074 +32'h3f169edb,32'h3fa38945,32'h3faa360f, 32'h3f9e87ae,32'h3faf37a6, 32'h3f962fb3,32'h3fb78fa1,// invsqrt(0.5884) = 1.3037 +32'h405ae32c,32'h3f07a878,32'h3f0d31f5, 32'h3f03815a,32'h3f115912, 32'h3ef92afb,32'h3f1844ef,// invsqrt(3.4201) = 0.5407 +32'h3deba7bc,32'h4038e5eb,32'h404071eb, 32'h40333cec,32'h40461aea, 32'h4029cded,32'h404f89e9,// invsqrt(0.1151) = 2.9480 +32'h3ec638e7,32'h3fc99a1c,32'h3fd1d4a4, 32'h3fc36e35,32'h3fd8008b, 32'h3fb9250a,32'h3fe249b6,// invsqrt(0.3872) = 1.6072 +32'h408c45cd,32'h3eefa766,32'h3ef96f8a, 32'h3ee8514c,32'h3f0062d2, 32'h3edc171f,32'h3f067fe8,// invsqrt(4.3835) = 0.4776 +32'h40942ec6,32'h3ee92b77,32'h3ef2afd9, 32'h3ee2082c,32'h3ef9d324, 32'h3ed622b1,32'h3f02dc50,// invsqrt(4.6307) = 0.4647 +32'h3f69922b,32'h3f835323,32'h3f88af59, 32'h3f7e9bf6,32'h3f8cb481, 32'h3f713570,32'h3f9367c4,// invsqrt(0.9124) = 1.0469 +32'h3fce8ad7,32'h3f457fed,32'h3f4d8f99, 32'h3f3f742c,32'h3f539b5a, 32'h3f356096,32'h3f5daef0,// invsqrt(1.6136) = 0.7872 +32'h3ee1a3c4,32'h3fbcf506,32'h3fc4ab6e, 32'h3fb72c37,32'h3fca743d, 32'h3fad8834,32'h3fd41840,// invsqrt(0.4407) = 1.5064 +32'h3ef80367,32'h3fb43b91,32'h3fbb96d1, 32'h3faeb722,32'h3fc11b40, 32'h3fa58512,32'h3fca4d50,// invsqrt(0.4844) = 1.4368 +32'h3c84ba5f,32'h40f65eff,32'h410036a9, 32'h40eed441,32'h4103fc09, 32'h40e24258,32'h410a44fd,// invsqrt(0.0162) = 7.8562 +32'h3e1d365e,32'h4020122d,32'h40269ac1, 32'h401b2bbe,32'h402b8130, 32'h40130105,32'h4033abe9,// invsqrt(0.1535) = 2.5522 +32'h3fbaa8d6,32'h3f4fc09a,32'h3f583b67, 32'h3f496481,32'h3f5e9781, 32'h3f3ecb01,32'h3f693101,// invsqrt(1.4583) = 0.8281 +32'h3e11859d,32'h4026605e,32'h402d2ad4, 32'h40214885,32'h403242ad, 32'h4018cb70,32'h403abfc2,// invsqrt(0.1421) = 2.6527 +32'h3fc180b0,32'h3f4c0bb5,32'h3f545fc7, 32'h3f45cca8,32'h3f5a9ed4, 32'h3f3b6391,32'h3f6507eb,// invsqrt(1.5117) = 0.8133 +32'h411edc61,32'h3e9f3d02,32'h3ea5bce3, 32'h3e9a5d1a,32'h3eaa9ccc, 32'h3e923d41,32'h3eb2bca5,// invsqrt(9.9288) = 0.3174 +32'h3f918c14,32'h3f6b457a,32'h3f74dfd2, 32'h3f6411b7,32'h3f7c1395, 32'h3f5810c9,32'h3f840a42,// invsqrt(1.1371) = 0.9378 +32'h3e79ae0e,32'h3ffe0910,32'h400433bc, 32'h3ff64241,32'h40081724, 32'h3fe94c3d,32'h400e9225,// invsqrt(0.2438) = 2.0252 +32'h40c48348,32'h3eca7a19,32'h3ed2bdc7, 32'h3ec44757,32'h3ed8f089, 32'h3eb9f2be,32'h3ee34522,// invsqrt(6.1410) = 0.4035 +32'h401213c8,32'h3f260f54,32'h3f2cd67c, 32'h3f20f9f6,32'h3f31ebda, 32'h3f188104,32'h3f3a64cc,// invsqrt(2.2825) = 0.6619 +32'h3d87e8f0,32'h40737852,32'h407d6856, 32'h406c0450,32'h40826e2c, 32'h405f984c,32'h4088a42e,// invsqrt(0.0664) = 3.8819 +32'h40653e25,32'h3f048f07,32'h3f09f821, 32'h3f008033,32'h3f0e06f5, 32'h3ef379a4,32'h3f14ca56,// invsqrt(3.5819) = 0.5284 +32'h3faf875c,32'h3f563cfa,32'h3f5efb8c, 32'h3f4fae0c,32'h3f658a7a, 32'h3f44bfd6,32'h3f7078b0,// invsqrt(1.3713) = 0.8539 +32'h3f0f6764,32'h3fa799c2,32'h3fae7103, 32'h3fa27850,32'h3fb39274, 32'h3f99eb3f,32'h3fbc1f85,// invsqrt(0.5602) = 1.3361 +32'h3f1ecb4e,32'h3f9f4592,32'h3fa5c5cc, 32'h3f9a6566,32'h3faaa5f8, 32'h3f92451e,32'h3fb2c641,// invsqrt(0.6203) = 1.2697 +32'h3faf4c85,32'h3f5660ec,32'h3f5f20f6, 32'h3f4fd0e5,32'h3f65b0fd, 32'h3f44e0d9,32'h3f70a109,// invsqrt(1.3695) = 0.8545 +32'h3f8164b3,32'h3f79868b,32'h3f81daea, 32'h3f71e314,32'h3f85aca6, 32'h3f6527f8,32'h3f8c0a34,// invsqrt(1.0109) = 0.9946 +32'h3f8ea8d0,32'h3f6da404,32'h3f77571e, 32'h3f665db0,32'h3f7e9d72, 32'h3f5a3dcf,32'h3f855ea9,// invsqrt(1.1145) = 0.9472 +32'h3e8a8700,32'h3ff128ad,32'h3ffb008b, 32'h3fe9c6c7,32'h40013138, 32'h3fdd78f3,32'h40075823,// invsqrt(0.2706) = 1.9225 +32'h40323e21,32'h3f1654e1,32'h3f1c77b1, 32'h3f11bac5,32'h3f2111cd, 32'h3f0a0f42,32'h3f28bd50,// invsqrt(2.7850) = 0.5992 +32'h3ffdb0b3,32'h3f323467,32'h3f397a76, 32'h3f2cbfdd,32'h3f3eef01, 32'h3f23a84a,32'h3f480694,// invsqrt(1.9820) = 0.7103 +32'h3cc84856,32'h40c88ff8,32'h40d0bfa4, 32'h40c26c37,32'h40d6e365, 32'h40b830a0,32'h40e11efc,// invsqrt(0.0244) = 6.3955 +32'h3fce31b8,32'h3f45aa97,32'h3f4dbc01, 32'h3f3f9d88,32'h3f53c910, 32'h3f3587c4,32'h3f5dded4,// invsqrt(1.6109) = 0.7879 +32'h3dce3b22,32'h4045a614,32'h404db74e, 32'h403f9928,32'h4053c43a, 32'h4035839f,32'h405dd9c3,// invsqrt(0.1007) = 3.1513 +32'h3f2a88e8,32'h3f99b0ff,32'h3f9ff6ea, 32'h3f94fc8e,32'h3fa4ab5a, 32'h3f8d2528,32'h3fac82c0,// invsqrt(0.6662) = 1.2252 +32'h3dcc7c99,32'h40467d6c,32'h404e9770, 32'h404069e8,32'h4054aaf4, 32'h40364963,32'h405ecb79,// invsqrt(0.0998) = 3.1647 +32'h40845a21,32'h3ef6b883,32'h3f00653f, 32'h3eef2b06,32'h3f042bfd, 32'h3ee2948d,32'h3f0a773a,// invsqrt(4.1360) = 0.4917 +32'h3f27975c,32'h3f9b0904,32'h3fa15cfa, 32'h3f964a0c,32'h3fa61bf2, 32'h3f8e6119,32'h3fae04e5,// invsqrt(0.6547) = 1.2359 +32'h3fe5eddc,32'h3f3b2fb0,32'h3f42d398, 32'h3f3574c2,32'h3f488e86, 32'h3f2be7e0,32'h3f521b68,// invsqrt(1.7963) = 0.7461 +32'h3d010c27,32'h40b0ad72,32'h40b7e38b, 32'h40ab44de,32'h40bd4c1e, 32'h40a2413e,32'h40c64fbe,// invsqrt(0.0315) = 5.6338 +32'h3ffeea72,32'h3f31c69b,32'h3f39082f, 32'h3f2c556d,32'h3f3e795d, 32'h3f234374,32'h3f478b56,// invsqrt(1.9915) = 0.7086 +32'h3f66622f,32'h3f843ae8,32'h3f89a093, 32'h3f802ea7,32'h3f8dacd3, 32'h3f72df21,32'h3f946bea,// invsqrt(0.8999) = 1.0541 +32'h3f6d2ff4,32'h3f8251dc,32'h3f87a392, 32'h3f7ca928,32'h3f8ba0da, 32'h3f6f5ce3,32'h3f9246fc,// invsqrt(0.9265) = 1.0389 +32'h3f3b20fb,32'h3f92b801,32'h3f98b511, 32'h3f8e3a35,32'h3f9d32dd, 32'h3f86bde2,32'h3fa4af30,// invsqrt(0.7310) = 1.1696 +32'h3f6be615,32'h3f82acda,32'h3f880247, 32'h3f7d5994,32'h3f8c0258, 32'h3f700405,32'h3f92ad20,// invsqrt(0.9215) = 1.0417 +32'h3bf3c2f3,32'h4135cc38,32'h413d37d2, 32'h41303b85,32'h4142c885, 32'h4126f504,32'h414c0f06,// invsqrt(0.0074) = 11.5942 +32'h3f5b9051,32'h3f8772f0,32'h3f8cfa3e, 32'h3f834d76,32'h3f911fb8, 32'h3f78c8a9,32'h3f9808d9,// invsqrt(0.8577) = 1.0798 +32'h3fed209d,32'h3f3852c2,32'h3f3fd8bf, 32'h3f32ae43,32'h3f457d3d, 32'h3f2946c6,32'h3f4ee4ba,// invsqrt(1.8526) = 0.7347 +32'h3e37dad7,32'h401404f4,32'h401a0f9a, 32'h400f7cf7,32'h401e9797, 32'h4007efa6,32'h402624e8,// invsqrt(0.1795) = 2.3600 +32'h3fc86973,32'h3f487f65,32'h3f50ae64, 32'h3f425c26,32'h3f56d1a4, 32'h3f382168,32'h3f610c62,// invsqrt(1.5657) = 0.7992 +32'h3fafd216,32'h3f560f6e,32'h3f5ecc25, 32'h3f4f81e6,32'h3f6559ae, 32'h3f449603,32'h3f704591,// invsqrt(1.3736) = 0.8532 +32'h3f0a418e,32'h3faab13a,32'h3fb1a8ca, 32'h3fa5778f,32'h3fb6e275, 32'h3f9cc21b,32'h3fbf97e9,// invsqrt(0.5401) = 1.3607 +32'h40054154,32'h3f2ddda0,32'h3f34f658, 32'h3f288b16,32'h3f3a48e2, 32'h3f1fac30,32'h3f4327c8,// invsqrt(2.0821) = 0.6930 +32'h3fac687d,32'h3f582b19,32'h3f60fdd7, 32'h3f518d0c,32'h3f679be4, 32'h3f46859f,32'h3f72a351,// invsqrt(1.3469) = 0.8616 +32'h3f46ba84,32'h3f8e5f57,32'h3f942efd, 32'h3f8a039b,32'h3f988ab9, 32'h3f82c00c,32'h3f9fce48,// invsqrt(0.7763) = 1.1350 +32'h3f2dbcdc,32'h3f9844a3,32'h3f9e7baf, 32'h3f939b5a,32'h3fa324f8, 32'h3f8bd68b,32'h3faae9c7,// invsqrt(0.6787) = 1.2139 +32'h3e4b4d38,32'h400cc316,32'h401281e8, 32'h400873f9,32'h4016d105, 32'h40014572,32'h401dff8c,// invsqrt(0.1985) = 2.2443 +32'h4081d2f2,32'h3ef91c82,32'h3f01a3bc, 32'h3ef17c4a,32'h3f0573d8, 32'h3ee4c697,32'h3f0bceb2,// invsqrt(4.0570) = 0.4965 +32'h401f3456,32'h3f1f10ff,32'h3f258f14, 32'h3f1a3270,32'h3f2a6da4, 32'h3f1214d6,32'h3f328b3e,// invsqrt(2.4876) = 0.6340 +32'h4023de1a,32'h3f1cc96c,32'h3f232faf, 32'h3f17fcb9,32'h3f27fc61, 32'h3f0ffce5,32'h3f2ffc35,// invsqrt(2.5604) = 0.6249 +32'h4009c092,32'h3f2b0112,32'h3f31fbe3, 32'h3f25c4f4,32'h3f373800, 32'h3f1d0b6e,32'h3f3ff186,// invsqrt(2.1524) = 0.6816 +32'h3e82c3fe,32'h3ff8367e,32'h40012c08, 32'h3ff09d50,32'h4004f89f, 32'h3fe3f35a,32'h400b4d9a,// invsqrt(0.2554) = 1.9787 +32'h3eb9a631,32'h3fd05120,32'h3fd8d1d3, 32'h3fc9f09a,32'h3fdf325a, 32'h3fbf4fbb,32'h3fe9d339,// invsqrt(0.3626) = 1.6607 +32'h3f7cf179,32'h3f7c6430,32'h3f8358b6, 32'h3f74aa44,32'h3f8735ac, 32'h3f67c9b9,32'h3f8da5f1,// invsqrt(0.9881) = 1.0060 +32'h3f939426,32'h3f69a57d,32'h3f732edb, 32'h3f627e77,32'h3f7a55e1, 32'h3f5692c1,32'h3f8320cb,// invsqrt(1.1530) = 0.9313 +32'h4044be32,32'h3f0f16cd,32'h3f14edef, 32'h3f0ab573,32'h3f194f49, 32'h3f036888,32'h3f209c34,// invsqrt(3.0741) = 0.5703 +32'h3f965a4f,32'h3f677b21,32'h3f70eddf, 32'h3f606513,32'h3f7803ed, 32'h3f5495a6,32'h3f81e9ad,// invsqrt(1.1746) = 0.9227 +32'h4001444e,32'h3f30870e,32'h3f37bb96, 32'h3f2b1fa8,32'h3f3d22fc, 32'h3f221dfd,32'h3f4624a7,// invsqrt(2.0198) = 0.7036 +32'h3fa984e1,32'h3f5a00ad,32'h3f62e695, 32'h3f53543f,32'h3f699303, 32'h3f4834de,32'h3f74b264,// invsqrt(1.3244) = 0.8690 +32'h3f5ba114,32'h3f876dc5,32'h3f8cf4dd, 32'h3f834873,32'h3f911a2f, 32'h3f78bf2b,32'h3f98030c,// invsqrt(0.8579) = 1.0796 +32'h3fe85987,32'h3f3a356d,32'h3f41cf1d, 32'h3f348228,32'h3f478262, 32'h3f2b020b,32'h3f51027f,// invsqrt(1.8152) = 0.7422 +32'h4275ca4b,32'h3e0004d0,32'h3e053e7a, 32'h3df83320,32'h3e0929ba, 32'h3deb22f6,32'h3e0fb1cf,// invsqrt(61.4476) = 0.1276 +32'h3ebd9fe1,32'h3fce1f29,32'h3fd688ec, 32'h3fc7cfd7,32'h3fdcd83f, 32'h3fbd4ba4,32'h3fe75c73,// invsqrt(0.3704) = 1.6432 +32'h3e1df509,32'h401fb174,32'h40263616, 32'h401acdfb,32'h402b198f, 32'h4012a831,32'h40333f59,// invsqrt(0.1543) = 2.5461 +32'h3dc025b0,32'h404cc3a1,32'h40551f35, 32'h40467ef2,32'h405b63e4, 32'h403c0c7a,32'h4065d65c,// invsqrt(0.0938) = 3.2647 +32'h3f48fcd5,32'h3f8d91ee,32'h3f935932, 32'h3f893c7c,32'h3f97aea4, 32'h3f820368,32'h3f9ee7b8,// invsqrt(0.7851) = 1.1286 +32'h3fe72b79,32'h3f3aaeed,32'h3f424d93, 32'h3f34f7f0,32'h3f480490, 32'h3f2b71a0,32'h3f518ae0,// invsqrt(1.8060) = 0.7441 +32'h3f7b0ab3,32'h3f7d586c,32'h3f83d7d0, 32'h3f759706,32'h3f87b883, 32'h3f68aa05,32'h3f8e2f03,// invsqrt(0.9806) = 1.0098 +32'h3f62f11b,32'h3f853aa0,32'h3f8aaabb, 32'h3f81268b,32'h3f8ebecf, 32'h3f74b4d1,32'h3f958af2,// invsqrt(0.8865) = 1.0621 +32'h3f8cbb72,32'h3f6f4326,32'h3f790732, 32'h3f67f01d,32'h3f802d1e, 32'h3f5bbb0e,32'h3f8647a5,// invsqrt(1.0995) = 0.9537 +32'h4081174f,32'h3ef9d14c,32'h3f0201d1, 32'h3ef22b8b,32'h3f05d4b2, 32'h3ee56c9e,32'h3f0c3428,// invsqrt(4.0341) = 0.4979 +32'h4060e12d,32'h3f05d6a7,32'h3f0b4d21, 32'h3f01bdcc,32'h3f0f65fc, 32'h3ef5d367,32'h3f163a14,// invsqrt(3.5137) = 0.5335 +32'h3f4e55e9,32'h3f8bb92d,32'h3f916d25, 32'h3f877234,32'h3f95b41e, 32'h3f80513e,32'h3f9cd514,// invsqrt(0.8060) = 1.1139 +32'h3f93d6c6,32'h3f6970d2,32'h3f72f80a, 32'h3f624b68,32'h3f7a1d74, 32'h3f566263,32'h3f83033c,// invsqrt(1.1550) = 0.9305 +32'h3f5cb2c9,32'h3f8719b0,32'h3f8c9d5a, 32'h3f82f6f2,32'h3f90c018, 32'h3f7824bc,32'h3f97a4ac,// invsqrt(0.8621) = 1.0770 +32'h3f874439,32'h3f740c62,32'h3f7e0270, 32'h3f6c93d7,32'h3f82bd7e, 32'h3f602045,32'h3f88f747,// invsqrt(1.0568) = 0.9728 +32'h3feba026,32'h3f38e8e5,32'h3f407503, 32'h3f333fce,32'h3f461e1a, 32'h3f29d0a8,32'h3f4f8d40,// invsqrt(1.8408) = 0.7370 +32'h3f4b6c94,32'h3f8cb83c,32'h3f92769c, 32'h3f886973,32'h3f96c565, 32'h3f813b7b,32'h3f9df35d,// invsqrt(0.7946) = 1.1218 +32'h4150fd55,32'h3e8ad555,32'h3e907fff, 32'h3e869555,32'h3e94bfff, 32'h3e7effff,32'h3e9bd555,// invsqrt(13.0618) = 0.2767 +32'h402886d6,32'h3f1a9ab6,32'h3f20ea2b, 32'h3f15df1e,32'h3f25a5c2, 32'h3f0dfbcb,32'h3f2d8915,// invsqrt(2.6332) = 0.6162 +32'h3e94e514,32'h3fe89c8c,32'h3ff21b1a, 32'h3fe17da2,32'h3ff93a04, 32'h3fd59f71,32'h40028c1a,// invsqrt(0.2908) = 1.8544 +32'h3faa3303,32'h3f59910b,32'h3f627265, 32'h3f52e808,32'h3f691b68, 32'h3f47ce59,32'h3f743517,// invsqrt(1.3297) = 0.8672 +32'h4043b314,32'h3f0f7853,32'h3f155371, 32'h3f0b13fd,32'h3f19b7c7, 32'h3f03c218,32'h3f2109ac,// invsqrt(3.0578) = 0.5719 +32'h3dddefd9,32'h403e86e2,32'h40464db2, 32'h4038b1c6,32'h404c22ce, 32'h402ef943,32'h4055db51,// invsqrt(0.1084) = 3.0377 +32'h40a29793,32'h3ede9919,32'h3ee7af05, 32'h3ed7c8a8,32'h3eee7f76, 32'h3ecc6d41,32'h3ef9dadd,// invsqrt(5.0810) = 0.4436 +32'h3f91964f,32'h3f6b3d36,32'h3f74d738, 32'h3f6409b4,32'h3f7c0aba, 32'h3f580932,32'h3f84059e,// invsqrt(1.1374) = 0.9377 +32'h3f9060e9,32'h3f6c38bd,32'h3f75dd04, 32'h3f64fd89,32'h3f7d1839, 32'h3f58f031,32'h3f8492c9,// invsqrt(1.1280) = 0.9416 +32'h3fcd8694,32'h3f45fcd2,32'h3f4e1197, 32'h3f3fed3f,32'h3f54212b, 32'h3f35d349,32'h3f5e3b21,// invsqrt(1.6057) = 0.7892 +32'h3f7403b8,32'h3f807bd7,32'h3f85ba5d, 32'h3f7919e5,32'h3f89a942, 32'h3f6bfd95,32'h3f903769,// invsqrt(0.9532) = 1.0243 +32'h3fd1b74e,32'h3f43ffe6,32'h3f4bffe5, 32'h3f3dffe7,32'h3f51ffe5, 32'h3f33ffe9,32'h3f5bffe3,// invsqrt(1.6384) = 0.7812 +32'h3e8e61ad,32'h3feddf5a,32'h3ff794e0, 32'h3fe69735,32'h3ffedd05, 32'h3fda744d,32'h40057ff6,// invsqrt(0.2781) = 1.8963 +32'h42072a53,32'h3e2ca201,32'h3e33add7, 32'h3e275920,32'h3e38f6b8, 32'h3e1e8a54,32'h3e41c584,// invsqrt(33.7913) = 0.1720 +32'h3f33e486,32'h3f95a3fb,32'h3f9bbf93, 32'h3f910f4a,32'h3fa05444, 32'h3f896ccd,32'h3fa7f6c1,// invsqrt(0.7027) = 1.1929 +32'h3e2cb6d2,32'h4018b7f9,32'h401ef3bb, 32'h40140b29,32'h4023a08b, 32'h400c4077,32'h402b6b3d,// invsqrt(0.1687) = 2.4349 +32'h3eadcf2c,32'h3fd74b99,32'h3fe01537, 32'h3fd0b463,32'h3fe6ac6d, 32'h3fc5b85e,32'h3ff1a872,// invsqrt(0.3395) = 1.7163 +32'h3f45d733,32'h3f8eb10a,32'h3f948406, 32'h3f8a52ce,32'h3f98e242, 32'h3f830b14,32'h3fa029fc,// invsqrt(0.7728) = 1.1375 +32'h3edf3289,32'h3fbdfcf6,32'h3fc5be24, 32'h3fb82c13,32'h3fcb8f07, 32'h3fae7a98,32'h3fd54082,// invsqrt(0.4359) = 1.5146 +32'h3f69c90b,32'h3f8343b9,32'h3f889f4d, 32'h3f7e7e12,32'h3f8ca3fd, 32'h3f71191f,32'h3f935676,// invsqrt(0.9132) = 1.0464 +32'h3f9150a4,32'h3f6b7593,32'h3f7511e2, 32'h3f644057,32'h3f7c471d, 32'h3f583cf4,32'h3f842540,// invsqrt(1.1353) = 0.9385 +32'h3eec5bf6,32'h3fb89f60,32'h3fc0287e, 32'h3fb2f889,32'h3fc5cf55, 32'h3fa98d24,32'h3fcf3aba,// invsqrt(0.4616) = 1.4718 +32'h3f26f5b9,32'h3f9b53fe,32'h3fa1ab03, 32'h3f9692ba,32'h3fa66c46, 32'h3f8ea5f3,32'h3fae590d,// invsqrt(0.6522) = 1.2383 +32'h3f84ec7d,32'h3f763089,32'h3f801e7c, 32'h3f6ea736,32'h3f83e325, 32'h3f6217ad,32'h3f8a2aea,// invsqrt(1.0385) = 0.9813 +32'h40424b3c,32'h3f0ffcf1,32'h3f15dd79, 32'h3f0b948c,32'h3f1a45de, 32'h3f043be3,32'h3f219e87,// invsqrt(3.0358) = 0.5739 +32'h4065779d,32'h3f047e6c,32'h3f09e6d9, 32'h3f00701b,32'h3f0df52b, 32'h3ef35b25,32'h3f14b7b3,// invsqrt(3.5854) = 0.5281 +32'h3f58c858,32'h3f8850a7,32'h3f8de102, 32'h3f842464,32'h3f920d46, 32'h3f7a5fe6,32'h3f9901b7,// invsqrt(0.8468) = 1.0867 +32'h3f800e0a,32'h3f7ad387,32'h3f828834, 32'h3f7325de,32'h3f865f08, 32'h3f6659c5,32'h3f8cc515,// invsqrt(1.0004) = 0.9998 +32'h3f811423,32'h3f79d45d,32'h3f82036a, 32'h3f722e85,32'h3f85d657, 32'h3f656f70,32'h3f8c35e1,// invsqrt(1.0084) = 0.9958 +32'h3f8bc726,32'h3f7013e1,32'h3f79e072, 32'h3f68ba74,32'h3f809cef, 32'h3f5c7abe,32'h3f86bcca,// invsqrt(1.0920) = 0.9569 +32'h3d847878,32'h40769c40,32'h4080568a, 32'h406f0fa2,32'h40841cd9, 32'h40627a99,32'h408a675e,// invsqrt(0.0647) = 3.9319 +32'h40820277,32'h3ef8eef7,32'h3f018c08, 32'h3ef15024,32'h3f055b72, 32'h3ee49cc4,32'h3f0bb522,// invsqrt(4.0628) = 0.4961 +32'h3fb1fbb2,32'h3f54c180,32'h3f5d7095, 32'h3f4e3e31,32'h3f63f3e5, 32'h3f436357,32'h3f6ecebf,// invsqrt(1.3905) = 0.8480 +32'h3f868855,32'h3f74b692,32'h3f7eb393, 32'h3f6d38d2,32'h3f8318aa, 32'h3f60bc91,32'h3f8956ca,// invsqrt(1.0510) = 0.9754 +32'h3f7cf05b,32'h3f7c64be,32'h3f835900, 32'h3f74aace,32'h3f8735f9, 32'h3f67ca3d,32'h3f8da642,// invsqrt(0.9880) = 1.0060 +32'h3f85d5da,32'h3f755988,32'h3f7f5d30, 32'h3f6dd6cb,32'h3f836ff7, 32'h3f615239,32'h3f89b23f,// invsqrt(1.0456) = 0.9780 +32'h3ec53c03,32'h3fca1b31,32'h3fd25aff, 32'h3fc3eb57,32'h3fd88ad9, 32'h3fb99b96,32'h3fe2da9a,// invsqrt(0.3852) = 1.6112 +32'h3c9fe206,32'h40e079de,32'h40e9a36a, 32'h40d99ab5,32'h40f08293, 32'h40ce26c7,32'h40fbf681,// invsqrt(0.0195) = 7.1580 +32'h3e31e2f4,32'h40167b63,32'h401c9fc5, 32'h4011e019,32'h40213b0f, 32'h400a329f,32'h4028e889,// invsqrt(0.1737) = 2.3993 +32'h3f421c86,32'h3f900e43,32'h3f95ef7f, 32'h3f8ba556,32'h3f9a586c, 32'h3f844bca,32'h3fa1b1f8,// invsqrt(0.7582) = 1.1484 +32'h3f50be50,32'h3f8aea48,32'h3f9095ce, 32'h3f86a9a4,32'h3f94d672, 32'h3f7f267a,32'h3f9becd9,// invsqrt(0.8154) = 1.1074 +32'h3e2e563c,32'h40180199,32'h401e35e9, 32'h40135a5e,32'h4022dd24, 32'h400b98fa,32'h402a9e88,// invsqrt(0.1703) = 2.4236 +32'h3fc0ec4a,32'h3f4c5a21,32'h3f54b166, 32'h3f4618ac,32'h3f5af2da, 32'h3f3bab96,32'h3f655ff0,// invsqrt(1.5072) = 0.8145 +32'h429c0000,32'h3de340a6,32'h3dec8734, 32'h3ddc4bbb,32'h3df37c1f, 32'h3dd0b388,32'h3dff1452,// invsqrt(78.0000) = 0.1132 +32'h3e8a80b3,32'h3ff12e29,32'h3ffb063f, 32'h3fe9cc18,32'h40013428, 32'h3fdd7dfc,32'h40075b36,// invsqrt(0.2705) = 1.9227 +32'h3ead55bd,32'h3fd796f6,32'h3fe063a8, 32'h3fd0fd71,32'h3fe6fd2d, 32'h3fc5fd94,32'h3ff1fd0a,// invsqrt(0.3385) = 1.7187 +32'h408eb8d0,32'h3eed96b1,32'h3ef74941, 32'h3ee650c6,32'h3efe8f2c, 32'h3eda3193,32'h3f05572f,// invsqrt(4.4601) = 0.4735 +32'h3eba179b,32'h3fd0119c,32'h3fd88fb7, 32'h3fc9b307,32'h3fdeee4b, 32'h3fbf1565,32'h3fe98bed,// invsqrt(0.3635) = 1.6587 +32'h3f51cc94,32'h3f8a90b1,32'h3f90388f, 32'h3f8652cb,32'h3f947675, 32'h3f7e81ed,32'h3f9b884a,// invsqrt(0.8195) = 1.1046 +32'h403d691e,32'h3f11d515,32'h3f17c8e1, 32'h3f0d5e3b,32'h3f1c3fbb, 32'h3f05ed7c,32'h3f23b07a,// invsqrt(2.9595) = 0.5813 +32'h3d833915,32'h4077c7a8,32'h4080f25a, 32'h407031df,32'h4084bd3f, 32'h40638d90,32'h408b0f66,// invsqrt(0.0641) = 3.9506 +32'h3fc1a8e1,32'h3f4bf688,32'h3f5449bc, 32'h3f45b820,32'h3f5a8824, 32'h3f3b501f,32'h3f64f025,// invsqrt(1.5130) = 0.8130 +32'h40f5ba02,32'h3eb511c1,32'h3ebc75bf, 32'h3eaf86c3,32'h3ec200bd, 32'h3ea649c6,32'h3ecb3dba,// invsqrt(7.6790) = 0.3609 +32'h40111f8f,32'h3f269ad4,32'h3f2d67ae, 32'h3f218131,32'h3f328151, 32'h3f190121,32'h3f3b0161,// invsqrt(2.2676) = 0.6641 +32'h3fe3b02f,32'h3f3c1aed,32'h3f43c86f, 32'h3f3658cc,32'h3f498a90, 32'h3f2cbfe9,32'h3f532373,// invsqrt(1.7788) = 0.7498 +32'h3e0edebd,32'h4027e9d6,32'h402ec45c, 32'h4022c5f1,32'h4033e841, 32'h401a34ca,32'h403c7968,// invsqrt(0.1395) = 2.6772 +32'h420a7b15,32'h3e2a8dc2,32'h3e3183df, 32'h3e25552d,32'h3e36bc75, 32'h3e1ca189,32'h3e3f7019,// invsqrt(34.6202) = 0.1700 +32'h4155be21,32'h3e8947ef,32'h3e8ee261, 32'h3e851419,32'h3e931637, 32'h3e7c2615,32'h3e9a1746,// invsqrt(13.3589) = 0.2736 +32'h3e469690,32'h400e6c3a,32'h40143c66, 32'h400a1019,32'h40189887, 32'h4002cbe2,32'h401fdcbe,// invsqrt(0.1939) = 2.2708 +32'h3fac2b6c,32'h3f58516c,32'h3f6125ba, 32'h3f51b232,32'h3f67c4f4, 32'h3f46a8d1,32'h3f72ce55,// invsqrt(1.3451) = 0.8622 +32'h3f1798e7,32'h3fa3022f,32'h3fa9a975, 32'h3f9e04bb,32'h3faea6e9, 32'h3f95b3a3,32'h3fb6f801,// invsqrt(0.5922) = 1.2995 +32'h401194cf,32'h3f2657af,32'h3f2d21cb, 32'h3f21401a,32'h3f323960, 32'h3f18c377,32'h3f3ab603,// invsqrt(2.2747) = 0.6630 +32'h3f484e14,32'h3f8dcfa2,32'h3f93996a, 32'h3f89784c,32'h3f97f0c0, 32'h3f823c12,32'h3f9f2cfa,// invsqrt(0.7824) = 1.1305 +32'h3f226774,32'h3f9d7ddc,32'h3fa3eb7d, 32'h3f98aba4,32'h3fa8bdb6, 32'h3f90a29c,32'h3fb0c6be,// invsqrt(0.6344) = 1.2555 +32'h4007fb6c,32'h3f2c1d13,32'h3f33237d, 32'h3f26d844,32'h3f38684c, 32'h3f1e1041,32'h3f41304f,// invsqrt(2.1247) = 0.6860 +32'h3f1d8a2d,32'h3f9fe794,32'h3fa66e6a, 32'h3f9b0272,32'h3fab538c, 32'h3f92d9e6,32'h3fb37c19,// invsqrt(0.6154) = 1.2747 +32'h3ce2a72e,32'h40bc88c4,32'h40c43ac2, 32'h40b6c346,32'h40ca0040, 32'h40ad24c9,32'h40d39ebd,// invsqrt(0.0277) = 6.0119 +32'h3f4f8070,32'h3f8b5486,32'h3f910462, 32'h3f8710a1,32'h3f954847, 32'h3f7fe99e,32'h3f9c6419,// invsqrt(0.8106) = 1.1107 +32'h3e171b6c,32'h402345d0,32'h4029efd8, 32'h401e4649,32'h402eef5f, 32'h4015f1bf,32'h403743e9,// invsqrt(0.1476) = 2.6032 +32'h406144fe,32'h3f05b8fd,32'h3f0b2e41, 32'h3f01a10a,32'h3f0f4634, 32'h3ef59ceb,32'h3f1618c8,// invsqrt(3.5198) = 0.5330 +32'h3fbf104d,32'h3f4d580f,32'h3f55b9b1, 32'h3f470ed5,32'h3f5c02eb, 32'h3f3c94ca,32'h3f667cf6,// invsqrt(1.4927) = 0.8185 +32'h42838073,32'h3df78462,32'h3e00cf58, 32'h3deff0a9,32'h3e049935, 32'h3de34fc8,32'h3e0ae9a5,// invsqrt(65.7509) = 0.1233 +32'h4040e7fb,32'h3f10814a,32'h3f166738, 32'h3f0c14d7,32'h3f1ad3ab, 32'h3f04b56e,32'h3f223314,// invsqrt(3.0142) = 0.5760 +32'h3f8edf3b,32'h3f6d76be,32'h3f7727ff, 32'h3f6631cc,32'h3f7e6cf0, 32'h3f5a143b,32'h3f854540,// invsqrt(1.1162) = 0.9465 +32'h3f5f6989,32'h3f8646fc,32'h3f8bc20c, 32'h3f822ab1,32'h3f8fde57, 32'h3f76a1ba,32'h3f96b82b,// invsqrt(0.8727) = 1.0705 +32'h3e6a45be,32'h400320c5,32'h40087aec, 32'h3ffe3a4e,32'h400c7e89, 32'h3ff0d8eb,32'h40132f3a,// invsqrt(0.2288) = 2.0907 +32'h3f70e113,32'h3f815136,32'h3f869872, 32'h3f7ab793,32'h3f8a8ddf, 32'h3f6d857e,32'h3f9126e9,// invsqrt(0.9409) = 1.0309 +32'h3da1ecba,32'h405f0e68,32'h4068291e, 32'h40583a60,32'h406efd26, 32'h404cd8fc,32'h407a5e8a,// invsqrt(0.0791) = 3.5564 +32'h3f98d256,32'h3f659a83,32'h3f6ef9a3, 32'h3f5e932c,32'h3f7600fa, 32'h3f52dc44,32'h3f80dbf1,// invsqrt(1.1939) = 0.9152 +32'h3fd39fe6,32'h3f431d21,32'h3f4b13df, 32'h3f3d2413,32'h3f510ced, 32'h3f332fa6,32'h3f5b015a,// invsqrt(1.6533) = 0.7777 +32'h4201f12e,32'h3e30117a,32'h3e374135, 32'h3e2aadac,32'h3e3ca502, 32'h3e21b202,32'h3e45a0ad,// invsqrt(32.4855) = 0.1755 +32'h3edf65b7,32'h3fbde731,32'h3fc5a77d, 32'h3fb816f9,32'h3fcb77b5, 32'h3fae669b,32'h3fd52813,// invsqrt(0.4363) = 1.5139 +32'h4082ddeb,32'h3ef81de7,32'h3f011f3c, 32'h3ef08579,32'h3f04eb72, 32'h3ee3dcc4,32'h3f0b3fcd,// invsqrt(4.0896) = 0.4945 +32'h3e193b6c,32'h402222fa,32'h4028c124, 32'h401d2c5b,32'h402db7c3, 32'h4014e6a7,32'h4035fd77,// invsqrt(0.1496) = 2.5851 +32'h3fdbc2ac,32'h3f3f77d1,32'h3f474876, 32'h3f399b54,32'h3f4d24f2, 32'h3f2fd686,32'h3f56e9c0,// invsqrt(1.7169) = 0.7632 +32'h3f3e223f,32'h3f918e04,32'h3f977eea, 32'h3f8d1957,32'h3f9bf397, 32'h3f85ac38,32'h3fa360b6,// invsqrt(0.7427) = 1.1604 +32'h4001d28d,32'h3f30263d,32'h3f3756d2, 32'h3f2ac1ce,32'h3f3cbb42, 32'h3f21c514,32'h3f45b7fc,// invsqrt(2.0285) = 0.7021 +32'h3f9d6bd4,32'h3f623971,32'h3f6b7541, 32'h3f5b4c95,32'h3f72621d, 32'h3f4fc1d0,32'h3f7dece2,// invsqrt(1.2299) = 0.9017 +32'h3e1fd886,32'h401ebf39,32'h402539f7, 32'h4019e32a,32'h402a1606, 32'h4011c9bc,32'h40322f74,// invsqrt(0.1561) = 2.5310 +32'h3f0627e0,32'h3fad47fb,32'h3fb45a97, 32'h3fa7fa05,32'h3fb9a88d, 32'h3f9f22c2,32'h3fc27fd0,// invsqrt(0.5240) = 1.3814 +32'h3feae1fc,32'h3f3933b0,32'h3f40c2dc, 32'h3f33884f,32'h3f466e3d, 32'h3f2a1559,32'h3f4fe133,// invsqrt(1.8350) = 0.7382 +32'h418ea5ed,32'h3e6da66b,32'h3e77599f, 32'h3e666005,32'h3e7ea005, 32'h3e5a4004,32'h3e856003,// invsqrt(17.8310) = 0.2368 +32'h3ffc177b,32'h3f32c4d0,32'h3f3a10c4, 32'h3f2d4bda,32'h3f3f89ba, 32'h3f242ce9,32'h3f48a8ab,// invsqrt(1.9695) = 0.7126 +32'h40825c79,32'h3ef898f8,32'h3f015f48, 32'h3ef0fcc7,32'h3f052d60, 32'h3ee44dca,32'h3f0b84df,// invsqrt(4.0738) = 0.4955 +32'h40b03e4c,32'h3ed5cdad,32'h3ede87b5, 32'h3ecf4228,32'h3ee5133a, 32'h3ec4599f,32'h3eeffbc3,// invsqrt(5.5076) = 0.4261 +32'h3ff62b23,32'h3f34e821,32'h3f3c4a6b, 32'h3f2f5e69,32'h3f41d423, 32'h3f26238c,32'h3f4b0f00,// invsqrt(1.9232) = 0.7211 +32'h3f39abbf,32'h3f934b2f,32'h3f994e41, 32'h3f8ec8e2,32'h3f9dd08e, 32'h3f87450c,32'h3fa55464,// invsqrt(0.7253) = 1.1742 +32'h3f53750a,32'h3f8a0559,32'h3f8fa786, 32'h3f85cbb6,32'h3f93e128, 32'h3f7d81fb,32'h3f9aebe0,// invsqrt(0.8260) = 1.1003 +32'h3f836dcb,32'h3f7795f3,32'h3f80d87c, 32'h3f7001af,32'h3f84a29d, 32'h3f635fe9,32'h3f8af380,// invsqrt(1.0268) = 0.9869 +32'h3ef96131,32'h3fb3bcfe,32'h3fbb1313, 32'h3fae3c6f,32'h3fc093a3, 32'h3fa510d5,32'h3fc9bf3d,// invsqrt(0.4871) = 1.4329 +32'h3ee8bf09,32'h3fba0ccd,32'h3fc1a4d6, 32'h3fb45ac8,32'h3fc756dc, 32'h3faadcbd,32'h3fd0d4e7,// invsqrt(0.4546) = 1.4832 +32'h40d3cd65,32'h3ec3082b,32'h3ecafe0d, 32'h3ebd0fc1,32'h3ed0f677, 32'h3eb31c66,32'h3edae9d2,// invsqrt(6.6188) = 0.3887 +32'h3f10ee35,32'h3fa6b72f,32'h3fad8531, 32'h3fa19cae,32'h3fb29fb2, 32'h3f991b2b,32'h3fbb2135,// invsqrt(0.5661) = 1.3290 +32'h3d18064d,32'h40a2c77e,32'h40a96c5e, 32'h409dcbd5,32'h40ae6807, 32'h40957dbd,32'h40b6b61f,// invsqrt(0.0371) = 5.1907 +32'h3f6cd167,32'h3f826bde,32'h3f87bea3, 32'h3f7cdb93,32'h3f8bbcb6, 32'h3f6f8ca6,32'h3f92642d,// invsqrt(0.9251) = 1.0397 +32'h4119f02c,32'h3ea1c3ae,32'h3ea85df4, 32'h3e9ccffa,32'h3ead51a8, 32'h3e948f22,32'h3eb59280,// invsqrt(9.6211) = 0.3224 +32'h3f2c6ca9,32'h3f98d8ce,32'h3f9f15e6, 32'h3f942afc,32'h3fa3c3b8, 32'h3f8c5e9e,32'h3fab9016,// invsqrt(0.6735) = 1.2185 +32'h3d96fd1e,32'h4066fe33,32'h40706bd7, 32'h405febf8,32'h40777e12, 32'h405422eb,32'h4081a390,// invsqrt(0.0737) = 3.6829 +32'h3ff716c6,32'h3f3491ca,32'h3f3bf08e, 32'h3f2f0ab7,32'h3f4177a1, 32'h3f25d441,32'h3f4aae17,// invsqrt(1.9304) = 0.7197 +32'h3f978d49,32'h3f66903a,32'h3f6ff960, 32'h3f5f815c,32'h3f77083e, 32'h3f53bdec,32'h3f8165d7,// invsqrt(1.1840) = 0.9190 +32'h3fd7b369,32'h3f4142eb,32'h3f49264d, 32'h3f3b5861,32'h3f4f10d7, 32'h3f317c26,32'h3f58ed12,// invsqrt(1.6852) = 0.7703 +32'h40b4f6e8,32'h3ed2fefe,32'h3edb9bb0, 32'h3ecc8979,32'h3ee21135, 32'h3ec1c59b,32'h3eecd513,// invsqrt(5.6551) = 0.4205 +32'h3f168762,32'h3fa39605,32'h3faa4353, 32'h3f9e940a,32'h3faf454e, 32'h3f963b68,32'h3fb79df0,// invsqrt(0.5880) = 1.3041 +32'h3f129976,32'h3fa5c38c,32'h3fac879c, 32'h3fa0b080,32'h3fb19aa8, 32'h3f983b6c,32'h3fba0fbc,// invsqrt(0.5727) = 1.3215 +32'h3f9419b0,32'h3f693c0f,32'h3f72c120, 32'h3f621844,32'h3f79e4ec, 32'h3f5631ef,32'h3f82e5a0,// invsqrt(1.1570) = 0.9297 +32'h40321665,32'h3f1665a5,32'h3f1c8924, 32'h3f11cb06,32'h3f2123c4, 32'h3f0a1ea8,32'h3f28d022,// invsqrt(2.7826) = 0.5995 +32'h3f474655,32'h3f8e2d5c,32'h3f93faf8, 32'h3f89d328,32'h3f98552c, 32'h3f829226,32'h3f9f962e,// invsqrt(0.7784) = 1.1334 +32'h4017f56c,32'h3f22d088,32'h3f2975c6, 32'h3f1dd498,32'h3f2e71b6, 32'h3f15860a,32'h3f36c045,// invsqrt(2.3744) = 0.6490 +32'h3f1186d6,32'h3fa65fab,32'h3fad2a1b, 32'h3fa147d8,32'h3fb241ee, 32'h3f98cacc,32'h3fbabefa,// invsqrt(0.5685) = 1.3263 +32'h3f78d3f5,32'h3f7e784c,32'h3f846da0, 32'h3f76ae16,32'h3f8852bb, 32'h3f69b265,32'h3f8ed093,// invsqrt(0.9720) = 1.0143 +32'h3eeeef35,32'h3fb79ffd,32'h3fbf1eae, 32'h3fb200f7,32'h3fc4bdb3, 32'h3fa8a299,32'h3fce1c11,// invsqrt(0.4667) = 1.4638 +32'h4011eb91,32'h3f262634,32'h3f2cee4c, 32'h3f211023,32'h3f32045d, 32'h3f189606,32'h3f3a7e7a,// invsqrt(2.2800) = 0.6623 +32'h3f4e472b,32'h3f8bbe2b,32'h3f917257, 32'h3f87770b,32'h3f95b977, 32'h3f8055d4,32'h3f9cdaae,// invsqrt(0.8058) = 1.1140 +32'h40952cb2,32'h3ee864af,32'h3ef1e0f5, 32'h3ee1477b,32'h3ef8fe29, 32'h3ed56c23,32'h3f026cc0,// invsqrt(4.6617) = 0.4632 +32'h40a94775,32'h3eda2837,32'h3ee30fbb, 32'h3ed37a93,32'h3ee9bd5f, 32'h3ec8592d,32'h3ef4dec5,// invsqrt(5.2900) = 0.4348 +32'h3ebd8fe8,32'h3fce27d8,32'h3fd691f6, 32'h3fc7d842,32'h3fdce18c, 32'h3fbd539d,32'h3fe76631,// invsqrt(0.3702) = 1.6435 +32'h3f0d86ac,32'h3fa8b576,32'h3faf984c, 32'h3fa38b56,32'h3fb4c26c, 32'h3f9aefca,32'h3fbd5df8,// invsqrt(0.5528) = 1.3449 +32'h3fc7f59b,32'h3f48b971,32'h3f50eace, 32'h3f42946a,32'h3f570fd4, 32'h3f3856b6,32'h3f614d89,// invsqrt(1.5622) = 0.8001 +32'h404a60c5,32'h3f0d1539,32'h3f12d765, 32'h3f08c398,32'h3f172906, 32'h3f0190e1,32'h3f1e5bbd,// invsqrt(3.1622) = 0.5624 +32'h3f94b023,32'h3f68c5f2,32'h3f724630, 32'h3f61a5c3,32'h3f79665f, 32'h3f55c576,32'h3f82a356,// invsqrt(1.1616) = 0.9278 +32'h3f52ed9d,32'h3f8a31a0,32'h3f8fd59c, 32'h3f85f6a3,32'h3f941099, 32'h3f7dd350,32'h3f9b1d94,// invsqrt(0.8239) = 1.1017 +32'h400d1a20,32'h3f28f64e,32'h3f2fdbca, 32'h3f23ca32,32'h3f3507e6, 32'h3f1b2b58,32'h3f3da6c0,// invsqrt(2.2047) = 0.6735 +32'h405db42b,32'h3f06cb2e,32'h3f0c4ba2, 32'h3f02aad6,32'h3f106bfa, 32'h3ef79488,32'h3f174c8c,// invsqrt(3.4641) = 0.5373 +32'h3fb853c9,32'h3f511002,32'h3f599880, 32'h3f4aa9a4,32'h3f5ffede, 32'h3f3fff07,32'h3f6aa97b,// invsqrt(1.4401) = 0.8333 +32'h3f47dc90,32'h3f8df7e2,32'h3f93c34f, 32'h3f899f51,32'h3f981be1, 32'h3f82610a,32'h3f9f5a28,// invsqrt(0.7807) = 1.1318 +32'h40d90f90,32'h3ec0a7b0,32'h3ec884bc, 32'h3ebac1e6,32'h3ece6a86, 32'h3eb0ed97,32'h3ed83ed5,// invsqrt(6.7831) = 0.3840 +32'h3f8126fd,32'h3f79c221,32'h3f81f9ed, 32'h3f721cd7,32'h3f85cc92, 32'h3f655eb0,32'h3f8c2ba5,// invsqrt(1.0090) = 0.9955 +32'h3f9f9e6e,32'h3f60a961,32'h3f69d4dd, 32'h3f59c8c4,32'h3f70b57a, 32'h3f4e5269,32'h3f7c2bd5,// invsqrt(1.2470) = 0.8955 +32'h3eca31f7,32'h3fc79c8d,32'h3fcfc24a, 32'h3fc18040,32'h3fd5de98, 32'h3fb75114,32'h3fe00dc4,// invsqrt(0.3949) = 1.5913 +32'h3f5b949c,32'h3f87719d,32'h3f8cf8dd, 32'h3f834c2d,32'h3f911e4d, 32'h3f78c63b,32'h3f98075d,// invsqrt(0.8577) = 1.0797 +32'h3f7c6d83,32'h3f7ca620,32'h3f837b06, 32'h3f74ea2e,32'h3f8758ff, 32'h3f680647,32'h3f8dcaf2,// invsqrt(0.9860) = 1.0071 +32'h4007236c,32'h3f2ca669,32'h3f33b26d, 32'h3f275d66,32'h3f38fb70, 32'h3f1e8e60,32'h3f41ca76,// invsqrt(2.1115) = 0.6882 +32'h40c4cb6c,32'h3eca54f9,32'h3ed29723, 32'h3ec4235a,32'h3ed8c8c2, 32'h3eb9d0a6,32'h3ee31b76,// invsqrt(6.1498) = 0.4032 +32'h3f99aab4,32'h3f64f8a5,32'h3f6e5129, 32'h3f5df642,32'h3f75538c, 32'h3f52479d,32'h3f808119,// invsqrt(1.2005) = 0.9127 +32'h4213004e,32'h3e258986,32'h3e2c4b38, 32'h3e207841,32'h3e315c7d, 32'h3e180622,32'h3e39ce9c,// invsqrt(36.7503) = 0.1650 +32'h3ea05bce,32'h3fe02492,32'h3fe94aa2, 32'h3fd94806,32'h3ff0272e, 32'h3fcdd871,32'h3ffb96c3,// invsqrt(0.3132) = 1.7869 +32'h3fdc3de0,32'h3f3f423c,32'h3f4710b1, 32'h3f396763,32'h3f4ceb89, 32'h3f2fa551,32'h3f56ad9b,// invsqrt(1.7206) = 0.7624 +32'h3f42f236,32'h3f8fbf3a,32'h3f959d3c, 32'h3f8b58b8,32'h3f9a03be, 32'h3f840335,32'h3fa15941,// invsqrt(0.7615) = 1.1459 +32'h3e4945c5,32'h400d7845,32'h40133e7d, 32'h4009239c,32'h40179326, 32'h4001ebd7,32'h401ecaeb,// invsqrt(0.1966) = 2.2556 +32'h3fb55a97,32'h3f52c4f9,32'h3f5b5f4d, 32'h3f4c513b,32'h3f61d30b, 32'h3f419053,32'h3f6c93f3,// invsqrt(1.4168) = 0.8401 +32'h407abafd,32'h3efd80ae,32'h3f03ecc3, 32'h3ef5be0c,32'h3f07ce14, 32'h3ee8cefe,32'h3f0e459b,// invsqrt(3.9177) = 0.5052 +32'h402175d0,32'h3f1df38a,32'h3f2465f8, 32'h3f191db7,32'h3f293bcb, 32'h3f110eae,32'h3f314ad4,// invsqrt(2.5228) = 0.6296 +32'h3edee33a,32'h3fbe1ec0,32'h3fc5e150, 32'h3fb84cd4,32'h3fcbb33c, 32'h3fae99a1,32'h3fd5666f,// invsqrt(0.4353) = 1.5156 +32'h3eaf5450,32'h3fd65c28,32'h3fdf1c00, 32'h3fcfcc46,32'h3fe5abe2, 32'h3fc4dc78,32'h3ff09bb0,// invsqrt(0.3424) = 1.7089 +32'h4059750b,32'h3f081a7c,32'h3f0da8a0, 32'h3f03efe0,32'h3f11d33c, 32'h3ef9fc66,32'h3f18c4e9,// invsqrt(3.3978) = 0.5425 +32'h3f6f5f65,32'h3f81b93a,32'h3f8704b4, 32'h3f7b813c,32'h3f8afd50, 32'h3f6e4489,32'h3f919ba9,// invsqrt(0.9350) = 1.0341 +32'h3e317bbf,32'h4016a71d,32'h401ccd48, 32'h40120a7d,32'h402169e9, 32'h400a5ac8,32'h4029199e,// invsqrt(0.1733) = 2.4020 +32'h3e838def,32'h3ff777b3,32'h4000c8be, 32'h3fefe45c,32'h40049269, 32'h3fe34422,32'h400ae286,// invsqrt(0.2569) = 1.9728 +32'h3f1c3c0f,32'h3fa09234,32'h3fa72002, 32'h3f9ba7da,32'h3fac0a5c, 32'h3f937698,32'h3fb43b9e,// invsqrt(0.6103) = 1.2801 +32'h3ed6b49f,32'h3fc1b575,32'h3fc99d85, 32'h3fbbc76a,32'h3fcf8b90, 32'h3fb1e557,32'h3fd96da3,// invsqrt(0.4193) = 1.5442 +32'h403d61ee,32'h3f11d7d9,32'h3f17cbc3, 32'h3f0d60ea,32'h3f1c42b2, 32'h3f05f006,32'h3f23b396,// invsqrt(2.9591) = 0.5813 +32'h3e944b0d,32'h3fe9153a,32'h3ff298b5, 32'h3fe1f29f,32'h3ff9bb51, 32'h3fd60e46,32'h4002cfd5,// invsqrt(0.2896) = 1.8581 +32'h417967a4,32'h3e7e2ce9,32'h3e844665, 32'h3e766502,32'h3e882a59, 32'h3e696d2b,32'h3e8ea645,// invsqrt(15.5878) = 0.2533 +32'h3e0081e6,32'h40310c62,32'h4038465c, 32'h402ba0e7,32'h403db1d7, 32'h4022986f,32'h4046ba4f,// invsqrt(0.1255) = 2.8228 +32'h3e8c8d8d,32'h3fef6a33,32'h3ff92fd7, 32'h3fe815f8,32'h40004209, 32'h3fdbdeeb,32'h40065d90,// invsqrt(0.2745) = 1.9086 +32'h3f00fbbb,32'h3fb0b8b0,32'h3fb7ef40, 32'h3fab4fc5,32'h3fbd582b, 32'h3fa24b92,32'h3fc65c5e,// invsqrt(0.5038) = 1.4088 +32'h3fb0bc80,32'h3f55814a,32'h3f5e3832, 32'h3f4ef81b,32'h3f64c161, 32'h3f441378,32'h3f6fa604,// invsqrt(1.3808) = 0.8510 +32'h409d8036,32'h3ee22acd,32'h3eeb6605, 32'h3edb3e64,32'h3ef2526e, 32'h3ecfb45e,32'h3efddc74,// invsqrt(4.9219) = 0.4507 +32'h3ef14fd7,32'h3fb6b7d8,32'h3fbe2d10, 32'h3fb11fee,32'h3fc3c4fa, 32'h3fa7cd68,32'h3fcd1780,// invsqrt(0.4713) = 1.4566 +32'h3fc8a7a0,32'h3f486053,32'h3f508e0d, 32'h3f423e07,32'h3f56b059, 32'h3f3804de,32'h3f60e982,// invsqrt(1.5676) = 0.7987 +32'h3ebdc899,32'h3fce090c,32'h3fd671e8, 32'h3fc7ba67,32'h3fdcc08d, 32'h3fbd3754,32'h3fe743a0,// invsqrt(0.3707) = 1.6425 +32'h3fbf388f,32'h3f4d4270,32'h3f55a330, 32'h3f46f9df,32'h3f5bebc1, 32'h3f3c80ee,32'h3f6664b2,// invsqrt(1.4939) = 0.8182 +32'h3f8a11a0,32'h3f718f19,32'h3f7b6b25, 32'h3f6a2a11,32'h3f816817, 32'h3f5dd702,32'h3f87919e,// invsqrt(1.0787) = 0.9628 +32'h4069c439,32'h3f034513,32'h3f08a0b5, 32'h3efe80b1,32'h3f0ca56f, 32'h3ef11b9a,32'h3f1357fb,// invsqrt(3.6526) = 0.5232 +32'h40470cc7,32'h3f0e41e9,32'h3f14105b, 32'h3f09e714,32'h3f186b30, 32'h3f02a505,32'h3f1fad3f,// invsqrt(3.1102) = 0.5670 +32'h3f3e5464,32'h3f917ad7,32'h3f976af4, 32'h3f8d06c0,32'h3f9bdf0a, 32'h3f859a9b,32'h3fa34b2f,// invsqrt(0.7435) = 1.1598 +32'h3f65aaf8,32'h3f846f9b,32'h3f89d76d, 32'h3f8061bd,32'h3f8de54b, 32'h3f733fee,32'h3f94a711,// invsqrt(0.8971) = 1.0558 +32'h42bbd615,32'h3dcf19c0,32'h3dd78dbd, 32'h3dc8c2c1,32'h3ddde4bb, 32'h3dbe31c5,32'h3de875b7,// invsqrt(93.9181) = 0.1032 +32'h3ffb7cdb,32'h3f32fbbd,32'h3f3a49ef, 32'h3f2d8118,32'h3f3fc494, 32'h3f245f5a,32'h3f48e652,// invsqrt(1.9647) = 0.7134 +32'h40408cbe,32'h3f10a382,32'h3f168ad6, 32'h3f0c3603,32'h3f1af855, 32'h3f04d4db,32'h3f22597d,// invsqrt(3.0086) = 0.5765 +32'h3e3c78be,32'h401231f6,32'h4018298e, 32'h400db845,32'h401ca33f, 32'h400642c8,32'h402418bc,// invsqrt(0.1841) = 2.3309 +32'h3c068076,32'h412d0ee1,32'h41341f29, 32'h4127c2ab,32'h41396b5f, 32'h411eee51,32'h41423fb9,// invsqrt(0.0082) = 11.0369 +32'h415f7ecd,32'h3e864098,32'h3e8bbb65, 32'h3e82247f,32'h3e8fd77f, 32'h3e7695fe,32'h3e96b0ff,// invsqrt(13.9685) = 0.2676 +32'h3f1a30d2,32'h3fa1a1c1,32'h3fa83aa4, 32'h3f9caf16,32'h3fad2d4e, 32'h3f946ffa,32'h3fb56c6a,// invsqrt(0.6023) = 1.2885 +32'h3fa0aa1f,32'h3f5fedea,32'h3f6911bf, 32'h3f591309,32'h3f6fec9f, 32'h3f4da63f,32'h3f7b5969,// invsqrt(1.2552) = 0.8926 +32'h3c052b5f,32'h412debf5,32'h41350543, 32'h412898fb,32'h413a583d, 32'h411fb959,32'h414337df,// invsqrt(0.0081) = 11.0919 +32'h3e06451b,32'h402d351d,32'h403446f5, 32'h4027e7bc,32'h40399456, 32'h401f116e,32'h40426aa4,// invsqrt(0.1311) = 2.7616 +32'h3f22b070,32'h3f9d5a85,32'h3fa3c6b4, 32'h3f988961,32'h3fa897d7, 32'h3f908226,32'h3fb09f12,// invsqrt(0.6355) = 1.2544 +32'h3f3492ed,32'h3f955ba6,32'h3f9b744a, 32'h3f90c92c,32'h3fa006c4, 32'h3f892a5f,32'h3fa7a591,// invsqrt(0.7054) = 1.1907 +32'h40563e0f,32'h3f091eec,32'h3f0eb7b2, 32'h3f04ec58,32'h3f12ea46, 32'h3efbdac1,32'h3f19e93d,// invsqrt(3.3475) = 0.5466 +32'h3f927caf,32'h3f6a83f3,32'h3f741665, 32'h3f63561d,32'h3f7b443b, 32'h3f575f0e,32'h3f839da5,// invsqrt(1.1444) = 0.9348 +32'h40df6276,32'h3ebde893,32'h3ec5a8ed, 32'h3eb81850,32'h3ecb7930, 32'h3eae67e0,32'h3ed529a0,// invsqrt(6.9808) = 0.3785 +32'h3f98402f,32'h3f66089d,32'h3f6f6c3b, 32'h3f5efde7,32'h3f7676f1, 32'h3f534161,32'h3f8119bb,// invsqrt(1.1895) = 0.9169 +32'h40ee88d7,32'h3eb7c75f,32'h3ebf47ad, 32'h3eb22726,32'h3ec4e7e6, 32'h3ea8c6c5,32'h3ece4847,// invsqrt(7.4542) = 0.3663 +32'h3faf4c79,32'h3f5660f3,32'h3f5f20fd, 32'h3f4fd0ec,32'h3f65b104, 32'h3f44e0df,32'h3f70a111,// invsqrt(1.3695) = 0.8545 +32'h3ef58021,32'h3fb52718,32'h3fbc8bf4, 32'h3faf9b72,32'h3fc2179a, 32'h3fa65d5f,32'h3fcb55ad,// invsqrt(0.4795) = 1.4441 +32'h3f3bf36c,32'h3f9265c7,32'h3f985f7b, 32'h3f8dea7f,32'h3f9cdac3, 32'h3f86725e,32'h3fa452e4,// invsqrt(0.7342) = 1.1671 +32'h3d81b0c6,32'h40793d51,32'h4081b4cf, 32'h40719c17,32'h4085856b, 32'h4064e4b8,32'h408be11b,// invsqrt(0.0633) = 3.9738 +32'h3e58f0b6,32'h400843f8,32'h400dd3ce, 32'h40041818,32'h4011ffae, 32'h3ffa4899,32'h4018f37a,// invsqrt(0.2119) = 2.1726 +32'h3fdd3d3c,32'h3f3ed3bb,32'h3f469dad, 32'h3f38fc45,32'h3f4c7523, 32'h3f2f3fd5,32'h3f563193,// invsqrt(1.7284) = 0.7606 +32'h40433c25,32'h3f0fa3ff,32'h3f1580e5, 32'h3f0b3e53,32'h3f19e691, 32'h3f03ea33,32'h3f213ab1,// invsqrt(3.0505) = 0.5725 +32'h40b8f622,32'h3ed0b42e,32'h3ed938ec, 32'h3eca50a0,32'h3edf9c7a, 32'h3ebfaab2,32'h3eea4268,// invsqrt(5.7800) = 0.4159 +32'h40bf0e59,32'h3ecd591b,32'h3ed5bac9, 32'h3ec70fd9,32'h3edc040b, 32'h3ebc95c0,32'h3ee67e24,// invsqrt(5.9705) = 0.4093 +32'h3cc90e5c,32'h40c82d1a,32'h40d058be, 32'h40c20c60,32'h40d67978, 32'h40b7d5d4,32'h40e0b004,// invsqrt(0.0245) = 6.3832 +32'h40a1cb94,32'h3edf2541,32'h3ee840e5, 32'h3ed85085,32'h3eef15a1, 32'h3eccedf8,32'h3efa782f,// invsqrt(5.0561) = 0.4447 +32'h4036f107,32'h3f14636d,32'h3f1a71ef, 32'h3f0fd88c,32'h3f1efcd0, 32'h3f084669,32'h3f268ef3,// invsqrt(2.8585) = 0.5915 +32'h3fae0ddd,32'h3f5724d0,32'h3f5fecd8, 32'h3f508ec9,32'h3f6682df, 32'h3f4594bf,32'h3f717ce9,// invsqrt(1.3598) = 0.8576 +32'h3e336c64,32'h4015d60b,32'h401bf3ad, 32'h40113fd1,32'h402089e7, 32'h40099ac6,32'h40282ef2,// invsqrt(0.1752) = 2.3890 +32'h3fb3a7c7,32'h3f53c36f,32'h3f5c6825, 32'h3f4d47e6,32'h3f62e3ae, 32'h3f427a03,32'h3f6db191,// invsqrt(1.4036) = 0.8441 +32'h3f943768,32'h3f6924ac,32'h3f72a8c8, 32'h3f620197,32'h3f79cbdd, 32'h3f561c74,32'h3f82d880,// invsqrt(1.1579) = 0.9293 +32'h3f1d315b,32'h3fa014bb,32'h3fa69d69, 32'h3f9b2e38,32'h3fab83ec, 32'h3f93035d,32'h3fb3aec7,// invsqrt(0.6140) = 1.2762 +32'h400e9b91,32'h3f28115d,32'h3f2eed80, 32'h3f22ec42,32'h3f34129a, 32'h3f1a5916,32'h3f3ca5c6,// invsqrt(2.2282) = 0.6699 +32'h3eafeb65,32'h3fd60008,32'h3fdebc1e, 32'h3fcf72f8,32'h3fe5492e, 32'h3fc487de,32'h3ff03448,// invsqrt(0.3436) = 1.7060 +32'h3ea4c575,32'h3fdd1f02,32'h3fe6257f, 32'h3fd65a23,32'h3fecea5d, 32'h3fcb1206,32'h3ff8327a,// invsqrt(0.3218) = 1.7628 +32'h3fad300b,32'h3f57ae6b,32'h3f607c12, 32'h3f51142f,32'h3f67164f, 32'h3f46131f,32'h3f72175f,// invsqrt(1.3530) = 0.8597 +32'h3fb48968,32'h3f533ef1,32'h3f5bde3f, 32'h3f4cc777,32'h3f6255b9, 32'h3f420056,32'h3f6d1cda,// invsqrt(1.4104) = 0.8420 +32'h411f80d0,32'h3e9eead9,32'h3ea5675f, 32'h3e9a0d74,32'h3eaa44c4, 32'h3e91f1cc,32'h3eb2606c,// invsqrt(9.9689) = 0.3167 +32'h3e5432af,32'h4009c79e,32'h400f6746, 32'h40058fe0,32'h40139f04, 32'h3ffd109a,32'h401aa697,// invsqrt(0.2072) = 2.1967 +32'h3e9d8d8c,32'h3fe2213b,32'h3feb5c0e, 32'h3fdb351c,32'h3ff2482c, 32'h3fcfab94,32'h3ffdd1b4,// invsqrt(0.3077) = 1.8027 +32'h3e813c79,32'h3ff9ad5e,32'h4001ef1e, 32'h3ff208b6,32'h4005c172, 32'h3fe54b9f,32'h400c1ffe,// invsqrt(0.2524) = 1.9904 +32'h3f9ac9b9,32'h3f6423f9,32'h3f6d73cf, 32'h3f5d2819,32'h3f746faf, 32'h3f51844d,32'h3f8009bd,// invsqrt(1.2093) = 0.9094 +32'h3f49c1c1,32'h3f8d4cc7,32'h3f931137, 32'h3f88f972,32'h3f97648c, 32'h3f81c3e6,32'h3f9e9a18,// invsqrt(0.7881) = 1.1264 +32'h3e86a80e,32'h3ff499bd,32'h3ffe9591, 32'h3fed1cdf,32'h40030938, 32'h3fe0a216,32'h4009469c,// invsqrt(0.2630) = 1.9499 +32'h3f6f6d8e,32'h3f81b564,32'h3f8700b6, 32'h3f7b79cc,32'h3f8af934, 32'h3f6e3d7e,32'h3f91975b,// invsqrt(0.9353) = 1.0340 +32'h3e5b8193,32'h4007777c,32'h400cfefa, 32'h400351de,32'h40112498, 32'h3ff8d104,32'h40180df4,// invsqrt(0.2144) = 2.1599 +32'h3ee9a9a0,32'h3fb9af51,32'h3fc14389, 32'h3fb40028,32'h3fc6f2b2, 32'h3faa86e2,32'h3fd06bf8,// invsqrt(0.4564) = 1.4803 +32'h3f210ef5,32'h3f9e25f2,32'h3fa49a6e, 32'h3f994e94,32'h3fa971cc, 32'h3f913cf8,32'h3fb18368,// invsqrt(0.6291) = 1.2607 +32'h3df1d7de,32'h4036846e,32'h403df78d, 32'h4030ee18,32'h40438de4, 32'h40279e31,32'h404cddcb,// invsqrt(0.1181) = 2.9100 +32'h40074c83,32'h3f2c8c30,32'h3f339722, 32'h3f2743fa,32'h3f38df58, 32'h3f1e764b,32'h3f41ad07,// invsqrt(2.1140) = 0.6878 +32'h400b7064,32'h3f29f77a,32'h3f30e774, 32'h3f24c37e,32'h3f361b70, 32'h3f1c1785,32'h3f3ec769,// invsqrt(2.1787) = 0.6775 +32'h3ff0ac6c,32'h3f36f5d6,32'h3f3e6d96, 32'h3f315c06,32'h3f440766, 32'h3f280657,32'h3f4d5d15,// invsqrt(1.8803) = 0.7293 +32'h3fc37c7f,32'h3f4b0203,32'h3f534b3d, 32'h3f44cb18,32'h3f598228, 32'h3f3a6f90,32'h3f63ddb0,// invsqrt(1.5272) = 0.8092 +32'h3ec50b47,32'h3fca342e,32'h3fd27500, 32'h3fc4038f,32'h3fd8a59f, 32'h3fb9b288,32'h3fe2f6a6,// invsqrt(0.3849) = 1.6120 +32'h4074ce78,32'h3f004697,32'h3f0582f1, 32'h3ef8b2a8,32'h3f097034, 32'h3eeb9bc7,32'h3f0ffba4,// invsqrt(3.8251) = 0.5113 +32'h3f8b56cc,32'h3f707498,32'h3f7a451b, 32'h3f691835,32'h3f80d0bf, 32'h3f5cd390,32'h3f86f311,// invsqrt(1.0886) = 0.9584 +32'h3fdbcf8a,32'h3f3f7236,32'h3f4742a1, 32'h3f3995e7,32'h3f4d1ef1, 32'h3f2fd161,32'h3f56e377,// invsqrt(1.7173) = 0.7631 +32'h3f954067,32'h3f685557,32'h3f71d0fd, 32'h3f61389b,32'h3f78edb9, 32'h3f555e0c,32'h3f826424,// invsqrt(1.1660) = 0.9261 +32'h3f049ba6,32'h3fae4a1b,32'h3fb56741, 32'h3fa8f43f,32'h3fbabd1d, 32'h3fa00fd0,32'h3fc3a18c,// invsqrt(0.5180) = 1.3894 +32'h3f8bcc59,32'h3f700f6a,32'h3f79dbcc, 32'h3f68b620,32'h3f809a8b, 32'h3f5c76a5,32'h3f86ba48,// invsqrt(1.0922) = 0.9569 +32'h3f71bf73,32'h3f8115ae,32'h3f865a7c, 32'h3f7a4428,32'h3f8a4e16, 32'h3f6d1826,32'h3f90e417,// invsqrt(0.9443) = 1.0291 +32'h417780b3,32'h3e7f2678,32'h3e84c844, 32'h3e7756ed,32'h3e88b00a, 32'h3e6a525a,32'h3e8f3253,// invsqrt(15.4689) = 0.2543 +32'h3f66da17,32'h3f84188c,32'h3f897cd0, 32'h3f800d58,32'h3f8d8804, 32'h3f72a006,32'h3f944559,// invsqrt(0.9018) = 1.0531 +32'h3ff70bb6,32'h3f3495d5,32'h3f3bf4c3, 32'h3f2f0ea2,32'h3f417bf6, 32'h3f25d7f8,32'h3f4ab2a0,// invsqrt(1.9300) = 0.7198 +32'h3fb400b9,32'h3f538f16,32'h3f5c31aa, 32'h3f4d1528,32'h3f62ab98, 32'h3f4249f0,32'h3f6d76d0,// invsqrt(1.4063) = 0.8433 +32'h4010e45b,32'h3f26bcda,32'h3f2d8b17, 32'h3f21a22c,32'h3f32a5c4, 32'h3f19205f,32'h3f3b2791,// invsqrt(2.2639) = 0.6646 +32'h3faf5a85,32'h3f56585d,32'h3f5f180d, 32'h3f4fc899,32'h3f65a7d1, 32'h3f44d8fc,32'h3f70976e,// invsqrt(1.3699) = 0.8544 +32'h3f610907,32'h3f85cacc,32'h3f8b40ca, 32'h3f81b24e,32'h3f8f5948, 32'h3f75bda1,32'h3f962cc6,// invsqrt(0.8790) = 1.0666 +32'h3fa7d40c,32'h3f5b1917,32'h3f640a71, 32'h3f546414,32'h3f6abf74, 32'h3f493664,32'h3f75ed24,// invsqrt(1.3112) = 0.8733 +32'h3da7a61e,32'h405b3718,32'h406429ab, 32'h40548129,32'h406adf99, 32'h404951f1,32'h40760ed1,// invsqrt(0.0819) = 3.4951 +32'h400b7ce7,32'h3f29efda,32'h3f30df85, 32'h3f24bc1a,32'h3f361346, 32'h3f1c1085,32'h3f3ebedb,// invsqrt(2.1795) = 0.6774 +32'h402ebc96,32'h3f17d50e,32'h3f1e078c, 32'h3f132f30,32'h3f22ad6a, 32'h3f0b7012,32'h3f2a6c88,// invsqrt(2.7303) = 0.6052 +32'h4068d80d,32'h3f038795,32'h3f08e5ef, 32'h3eff01a4,32'h3f0cecb2, 32'h3ef195c4,32'h3f13a2a2,// invsqrt(3.6382) = 0.5243 +32'h3f761c50,32'h3f7fdef2,32'h3f852845, 32'h3f7809c1,32'h3f8912dd, 32'h3f6afbc5,32'h3f8f99dc,// invsqrt(0.9614) = 1.0199 +32'h3f5c370e,32'h3f873f9f,32'h3f8cc4d5, 32'h3f831bb7,32'h3f90e8bd, 32'h3f786a68,32'h3f97cf40,// invsqrt(0.8602) = 1.0782 +32'h3ef3b4ff,32'h3fb5d16c,32'h3fbd3d3c, 32'h3fb04090,32'h3fc2ce18, 32'h3fa6f9cc,32'h3fcc14dc,// invsqrt(0.4760) = 1.4494 +32'h3ecb60b6,32'h3fc707c4,32'h3fcf276e, 32'h3fc0f005,32'h3fd53f2d, 32'h3fb6c870,32'h3fdf66c2,// invsqrt(0.3972) = 1.5867 +32'h3f0c62a8,32'h3fa96492,32'h3fb04e8e, 32'h3fa43516,32'h3fb57e0a, 32'h3f9b909b,32'h3fbe2285,// invsqrt(0.5484) = 1.3504 +32'h401f7c72,32'h3f1eed06,32'h3f2569a2, 32'h3f1a0f90,32'h3f2a4718, 32'h3f11f3cc,32'h3f3262dc,// invsqrt(2.4920) = 0.6335 +32'h3fbcd6f4,32'h3f4e8cb5,32'h3f56faf0, 32'h3f483a08,32'h3f5d4d9c, 32'h3f3db03d,32'h3f67d767,// invsqrt(1.4753) = 0.8233 +32'h3fa6e5a5,32'h3f5bb55b,32'h3f64ad15, 32'h3f54fb8f,32'h3f6b66e1, 32'h3f49c5e6,32'h3f769c8a,// invsqrt(1.3039) = 0.8758 +32'h3f268d0a,32'h3f9b84c7,32'h3fa1ddc9, 32'h3f96c204,32'h3fa6a08c, 32'h3f8ed2c1,32'h3fae8fcf,// invsqrt(0.6506) = 1.2398 +32'h3f098f62,32'h3fab1fa2,32'h3fb21bb3, 32'h3fa5e295,32'h3fb758bf, 32'h3f9d277f,32'h3fc013d5,// invsqrt(0.5373) = 1.3642 +32'h41243452,32'h3e9ca03d,32'h3ea304d1, 32'h3e97d4cd,32'h3ea7d041, 32'h3e8fd713,32'h3eafcdfb,// invsqrt(10.2628) = 0.3122 +32'h3f19ab44,32'h3fa1e7ee,32'h3fa883af, 32'h3f9cf31e,32'h3fad7880, 32'h3f94b06e,32'h3fb5bb31,// invsqrt(0.6003) = 1.2907 +32'h3e72af70,32'h4000d5cb,32'h400617fd, 32'h3ff9c84b,32'h400a09a3, 32'h3feca2ce,32'h40109c61,// invsqrt(0.2370) = 2.0541 +32'h3d0e3d40,32'h40a8490c,32'h40af2776, 32'h40a3223e,32'h40b44e44, 32'h409a8c3a,32'h40bce448,// invsqrt(0.0347) = 5.3662 +32'h3f218466,32'h3f9dec68,32'h3fa45e8c, 32'h3f9916ce,32'h3fa93426, 32'h3f910821,32'h3fb142d3,// invsqrt(0.6309) = 1.2590 +32'h3f8f120f,32'h3f6d4c8c,32'h3f76fc14, 32'h3f6608e6,32'h3f7e3fba, 32'h3f59ed7b,32'h3f852d92,// invsqrt(1.1177) = 0.9459 +32'h3f9bcb01,32'h3f636749,32'h3f6caf6c, 32'h3f5c7130,32'h3f73a586, 32'h3f50d705,32'h3f7f3fb1,// invsqrt(1.2171) = 0.9064 +32'h4067a8d3,32'h3f03dd8e,32'h3f093f6a, 32'h3effa852,32'h3f0d48cf, 32'h3ef233ac,32'h3f140322,// invsqrt(3.6197) = 0.5256 +32'h3fb9dda4,32'h3f50320b,32'h3f58b179, 32'h3f49d278,32'h3f5f110c, 32'h3f3f332f,32'h3f69b055,// invsqrt(1.4521) = 0.8299 +32'h3f780962,32'h3f7ee021,32'h3f84a3a9, 32'h3f7712be,32'h3f888a5b, 32'h3f6a11c1,32'h3f8f0ada,// invsqrt(0.9689) = 1.0159 +32'h3edfd782,32'h3fbdb6e6,32'h3fc57538, 32'h3fb7e828,32'h3fcb43f6, 32'h3fae3a41,32'h3fd4f1dd,// invsqrt(0.4372) = 1.5124 +32'h3f917272,32'h3f6b5a35,32'h3f74f566, 32'h3f6425d0,32'h3f7c29ca, 32'h3f5823d2,32'h3f8415e4,// invsqrt(1.1363) = 0.9381 +32'h3f6535c5,32'h3f849173,32'h3f89faa6, 32'h3f80828b,32'h3f8e098d, 32'h3f737e16,32'h3f94cd0d,// invsqrt(0.8954) = 1.0568 +32'h4057bbae,32'h3f08a56e,32'h3f0e393f, 32'h3f047693,32'h3f12681b, 32'h3efafb9d,32'h3f1960e0,// invsqrt(3.3708) = 0.5447 +32'h3e889cba,32'h3ff2d7e8,32'h3ffcc15f, 32'h3feb68ce,32'h4002183c, 32'h3fdf04f9,32'h40084a26,// invsqrt(0.2668) = 1.9359 +32'h3f5ff9db,32'h3f861bb2,32'h3f8b94fe, 32'h3f8200ba,32'h3f8faff6, 32'h3f765238,32'h3f968794,// invsqrt(0.8749) = 1.0691 +32'h3eef739c,32'h3fb76d31,32'h3fbee9d0, 32'h3fb1cfbb,32'h3fc48747, 32'h3fa873f4,32'h3fcde30e,// invsqrt(0.4677) = 1.4623 +32'h3fbfa35b,32'h3f4d0936,32'h3f5567a1, 32'h3f46c267,32'h3f5bae71, 32'h3f3c4c61,32'h3f662477,// invsqrt(1.4972) = 0.8173 +32'h4075835e,32'h3f00174c,32'h3f0551b8, 32'h3ef856f7,32'h3f093d88, 32'h3eeb44ea,32'h3f0fc68f,// invsqrt(3.8361) = 0.5106 +32'h402b000a,32'h3f197b6c,32'h3f1fbf28, 32'h3f14c8a0,32'h3f2471f4, 32'h3f0cf3f6,32'h3f2c469e,// invsqrt(2.6719) = 0.6118 +32'h3f42180d,32'h3f900fec,32'h3f95f13a, 32'h3f8ba6f2,32'h3f9a5a34, 32'h3f844d51,32'h3fa1b3d5,// invsqrt(0.7582) = 1.1485 +32'h3fb15815,32'h3f55238e,32'h3f5dd6a4, 32'h3f4e9d3e,32'h3f645cf4, 32'h3f43bd63,32'h3f6f3ccf,// invsqrt(1.3855) = 0.8496 +32'h3edca08a,32'h3fbf1773,32'h3fc6e429, 32'h3fb93dea,32'h3fccbdb2, 32'h3faf7e06,32'h3fd67d96,// invsqrt(0.4309) = 1.5234 +32'h3f177f5d,32'h3fa30fec,32'h3fa9b7c2, 32'h3f9e120c,32'h3faeb5a2, 32'h3f95c042,32'h3fb7076d,// invsqrt(0.5918) = 1.2999 +32'h3f1290ea,32'h3fa5c861,32'h3fac8ca4, 32'h3fa0b530,32'h3fb19fd6, 32'h3f983fdc,32'h3fba152a,// invsqrt(0.5725) = 1.3216 +32'h3fa3b242,32'h3f5dd892,32'h3f66e6a3, 32'h3f570e06,32'h3f6db130, 32'h3f4bbc72,32'h3f7902c4,// invsqrt(1.2789) = 0.8843 +32'h3f16ffb8,32'h3fa354c9,32'h3fa9ff6e, 32'h3f9e54ce,32'h3faeff6a, 32'h3f95ff80,32'h3fb754b8,// invsqrt(0.5898) = 1.3021 +32'h3f013ce2,32'h3fb08c1f,32'h3fb7c0dd, 32'h3fab2491,32'h3fbd286b, 32'h3fa222a5,32'h3fc62a57,// invsqrt(0.5048) = 1.4074 +32'h3f35e48d,32'h3f94d0c8,32'h3f9ae3c0, 32'h3f90428d,32'h3f9f71fb, 32'h3f88aad7,32'h3fa709b1,// invsqrt(0.7105) = 1.1863 +32'h427b53a5,32'h3dfd33a5,32'h3e03c4ac, 32'h3df5735e,32'h3e07a4cf, 32'h3de8883e,32'h3e0e1a5f,// invsqrt(62.8317) = 0.1262 +32'h3e55363d,32'h400973a7,32'h400f0fe3, 32'h40053e7b,32'h4013450f, 32'h3ffc7663,32'h401a4859,// invsqrt(0.2082) = 2.1915 +32'h411dff88,32'h3e9fac26,32'h3ea63090, 32'h3e9ac8d6,32'h3eab13e0, 32'h3e92a352,32'h3eb33964,// invsqrt(9.8749) = 0.3182 +32'h406999d6,32'h3f0350fb,32'h3f08ad1b, 32'h3efe97c8,32'h3f0cb232, 32'h3ef1317a,32'h3f136559,// invsqrt(3.6500) = 0.5234 +32'h3f427f37,32'h3f8fe9b2,32'h3f95c970, 32'h3f8b81e3,32'h3f9a313f, 32'h3f842a36,32'h3fa188ec,// invsqrt(0.7598) = 1.1473 +32'h3f6a399e,32'h3f832429,32'h3f887e74, 32'h3f7e40e3,32'h3f8c822d, 32'h3f70df28,32'h3f93330a,// invsqrt(0.9149) = 1.0455 +32'h3f183e10,32'h3fa2a9ab,32'h3fa94d54, 32'h3f9daeed,32'h3fae4813, 32'h3f95625a,32'h3fb694a6,// invsqrt(0.5947) = 1.2967 +32'h3ee51f3b,32'h3fbb8405,32'h3fc32b5e, 32'h3fb5c682,32'h3fc8e8e0, 32'h3fac3552,32'h3fd27a10,// invsqrt(0.4475) = 1.4949 +32'h3b959951,32'h41681042,32'h41718915, 32'h4160f5a2,32'h4178a3b4, 32'h41551e9a,32'h41823d5e,// invsqrt(0.0046) = 14.8000 +32'h3fd14780,32'h3f44343a,32'h3f4c365c, 32'h3f3e32a1,32'h3f5237f5, 32'h3f342ff7,32'h3f5c3a9f,// invsqrt(1.6350) = 0.7821 +32'h3f8e6ccf,32'h3f6dd60e,32'h3f778b33, 32'h3f668e32,32'h3f7ed30e, 32'h3f5a6bc3,32'h3f857abe,// invsqrt(1.1127) = 0.9480 +32'h3f12cf71,32'h3fa5a510,32'h3fac67e2, 32'h3fa092f3,32'h3fb179ff, 32'h3f981f6d,32'h3fb9ed85,// invsqrt(0.5735) = 1.3205 +32'h400c0482,32'h3f299d7c,32'h3f3089ca, 32'h3f246c41,32'h3f35bb05, 32'h3f1bc4e0,32'h3f3e6267,// invsqrt(2.1878) = 0.6761 +32'h3e5bfdde,32'h40075132,32'h400cd720, 32'h40032cc1,32'h4010fb91, 32'h3ff88ab0,32'h4017e2fa,// invsqrt(0.2148) = 2.1575 +32'h3f812102,32'h3f79c7ea,32'h3f81fcef, 32'h3f722272,32'h3f85cfab, 32'h3f656400,32'h3f8c2ee4,// invsqrt(1.0088) = 0.9956 +32'h3f18e8e2,32'h3fa24eb6,32'h3fa8eea9, 32'h3f9d56c1,32'h3fade69f, 32'h3f950ed2,32'h3fb62e8e,// invsqrt(0.5973) = 1.2939 +32'h407bfb76,32'h3efcdf46,32'h3f0398c4, 32'h3ef52196,32'h3f07779d, 32'h3ee83ac4,32'h3f0deb06,// invsqrt(3.9372) = 0.5040 +32'h3fab2be4,32'h3f58f2a7,32'h3f61cd89, 32'h3f524e7d,32'h3f6871b3, 32'h3f473ce2,32'h3f73834e,// invsqrt(1.3373) = 0.8647 +32'h3f9b3bd8,32'h3f63d00d,32'h3f6d1c77, 32'h3f5cd6bf,32'h3f7415c5, 32'h3f51373b,32'h3f7fb549,// invsqrt(1.2128) = 0.9081 +32'h3f8a0941,32'h3f71966c,32'h3f7b72c4, 32'h3f6a312a,32'h3f816c03, 32'h3f5dddbc,32'h3f8795ba,// invsqrt(1.0784) = 0.9630 +32'h402918a6,32'h3f1a57ff,32'h3f20a4bb, 32'h3f159e72,32'h3f255e48, 32'h3f0dbe87,32'h3f2d3e33,// invsqrt(2.6421) = 0.6152 +32'h3fefbce2,32'h3f375127,32'h3f3ecca1, 32'h3f31b48c,32'h3f44693c, 32'h3f285a34,32'h3f4dc394,// invsqrt(1.8730) = 0.7307 +32'h3fc6588e,32'h3f498a05,32'h3f51c3e5, 32'h3f435e9c,32'h3f57ef4e, 32'h3f391643,32'h3f6237a7,// invsqrt(1.5496) = 0.8033 +32'h3e736f74,32'h4000a2f1,32'h4005e310, 32'h3ff965b5,32'h4009d327, 32'h3fec4568,32'h4010634e,// invsqrt(0.2377) = 2.0510 +32'h3f51b1af,32'h3f8a9994,32'h3f9041ce, 32'h3f865b68,32'h3f947ffa, 32'h3f7e923f,32'h3f9b9243,// invsqrt(0.8191) = 1.1049 +32'h40ad815d,32'h3ed77bda,32'h3ee04770, 32'h3ed0e32a,32'h3ee6e020, 32'h3ec5e4ae,32'h3ef1de9c,// invsqrt(5.4220) = 0.4295 +32'h410169f5,32'h3eb06d5e,32'h3eb7a0da, 32'h3eab06c1,32'h3ebd0777, 32'h3ea20666,32'h3ec607d2,// invsqrt(8.0884) = 0.3516 +32'h3fb1c3ca,32'h3f54e2f2,32'h3f5d9364, 32'h3f4e5e9c,32'h3f6417ba, 32'h3f43820d,32'h3f6ef449,// invsqrt(1.3888) = 0.8486 +32'h3fbd9768,32'h3f4e23c4,32'h3f568db8, 32'h3f47d44e,32'h3f5cdd2e, 32'h3f3d4fde,32'h3f67619e,// invsqrt(1.4812) = 0.8217 +32'h3f8e4f8c,32'h3f6dee80,32'h3f77a4a4, 32'h3f66a5e4,32'h3f7eed40, 32'h3f5a8237,32'h3f858877,// invsqrt(1.1118) = 0.9484 +32'h3d588b20,32'h408863eb,32'h408df50f, 32'h40843710,32'h409221ea, 32'h407a8347,32'h40991756,// invsqrt(0.0529) = 4.3492 +32'h3f91921f,32'h3f6b4098,32'h3f74dabe, 32'h3f640cfc,32'h3f7c0e5a, 32'h3f580c4d,32'h3f840784,// invsqrt(1.1373) = 0.9377 +32'h3e934b58,32'h3fe9df35,32'h3ff36aee, 32'h3fe2b66a,32'h3ffa93b8, 32'h3fd6c7c2,32'h40034130,// invsqrt(0.2877) = 1.8644 +32'h3f3215bc,32'h3f9665ed,32'h3f9c896f, 32'h3f91cb4c,32'h3fa12410, 32'h3f8a1ee9,32'h3fa8d073,// invsqrt(0.6956) = 1.1990 +32'h3ea3b5e8,32'h3fddd619,32'h3fe6e410, 32'h3fd70ba1,32'h3fedae89, 32'h3fcbba2c,32'h3ff8fffe,// invsqrt(0.3197) = 1.7685 +32'h3fc085f1,32'h3f4c906b,32'h3f54e9e7, 32'h3f464d4d,32'h3f5b2d05, 32'h3f3bdd72,32'h3f659ce0,// invsqrt(1.5041) = 0.8154 +32'h3e843ebe,32'h3ff6d20d,32'h40007289, 32'h3fef43c9,32'h400439ac, 32'h3fe2ac02,32'h400a858f,// invsqrt(0.2583) = 1.9676 +32'h3f916b28,32'h3f6b601a,32'h3f74fb89, 32'h3f642b88,32'h3f7c301c, 32'h3f58293d,32'h3f841934,// invsqrt(1.1361) = 0.9382 +32'h3f891dc4,32'h3f726588,32'h3f7c4a54, 32'h3f6af9ef,32'h3f81daf7, 32'h3f5e9bf0,32'h3f8809f6,// invsqrt(1.0712) = 0.9662 +32'h3f9a2caf,32'h3f64980c,32'h3f6dec9e, 32'h3f5d989e,32'h3f74ec0c, 32'h3f51eee6,32'h3f804ae2,// invsqrt(1.2045) = 0.9112 +32'h3f8544b4,32'h3f75df00,32'h3f7fe81a, 32'h3f6e582c,32'h3f83b777, 32'h3f61cccc,32'h3f89fd27,// invsqrt(1.0412) = 0.9800 +32'h3ed9bb8b,32'h3fc05b8a,32'h3fc8357b, 32'h3fba7816,32'h3fce18f0, 32'h3fb0a7a9,32'h3fd7e95d,// invsqrt(0.4253) = 1.5335 +32'h40de7777,32'h3ebe4cc6,32'h3ec61137, 32'h3eb87972,32'h3ecbe48c, 32'h3eaec3e6,32'h3ed59a19,// invsqrt(6.9521) = 0.3793 +32'h4002ddda,32'h3f2f71fc,32'h3f369b35, 32'h3f2a1310,32'h3f3bfa20, 32'h3f211f89,32'h3f44eda7,// invsqrt(2.0448) = 0.6993 +32'h3f8e9f7f,32'h3f6dabc7,32'h3f775f32, 32'h3f666536,32'h3f7ea5c2, 32'h3f5a44f0,32'h3f856304,// invsqrt(1.1142) = 0.9473 +32'h403fc8f2,32'h3f10ed44,32'h3f16d79b, 32'h3f0c7d84,32'h3f1b475c, 32'h3f051898,32'h3f22ac48,// invsqrt(2.9966) = 0.5777 +32'h3dcf8cd6,32'h40450507,32'h404d0faf, 32'h403efd0a,32'h405317ac, 32'h4034efb8,32'h405d24fe,// invsqrt(0.1013) = 3.1413 +32'h3f538fed,32'h3f89fc93,32'h3f8f9e65, 32'h3f85c336,32'h3f93d7c2, 32'h3f7d71df,32'h3f9ae208,// invsqrt(0.8264) = 1.1000 +32'h4193d7d3,32'h3e696ffd,32'h3e72f72c, 32'h3e624a9a,32'h3e7a1c90, 32'h3e5661a0,32'h3e8302c5,// invsqrt(18.4804) = 0.2326 +32'h3fae9ea1,32'h3f56cb8f,32'h3f5f8ff3, 32'h3f503844,32'h3f66233e, 32'h3f4542c7,32'h3f7118bb,// invsqrt(1.3642) = 0.8562 +32'h3ee56a05,32'h3fbb6572,32'h3fc30b8c, 32'h3fb5a8df,32'h3fc8c81f, 32'h3fac193f,32'h3fd257bf,// invsqrt(0.4481) = 1.4939 +32'h3f5dd15e,32'h3f86c24e,32'h3f8c4266, 32'h3f82a23c,32'h3f906278, 32'h3f77843c,32'h3f974296,// invsqrt(0.8665) = 1.0743 +32'h3f4255bd,32'h3f8ff90d,32'h3f95d96b, 32'h3f8b90c6,32'h3f9a41b2, 32'h3f843850,32'h3fa19a28,// invsqrt(0.7591) = 1.1477 +32'h3eccf2b9,32'h3fc64430,32'h3fce5bdf, 32'h3fc0326e,32'h3fd46da2, 32'h3fb614d4,32'h3fde8b3c,// invsqrt(0.4003) = 1.5806 +32'h3f78ed00,32'h3f7e6b7f,32'h3f8466f6, 32'h3f76a1ad,32'h3f884be0, 32'h3f69a6a4,32'h3f8ec964,// invsqrt(0.9724) = 1.0141 +32'h3f84fe6a,32'h3f761ff1,32'h3f8015d9, 32'h3f6e9720,32'h3f83da41, 32'h3f62086f,32'h3f8a2199,// invsqrt(1.0390) = 0.9810 +32'h41435328,32'h3e8f9b89,32'h3e957817, 32'h3e8b361f,32'h3e99dd81, 32'h3e83e26e,32'h3ea13132,// invsqrt(12.2078) = 0.2862 +32'h3f1cdfdb,32'h3fa03e4a,32'h3fa6c8ac, 32'h3f9b5682,32'h3fabb074, 32'h3f932988,32'h3fb3dd6e,// invsqrt(0.6128) = 1.2774 +32'h401d4d76,32'h3f20066d,32'h3f268e87, 32'h3f1b205a,32'h3f2b749a, 32'h3f12f63b,32'h3f339eb9,// invsqrt(2.4579) = 0.6379 +32'h3f020da8,32'h3faffe32,32'h3fb72d24, 32'h3faa9afc,32'h3fbc905a, 32'h3fa1a04d,32'h3fc58b09,// invsqrt(0.5080) = 1.4030 +32'h3f28ae5c,32'h3f9a8898,32'h3fa0d750, 32'h3f95cd8e,32'h3fa5925a, 32'h3f8deb28,32'h3fad74c0,// invsqrt(0.6589) = 1.2319 +32'h3f0e0645,32'h3fa8699c,32'h3faf4959, 32'h3fa341ce,32'h3fb47126, 32'h3f9aaa21,32'h3fbd08d3,// invsqrt(0.5548) = 1.3426 +32'h3e915bbc,32'h3feb6c97,32'h3ff50888, 32'h3fe437a1,32'h3ffc3d7d, 32'h3fd834b4,32'h40042035,// invsqrt(0.2839) = 1.8768 +32'h4000f274,32'h3f30bf0c,32'h3f37f5de, 32'h3f2b55ef,32'h3f3d5efb, 32'h3f225169,32'h3f466381,// invsqrt(2.0148) = 0.7045 +32'h3f53456d,32'h3f8a14e5,32'h3f8fb7b5, 32'h3f85dac9,32'h3f93f1d1, 32'h3f7d9e8b,32'h3f9afd55,// invsqrt(0.8253) = 1.1008 +32'h3ef971fd,32'h3fb3b6f1,32'h3fbb0cc7, 32'h3fae3691,32'h3fc08d27, 32'h3fa50b46,32'h3fc9b872,// invsqrt(0.4872) = 1.4327 +32'h3e2ce5b7,32'h4018a342,32'h401ede2a, 32'h4013f713,32'h40238a59, 32'h400c2d71,32'h402b53fb,// invsqrt(0.1688) = 2.4336 +32'h40969b06,32'h3ee74960,32'h3ef0ba16, 32'h3ee034d8,32'h3ef7ce9e, 32'h3ed467f5,32'h3f01cdc0,// invsqrt(4.7064) = 0.4610 +32'h40c08a06,32'h3ecc8e40,32'h3ed4e7a6, 32'h3ec64b34,32'h3edb2ab2, 32'h3ebbdb74,32'h3ee59a72,// invsqrt(6.0168) = 0.4077 +32'h3f84da34,32'h3f764179,32'h3f80274c, 32'h3f6eb7a2,32'h3f83ec38, 32'h3f62273b,32'h3f8a346b,// invsqrt(1.0379) = 0.9816 +32'h40220541,32'h3f1dad8f,32'h3f241d22, 32'h3f18d9e1,32'h3f28f0d1, 32'h3f10ce6a,32'h3f30fc48,// invsqrt(2.5316) = 0.6285 +32'h3f71368a,32'h3f813a4b,32'h3f868097, 32'h3f7a8b24,32'h3f8a7550, 32'h3f6d5b65,32'h3f910d30,// invsqrt(0.9422) = 1.0302 +32'h3ff21223,32'h3f366e75,32'h3f3de0af, 32'h3f30d8cb,32'h3f437659, 32'h3f278a03,32'h3f4cc521,// invsqrt(1.8912) = 0.7272 +32'h3f4f7a8f,32'h3f8b5680,32'h3f910670, 32'h3f87128c,32'h3f954a64, 32'h3f7fed3e,32'h3f9c6651,// invsqrt(0.8105) = 1.1108 +32'h3ec0287d,32'h3fccc223,32'h3fd51da7, 32'h3fc67d80,32'h3fdb624a, 32'h3fbc0b1b,32'h3fe5d4af,// invsqrt(0.3753) = 1.6323 +32'h41caed87,32'h3e474038,32'h3e4f6230, 32'h3e4126be,32'h3e557baa, 32'h3e36fc48,32'h3e5fa620,// invsqrt(25.3660) = 0.1986 +32'h3f4d659b,32'h3f8c0ad1,32'h3f91c21d, 32'h3f87c157,32'h3f960b97, 32'h3f809c38,32'h3f9d30b6,// invsqrt(0.8023) = 1.1164 +32'h3f403e92,32'h3f90c0e7,32'h3f96a96e, 32'h3f8c5282,32'h3f9b17d4, 32'h3f84efda,32'h3fa27a7c,// invsqrt(0.7510) = 1.1540 +32'h3f1b0ac0,32'h3fa13000,32'h3fa7c43e, 32'h3f9c40d1,32'h3facb36d, 32'h3f940782,32'h3fb4ecbc,// invsqrt(0.6056) = 1.2850 +32'h3f9f8736,32'h3f60b9ba,32'h3f69e5e0, 32'h3f59d89c,32'h3f70c6fe, 32'h3f4e616c,32'h3f7c3e2e,// invsqrt(1.2463) = 0.8957 +32'h3fcf37ab,32'h3f452d80,32'h3f4d39ce, 32'h3f3f2445,32'h3f534309, 32'h3f3514e3,32'h3f5d526b,// invsqrt(1.6189) = 0.7859 +32'h3e18cc7d,32'h40225dca,32'h4028fe5a, 32'h401d655e,32'h402df6c6, 32'h40151caa,32'h40363f7a,// invsqrt(0.1492) = 2.5887 +32'h4096da12,32'h3ee71906,32'h3ef087c3, 32'h3ee005fa,32'h3ef79ad0, 32'h3ed43b8e,32'h3f01b29e,// invsqrt(4.7141) = 0.4606 +32'h3fd31813,32'h3f435bdc,32'h3f4b5529, 32'h3f3d60e3,32'h3f515023, 32'h3f336943,32'h3f5b47c3,// invsqrt(1.6492) = 0.7787 +32'h3fbaec85,32'h3f4f9afa,32'h3f58143e, 32'h3f494007,32'h3f5e6f31, 32'h3f3ea873,32'h3f6906c5,// invsqrt(1.4603) = 0.8275 +32'h3f638f24,32'h3f850c54,32'h3f8a7a8c, 32'h3f80f9aa,32'h3f8e8d36, 32'h3f745fca,32'h3f9556fb,// invsqrt(0.8889) = 1.0607 +32'h3d85b8c9,32'h40757431,32'h407f78ef, 32'h406df0a2,32'h40837e3f, 32'h40616ab5,32'h4089c136,// invsqrt(0.0653) = 3.9135 +32'h40b495ee,32'h3ed3379e,32'h3edbd6a0, 32'h3eccc05d,32'h3ee24de1, 32'h3ec1f99c,32'h3eed14a2,// invsqrt(5.6433) = 0.4210 +32'h3f4ae2d2,32'h3f8ce7fa,32'h3f92a84e, 32'h3f8897bc,32'h3f96f88c, 32'h3f816754,32'h3f9e28f4,// invsqrt(0.7925) = 1.1233 +32'h3f895af2,32'h3f722f86,32'h3f7c121e, 32'h3f6ac594,32'h3f81be08, 32'h3f5e6a57,32'h3f87eba7,// invsqrt(1.0731) = 0.9653 +32'h3f3ae26d,32'h3f92d08d,32'h3f98ce9d, 32'h3f8e5201,32'h3f9d4d29, 32'h3f86d46c,32'h3fa4cabe,// invsqrt(0.7300) = 1.1704 +32'h3f64134b,32'h3f84e5c3,32'h3f8a5267, 32'h3f80d447,32'h3f8e63e3, 32'h3f7418f3,32'h3f952bb1,// invsqrt(0.8909) = 1.0595 +32'h401a7d94,32'h3f217994,32'h3f2810d4, 32'h3f1c8825,32'h3f2d0243, 32'h3f144b15,32'h3f353f53,// invsqrt(2.4139) = 0.6436 +32'h3f36ebb0,32'h3f946597,32'h3f9a7430, 32'h3f8fdaa5,32'h3f9eff23, 32'h3f884867,32'h3fa69161,// invsqrt(0.7145) = 1.1830 +32'h3f603178,32'h3f860b0f,32'h3f8b83ad, 32'h3f81f099,32'h3f8f9e23, 32'h3f7633a9,32'h3f9674e7,// invsqrt(0.8758) = 1.0686 +32'h3e98179c,32'h3fe6274a,32'h3fef8c28, 32'h3fdf1ba3,32'h3ff697cf, 32'h3fd35d8d,32'h40012af3,// invsqrt(0.2971) = 1.8348 +32'h3f3c1fd1,32'h3f925480,32'h3f984d80, 32'h3f8dd9c0,32'h3f9cc840, 32'h3f866280,32'h3fa43f80,// invsqrt(0.7349) = 1.1665 +32'h3f06e340,32'h3faccf76,32'h3fb3dd28, 32'h3fa78531,32'h3fb9276d, 32'h3f9eb414,32'h3fc1f88a,// invsqrt(0.5269) = 1.3776 +32'h3e557ddb,32'h40095c97,32'h400ef7e1, 32'h4005281f,32'h40132c59, 32'h3ffc4c06,32'h401a2e75,// invsqrt(0.2085) = 2.1901 +32'h41e0b1e8,32'h3e3d5a9d,32'h3e45152b, 32'h3e378eb2,32'h3e4ae116, 32'h3e2de580,32'h3e548a48,// invsqrt(28.0869) = 0.1887 +32'h3ef46238,32'h3fb590f1,32'h3fbcfa1f, 32'h3fb0020e,32'h3fc28902, 32'h3fa6be94,32'h3fcbcc7c,// invsqrt(0.4773) = 1.4474 +32'h3f13c2ef,32'h3fa51c5c,32'h3fabd99a, 32'h3fa00e6e,32'h3fb0e788, 32'h3f97a1e2,32'h3fb95414,// invsqrt(0.5772) = 1.3163 +32'h3f38e3ea,32'h3f939ab3,32'h3f99a103, 32'h3f8f15f6,32'h3f9e25c0, 32'h3f878e12,32'h3fa5ada4,// invsqrt(0.7222) = 1.1767 +32'h3e57abb3,32'h4008aa7e,32'h400e3e84, 32'h40047b7b,32'h40126d87, 32'h3ffb04e8,32'h4019668e,// invsqrt(0.2106) = 2.1790 +32'h4234eedb,32'h3e1535b0,32'h3e1b4cc6, 32'h3e10a45e,32'h3e1fde18, 32'h3e090782,32'h3e277af4,// invsqrt(45.2333) = 0.1487 +32'h3f0bbfe5,32'h3fa9c71a,32'h3fb0b51c, 32'h3fa4949a,32'h3fb5e79c, 32'h3f9beb18,32'h3fbe911e,// invsqrt(0.5459) = 1.3535 +32'h3fa00172,32'h3f6063d3,32'h3f698c78, 32'h3f598556,32'h3f706af4, 32'h3f4e1288,32'h3f7bddc2,// invsqrt(1.2500) = 0.8944 +32'h403f5dc0,32'h3f1115d6,32'h3f1701d4, 32'h3f0ca4d7,32'h3f1b72d3, 32'h3f053dd9,32'h3f22d9d1,// invsqrt(2.9901) = 0.5783 +32'h40150ad9,32'h3f246656,32'h3f2b1c26, 32'h3f1f5dfb,32'h3f302481, 32'h3f16fab8,32'h3f3887c4,// invsqrt(2.3288) = 0.6553 +32'h3f5d79b2,32'h3f86dcf8,32'h3f8c5e26, 32'h3f82bc15,32'h3f907f09, 32'h3f77b535,32'h3f976084,// invsqrt(0.8651) = 1.0751 +32'h3df22f70,32'h4036636c,32'h403dd532, 32'h4030ce18,32'h40436a86, 32'h40277fe1,32'h404cb8bd,// invsqrt(0.1183) = 2.9080 +32'h3f0a3724,32'h3faab7a8,32'h3fb1af7b, 32'h3fa57dcb,32'h3fb6e959, 32'h3f9cc803,32'h3fbf9f21,// invsqrt(0.5399) = 1.3609 +32'h3f8d5393,32'h3f6ec23d,32'h3f788106, 32'h3f677326,32'h3f7fd01c, 32'h3f5b44aa,32'h3f85ff4c,// invsqrt(1.1041) = 0.9517 +32'h3f765f44,32'h3f7fbc2b,32'h3f85162c, 32'h3f77e80c,32'h3f89003c, 32'h3f6adbd5,32'h3f8f8658,// invsqrt(0.9624) = 1.0194 +32'h3f06b3b4,32'h3facedf3,32'h3fb3fce3, 32'h3fa7a2bf,32'h3fb94817, 32'h3f9ed014,32'h3fc21ac3,// invsqrt(0.5262) = 1.3786 +32'h3e3d8b5a,32'h4011c7e9,32'h4017bb2b, 32'h400d5176,32'h401c319e, 32'h4005e163,32'h4023a1b1,// invsqrt(0.1851) = 2.3243 +32'h3edc460d,32'h3fbf3eaf,32'h3fc70cff, 32'h3fb963f3,32'h3fcce7bb, 32'h3fafa20e,32'h3fd6a9a0,// invsqrt(0.4302) = 1.5246 +32'h3f8f5dd0,32'h3f6d0dd2,32'h3f76baca, 32'h3f65cc17,32'h3f7dfc85, 32'h3f59b3e0,32'h3f850a5e,// invsqrt(1.1201) = 0.9449 +32'h3f2390aa,32'h3f9cee85,32'h3fa3564b, 32'h3f9820b0,32'h3fa82420, 32'h3f901ef7,32'h3fb025d9,// invsqrt(0.6389) = 1.2510 +32'h3fa8b03b,32'h3f5a89ea,32'h3f63756c, 32'h3f53d949,32'h3f6a260d, 32'h3f48b2e7,32'h3f754c6f,// invsqrt(1.3179) = 0.8711 +32'h3ff2acf8,32'h3f36343a,32'h3f3da412, 32'h3f30a057,32'h3f4337f5, 32'h3f275489,32'h3f4c83c3,// invsqrt(1.8959) = 0.7263 +32'h405dc9ac,32'h3f06c4a5,32'h3f0c44d5, 32'h3f02a480,32'h3f1064fa, 32'h3ef78887,32'h3f174536,// invsqrt(3.4654) = 0.5372 +32'h3f349553,32'h3f955aa8,32'h3f9b7342, 32'h3f90c836,32'h3fa005b4, 32'h3f892976,32'h3fa7a474,// invsqrt(0.7054) = 1.1906 +32'h3f052bc9,32'h3fadebaf,32'h3fb504fb, 32'h3fa898b7,32'h3fba57f3, 32'h3f9fb919,32'h3fc33791,// invsqrt(0.5202) = 1.3865 +32'h3dffdc54,32'h4031727f,32'h4038b0a3, 32'h402c03e3,32'h403e1f3f, 32'h4022f636,32'h40472cec,// invsqrt(0.1249) = 2.8292 +32'h3f24ea9c,32'h3f9c4995,32'h3fa2aaa1, 32'h3f9780cd,32'h3fa77369, 32'h3f8f877f,32'h3faf6cb7,// invsqrt(0.6442) = 1.2459 +32'h4111f451,32'h3ea62139,32'h3eace91c, 32'h3ea10b4f,32'h3eb1ff07, 32'h3e989174,32'h3eba78e3,// invsqrt(9.1221) = 0.3311 +32'h3f4f3004,32'h3f8b6f8e,32'h3f912084, 32'h3f872ad5,32'h3f95653d, 32'h3f800da2,32'h3f9c8270,// invsqrt(0.8093) = 1.1116 +32'h3f27fd2a,32'h3f9ada03,32'h3fa12c0d, 32'h3f961c7b,32'h3fa5e995, 32'h3f8e35ee,32'h3fadd022,// invsqrt(0.6562) = 1.2345 +32'h3e735c66,32'h4000a7fa,32'h4005e84e, 32'h3ff96f78,32'h4009d88c, 32'h3fec4ea7,32'h401068f4,// invsqrt(0.2377) = 2.0513 +32'h3f4c0280,32'h3f8c847e,32'h3f9240c2, 32'h3f88374b,32'h3f968df5, 32'h3f810bf6,32'h3f9db94a,// invsqrt(0.7969) = 1.1202 +32'h3fc555f0,32'h3f4a0dea,32'h3f524d2c, 32'h3f43de77,32'h3f587c9f, 32'h3f398f64,32'h3f62cbb3,// invsqrt(1.5417) = 0.8054 +32'h41703ed6,32'h3e817cd8,32'h3e86c5dc, 32'h3e7b0c2b,32'h3e8abc9e, 32'h3e6dd5a2,32'h3e9157e3,// invsqrt(15.0153) = 0.2581 +32'h4127366a,32'h3e9b35ef,32'h3ea18bba, 32'h3e967596,32'h3ea64c12, 32'h3e8e8a58,32'h3eae3750,// invsqrt(10.4508) = 0.3093 +32'h3ffef1d3,32'h3f31c408,32'h3f390581, 32'h3f2c52ee,32'h3f3e769c, 32'h3f234118,32'h3f478872,// invsqrt(1.9918) = 0.7086 +32'h4072deb1,32'h3f00c942,32'h3f060af1, 32'h3ef9affc,32'h3f09fc34, 32'h3eec8bc7,32'h3f108e4f,// invsqrt(3.7948) = 0.5133 +32'h3fdf249f,32'h3f3e02e2,32'h3f45c44e, 32'h3f3831d0,32'h3f4b9560, 32'h3f2e8009,32'h3f554727,// invsqrt(1.7433) = 0.7574 +32'h3f33a547,32'h3f95be50,32'h3f9bdafa, 32'h3f9128d0,32'h3fa0707a, 32'h3f8984fb,32'h3fa8144f,// invsqrt(0.7017) = 1.1937 +32'h3f8ce00e,32'h3f6f240d,32'h3f78e6d5, 32'h3f67d1f8,32'h3f801c75, 32'h3f5b9e7f,32'h3f863631,// invsqrt(1.1006) = 0.9532 +32'h3f153cb6,32'h3fa44add,32'h3faaff8d, 32'h3f9f4359,32'h3fb00711, 32'h3f96e17c,32'h3fb868ee,// invsqrt(0.5830) = 1.3097 +32'h3f217339,32'h3f9df4ce,32'h3fa4674a, 32'h3f991ef2,32'h3fa93d26, 32'h3f910fd8,32'h3fb14c40,// invsqrt(0.6307) = 1.2592 +32'h3f332f6a,32'h3f95ef88,32'h3f9c0e34, 32'h3f915886,32'h3fa0a536, 32'h3f89b22e,32'h3fa84b8e,// invsqrt(0.6999) = 1.1953 +32'h3f69e386,32'h3f833c4a,32'h3f889791, 32'h3f7e6fab,32'h3f8c9c07, 32'h3f710b79,32'h3f934e1f,// invsqrt(0.9136) = 1.0462 +32'h4010e707,32'h3f26bb50,32'h3f2d897e, 32'h3f21a0af,32'h3f32a41f, 32'h3f191ef6,32'h3f3b25d8,// invsqrt(2.2641) = 0.6646 +32'h408b6100,32'h3ef06bca,32'h3efa3bf2, 32'h3ee90fac,32'h3f00cc08, 32'h3edccb7b,32'h3f06ee20,// invsqrt(4.3556) = 0.4792 +32'h3f47de26,32'h3f8df752,32'h3f93c2b9, 32'h3f899ec6,32'h3f981b46, 32'h3f826085,32'h3f9f5987,// invsqrt(0.7807) = 1.1317 +32'h3e7c4cbb,32'h3ffcb689,32'h40038390, 32'h3ff4fa16,32'h400761c9, 32'h3fe81558,32'h400dd428,// invsqrt(0.2464) = 2.0146 +32'h3f08f359,32'h3fab8102,32'h3fb2810c, 32'h3fa640fa,32'h3fb7c114, 32'h3f9d80ed,32'h3fc08121,// invsqrt(0.5350) = 1.3672 +32'h3f36e776,32'h3f94674e,32'h3f9a75f8, 32'h3f8fdc4e,32'h3f9f00f8, 32'h3f8849f9,32'h3fa6934d,// invsqrt(0.7145) = 1.1831 +32'h3f5d56a7,32'h3f86e7a4,32'h3f8c6942, 32'h3f82c66d,32'h3f908a79, 32'h3f77c8cf,32'h3f976c7e,// invsqrt(0.8646) = 1.0755 +32'h3f50cff1,32'h3f8ae46b,32'h3f908fb3, 32'h3f86a3f5,32'h3f94d029, 32'h3f7f1bb5,32'h3f9be644,// invsqrt(0.8157) = 1.1072 +32'h3f12b4f6,32'h3fa5b402,32'h3fac7770, 32'h3fa0a170,32'h3fb18a02, 32'h3f982d26,32'h3fb9fe4c,// invsqrt(0.5731) = 1.3210 +32'h3f56dadd,32'h3f88ecd9,32'h3f8e8394, 32'h3f84bbce,32'h3f92b4a0, 32'h3f7b7ec9,32'h3f99b109,// invsqrt(0.8393) = 1.0916 +32'h3f8677ec,32'h3f74c580,32'h3f7ec31d, 32'h3f6d474b,32'h3f8320a9, 32'h3f60ca47,32'h3f895f2b,// invsqrt(1.0505) = 0.9757 +32'h3ec53286,32'h3fca200e,32'h3fd2600e, 32'h3fc3f00d,32'h3fd8900f, 32'h3fb9a00d,32'h3fe2e00f,// invsqrt(0.3852) = 1.6113 +32'h40246f8b,32'h3f1c8406,32'h3f22e774, 32'h3f17b974,32'h3f27b206, 32'h3f0fbd2a,32'h3f2fae50,// invsqrt(2.5693) = 0.6239 +32'h3f2e597f,32'h3f98002d,32'h3f9e346d, 32'h3f9358fc,32'h3fa2db9e, 32'h3f8b97ac,32'h3faa9cee,// invsqrt(0.6811) = 1.2117 +32'h3f8eedc8,32'h3f6d6aa7,32'h3f771b69, 32'h3f662614,32'h3f7e5ffc, 32'h3f5a0921,32'h3f853e78,// invsqrt(1.1166) = 0.9463 +32'h4046e221,32'h3f0e5128,32'h3f14203a, 32'h3f09f5db,32'h3f187b87, 32'h3f02b306,32'h3f1fbe5c,// invsqrt(3.1076) = 0.5673 +32'h3f91f34e,32'h3f6af238,32'h3f74892a, 32'h3f63c102,32'h3f7bba60, 32'h3f57c452,32'h3f83db88,// invsqrt(1.1402) = 0.9365 +32'h3fbceb7d,32'h3f4e817a,32'h3f56ef41, 32'h3f482f26,32'h3f5d4196, 32'h3f3da5ee,32'h3f67cace,// invsqrt(1.4759) = 0.8231 +32'h3dddcf50,32'h403e94da,32'h40465c3c, 32'h4038bf51,32'h404c31c5, 32'h402f0617,32'h4055eaff,// invsqrt(0.1083) = 3.0386 +32'h3fdd33f8,32'h3f3ed7ba,32'h3f46a1d6, 32'h3f390024,32'h3f4c796c, 32'h3f2f4381,32'h3f56360f,// invsqrt(1.7281) = 0.7607 +32'h3fbbea65,32'h3f4f0e8e,32'h3f578216, 32'h3f48b7e8,32'h3f5dd8bc, 32'h3f3e277d,32'h3f686927,// invsqrt(1.4681) = 0.8253 +32'h3f54975d,32'h3f89a6fa,32'h3f8f454e, 32'h3f85703c,32'h3f937c0c, 32'h3f7cd4a7,32'h3f9a81f4,// invsqrt(0.8304) = 1.0974 +32'h3fc356c8,32'h3f4b159b,32'h3f535fa1, 32'h3f44de16,32'h3f599726, 32'h3f3a818e,32'h3f63f3ae,// invsqrt(1.5261) = 0.8095 +32'h3df06473,32'h40371138,32'h403e8a16, 32'h40317692,32'h404424bc, 32'h40281f7d,32'h404d7bd1,// invsqrt(0.1174) = 2.9188 +32'h3e45ad6f,32'h400ec01c,32'h401493b5, 32'h400a616a,32'h4018f268, 32'h400318eb,32'h40203ae7,// invsqrt(0.1930) = 2.2760 +32'h40166aa7,32'h3f23a5a4,32'h3f2a5396, 32'h3f1ea32f,32'h3f2f560b, 32'h3f1649c0,32'h3f37af7a,// invsqrt(2.3503) = 0.6523 +32'h3f16a85f,32'h3fa3841b,32'h3faa30af, 32'h3f9e82ad,32'h3faf321d, 32'h3f962af4,32'h3fb789d6,// invsqrt(0.5885) = 1.3035 +32'h3d8c425a,32'h406faa59,32'h4079729b, 32'h40685427,32'h40806466, 32'h405c19d4,32'h40868190,// invsqrt(0.0685) = 3.8212 +32'h3f742d4e,32'h3f8070e5,32'h3f85aef9, 32'h3f7904ac,32'h3f899d88, 32'h3f6be97b,32'h3f902b20,// invsqrt(0.9538) = 1.0239 +32'h3e939986,32'h3fe9a13c,32'h3ff32a6e, 32'h3fe27a57,32'h3ffa5153, 32'h3fd68ed9,32'h40031e68,// invsqrt(0.2883) = 1.8625 +32'h3f853c39,32'h3f75e6d3,32'h3f7ff03f, 32'h3f6e5fc2,32'h3f83bba8, 32'h3f61d3fb,32'h3f8a018b,// invsqrt(1.0409) = 0.9802 +32'h3fe7e006,32'h3f3a6630,32'h3f4201de, 32'h3f34b16d,32'h3f47b6a1, 32'h3f2b2ed3,32'h3f51393b,// invsqrt(1.8115) = 0.7430 +32'h40f03e1a,32'h3eb71fd4,32'h3ebe994a, 32'h3eb184bb,32'h3ec43463, 32'h3ea82ce7,32'h3ecd8c37,// invsqrt(7.5076) = 0.3650 +32'h3e6dca3a,32'h4002278f,32'h4007778b, 32'h3ffc5726,32'h400b7387, 32'h3fef0f31,32'h40121782,// invsqrt(0.2322) = 2.0752 +32'h3f87a3f0,32'h3f73b637,32'h3f7da8c1, 32'h3f6c404f,32'h3f828f54, 32'h3f5fd123,32'h3f88c6eb,// invsqrt(1.0597) = 0.9714 +32'h415c3162,32'h3e87415d,32'h3e8cc6a5, 32'h3e831d67,32'h3e90ea9b, 32'h3e786d9b,32'h3e97d134,// invsqrt(13.7621) = 0.2696 +32'h3fa733bc,32'h3f5b8207,32'h3f6477a9, 32'h3f54c9cd,32'h3f6b2fe3, 32'h3f4996c2,32'h3f7662ee,// invsqrt(1.3063) = 0.8750 +32'h3f0fb1a8,32'h3fa76e6c,32'h3fae43e9, 32'h3fa24e4f,32'h3fb36407, 32'h3f99c374,32'h3fbbeee3,// invsqrt(0.5613) = 1.3348 +32'h4074ca77,32'h3f0047a4,32'h3f058408, 32'h3ef8b4b0,32'h3f097154, 32'h3eeb9db4,32'h3f0ffcd2,// invsqrt(3.8249) = 0.5113 +32'h3ee62012,32'h3fbb1b43,32'h3fc2be56, 32'h3fb560f6,32'h3fc878a4, 32'h3fabd51f,32'h3fd2047b,// invsqrt(0.4495) = 1.4916 +32'h3c378f3e,32'h4114236c,32'h411a2f51, 32'h410f9a80,32'h411eb83c, 32'h41080ba1,32'h4126471b,// invsqrt(0.0112) = 9.4476 +32'h3ccbdc6a,32'h40c6cb58,32'h40cee88a, 32'h40c0b572,32'h40d4fe70, 32'h40b690f2,32'h40df22f0,// invsqrt(0.0249) = 6.3391 +32'h3fa03c38,32'h3f603aa8,32'h3f6961a0, 32'h3f595d6f,32'h3f703ed9, 32'h3f4decba,32'h3f7baf8e,// invsqrt(1.2518) = 0.8938 +32'h3fc64132,32'h3f4995e4,32'h3f51d040, 32'h3f436a1e,32'h3f57fc06, 32'h3f39212a,32'h3f6244fa,// invsqrt(1.5489) = 0.8035 +32'h3f8d2be0,32'h3f6ee3cd,32'h3f78a3f5, 32'h3f6793af,32'h3f7ff413, 32'h3f5b637e,32'h3f861222,// invsqrt(1.1029) = 0.9522 +32'h3f81ca34,32'h3f7924e5,32'h3f81a819, 32'h3f71846b,32'h3f857856, 32'h3f64ce4b,32'h3f8bd367,// invsqrt(1.0140) = 0.9931 +32'h3f6e605f,32'h3f81fe8b,32'h3f874cda, 32'h3f7c07a1,32'h3f8b4796, 32'h3f6ec3dc,32'h3f91e978,// invsqrt(0.9312) = 1.0363 +32'h3f1db5a4,32'h3f9fd189,32'h3fa6577a, 32'h3f9aed15,32'h3fab3bef, 32'h3f92c5a8,32'h3fb3635c,// invsqrt(0.6161) = 1.2741 +32'h3e4c7225,32'h400c5e1b,32'h401218cd, 32'h40081215,32'h401664d3, 32'h4000e8b5,32'h401d8e33,// invsqrt(0.1997) = 2.2380 +32'h3eff7dee,32'h3fb19344,32'h3fb8d2be, 32'h3fac23a7,32'h3fbe425b, 32'h3fa3144e,32'h3fc751b4,// invsqrt(0.4990) = 1.4156 +32'h3f14b691,32'h3fa494e5,32'h3fab4c9b, 32'h3f9f8b1d,32'h3fb05663, 32'h3f97257a,32'h3fb8bc06,// invsqrt(0.5809) = 1.3120 +32'h3f871f22,32'h3f742dde,32'h3f7e254a, 32'h3f6cb44d,32'h3f82cf6e, 32'h3f603f05,32'h3f890a11,// invsqrt(1.0556) = 0.9733 +32'h3ca8bd0e,32'h40da819c,32'h40e36cc7, 32'h40d3d13c,32'h40ea1d28, 32'h40c8ab47,32'h40f5431d,// invsqrt(0.0206) = 6.9677 +32'h3fa615b2,32'h3f5c3ebb,32'h3f653c11, 32'h3f5580ba,32'h3f6bfa12, 32'h3f4a440f,32'h3f7736bd,// invsqrt(1.2975) = 0.8779 +32'h3fcfb1aa,32'h3f44f38e,32'h3f4cfd7f, 32'h3f3eec1a,32'h3f5304f4, 32'h3f34dfad,32'h3f5d1161,// invsqrt(1.6226) = 0.7850 +32'h413903b2,32'h3e938e05,32'h3e9993d1, 32'h3e8f09ac,32'h3e9e182a, 32'h3e87826d,32'h3ea59f69,// invsqrt(11.5634) = 0.2941 +32'h3fa22c09,32'h3f5ee2da,32'h3f67fbc9, 32'h3f581028,32'h3f6ece7c, 32'h3f4cb0fd,32'h3f7a2da7,// invsqrt(1.2670) = 0.8884 +32'h3f7074fa,32'h3f816e44,32'h3f86b6af, 32'h3f7aefe6,32'h3f8aacff, 32'h3f6dbada,32'h3f914785,// invsqrt(0.9393) = 1.0318 +32'h3e5206de,32'h400a7d75,32'h4010248a, 32'h40064026,32'h401461da, 32'h3ffe5e9a,32'h401b72b3,// invsqrt(0.2051) = 2.2081 +32'h3ee9a6f1,32'h3fb9b062,32'h3fc144a4, 32'h3fb40130,32'h3fc6f3d6, 32'h3faa87dc,32'h3fd06d2a,// invsqrt(0.4564) = 1.4803 +32'h3f7608c6,32'h3f7fe91b,32'h3f852d8e, 32'h3f78139b,32'h3f89184f, 32'h3f6b0519,32'h3f8f9f8f,// invsqrt(0.9611) = 1.0201 +32'h3f263552,32'h3f9badcb,32'h3fa2087b, 32'h3f96e9c8,32'h3fa6cc7e, 32'h3f8ef86c,32'h3faebdda,// invsqrt(0.6493) = 1.2411 +32'h4140953c,32'h3e90a052,32'h3e968784, 32'h3e8c32ec,32'h3e9af4ea, 32'h3e84d1ed,32'h3ea255e9,// invsqrt(12.0364) = 0.2882 +32'h3f668a3d,32'h3f842f6a,32'h3f89949e, 32'h3f802384,32'h3f8da084, 32'h3f72ca07,32'h3f945f04,// invsqrt(0.9005) = 1.0538 +32'h3ed92db2,32'h3fc09a52,32'h3fc876d2, 32'h3fbab4f1,32'h3fce5c33, 32'h3fb0e150,32'h3fd82fd4,// invsqrt(0.4242) = 1.5354 +32'h3df54305,32'h40353da8,32'h403ca370, 32'h402fb152,32'h40422fc6, 32'h40267218,32'h404b6f00,// invsqrt(0.1198) = 2.8897 +32'h3f7f3763,32'h3f7b43cf,32'h3f82c2a3, 32'h3f7392b6,32'h3f869b2f, 32'h3f66c0e3,32'h3f8d0419,// invsqrt(0.9969) = 1.0015 +32'h3efb2275,32'h3fb31bf1,32'h3fba6b73, 32'h3fada050,32'h3fbfe714, 32'h3fa47ced,32'h3fc90a77,// invsqrt(0.4905) = 1.4278 +32'h40f0030a,32'h3eb7365a,32'h3ebeb0bc, 32'h3eb19a91,32'h3ec44c85, 32'h3ea84197,32'h3ecda57f,// invsqrt(7.5004) = 0.3651 +32'h3f011299,32'h3fb0a908,32'h3fb7def4, 32'h3fab4098,32'h3fbd4764, 32'h3fa23d31,32'h3fc64acb,// invsqrt(0.5042) = 1.4083 +32'h3ea06865,32'h3fe01bc6,32'h3fe9417a, 32'h3fd93f7e,32'h3ff01dc2, 32'h3fcdd05d,32'h3ffb8ce3,// invsqrt(0.3133) = 1.7866 +32'h3f096df4,32'h3fab3470,32'h3fb2315b, 32'h3fa5f6c1,32'h3fb76f0b, 32'h3f9d3a9c,32'h3fc02b30,// invsqrt(0.5368) = 1.3648 +32'h3f811f86,32'h3f79c959,32'h3f81fdae, 32'h3f7223d6,32'h3f85d070, 32'h3f656552,32'h3f8c2fb2,// invsqrt(1.0088) = 0.9956 +32'h3e54091b,32'h4009d51f,32'h400f7555, 32'h40059cf7,32'h4013ad7d, 32'h3ffd2968,32'h401ab5c0,// invsqrt(0.2071) = 2.1976 +32'h3f223ab6,32'h3f9d9393,32'h3fa40216, 32'h3f98c0b0,32'h3fa8d4f8, 32'h3f90b68c,32'h3fb0df1c,// invsqrt(0.6337) = 1.2562 +32'h4292e095,32'h3dea3425,32'h3df3c355, 32'h3de308c0,32'h3dfaeeba, 32'h3dd715c4,32'h3e0370db,// invsqrt(73.4386) = 0.1167 +32'h3ece26cd,32'h3fc5afd3,32'h3fcdc173, 32'h3fbfa29b,32'h3fd3ceab, 32'h3fb58c93,32'h3fdde4b3,// invsqrt(0.4026) = 1.5759 +32'h4115a878,32'h3ea40fac,32'h3eaac1f2, 32'h3e9f09f8,32'h3eafc7a6, 32'h3e96ab21,32'h3eb8267d,// invsqrt(9.3536) = 0.3270 +32'h3e7ee6bb,32'h3ffb6b8c,32'h4002d750, 32'h3ff3b93b,32'h4006b078, 32'h3fe6e561,32'h400d1a66,// invsqrt(0.2489) = 2.0043 +32'h3fd091b7,32'h3f4489a9,32'h3f4c8f47, 32'h3f3e8572,32'h3f52937e, 32'h3f347e6c,32'h3f5c9a84,// invsqrt(1.6294) = 0.7834 +32'h3eb3a001,32'h3fd3c803,32'h3fdc6cea, 32'h3fcd4c57,32'h3fe2e897, 32'h3fc27e38,32'h3fedb6b6,// invsqrt(0.3508) = 1.6883 +32'h3f98e350,32'h3f658dc3,32'h3f6eec5d, 32'h3f5e86cf,32'h3f75f351, 32'h3f52d08e,32'h3f80d4c9,// invsqrt(1.1944) = 0.9150 +32'h410a5f74,32'h3eaa9ec8,32'h3eb19597, 32'h3ea565ae,32'h3eb6ceb2, 32'h3e9cb12b,32'h3ebf8335,// invsqrt(8.6483) = 0.3400 +32'h3faadf7c,32'h3f592322,32'h3f61ffff, 32'h3f527d7d,32'h3f68a5a5, 32'h3f476969,32'h3f73b9b9,// invsqrt(1.3349) = 0.8655 +32'h3f8aa036,32'h3f7112be,32'h3f7ae9b6, 32'h3f69b184,32'h3f812578, 32'h3f5d64ce,32'h3f874bd3,// invsqrt(1.0830) = 0.9609 +32'h3f9570b2,32'h3f682fca,32'h3f71a9e7, 32'h3f611434,32'h3f78c57c, 32'h3f553b8f,32'h3f824f10,// invsqrt(1.1675) = 0.9255 +32'h3fbe19df,32'h3f4ddcfc,32'h3f56440c, 32'h3f478fb1,32'h3f5c9157, 32'h3f3d0edd,32'h3f67122b,// invsqrt(1.4852) = 0.8206 +32'h3f05e556,32'h3fad7303,32'h3fb48762, 32'h3fa823bd,32'h3fb9d6a9, 32'h3f9f4a48,32'h3fc2b01f,// invsqrt(0.5230) = 1.3827 +32'h3f7c0988,32'h3f7cd837,32'h3f839518, 32'h3f751abe,32'h3f8773d5, 32'h3f683448,32'h3f8de710,// invsqrt(0.9845) = 1.0078 +32'h42ca4509,32'h3dc79324,32'h3dcfb87e, 32'h3dc17720,32'h3dd5d482, 32'h3db7486f,32'h3de00333,// invsqrt(101.1348) = 0.0994 +32'h3f358bdc,32'h3f94f51d,32'h3f9b0991, 32'h3f9065c6,32'h3f9f98e8, 32'h3f88cc35,32'h3fa73279,// invsqrt(0.7092) = 1.1875 +32'h3fa8a2c3,32'h3f5a92a4,32'h3f637e81, 32'h3f53e1bf,32'h3f6a2f67, 32'h3f48baeb,32'h3f75563b,// invsqrt(1.3175) = 0.8712 +32'h3e7c78c5,32'h3ffca07e,32'h40037817, 32'h3ff4e4b8,32'h400755fa, 32'h3fe8011a,32'h400dc7c9,// invsqrt(0.2466) = 2.0139 +32'h3f80ada9,32'h3f7a37c4,32'h3f823725, 32'h3f728ee0,32'h3f860b97, 32'h3f65caba,32'h3f8c6daa,// invsqrt(1.0053) = 0.9974 +32'h401fb2e8,32'h3f1ed1ea,32'h3f254d6c, 32'h3f19f549,32'h3f2a2a0d, 32'h3f11dae7,32'h3f32446f,// invsqrt(2.4953) = 0.6331 +32'h3eba1b11,32'h3fd00fad,32'h3fd88db4, 32'h3fc9b127,32'h3fdeec39, 32'h3fbf139e,32'h3fe989c2,// invsqrt(0.3635) = 1.6587 +32'h3f313df1,32'h3f96c15f,32'h3f9ce89d, 32'h3f9223f1,32'h3fa1860b, 32'h3f8a72e5,32'h3fa93717,// invsqrt(0.6924) = 1.2018 +32'h3e92a3e9,32'h3fea6493,32'h3ff3f5bd, 32'h3fe337b3,32'h3ffb229d, 32'h3fd7423e,32'h40038c09,// invsqrt(0.2864) = 1.8686 +32'h40816ea1,32'h3ef97cf9,32'h3f01d5ef, 32'h3ef1d9cc,32'h3f05a785, 32'h3ee51f2d,32'h3f0c04d4,// invsqrt(4.0448) = 0.4972 +32'h3f10bd6a,32'h3fa6d346,32'h3fada26e, 32'h3fa1b7e9,32'h3fb2bdcb, 32'h3f9934f7,32'h3fbb40bd,// invsqrt(0.5654) = 1.3299 +32'h3e093ed3,32'h402b51d3,32'h40324ff1, 32'h4026133d,32'h40378e87, 32'h401d5598,32'h40404c2c,// invsqrt(0.1340) = 2.7315 +32'h3f2c46df,32'h3f98e990,32'h3f9f2758, 32'h3f943b3b,32'h3fa3d5ad, 32'h3f8c6e02,32'h3faba2e6,// invsqrt(0.6730) = 1.2190 +32'h3f0a931f,32'h3faa7ef7,32'h3fb17479, 32'h3fa546d5,32'h3fb6ac9b, 32'h3f9c93f2,32'h3fbf5f7e,// invsqrt(0.5413) = 1.3592 +32'h40539c08,32'h3f09f8a1,32'h3f0f9a49, 32'h3f05bf62,32'h3f13d388, 32'h3efd6a9f,32'h3f1add9a,// invsqrt(3.3064) = 0.5499 +32'h3f4840e6,32'h3f8dd44d,32'h3f939e45, 32'h3f897cd2,32'h3f97f5c0, 32'h3f82405b,32'h3f9f3237,// invsqrt(0.7822) = 1.1307 +32'h3ed84935,32'h3fc0fff3,32'h3fc8e099, 32'h3fbb1776,32'h3fcec916, 32'h3fb13ea5,32'h3fd8a1e7,// invsqrt(0.4224) = 1.5386 +32'h3f9f65dd,32'h3f60d13a,32'h3f69fe57, 32'h3f59ef65,32'h3f70e02d, 32'h3f4e7702,32'h3f7c5890,// invsqrt(1.2453) = 0.8961 +32'h3fbc4a18,32'h3f4ed9e9,32'h3f574b4b, 32'h3f4884df,32'h3f5da055, 32'h3f3df724,32'h3f682e10,// invsqrt(1.4710) = 0.8245 +32'h3f5f3e9f,32'h3f8653e3,32'h3f8bcf79, 32'h3f823732,32'h3f8fec2a, 32'h3f76b96c,32'h3f96c6a6,// invsqrt(0.8720) = 1.0709 +32'h3efb0ed4,32'h3fb322f1,32'h3fba72bd, 32'h3fada719,32'h3fbfee95, 32'h3fa4835b,32'h3fc91253,// invsqrt(0.4903) = 1.4281 +32'h3fbf80d7,32'h3f4d1bb0,32'h3f557adc, 32'h3f46d44f,32'h3f5bc23d, 32'h3f3c5d59,32'h3f663933,// invsqrt(1.4961) = 0.8176 +32'h402d73a1,32'h3f1864c4,32'h3f1e9d20, 32'h3f13ba80,32'h3f234764, 32'h3f0bf40d,32'h3f2b0dd7,// invsqrt(2.7102) = 0.6074 +32'h4106f355,32'h3eacc52a,32'h3eb3d26f, 32'h3ea77b35,32'h3eb91c63, 32'h3e9eaa9e,32'h3ec1ecfa,// invsqrt(8.4344) = 0.3443 +32'h3ce17f64,32'h40bd0443,32'h40c4bb4b, 32'h40b73afd,32'h40ca8491, 32'h40ad9633,32'h40d4295b,// invsqrt(0.0275) = 6.0273 +32'h3e8de4eb,32'h3fee47d5,32'h3ff8019f, 32'h3fe6fc7e,32'h3fff4cf6, 32'h3fdad441,32'h4005ba9a,// invsqrt(0.2771) = 1.8996 +32'h4000519f,32'h3f312dad,32'h3f386903, 32'h3f2bc12d,32'h3f3dd583, 32'h3f22b702,32'h3f46dfae,// invsqrt(2.0050) = 0.7062 +32'h3f03c1a4,32'h3faeda11,32'h3fb5fd17, 32'h3fa97fcd,32'h3fbb575b, 32'h3fa09405,32'h3fc44323,// invsqrt(0.5147) = 1.3939 +32'h40eeb901,32'h3eb7b4d4,32'h3ebf3460, 32'h3eb2152c,32'h3ec4d408, 32'h3ea8b5be,32'h3ece3376,// invsqrt(7.4601) = 0.3661 +32'h40910422,32'h3eebb3a7,32'h3ef5527f, 32'h3ee47c85,32'h3efc89a1, 32'h3ed875f8,32'h3f044817,// invsqrt(4.5318) = 0.4698 +32'h3efc2f63,32'h3fb2bc57,32'h3fba07f2, 32'h3fad43a2,32'h3fbf80a6, 32'h3fa42520,32'h3fc89f28,// invsqrt(0.4925) = 1.4249 +32'h3fb3b2dc,32'h3f53bce7,32'h3f5c6159, 32'h3f4d4192,32'h3f62dcae, 32'h3f427403,32'h3f6daa3d,// invsqrt(1.4039) = 0.8440 +32'h405c4da3,32'h3f0738b0,32'h3f0cbd9e, 32'h3f0314ff,32'h3f10e14f, 32'h3ef85dad,32'h3f17c778,// invsqrt(3.4422) = 0.5390 +32'h418a0e83,32'h3e7191d2,32'h3e7b6dfa, 32'h3e6a2cb4,32'h3e81698c, 32'h3e5dd982,32'h3e879325,// invsqrt(17.2571) = 0.2407 +32'h3f20327b,32'h3f9e92a0,32'h3fa50b8d, 32'h3f99b7ef,32'h3fa9e63f, 32'h3f91a0c8,32'h3fb1fd66,// invsqrt(0.6258) = 1.2641 +32'h4012e026,32'h3f259ba4,32'h3f2c5e13, 32'h3f2089d0,32'h3f316fe6, 32'h3f1816c5,32'h3f39e2f1,// invsqrt(2.2949) = 0.6601 +32'h3fe409e7,32'h3f3bf5e8,32'h3f43a1e8, 32'h3f3634e9,32'h3f4962e7, 32'h3f2c9dea,32'h3f52f9e6,// invsqrt(1.7816) = 0.7492 +32'h3d5e25c1,32'h4086a8b3,32'h408c27c0, 32'h4082896a,32'h4090470a, 32'h40775535,32'h409725d9,// invsqrt(0.0542) = 4.2940 +32'h3fb34b3e,32'h3f53fa0d,32'h3f5ca0fd, 32'h3f4d7cd8,32'h3f631e32, 32'h3f42ac2b,32'h3f6deedf,// invsqrt(1.4007) = 0.8449 +32'h3e6d92c3,32'h400236c0,32'h4007875a, 32'h3ffc7499,32'h400b83ce, 32'h3fef2b17,32'h4012288e,// invsqrt(0.2320) = 2.0761 +32'h3f4a527e,32'h3f8d1a33,32'h3f92dc93, 32'h3f88c86b,32'h3f972e5b, 32'h3f819573,32'h3f9e6153,// invsqrt(0.7903) = 1.1249 +32'h3f835cbd,32'h3f77a605,32'h3f80e0d9, 32'h3f701143,32'h3f84ab39, 32'h3f636eac,32'h3f8afc85,// invsqrt(1.0263) = 0.9871 +32'h3f45efe6,32'h3f8ea823,32'h3f947ac1, 32'h3f8a4a2c,32'h3f98d8b8, 32'h3f8302e7,32'h3fa01ffd,// invsqrt(0.7732) = 1.1373 +32'h3ddd1c49,32'h403ee1f2,32'h4046ac7a, 32'h40390a0d,32'h404c845f, 32'h402f4ce4,32'h40564188,// invsqrt(0.1080) = 3.0434 +32'h3f4eb113,32'h3f8b9a59,32'h3f914d0f, 32'h3f875451,32'h3f959317, 32'h3f8034ef,32'h3f9cb279,// invsqrt(0.8074) = 1.1129 +32'h405d5862,32'h3f06e71d,32'h3f0c68b6, 32'h3f02c5eb,32'h3f1089e9, 32'h3ef7c7d8,32'h3f176be8,// invsqrt(3.4585) = 0.5377 +32'h3e0f6da3,32'h4027961b,32'h402e6d37, 32'h402274c7,32'h40338e8b, 32'h4019e7e5,32'h403c1b6d,// invsqrt(0.1401) = 2.6720 +32'h3d665ede,32'h40843bdb,32'h4089a191, 32'h40802f93,32'h408dadd9, 32'h4072e0e1,32'h40946cfb,// invsqrt(0.0562) = 4.2166 +32'h3fb7e41a,32'h3f514f75,32'h3f59da89, 32'h3f4ae725,32'h3f6042d9, 32'h3f40394c,32'h3f6af0b2,// invsqrt(1.4366) = 0.8343 +32'h40102ae6,32'h3f2727f6,32'h3f2dfa92, 32'h3f220a01,32'h3f331887, 32'h3f1982bd,32'h3f3b9fcb,// invsqrt(2.2526) = 0.6663 +32'h3f4ea757,32'h3f8b9da3,32'h3f91507b, 32'h3f875781,32'h3f95969d, 32'h3f8037f4,32'h3f9cb62a,// invsqrt(0.8072) = 1.1130 +32'h3e044459,32'h402e8396,32'h4035a314, 32'h40292bf7,32'h403afab3, 32'h40204499,32'h4043e211,// invsqrt(0.1292) = 2.7824 +32'h3f93e4ac,32'h3f6965d9,32'h3f72ec9e, 32'h3f6240c6,32'h3f7a11b2, 32'h3f565850,32'h3f82fd14,// invsqrt(1.1554) = 0.9303 +32'h410fdbf5,32'h3ea755cd,32'h3eae2a49, 32'h3ea23671,32'h3eb349a5, 32'h3e99acd7,32'h3ebbd33f,// invsqrt(8.9912) = 0.3335 +32'h3f646bdf,32'h3f84cbfc,32'h3f8a3794, 32'h3f80bb4b,32'h3f8e4845, 32'h3f73e99b,32'h3f950ec2,// invsqrt(0.8923) = 1.0586 +32'h3e702685,32'h40018366,32'h4006ccaf, 32'h3ffb18e2,32'h400ac3a5, 32'h3fede1ad,32'h40115f3f,// invsqrt(0.2345) = 2.0649 +32'h3f58a2de,32'h3f885c71,32'h3f8ded47, 32'h3f842fd1,32'h3f9219e7, 32'h3f7a758c,32'h3f990ef2,// invsqrt(0.8462) = 1.0871 +32'h3fd620e8,32'h3f41f83a,32'h3f49e302, 32'h3f3c0823,32'h3f4fd319, 32'h3f3222a8,32'h3f59b894,// invsqrt(1.6729) = 0.7732 +32'h3f6d4542,32'h3f824c02,32'h3f879d7a, 32'h3f7c9dcf,32'h3f8b9a94, 32'h3f6f5223,32'h3f92406b,// invsqrt(0.9268) = 1.0387 +32'h3f2ddf31,32'h3f98359a,32'h3f9e6c08, 32'h3f938cc7,32'h3fa314db, 32'h3f8bc8bc,32'h3faad8e6,// invsqrt(0.6792) = 1.2134 +32'h3e5987fd,32'h4008148e,32'h400da275, 32'h4003ea22,32'h4011cce2, 32'h3ff9f184,32'h4018be42,// invsqrt(0.2124) = 2.1696 +32'h4011cb10,32'h3f2638b9,32'h3f2d0191, 32'h3f212216,32'h3f321834, 32'h3f18a708,32'h3f3a9342,// invsqrt(2.2780) = 0.6626 +32'h3f75b008,32'h3f800ba7,32'h3f854599, 32'h3f784063,32'h3f89310e, 32'h3f6b2f86,32'h3f8fb97d,// invsqrt(0.9597) = 1.0208 +32'h3f6be0a0,32'h3f82ae5d,32'h3f8803d9, 32'h3f7d5c80,32'h3f8c03f6, 32'h3f7006ca,32'h3f92aed1,// invsqrt(0.9214) = 1.0418 +32'h3f12a084,32'h3fa5bf8f,32'h3fac8376, 32'h3fa0aca3,32'h3fb19663, 32'h3f9837c3,32'h3fba0b43,// invsqrt(0.5728) = 1.3213 +32'h3f1c9184,32'h3fa0665c,32'h3fa6f260, 32'h3f9b7d59,32'h3fabdb63, 32'h3f934e55,32'h3fb40a67,// invsqrt(0.6116) = 1.2787 +32'h40de6783,32'h3ebe5399,32'h3ec61851, 32'h3eb8800f,32'h3ecbebdb, 32'h3eaeca29,32'h3ed5a1c1,// invsqrt(6.9501) = 0.3793 +32'h3ebabe66,32'h3fcfb49c,32'h3fd82eeb, 32'h3fc958e0,32'h3fde8aa6, 32'h3fbebffc,32'h3fe9238a,// invsqrt(0.3647) = 1.6558 +32'h3ea61872,32'h3fdc3ce9,32'h3fe53a2b, 32'h3fd57ef6,32'h3febf81e, 32'h3fca4263,32'h3ff734b1,// invsqrt(0.3244) = 1.7557 +32'h3e5a6f62,32'h4007cc67,32'h400d575c, 32'h4003a430,32'h40117f94, 32'h3ff96cfd,32'h40186d45,// invsqrt(0.2133) = 2.1652 +32'h3f3e8460,32'h3f916883,32'h3f9757e1, 32'h3f8cf4fc,32'h3f9bcb68, 32'h3f8589c7,32'h3fa3369d,// invsqrt(0.7442) = 1.1592 +32'h3ebceaa1,32'h3fce81f3,32'h3fd6efbe, 32'h3fc82f9a,32'h3fdd4216, 32'h3fbda65c,32'h3fe7cb54,// invsqrt(0.3690) = 1.6463 +32'h41275b3e,32'h3e9b24da,32'h3ea179f2, 32'h3e966507,32'h3ea639c5, 32'h3e8e7aa9,32'h3eae2423,// invsqrt(10.4598) = 0.3092 +32'h3fcc6d45,32'h3f4684dd,32'h3f4e9f2f, 32'h3f40711f,32'h3f54b2ed, 32'h3f365039,32'h3f5ed3d3,// invsqrt(1.5971) = 0.7913 +32'h3e04f5fa,32'h402e0edd,32'h40352997, 32'h4028bad1,32'h403a7da3, 32'h401fd967,32'h40435f0d,// invsqrt(0.1298) = 2.7752 +32'h40900c4a,32'h3eec7e16,32'h3ef62530, 32'h3ee540c1,32'h3efd6285, 32'h3ed92fe0,32'h3f04b9b3,// invsqrt(4.5015) = 0.4713 +32'h40042d8b,32'h3f2e92a4,32'h3f35b2bf, 32'h3f293a8f,32'h3f3b0ad3, 32'h3f20526c,32'h3f43f2f6,// invsqrt(2.0653) = 0.6958 +32'h3fc6110f,32'h3f49ae62,32'h3f51e9be, 32'h3f4381dc,32'h3f581644, 32'h3f3937a8,32'h3f626078,// invsqrt(1.5474) = 0.8039 +32'h3fc76a6b,32'h3f48ff71,32'h3f5133aa, 32'h3f42d847,32'h3f575ad5, 32'h3f389700,32'h3f619c1c,// invsqrt(1.5579) = 0.8012 +32'h402f0b49,32'h3f17b2e8,32'h3f1de402, 32'h3f130e16,32'h3f2288d4, 32'h3f0b50b6,32'h3f2a4634,// invsqrt(2.7351) = 0.6047 +32'h3f25cf8e,32'h3f9bdd8a,32'h3fa23a2c, 32'h3f971810,32'h3fa6ffa6, 32'h3f8f2445,32'h3faef371,// invsqrt(0.6477) = 1.2425 +32'h40195a99,32'h3f22127e,32'h3f28affc, 32'h3f1d1c60,32'h3f2da61a, 32'h3f14d784,32'h3f35eaf6,// invsqrt(2.3962) = 0.6460 +32'h3fe53296,32'h3f3b7c1a,32'h3f432320, 32'h3f35bed5,32'h3f48e065, 32'h3f2c2e0d,32'h3f52712d,// invsqrt(1.7906) = 0.7473 +32'h3f152b07,32'h3fa45499,32'h3fab09af, 32'h3f9f4cc9,32'h3fb0117f, 32'h3f96ea6d,32'h3fb873db,// invsqrt(0.5827) = 1.3100 +32'h3fab8390,32'h3f58bb2d,32'h3f6193cb, 32'h3f5218b6,32'h3f683642, 32'h3f4709f0,32'h3f734508,// invsqrt(1.3400) = 0.8639 +32'h40bf9047,32'h3ecd136c,32'h3ed57242, 32'h3ec6cc4c,32'h3edbb962, 32'h3ebc55c2,32'h3ee62fed,// invsqrt(5.9864) = 0.4087 +32'h3da75f8f,32'h405b6548,32'h406459be, 32'h4054adf0,32'h406b1116, 32'h40497c5c,32'h407642aa,// invsqrt(0.0817) = 3.4980 +32'h3f4746d4,32'h3f8e2d2f,32'h3f93fac8, 32'h3f89d2fb,32'h3f9854fb, 32'h3f8291fc,32'h3f9f95fa,// invsqrt(0.7784) = 1.1334 +32'h40011736,32'h3f30a5e0,32'h3f37dbaa, 32'h3f2b3d88,32'h3f3d4402, 32'h3f223a4b,32'h3f46473f,// invsqrt(2.0170) = 0.7041 +32'h3f041508,32'h3faea2d6,32'h3fb5c39a, 32'h3fa94a42,32'h3fbb1c2e, 32'h3fa0614c,32'h3fc40524,// invsqrt(0.5159) = 1.3922 +32'h3f86885a,32'h3f74b68e,32'h3f7eb38e, 32'h3f6d38cd,32'h3f8318a7, 32'h3f60bc8d,32'h3f8956c8,// invsqrt(1.0510) = 0.9754 +32'h3ea3f287,32'h3fddad12,32'h3fe6b95c, 32'h3fd6e3db,32'h3fed8293, 32'h3fcb947e,32'h3ff8d1f0,// invsqrt(0.3202) = 1.7672 +32'h3f1e2aa2,32'h3f9f9663,32'h3fa619e9, 32'h3f9ab3be,32'h3faafc8e, 32'h3f928f55,32'h3fb320f7,// invsqrt(0.6178) = 1.2722 +32'h40495ec5,32'h3f0d6f7d,32'h3f133559, 32'h3f091b19,32'h3f1789bd, 32'h3f01e3c7,32'h3f1ec10f,// invsqrt(3.1464) = 0.5638 +32'h3fb6ab5e,32'h3f520255,32'h3f5a94b6, 32'h3f4b948b,32'h3f61027f, 32'h3f40dd91,32'h3f6bb979,// invsqrt(1.4271) = 0.8371 +32'h3fb94fd9,32'h3f5081a3,32'h3f590451, 32'h3f4a1fa1,32'h3f5f6653, 32'h3f3f7c47,32'h3f6a09ad,// invsqrt(1.4477) = 0.8311 +32'h3f245aee,32'h3f9c8dd6,32'h3fa2f1ab, 32'h3f97c2f7,32'h3fa7bc8b, 32'h3f8fc62e,32'h3fafb954,// invsqrt(0.6420) = 1.2480 +32'h4129cc0f,32'h3e9a065f,32'h3ea04fc6, 32'h3e954f51,32'h3ea506d3, 32'h3e8d7390,32'h3eace294,// invsqrt(10.6123) = 0.3070 +32'h3fa063dd,32'h3f601ef0,32'h3f6944c6, 32'h3f594290,32'h3f702126, 32'h3f4dd345,32'h3f7b9071,// invsqrt(1.2530) = 0.8933 +32'h3fd1518c,32'h3f442f85,32'h3f4c3175, 32'h3f3e2e10,32'h3f5232ea, 32'h3f342ba4,32'h3f5c3556,// invsqrt(1.6353) = 0.7820 +32'h3fa0c796,32'h3f5fd964,32'h3f68fc62, 32'h3f58ff24,32'h3f6fd6a2, 32'h3f4d9366,32'h3f7b4260,// invsqrt(1.2561) = 0.8923 +32'h406312e3,32'h3f0530b6,32'h3f0aa06a, 32'h3f011cef,32'h3f0eb431, 32'h3ef4a29d,32'h3f157fd2,// invsqrt(3.5480) = 0.5309 +32'h40976336,32'h3ee6b042,32'h3ef01ab8, 32'h3edfa06a,32'h3ef72a90, 32'h3ed3db57,32'h3f0177d2,// invsqrt(4.7309) = 0.4598 +32'h401684f8,32'h3f239755,32'h3f2a44b1, 32'h3f1e9550,32'h3f2f46b6, 32'h3f163c9c,32'h3f379f6a,// invsqrt(2.3519) = 0.6521 +32'h3f2c800d,32'h3f98d036,32'h3f9f0cf4, 32'h3f9422a7,32'h3fa3ba83, 32'h3f8c56b9,32'h3fab8671,// invsqrt(0.6738) = 1.2182 +32'h3f8779c3,32'h3f73dc24,32'h3f7dd03a, 32'h3f6c6513,32'h3f82a3a5, 32'h3f5ff3f7,32'h3f88dc33,// invsqrt(1.0584) = 0.9720 +32'h3de2ea3c,32'h403c6ce7,32'h40441dc1, 32'h4036a843,32'h4049e265, 32'h402d0b32,32'h40537f76,// invsqrt(0.1108) = 3.0042 +32'h3e93c989,32'h3fe97b46,32'h3ff302eb, 32'h3fe2558b,32'h3ffa28a7, 32'h3fd66bfd,32'h4003091b,// invsqrt(0.2886) = 1.8613 +32'h3f06c2e2,32'h3face436,32'h3fb3f2c0, 32'h3fa7994e,32'h3fb93da8, 32'h3f9ec722,32'h3fc20fd4,// invsqrt(0.5264) = 1.3783 +32'h3f77e3ad,32'h3f7ef383,32'h3f84adbf, 32'h3f772586,32'h3f8894bd, 32'h3f6a238d,32'h3f8f15ba,// invsqrt(0.9683) = 1.0162 +32'h3fe1303e,32'h3f3d2577,32'h3f44ddda, 32'h3f375b2d,32'h3f4aa825, 32'h3f2db4b2,32'h3f544ea0,// invsqrt(1.7593) = 0.7539 +32'h4019e6a7,32'h3f21c8ae,32'h3f286328, 32'h3f1cd4d2,32'h3f2d5704, 32'h3f1493ba,32'h3f35981c,// invsqrt(2.4047) = 0.6449 +32'h3f0f5a1d,32'h3fa7a184,32'h3fae7917, 32'h3fa27fd7,32'h3fb39ac5, 32'h3f99f260,32'h3fbc283c,// invsqrt(0.5600) = 1.3363 +32'h40547e03,32'h3f09af30,32'h3f0f4dd9, 32'h3f057831,32'h3f1384d7, 32'h3efce3ba,32'h3f1a8b2b,// invsqrt(3.3202) = 0.5488 +32'h4036bfbf,32'h3f14776e,32'h3f1a86c0, 32'h3f0febef,32'h3f1f123f, 32'h3f0858c8,32'h3f26a566,// invsqrt(2.8555) = 0.5918 +32'h40a62777,32'h3edc32f4,32'h3ee52fcf, 32'h3ed57550,32'h3eebed74, 32'h3eca393f,32'h3ef72985,// invsqrt(5.1923) = 0.4389 +32'h3fabae60,32'h3f58a025,32'h3f6177a9, 32'h3f51fe82,32'h3f68194c, 32'h3f46f11d,32'h3f7326b1,// invsqrt(1.3413) = 0.8635 +32'h40ccc5ee,32'h3ec659de,32'h3ece726f, 32'h3ec04772,32'h3ed484dc, 32'h3eb628bd,32'h3edea391,// invsqrt(6.3992) = 0.3953 +32'h3f073c9c,32'h3fac9655,32'h3fb3a1b1, 32'h3fa74dd0,32'h3fb8ea36, 32'h3f9e7f9c,32'h3fc1b86a,// invsqrt(0.5283) = 1.3759 +32'h3f71b428,32'h3f8118b2,32'h3f865d9f, 32'h3f7a49ff,32'h3f8a5150, 32'h3f6d1dae,32'h3f90e779,// invsqrt(0.9442) = 1.0291 +32'h3fe4c75a,32'h3f3ba805,32'h3f4350d7, 32'h3f35e968,32'h3f490f74, 32'h3f2c5663,32'h3f52a279,// invsqrt(1.7873) = 0.7480 +32'h3f1b5d8e,32'h3fa10506,32'h3fa79784, 32'h3f9c1728,32'h3fac8562, 32'h3f93e00b,32'h3fb4bc7f,// invsqrt(0.6069) = 1.2836 +32'h3f990950,32'h3f657142,32'h3f6eceb2, 32'h3f5e6b2e,32'h3f75d4c6, 32'h3f52b661,32'h3f80c4ca,// invsqrt(1.1956) = 0.9146 +32'h4050faf7,32'h3f0ad61e,32'h3f1080d0, 32'h3f069617,32'h3f14c0d7, 32'h3eff0170,32'h3f1bd636,// invsqrt(3.2653) = 0.5534 +32'h3f6cd0e3,32'h3f826c02,32'h3f87bec8, 32'h3f7cdbda,32'h3f8bbcdd, 32'h3f6f8ce9,32'h3f926456,// invsqrt(0.9251) = 1.0397 +32'h3c722e29,32'h4100f829,32'h41063bc3, 32'h40fa0aed,32'h410a2e76, 32'h40ece1ee,32'h4110c2f5,// invsqrt(0.0148) = 8.2251 +32'h3e756663,32'h40001edc,32'h40055997, 32'h3ff865a1,32'h400945a3, 32'h3feb52cf,32'h400fcf0d,// invsqrt(0.2396) = 2.0427 +32'h3fb4706f,32'h3f534d8f,32'h3f5bed75, 32'h3f4cd5a2,32'h3f626562, 32'h3f420dc2,32'h3f6d2d42,// invsqrt(1.4097) = 0.8422 +32'h3fd013d3,32'h3f44c514,32'h3f4ccd20, 32'h3f3ebf0c,32'h3f52d328, 32'h3f34b4fe,32'h3f5cdd36,// invsqrt(1.6256) = 0.7843 +32'h3fbec4a2,32'h3f4d80c4,32'h3f55e410, 32'h3f47364b,32'h3f5c2e89, 32'h3f3cba2c,32'h3f66aaa8,// invsqrt(1.4904) = 0.8191 +32'h3ffb59c7,32'h3f33083a,32'h3f3a56ee, 32'h3f2d8d33,32'h3f3fd1f5, 32'h3f246ad2,32'h3f48f456,// invsqrt(1.9637) = 0.7136 +32'h40cbad40,32'h3ec6e25b,32'h3ecf007f, 32'h3ec0cbc1,32'h3ed51719, 32'h3eb6a615,32'h3edf3cc5,// invsqrt(6.3649) = 0.3964 +32'h41e53211,32'h3e3b7c50,32'h3e432358, 32'h3e35bf09,32'h3e48e09f, 32'h3e2c2e3f,32'h3e527169,// invsqrt(28.6494) = 0.1868 +32'h3f2c1304,32'h3f990099,32'h3f9f3f51, 32'h3f94518f,32'h3fa3ee5b, 32'h3f8c8329,32'h3fabbcc1,// invsqrt(0.6722) = 1.2197 +32'h3f84d2a6,32'h3f76487a,32'h3f802af1, 32'h3f6ebe6c,32'h3f83eff8, 32'h3f622da9,32'h3f8a3859,// invsqrt(1.0377) = 0.9817 +32'h3f71af55,32'h3f8119fc,32'h3f865ef6, 32'h3f7a4c80,32'h3f8a52b2, 32'h3f6d200d,32'h3f90e8ec,// invsqrt(0.9441) = 1.0292 +32'h4045d42e,32'h3f0eb221,32'h3f148527, 32'h3f0a53dc,32'h3f18e36c, 32'h3f030c14,32'h3f202b34,// invsqrt(3.0911) = 0.5688 +32'h3f19c7ea,32'h3fa1d8d9,32'h3fa873fb, 32'h3f9ce47e,32'h3fad6856, 32'h3f94a293,32'h3fb5aa41,// invsqrt(0.6007) = 1.2902 +32'h3f214e98,32'h3f9e06bd,32'h3fa479f3, 32'h3f993054,32'h3fa9505c, 32'h3f91204f,32'h3fb16061,// invsqrt(0.6301) = 1.2598 +32'h3f8ebd32,32'h3f6d930c,32'h3f774574, 32'h3f664d3d,32'h3f7e8b43, 32'h3f5a2e3a,32'h3f855523,// invsqrt(1.1151) = 0.9470 +32'h3f5b10c3,32'h3f879a59,32'h3f8d2343, 32'h3f8373aa,32'h3f9149f2, 32'h3f79110c,32'h3f983516,// invsqrt(0.8557) = 1.0810 +32'h40b99506,32'h3ed05ac3,32'h3ed8dbdb, 32'h3ec9f9f1,32'h3edf3cad, 32'h3ebf5894,32'h3ee9de0a,// invsqrt(5.7994) = 0.4152 +32'h405ad9a1,32'h3f07ab6d,32'h3f0d3509, 32'h3f038438,32'h3f115c3e, 32'h3ef9306a,32'h3f184841,// invsqrt(3.4195) = 0.5408 +32'h3f106671,32'h3fa7057c,32'h3fadd6b0, 32'h3fa1e895,32'h3fb2f397, 32'h3f996314,32'h3fbb7918,// invsqrt(0.5641) = 1.3315 +32'h3f5e4ea6,32'h3f869c50,32'h3f8c1adb, 32'h3f827d67,32'h3f9039c3, 32'h3f773e73,32'h3f9717f1,// invsqrt(0.8684) = 1.0731 +32'h3faf6c37,32'h3f564d8d,32'h3f5f0ccd, 32'h3f4fbe1e,32'h3f659c3c, 32'h3f44cf0f,32'h3f708b4b,// invsqrt(1.3705) = 0.8542 +32'h3fb40150,32'h3f538ebd,32'h3f5c314d, 32'h3f4d14d2,32'h3f62ab38, 32'h3f42499e,32'h3f6d766c,// invsqrt(1.4063) = 0.8433 +32'h3daf535d,32'h40565cbd,32'h405f1c9b, 32'h404fccd7,32'h4065ac81, 32'h4044dd01,32'h40709c57,// invsqrt(0.0856) = 3.4178 +32'h3eb0d322,32'h3fd5739f,32'h3fde29f9, 32'h3fceeadb,32'h3fe4b2bd, 32'h3fc406eb,32'h3fef96ad,// invsqrt(0.3454) = 1.7016 +32'h3ee3eed6,32'h3fbc0111,32'h3fc3ad85, 32'h3fb63fba,32'h3fc96edc, 32'h3faca82a,32'h3fd3066c,// invsqrt(0.4452) = 1.4988 +32'h412f5aa2,32'h3e979092,32'h3e9dc044, 32'h3e92eccc,32'h3ea2640a, 32'h3e8b312d,32'h3eaa1fa9,// invsqrt(10.9596) = 0.3021 +32'h3fa3f8fe,32'h3f5da8b4,32'h3f66b4d0, 32'h3f56df9f,32'h3f6d7de5, 32'h3f4b907b,32'h3f78cd09,// invsqrt(1.2810) = 0.8835 +32'h3e5cbeb0,32'h4007160c,32'h400c998f, 32'h4002f369,32'h4010bc31, 32'h3ff81e0b,32'h4017a095,// invsqrt(0.2156) = 2.1538 +32'h4182ec08,32'h3e781087,32'h3e811846, 32'h3e707882,32'h3e84e448, 32'h3e63d07b,32'h3e8b384b,// invsqrt(16.3652) = 0.2472 +32'h3f046eed,32'h3fae6786,32'h3fb585e0, 32'h3fa910c4,32'h3fbadca2, 32'h3fa02ad4,32'h3fc3c292,// invsqrt(0.5173) = 1.3903 +32'h3d933f01,32'h4069e901,32'h40737521, 32'h4062bfea,32'h407a9e38, 32'h4056d0c2,32'h408346b0,// invsqrt(0.0719) = 3.7294 +32'h3f9a9d1b,32'h3f6444e1,32'h3f6d960f, 32'h3f5d47ff,32'h3f7492f1, 32'h3f51a286,32'h3f801c35,// invsqrt(1.2079) = 0.9099 +32'h4015e60a,32'h3f23edf7,32'h3f2a9edd, 32'h3f1ee94b,32'h3f2fa389, 32'h3f168c2c,32'h3f3800a8,// invsqrt(2.3422) = 0.6534 +32'h41da636d,32'h3e40118d,32'h3e47e878, 32'h3e3a305c,32'h3e4dc9a8, 32'h3e3063b5,32'h3e57964f,// invsqrt(27.2985) = 0.1914 +32'h3ef19798,32'h3fb69cb4,32'h3fbe10d0, 32'h3fb1059f,32'h3fc3a7e5, 32'h3fa7b47b,32'h3fccf909,// invsqrt(0.4719) = 1.4558 +32'h3f834959,32'h3f77b84e,32'h3f80ea5d, 32'h3f7022fd,32'h3f84b505, 32'h3f637f77,32'h3f8b06c9,// invsqrt(1.0257) = 0.9874 +32'h3f8a989d,32'h3f71195a,32'h3f7af097, 32'h3f69b7ec,32'h3f812902, 32'h3f5d6adf,32'h3f874f88,// invsqrt(1.0828) = 0.9610 +32'h410ffe9c,32'h3ea741a9,32'h3eae1552, 32'h3ea222eb,32'h3eb33411, 32'h3e999a58,32'h3ebbbca4,// invsqrt(8.9997) = 0.3333 +32'h402a5026,32'h3f19ca99,32'h3f20118f, 32'h3f151560,32'h3f24c6c8, 32'h3f0d3cac,32'h3f2c9f7c,// invsqrt(2.6611) = 0.6130 +32'h3f0db7ad,32'h3fa89848,32'h3faf79ed, 32'h3fa36f0d,32'h3fb4a329, 32'h3f9ad4ff,32'h3fbd3d37,// invsqrt(0.5536) = 1.3440 +32'h4091e4e0,32'h3eeafdd6,32'h3ef49542, 32'h3ee3cc45,32'h3efbc6d3, 32'h3ed7cefe,32'h3f03e20d,// invsqrt(4.5592) = 0.4683 +32'h3e9fb894,32'h3fe096fc,32'h3fe9c1b8, 32'h3fd9b6ef,32'h3ff0a1c5, 32'h3fce4184,32'h3ffc1730,// invsqrt(0.3120) = 1.7904 +32'h40cd78d8,32'h3ec60370,32'h3ece187a, 32'h3ebff3a9,32'h3ed42841, 32'h3eb5d95c,32'h3ede428e,// invsqrt(6.4210) = 0.3946 +32'h3d97c81d,32'h40666387,32'h406fcadb, 32'h405f5608,32'h4076d85a, 32'h405394df,32'h40814cc1,// invsqrt(0.0741) = 3.6733 +32'h3f88cdef,32'h3f72ac37,32'h3f7c93e5, 32'h3f6b3e74,32'h3f8200d4, 32'h3f5edcd9,32'h3f8831a1,// invsqrt(1.0688) = 0.9673 +32'h3fe3875d,32'h3f3c2bcc,32'h3f43d9fe, 32'h3f366926,32'h3f499ca4, 32'h3f2ccf68,32'h3f533662,// invsqrt(1.7776) = 0.7500 +32'h3f4a1a73,32'h3f8d2dc2,32'h3f92f0ee, 32'h3f88db60,32'h3f974350, 32'h3f81a769,32'h3f9e7747,// invsqrt(0.7895) = 1.1255 +32'h3fa0f400,32'h3f5fba7f,32'h3f68dc3b, 32'h3f58e132,32'h3f6fb588, 32'h3f4d7707,32'h3f7b1fb3,// invsqrt(1.2574) = 0.8918 +32'h3fc526c8,32'h3f4a2612,32'h3f526652, 32'h3f43f5e3,32'h3f589681, 32'h3f39a593,32'h3f62e6d1,// invsqrt(1.5402) = 0.8058 +32'h3d7d84d5,32'h407c1acb,32'h40833284, 32'h4074631e,32'h40870e5b, 32'h40678653,32'h408d7cc1,// invsqrt(0.0619) = 4.0195 +32'h3d4dee56,32'h408bdc4c,32'h409191b2, 32'h4087943f,32'h4095d9bf, 32'h4080717f,32'h409cfc7f,// invsqrt(0.0503) = 4.4598 +32'h3ecaa53e,32'h3fc763bf,32'h3fcf872a, 32'h3fc1492e,32'h3fd5a1ba, 32'h3fb71ce8,32'h3fdfce00,// invsqrt(0.3958) = 1.5895 +32'h3e80cc41,32'h3ffa1a0b,32'h400227ad, 32'h3ff27210,32'h4005fbaa, 32'h3fe5af6d,32'h400c5cfc,// invsqrt(0.2516) = 1.9938 +32'h3eeac6f7,32'h3fb93e58,32'h3fc0cdf4, 32'h3fb392a4,32'h3fc679a8, 32'h3faa1f22,32'h3fcfed2a,// invsqrt(0.4585) = 1.4768 +32'h4014e3be,32'h3f247beb,32'h3f2b329c, 32'h3f1f72e7,32'h3f303ba1, 32'h3f170e8a,32'h3f389ffe,// invsqrt(2.3264) = 0.6556 +32'h3f07c660,32'h3fac3eaf,32'h3fb34678, 32'h3fa6f8d9,32'h3fb88c4f, 32'h3f9e2f1f,32'h3fc15609,// invsqrt(0.5304) = 1.3731 +32'h41cb3099,32'h3e471f53,32'h3e4f3ff3, 32'h3e4106db,32'h3e55586b, 32'h3e36de13,32'h3e5f8133,// invsqrt(25.3987) = 0.1984 +32'h404ad960,32'h3f0ceb42,32'h3f12abb7, 32'h3f089ae9,32'h3f16fc0f, 32'h3f016a56,32'h3f1e2ca2,// invsqrt(3.1695) = 0.5617 +32'h3d83b359,32'h40775489,32'h4080b671, 32'h406fc246,32'h40847f93, 32'h406323d7,32'h408aceca,// invsqrt(0.0643) = 3.9434 +32'h3dd4b4a9,32'h40429e08,32'h404a8f95, 32'h403ca8dd,32'h405084bf, 32'h4032baed,32'h405a72af,// invsqrt(0.1039) = 3.1030 +32'h40c14e85,32'h3ecc262e,32'h3ed47b54, 32'h3ec5e651,32'h3edabb31, 32'h3ebb7be1,32'h3ee525a1,// invsqrt(6.0408) = 0.4069 +32'h3fef6cd2,32'h3f376fcb,32'h3f3eec85, 32'h3f31d240,32'h3f448a10, 32'h3f287657,32'h3f4de5f9,// invsqrt(1.8705) = 0.7312 +32'h3f5f062d,32'h3f8664e2,32'h3f8be12a, 32'h3f8247ac,32'h3f8ffe60, 32'h3f76d8a4,32'h3f96d9ba,// invsqrt(0.8712) = 1.0714 +32'h40b26b90,32'h3ed47ec3,32'h3edd2b1f, 32'h3ecdfd7f,32'h3ee3ac63, 32'h3ec3260c,32'h3eee83d6,// invsqrt(5.5756) = 0.4235 +32'h3f9bf457,32'h3f634924,32'h3f6c900c, 32'h3f5c53f7,32'h3f738539, 32'h3f50bb56,32'h3f7f1dda,// invsqrt(1.2184) = 0.9060 +32'h4124dc92,32'h3e9c503c,32'h3ea2b18d, 32'h3e978740,32'h3ea77a8a, 32'h3e8f8d9b,32'h3eaf742f,// invsqrt(10.3039) = 0.3115 +32'h3f61dcf1,32'h3f858bfa,32'h3f8aff68, 32'h3f817568,32'h3f8f15fa, 32'h3f754a3f,32'h3f95e643,// invsqrt(0.8823) = 1.0646 +32'h401059e2,32'h3f270cc0,32'h3f2dde40, 32'h3f21efa0,32'h3f32fb60, 32'h3f1969c0,32'h3f3b8140,// invsqrt(2.2555) = 0.6659 +32'h4108198a,32'h3eac0a07,32'h3eb30fa9, 32'h3ea6c5cd,32'h3eb853e3, 32'h3e9dfec2,32'h3ec11aee,// invsqrt(8.5062) = 0.3429 +32'h3f9ee7c1,32'h3f612a5e,32'h3f6a5b1e, 32'h3f5a45ce,32'h3f713fae, 32'h3f4ec8de,32'h3f7cbc9e,// invsqrt(1.2414) = 0.8975 +32'h3ea6fe96,32'h3fdba4f2,32'h3fe49c02, 32'h3fd4eba7,32'h3feb554d, 32'h3fc9b6d4,32'h3ff68a20,// invsqrt(0.3262) = 1.7510 +32'h3fd1d170,32'h3f43f3b1,32'h3f4bf331, 32'h3f3df412,32'h3f51f2d0, 32'h3f33f4b2,32'h3f5bf230,// invsqrt(1.6392) = 0.7811 +32'h3f6437f4,32'h3f84db16,32'h3f8a474c, 32'h3f80c9ef,32'h3f8e5873, 32'h3f740558,32'h3f951fb6,// invsqrt(0.8915) = 1.0591 +32'h3cada582,32'h40d7656c,32'h40e03018, 32'h40d0cd6c,32'h40e6c818, 32'h40c5d015,32'h40f1c56f,// invsqrt(0.0212) = 6.8685 +32'h3f22ffee,32'h3f9d3422,32'h3fa39ec0, 32'h3f98642b,32'h3fa86eb7, 32'h3f905ee6,32'h3fb073fc,// invsqrt(0.6367) = 1.2532 +32'h3eaa22d5,32'h3fd99b64,32'h3fe27d29, 32'h3fd2f20f,32'h3fe9267d, 32'h3fc7d7d9,32'h3ff440b3,// invsqrt(0.3323) = 1.7347 +32'h3f1e4e4b,32'h3f9f8468,32'h3fa60733, 32'h3f9aa250,32'h3faae94c, 32'h3f927ed3,32'h3fb30cc9,// invsqrt(0.6184) = 1.2717 +32'h3f8604e7,32'h3f752e73,32'h3f7f3059, 32'h3f6dad07,32'h3f8358e2, 32'h3f612aa9,32'h3f899a12,// invsqrt(1.0470) = 0.9773 +32'h3f97cc48,32'h3f66605e,32'h3f6fc790, 32'h3f5f52f7,32'h3f76d4f7, 32'h3f5391f8,32'h3f814afb,// invsqrt(1.1859) = 0.9183 +32'h417d1bca,32'h3e7c4f16,32'h3e834dbb, 32'h3e7495cf,32'h3e872a5e, 32'h3e67b659,32'h3e8d9a1a,// invsqrt(15.8193) = 0.2514 +32'h3ea55cf5,32'h3fdcb9a0,32'h3fe5bbfa, 32'h3fd5f7dc,32'h3fec7dbe, 32'h3fcab4ec,32'h3ff7c0ae,// invsqrt(0.3230) = 1.7596 +32'h3f593372,32'h3f882f08,32'h3f8dbe03, 32'h3f8403cb,32'h3f91e93f, 32'h3f7a2223,32'h3f98dbf9,// invsqrt(0.8484) = 1.0856 +32'h3f5f3c98,32'h3f86547f,32'h3f8bd01c, 32'h3f8237ca,32'h3f8fecd2, 32'h3f76ba8c,32'h3f96c756,// invsqrt(0.8720) = 1.0709 +32'h3e8dcfc9,32'h3fee5996,32'h3ff8141a, 32'h3fe70db4,32'h3fff5ffc, 32'h3fdae48f,32'h4005c490,// invsqrt(0.2770) = 1.9001 +32'h3fb40cd2,32'h3f5387fb,32'h3f5c2a43, 32'h3f4d0e44,32'h3f62a3fa, 32'h3f424369,32'h3f6d6ed5,// invsqrt(1.4066) = 0.8432 +32'h40ece833,32'h3eb868b3,32'h3ebfef95, 32'h3eb2c389,32'h3ec594bf, 32'h3ea95aed,32'h3ecefd5b,// invsqrt(7.4033) = 0.3675 +32'h3f1b6e00,32'h3fa0fc81,32'h3fa78ea5, 32'h3f9c0ee5,32'h3fac7c41, 32'h3f93d838,32'h3fb4b2ef,// invsqrt(0.6071) = 1.2834 +32'h3f5a5bfc,32'h3f87d270,32'h3f8d5da3, 32'h3f83aa09,32'h3f918609, 32'h3f797810,32'h3f98740a,// invsqrt(0.8530) = 1.0828 +32'h3f33c699,32'h3f95b06f,32'h3f9bcc89, 32'h3f911b5c,32'h3fa0619c, 32'h3f89783c,32'h3fa804bc,// invsqrt(0.7022) = 1.1933 +32'h40d85df2,32'h3ec0f6b3,32'h3ec8d6f9, 32'h3ebb0e7e,32'h3ecebf2e, 32'h3eb13627,32'h3ed89785,// invsqrt(6.7615) = 0.3846 +32'h3f117a29,32'h3fa666ea,32'h3fad31a6, 32'h3fa14ede,32'h3fb249b2, 32'h3f98d174,32'h3fbac71c,// invsqrt(0.5683) = 1.3265 +32'h402aafb6,32'h3f199f85,32'h3f1fe4ba, 32'h3f14eb9e,32'h3f2498a2, 32'h3f0d151d,32'h3f2c6f23,// invsqrt(2.6670) = 0.6123 +32'h405d78b7,32'h3f06dd44,32'h3f0c5e76, 32'h3f02bc5f,32'h3f107f5b, 32'h3ef7b5c1,32'h3f1760da,// invsqrt(3.4605) = 0.5376 +32'h3f2ca58a,32'h3f98bf9e,32'h3f9efbae, 32'h3f941291,32'h3fa3a8bb, 32'h3f8c477c,32'h3fab73d0,// invsqrt(0.6744) = 1.2177 +32'h3fb316ce,32'h3f541913,32'h3f5cc149, 32'h3f4d9aec,32'h3f633f70, 32'h3f42c8a9,32'h3f6e11b3,// invsqrt(1.3991) = 0.8454 +32'h40df57c5,32'h3ebded1f,32'h3ec5ada8, 32'h3eb81cb8,32'h3ecb7e0e, 32'h3eae6c0c,32'h3ed52eba,// invsqrt(6.9795) = 0.3785 +32'h40a6e8e3,32'h3edbb339,32'h3ee4aadd, 32'h3ed4f97e,32'h3eeb6498, 32'h3ec9c3f0,32'h3ef69a26,// invsqrt(5.2159) = 0.4379 +32'h425841fb,32'h3e087afa,32'h3e0e0d0e, 32'h3e044d6a,32'h3e123a9e, 32'h3dfaada1,32'h3e193138,// invsqrt(54.0644) = 0.1360 +32'h400efd2b,32'h3f27d7f7,32'h3f2eb1c2, 32'h3f22b49e,32'h3f33d51a, 32'h3f1a2460,32'h3f3c6558,// invsqrt(2.2342) = 0.6690 +32'h3f8a1bd2,32'h3f71862e,32'h3f7b61dc, 32'h3f6a216b,32'h3f81634f, 32'h3f5dced1,32'h3f878c9c,// invsqrt(1.0790) = 0.9627 +32'h3f753eac,32'h3f80293c,32'h3f856462, 32'h3f7879bc,32'h3f8950c0, 32'h3f6b65db,32'h3f8fdab0,// invsqrt(0.9580) = 1.0217 +32'h3f6f58b1,32'h3f81bb0b,32'h3f870698, 32'h3f7b84c0,32'h3f8aff42, 32'h3f6e47df,32'h3f919db3,// invsqrt(0.9349) = 1.0342 +32'h3fbc8c75,32'h3f4eb57e,32'h3f572564, 32'h3f486192,32'h3f5d7950, 32'h3f3dd5b2,32'h3f680530,// invsqrt(1.4730) = 0.8239 +32'h3eea20d3,32'h3fb98006,32'h3fc11250, 32'h3fb3d24f,32'h3fc6c007, 32'h3faa5b74,32'h3fd036e3,// invsqrt(0.4573) = 1.4788 +32'h3ca95d33,32'h40da1a35,32'h40e30128, 32'h40d36d00,32'h40e9ae5e, 32'h40c84c51,32'h40f4cf0d,// invsqrt(0.0207) = 6.9548 +32'h3e72c812,32'h4000cf42,32'h4006112f, 32'h3ff9bb9e,32'h400a02a1, 32'h3fec96cb,32'h4010950a,// invsqrt(0.2371) = 2.0537 +32'h3f724bce,32'h3f80f045,32'h3f86338c, 32'h3f79fba1,32'h3f8a2601, 32'h3f6cd370,32'h3f90ba1a,// invsqrt(0.9465) = 1.0279 +32'h3fa51afd,32'h3f5ce5b4,32'h3f65e9da, 32'h3f562297,32'h3f6cacf7, 32'h3f4add66,32'h3f77f228,// invsqrt(1.2899) = 0.8805 +32'h401d5030,32'h3f20050a,32'h3f268d14, 32'h3f1b1f01,32'h3f2b731d, 32'h3f12f4f4,32'h3f339d2a,// invsqrt(2.4580) = 0.6378 +32'h400c8c68,32'h3f294b68,32'h3f30345c, 32'h3f241cb0,32'h3f356314, 32'h3f1b797f,32'h3f3e0645,// invsqrt(2.1961) = 0.6748 +32'h3f74228f,32'h3f8073b9,32'h3f85b1eb, 32'h3f790a29,32'h3f89a090, 32'h3f6beead,32'h3f902e4d,// invsqrt(0.9537) = 1.0240 +32'h3f860fe1,32'h3f752469,32'h3f7f25e6, 32'h3f6da34c,32'h3f835382, 32'h3f612171,32'h3f899470,// invsqrt(1.0474) = 0.9771 +32'h3e8b78b8,32'h3ff05758,32'h3ffa26aa, 32'h3fe8fbdb,32'h4000c114, 32'h3fdcb8b4,32'h4006e2a7,// invsqrt(0.2724) = 1.9160 +32'h3ec1995f,32'h3fcbfeb3,32'h3fd4523d, 32'h3fc5c00c,32'h3fda90e4, 32'h3fbb579f,32'h3fe4f951,// invsqrt(0.3781) = 1.6262 +32'h3f9c904e,32'h3f62d7d3,32'h3f6c1a1b, 32'h3f5be61e,32'h3f730bd0, 32'h3f505345,32'h3f7e9ea9,// invsqrt(1.2232) = 0.9042 +32'h3d8c113d,32'h406fd45a,32'h40799e53, 32'h40687cde,32'h40807ae7, 32'h405c4067,32'h40869922,// invsqrt(0.0684) = 3.8238 +32'h3c6392c1,32'h41050b46,32'h410a7972, 32'h4100f8a4,32'h410e8c14, 32'h40f45dd9,32'h411555cc,// invsqrt(0.0139) = 8.4850 +32'h41aa9e96,32'h3e594c6a,32'h3e622af6, 32'h3e52a581,32'h3e68d1df, 32'h3e478f52,32'h3e73e80e,// invsqrt(21.3274) = 0.2165 +32'h3f0b9059,32'h3fa9e403,32'h3fb0d333, 32'h3fa4b0a0,32'h3fb60696, 32'h3f9c05a5,32'h3fbeb191,// invsqrt(0.5452) = 1.3544 +32'h4038168b,32'h3f13ecf1,32'h3f19f69d, 32'h3f0f65b0,32'h3f1e7dde, 32'h3f07d99a,32'h3f2609f4,// invsqrt(2.8764) = 0.5896 +32'h3ee58242,32'h3fbb5b8c,32'h3fc3013e, 32'h3fb59f46,32'h3fc8bd84, 32'h3fac1028,32'h3fd24ca2,// invsqrt(0.4483) = 1.4936 +32'h409b3aae,32'h3ee3d0e8,32'h3eed1d5a, 32'h3edcd793,32'h3ef416af, 32'h3ed13804,32'h3effb63e,// invsqrt(4.8509) = 0.4540 +32'h3f5333e0,32'h3f8a1aa2,32'h3f8fbdae, 32'h3f85e059,32'h3f93f7f7, 32'h3f7da915,32'h3f9b03c6,// invsqrt(0.8250) = 1.1010 +32'h3cce3175,32'h40c5aab7,32'h40cdbc22, 32'h40bf9da8,32'h40d3c932, 32'h40b587e2,32'h40dddef8,// invsqrt(0.0252) = 6.3032 +32'h40636843,32'h3f0517b4,32'h3f0a8662, 32'h3f0104b1,32'h3f0e9965, 32'h3ef474ad,32'h3f1563bf,// invsqrt(3.5532) = 0.5305 +32'h3f715a54,32'h3f8130b6,32'h3f86769e, 32'h3f7a7890,32'h3f8a6b0c, 32'h3f6d49cc,32'h3f91026e,// invsqrt(0.9428) = 1.0299 +32'h3fd66072,32'h3f41db79,32'h3f49c515, 32'h3f3bec43,32'h3f4fb44b, 32'h3f320840,32'h3f59984e,// invsqrt(1.6748) = 0.7727 +32'h3edd41fc,32'h3fbed1af,32'h3fc69b8c, 32'h3fb8fa48,32'h3fcc72f2, 32'h3faf3df4,32'h3fd62f46,// invsqrt(0.4321) = 1.5212 +32'h3f051a63,32'h3fadf70d,32'h3fb510cf, 32'h3fa8a3bc,32'h3fba6420, 32'h3f9fc389,32'h3fc34453,// invsqrt(0.5199) = 1.3868 +32'h3c4e97cd,32'h410ba2e3,32'h411155f1, 32'h41075c98,32'h41159c3c, 32'h41003cc6,32'h411cbc0e,// invsqrt(0.0126) = 8.9054 +32'h3f805b51,32'h3f7a87fa,32'h3f8260e3, 32'h3f72dca2,32'h3f86368f, 32'h3f661463,32'h3f8c9aae,// invsqrt(1.0028) = 0.9986 +32'h4188f212,32'h3e728c30,32'h3e7c7290, 32'h3e6b1f68,32'h3e81efac, 32'h3e5ebf70,32'h3e881fa8,// invsqrt(17.1182) = 0.2417 +32'h3e0db084,32'h40289c8b,32'h402f7e5c, 32'h4023732d,32'h4034a7b9, 32'h401ad8e8,32'h403d41ff,// invsqrt(0.1384) = 2.6883 +32'h3fe267d9,32'h3f3ca321,32'h3f445632, 32'h3f36dcd4,32'h3f4a1c7e, 32'h3f2d3cfe,32'h3f53bc54,// invsqrt(1.7688) = 0.7519 +32'h3e769d27,32'h3fff9c13,32'h40050578, 32'h3ff7c8ef,32'h4008ef0b, 32'h3feabe5b,32'h400f7454,// invsqrt(0.2408) = 2.0377 +32'h3e343ddf,32'h40157ee0,32'h401b98f3, 32'h4010eb51,32'h40202c81, 32'h40094ab8,32'h4027cd1a,// invsqrt(0.1760) = 2.3835 +32'h404f8aca,32'h3f0b510d,32'h3f1100c5, 32'h3f070d44,32'h3f15448e, 32'h3effe33d,32'h3f1c6034,// invsqrt(3.2428) = 0.5553 +32'h3f336b37,32'h3f95d689,32'h3f9bf431, 32'h3f91404c,32'h3fa08a6e, 32'h3f899b3a,32'h3fa82f80,// invsqrt(0.7009) = 1.1945 +32'h404a2cf9,32'h3f0d274a,32'h3f12ea33, 32'h3f08d51c,32'h3f173c62, 32'h3f01a179,32'h3f1e7005,// invsqrt(3.1590) = 0.5626 +32'h401d2bac,32'h3f20179f,32'h3f26a06d, 32'h3f1b3106,32'h3f2b8706, 32'h3f130605,32'h3f33b207,// invsqrt(2.4558) = 0.6381 +32'h3fead048,32'h3f393aac,32'h3f40ca20, 32'h3f338f14,32'h3f4675b8, 32'h3f2a1bc2,32'h3f4fe90a,// invsqrt(1.8345) = 0.7383 +32'h3fb8b571,32'h3f50d8b7,32'h3f595ef3, 32'h3f4a740a,32'h3f5fc3a0, 32'h3f3fcc40,32'h3f6a6b6a,// invsqrt(1.4430) = 0.8325 +32'h3f2b8630,32'h3f993f5b,32'h3f9f80a3, 32'h3f948e65,32'h3fa43199, 32'h3f8cbccc,32'h3fac0332,// invsqrt(0.6700) = 1.2217 +32'h3fc83630,32'h3f48990e,32'h3f50c91a, 32'h3f427506,32'h3f56ed22, 32'h3f3838f8,32'h3f612930,// invsqrt(1.5642) = 0.7996 +32'h3ecbf99b,32'h3fc6bd1e,32'h3fced9bc, 32'h3fc0a7a8,32'h3fd4ef32, 32'h3fb683e2,32'h3fdf12f8,// invsqrt(0.3984) = 1.5843 +32'h40bdfa47,32'h3ecdee19,32'h3ed655db, 32'h3ec7a047,32'h3edca3ad, 32'h3ebd1e94,32'h3ee72560,// invsqrt(5.9368) = 0.4104 +32'h3ee369ce,32'h3fbc3806,32'h3fc3e6b8, 32'h3fb67501,32'h3fc9a9bd, 32'h3facdaa2,32'h3fd3441c,// invsqrt(0.4442) = 1.5005 +32'h3db2d34e,32'h40544117,32'h405ceaef, 32'h404dc1b6,32'h40636a50, 32'h4042ed69,32'h406e3e9d,// invsqrt(0.0873) = 3.3842 +32'h3f6476f2,32'h3f84c8c4,32'h3f8a343a, 32'h3f80b82c,32'h3f8e44d2, 32'h3f73e3b1,32'h3f950b25,// invsqrt(0.8924) = 1.0585 +32'h3ff0c95a,32'h3f36ead8,32'h3f3e6225, 32'h3f31515f,32'h3f43fb9f, 32'h3f27fc3f,32'h3f4d50bf,// invsqrt(1.8811) = 0.7291 +32'h3f93229a,32'h3f69ff94,32'h3f738c9f, 32'h3f62d5cb,32'h3f7ab667, 32'h3f56e57d,32'h3f83535b,// invsqrt(1.1495) = 0.9327 +32'h3e7a347d,32'h3ffdc4c8,32'h40041034, 32'h3ff60010,32'h4007f290, 32'h3fe90d89,32'h400e6bd4,// invsqrt(0.2443) = 2.0230 +32'h3fc7a869,32'h3f48e03b,32'h3f51132d, 32'h3f42ba05,32'h3f573963, 32'h3f387a55,32'h3f617913,// invsqrt(1.5598) = 0.8007 +32'h40974fc1,32'h3ee6bf16,32'h3ef02a27, 32'h3edfaeca,32'h3ef73a74, 32'h3ed3e8f6,32'h3f018024,// invsqrt(4.7285) = 0.4599 +32'h3e015676,32'h40307aa9,32'h4037aeb0, 32'h402b13a4,32'h403d15b6, 32'h4022129c,32'h404616be,// invsqrt(0.1263) = 2.8138 +32'h3ecafe74,32'h3fc737ea,32'h3fcf598b, 32'h3fc11eb1,32'h3fd572c3, 32'h3fb6f4a7,32'h3fdf9ccd,// invsqrt(0.3965) = 1.5882 +32'h3ff06ab7,32'h3f370ed5,32'h3f3e879a, 32'h3f317442,32'h3f44222e, 32'h3f281d4c,32'h3f4d7924,// invsqrt(1.8783) = 0.7297 +32'h3f004529,32'h3fb13648,32'h3fb871f8, 32'h3fabc985,32'h3fbddebb, 32'h3fa2beea,32'h3fc6e956,// invsqrt(0.5011) = 1.4127 +32'h3f5d943b,32'h3f86d4e4,32'h3f8c55be, 32'h3f82b440,32'h3f907662, 32'h3f77a65f,32'h3f975773,// invsqrt(0.8655) = 1.0749 +32'h3dce9322,32'h40457bf6,32'h404d8b79, 32'h403f7055,32'h4053971b, 32'h40355cf2,32'h405daa7e,// invsqrt(0.1009) = 3.1487 +32'h3ff9f4ff,32'h3f3387d2,32'h3f3adbbc, 32'h3f2e08e3,32'h3f405aab, 32'h3f24e000,32'h3f49838e,// invsqrt(1.9528) = 0.7156 +32'h3f0f6907,32'h3fa798cd,32'h3fae7004, 32'h3fa27763,32'h3fb3916d, 32'h3f99ea5e,32'h3fbc1e72,// invsqrt(0.5602) = 1.3361 +32'h3f552cf9,32'h3f8976a4,32'h3f8f12fe, 32'h3f854160,32'h3f934842, 32'h3f7c7bdf,32'h3f9a4bb3,// invsqrt(0.8327) = 1.0959 +32'h40c77a6f,32'h3ec8f760,32'h3ed12b44, 32'h3ec2d074,32'h3ed75230, 32'h3eb88f97,32'h3ee1930d,// invsqrt(6.2337) = 0.4005 +32'h40aeee45,32'h3ed69aa4,32'h3edf5d09, 32'h3ed008d9,32'h3ee5eed5, 32'h3ec515db,32'h3ef0e1d3,// invsqrt(5.4666) = 0.4277 +32'h400e5770,32'h3f283990,32'h3f2f1758, 32'h3f23133b,32'h3f343dad, 32'h3f1a7e02,32'h3f3cd2e6,// invsqrt(2.2241) = 0.6705 +32'h41156efa,32'h3ea42f39,32'h3eaae2c8, 32'h3e9f288d,32'h3eafe973, 32'h3e96c81a,32'h3eb849e6,// invsqrt(9.3396) = 0.3272 +32'h3fa84c3b,32'h3f5acace,32'h3f63b8f6, 32'h3f541830,32'h3f6a6b94, 32'h3f48ee7f,32'h3f759545,// invsqrt(1.3148) = 0.8721 +32'h4055e723,32'h3f093ac5,32'h3f0ed4ae, 32'h3f050757,32'h3f13081d, 32'h3efc0de8,32'h3f1a0880,// invsqrt(3.3422) = 0.5470 +32'h3fb0263a,32'h3f55dc48,32'h3f5e96e8, 32'h3f4f5050,32'h3f6522e0, 32'h3f446709,32'h3f700c27,// invsqrt(1.3762) = 0.8524 +32'h40193ae5,32'h3f222341,32'h3f28c16d, 32'h3f1d2c9f,32'h3f2db80f, 32'h3f14e6e8,32'h3f35fdc6,// invsqrt(2.3942) = 0.6463 +32'h3f0402a9,32'h3faeaefc,32'h3fb5d040, 32'h3fa95609,32'h3fbb2933, 32'h3fa06c75,32'h3fc412c7,// invsqrt(0.5157) = 1.3926 +32'h3ecef140,32'h3fc54f09,32'h3fcd5cb5, 32'h3fbf44c7,32'h3fd366f7, 32'h3fb533af,32'h3fdd780f,// invsqrt(0.4042) = 1.5729 +32'h3f4a797b,32'h3f8d0c9d,32'h3f92ce6f, 32'h3f88bb3f,32'h3f971fcd, 32'h3f8188f9,32'h3f9e5213,// invsqrt(0.7909) = 1.1244 +32'h3e8df507,32'h3fee3a50,32'h3ff7f38c, 32'h3fe6ef62,32'h3fff3e7a, 32'h3fdac7d6,32'h4005b303,// invsqrt(0.2773) = 1.8991 +32'h3f5d6a01,32'h3f86e1bf,32'h3f8c631f, 32'h3f82c0b6,32'h3f908428, 32'h3f77bdfb,32'h3f9765e0,// invsqrt(0.8649) = 1.0753 +32'h3f99a079,32'h3f650045,32'h3f6e5919, 32'h3f5dfda6,32'h3f755bb8, 32'h3f524e9e,32'h3f808560,// invsqrt(1.2002) = 0.9128 +32'h3f4b57ac,32'h3f8cbf78,32'h3f927e24, 32'h3f887077,32'h3f96cd25, 32'h3f814220,32'h3f9dfb7c,// invsqrt(0.7943) = 1.1220 +32'h3fd0737d,32'h3f4497e8,32'h3f4c9e1c, 32'h3f3e9342,32'h3f52a2c2, 32'h3f348b82,32'h3f5caa82,// invsqrt(1.6285) = 0.7836 +32'h40079698,32'h3f2c5d06,32'h3f33660c, 32'h3f271642,32'h3f38acd0, 32'h3f1e4afb,32'h3f417817,// invsqrt(2.1186) = 0.6870 +32'h3e918c0b,32'h3feb4581,32'h3ff4dfda, 32'h3fe411bf,32'h3ffc139d, 32'h3fd810d0,32'h40040a46,// invsqrt(0.2843) = 1.8756 +32'h3eb4575b,32'h3fd35c40,32'h3fdbfcc0, 32'h3fcce3e0,32'h3fe27520, 32'h3fc21b40,32'h3fed3dc0,// invsqrt(0.3522) = 1.6850 +32'h4089fefe,32'h3ef19f67,32'h3efb7c1d, 32'h3eea39df,32'h3f0170d3, 32'h3edde5fb,32'h3f079ac4,// invsqrt(4.3124) = 0.4816 +32'h3f9796ea,32'h3f6688e7,32'h3f6ff1c2, 32'h3f5f7a44,32'h3f770066, 32'h3f53b733,32'h3f8161bc,// invsqrt(1.1843) = 0.9189 +32'h410319c7,32'h3eaf49de,32'h3eb67174, 32'h3ea9ec2d,32'h3ebbcf25, 32'h3ea0fab2,32'h3ec4c0a0,// invsqrt(8.1938) = 0.3493 +32'h3e77d8cf,32'h3ffef919,32'h4004b0a7, 32'h3ff72af1,32'h400897bb, 32'h3fea28af,32'h400f18dd,// invsqrt(0.2420) = 2.0326 +32'h3f07ed8e,32'h3fac25db,32'h3fb32ca0, 32'h3fa6e0c7,32'h3fb871b3, 32'h3f9e1850,32'h3fc13a2a,// invsqrt(0.5310) = 1.3724 +32'h3fd659b6,32'h3f41de85,32'h3f49c841, 32'h3f3bef38,32'h3f4fb78e, 32'h3f320b0c,32'h3f599bba,// invsqrt(1.6746) = 0.7728 +32'h3fcc0279,32'h3f46b8cc,32'h3f4ed53d, 32'h3f40a378,32'h3f54ea92, 32'h3f367feb,32'h3f5f0e1f,// invsqrt(1.5938) = 0.7921 +32'h41dc24fc,32'h3e3f4d0b,32'h3e471bf1, 32'h3e3971de,32'h3e4cf71e, 32'h3e2faf3e,32'h3e56b9be,// invsqrt(27.5181) = 0.1906 +32'h3f3971fc,32'h3f93621e,32'h3f99661f, 32'h3f8edf1c,32'h3f9de920, 32'h3f875a1b,32'h3fa56e21,// invsqrt(0.7244) = 1.1749 +32'h404119c2,32'h3f106ea8,32'h3f1653d4, 32'h3f0c02c8,32'h3f1abfb4, 32'h3f04a451,32'h3f221e2b,// invsqrt(3.0172) = 0.5757 +32'h3e539681,32'h4009fa6e,32'h400f9c2a, 32'h4005c122,32'h4013d576, 32'h3ffd6def,32'h401adfa0,// invsqrt(0.2066) = 2.1999 +32'h3f179f05,32'h3fa2fee5,32'h3fa9a609, 32'h3f9e018b,32'h3faea363, 32'h3f95b09e,32'h3fb6f450,// invsqrt(0.5923) = 1.2994 +32'h3ef89958,32'h3fb4052e,32'h3fbb5e36, 32'h3fae8269,32'h3fc0e0fb, 32'h3fa55320,32'h3fca1044,// invsqrt(0.4855) = 1.4351 +32'h400c4841,32'h3f297482,32'h3f305f24, 32'h3f244488,32'h3f358f1e, 32'h3f1b9f3e,32'h3f3e3468,// invsqrt(2.1919) = 0.6754 +32'h3d968826,32'h406757e0,32'h4070c92e, 32'h406042e7,32'h4077de27, 32'h40547546,32'h4081d5e4,// invsqrt(0.0735) = 3.6885 +32'h3e81ee25,32'h3ff9026d,32'h40019629, 32'h3ff16302,32'h400565df, 32'h3fe4aea3,32'h400bc00e,// invsqrt(0.2538) = 1.9851 +32'h3fb3f6d2,32'h3f5394e8,32'h3f5c37b8, 32'h3f4d1acc,32'h3f62b1d4, 32'h3f424f48,32'h3f6d7d58,// invsqrt(1.4060) = 0.8434 +32'h40be9000,32'h3ecd9d23,32'h3ed60198, 32'h3ec751cc,32'h3edc4cf0, 32'h3ebcd43b,32'h3ee6ca81,// invsqrt(5.9551) = 0.4098 +32'h3f54cbce,32'h3f899603,32'h3f8f33a5, 32'h3f855fc9,32'h3f9369df, 32'h3f7cb57e,32'h3f9a6ee9,// invsqrt(0.8312) = 1.0968 +32'h3f0e781c,32'h3fa82645,32'h3faf0343, 32'h3fa30087,32'h3fb42901, 32'h3f9a6c4a,32'h3fbcbd3e,// invsqrt(0.5565) = 1.3405 +32'h3e847932,32'h3ff69b93,32'h40005630, 32'h3fef0ef9,32'h40041c7c, 32'h3fe279fa,32'h400a66fc,// invsqrt(0.2587) = 1.9659 +32'h3e3758de,32'h40143962,32'h401a462c, 32'h400fafca,32'h401ecfc4, 32'h40081fcd,32'h40265fc1,// invsqrt(0.1790) = 2.3633 +32'h416c88e1,32'h3e827fdb,32'h3e87d371, 32'h3e7d0255,32'h3e8bd222, 32'h3e6fb15e,32'h3e927a9d,// invsqrt(14.7834) = 0.2601 +32'h3f44cbd7,32'h3f8f11d7,32'h3f94e8c5, 32'h3f8ab0a4,32'h3f9949f8, 32'h3f8363f9,32'h3fa096a3,// invsqrt(0.7687) = 1.1405 +32'h3f432364,32'h3f8fad1b,32'h3f958a61, 32'h3f8b4728,32'h3f99f054, 32'h3f83f291,32'h3fa144eb,// invsqrt(0.7623) = 1.1454 +32'h3f95c4b5,32'h3f67eea1,32'h3f716615, 32'h3f60d50a,32'h3f787fac, 32'h3f54ffb8,32'h3f822a7f,// invsqrt(1.1701) = 0.9245 +32'h414db311,32'h3e8bf070,32'h3e91a6a9, 32'h3e87a7c6,32'h3e95ef54, 32'h3e8083ff,32'h3e9d131b,// invsqrt(12.8562) = 0.2789 +32'h4291bfd3,32'h3deb1bb3,32'h3df4b457, 32'h3de3e938,32'h3dfbe6d2, 32'h3dd7ea6b,32'h3e03f2d0,// invsqrt(72.8747) = 0.1171 +32'h3faa289d,32'h3f5997b1,32'h3f627950, 32'h3f52ee7b,32'h3f692287, 32'h3f47d474,32'h3f743c8e,// invsqrt(1.3294) = 0.8673 +32'h3f65da2f,32'h3f846200,32'h3f89c944, 32'h3f80548d,32'h3f8dd6b7, 32'h3f7326f0,32'h3f9497cc,// invsqrt(0.8979) = 1.0553 +32'h400565b6,32'h3f2dc5e8,32'h3f34dda9, 32'h3f287419,32'h3f3a2f79, 32'h3f1f9668,32'h3f430d2a,// invsqrt(2.0843) = 0.6927 +32'h411b5883,32'h3ea107a3,32'h3ea79a3c, 32'h3e9c19b1,32'h3eac882f, 32'h3e93e272,32'h3eb4bf6e,// invsqrt(9.7091) = 0.3209 +32'h409e8bc8,32'h3ee16ba4,32'h3eea9f0e, 32'h3eda8515,32'h3ef1859d, 32'h3ecf04d0,32'h3efd05e2,// invsqrt(4.9546) = 0.4493 +32'h3eb3d6e4,32'h3fd3a7b0,32'h3fdc4b44, 32'h3fcd2d01,32'h3fe2c5f3, 32'h3fc26087,32'h3fed926d,// invsqrt(0.3512) = 1.6873 +32'h40696b3b,32'h3f035e17,32'h3f08babf, 32'h3efeb132,32'h3f0cc03d, 32'h3ef1498d,32'h3f13740f,// invsqrt(3.6472) = 0.5236 +32'h3e03edbb,32'h402ebcd7,32'h4035deab, 32'h40296377,32'h403b380b, 32'h4020792e,32'h40442254,// invsqrt(0.1288) = 2.7860 +32'h3fdda21b,32'h3f3ea849,32'h3f467076, 32'h3f38d228,32'h3f4c4698, 32'h3f2f17f0,32'h3f5600d0,// invsqrt(1.7315) = 0.7600 +32'h3e82dcf5,32'h3ff81ed0,32'h40011fb5, 32'h3ff0865c,32'h4004ebef, 32'h3fe3dd9a,32'h400b4050,// invsqrt(0.2556) = 1.9780 +32'h3f930e16,32'h3f6a0fe6,32'h3f739d9c, 32'h3f62e59e,32'h3f7ac7e4, 32'h3f56f47a,32'h3f835c84,// invsqrt(1.1489) = 0.9330 +32'h3efdb17d,32'h3fb23420,32'h3fb97a2c, 32'h3facbf97,32'h3fbeeeb5, 32'h3fa3a809,32'h3fc80643,// invsqrt(0.4955) = 1.4206 +32'h40cf20fb,32'h3ec5384c,32'h3ecd450b, 32'h3ebf2ebc,32'h3ed34e9a, 32'h3eb51ecd,32'h3edd5e89,// invsqrt(6.4728) = 0.3931 +32'h40f2bb5e,32'h3eb62ed2,32'h3ebd9e72, 32'h3eb09b1a,32'h3ec3322a, 32'h3ea74f92,32'h3ecc7db2,// invsqrt(7.5854) = 0.3631 +32'h3fbe521c,32'h3f4dbe8f,32'h3f562461, 32'h3f477232,32'h3f5c70be, 32'h3f3cf2ec,32'h3f66f004,// invsqrt(1.4869) = 0.8201 +32'h3f976525,32'h3f66aec9,32'h3f70192f, 32'h3f5f9efc,32'h3f7728fc, 32'h3f53d9fc,32'h3f8176fe,// invsqrt(1.1828) = 0.9195 +32'h408ea851,32'h3eeda46e,32'h3ef7578c, 32'h3ee65e17,32'h3efe9de3, 32'h3eda3e30,32'h3f055ee5,// invsqrt(4.4580) = 0.4736 +32'h409d5827,32'h3ee24796,32'h3eeb83fa, 32'h3edb5a4b,32'h3ef27145, 32'h3ecfcece,32'h3efdfcc2,// invsqrt(4.9170) = 0.4510 +32'h40290b03,32'h3f1a5e38,32'h3f20ab36, 32'h3f15a47b,32'h3f2564f3, 32'h3f0dc43e,32'h3f2d4530,// invsqrt(2.6413) = 0.6153 +32'h3f34d262,32'h3f95416f,32'h3f9b5901, 32'h3f90afc2,32'h3f9feaae, 32'h3f89124c,32'h3fa78824,// invsqrt(0.7063) = 1.1899 +32'h3f8dd936,32'h3f6e51ab,32'h3f780bdb, 32'h3f670606,32'h3f7f5780, 32'h3f5add49,32'h3f85c01e,// invsqrt(1.1082) = 0.9499 +32'h4015818b,32'h3f242506,32'h3f2ad82b, 32'h3f1f1eab,32'h3f2fde87, 32'h3f16bebd,32'h3f383e75,// invsqrt(2.3360) = 0.6543 +32'h3ec373bc,32'h3fcb0690,32'h3fd34ff9, 32'h3fc4cf81,32'h3fd98707, 32'h3fba73bd,32'h3fe3e2cb,// invsqrt(0.3817) = 1.6185 +32'h3f81a77f,32'h3f79463c,32'h3f81b973, 32'h3f71a4bd,32'h3f858a33, 32'h3f64ece9,32'h3f8be61d,// invsqrt(1.0129) = 0.9936 +32'h3fa3b1e9,32'h3f5dd8cf,32'h3f66e6e1, 32'h3f570e40,32'h3f6db170, 32'h3f4bbca9,32'h3f790307,// invsqrt(1.2789) = 0.8843 +32'h3f3aa4f4,32'h3f92e8b9,32'h3f98e7c5, 32'h3f8e696f,32'h3f9d670f, 32'h3f86ea9f,32'h3fa4e5df,// invsqrt(0.7291) = 1.1712 +32'h3f5b5d3f,32'h3f8782b3,32'h3f8d0aa6, 32'h3f835cbe,32'h3f91309c, 32'h3f78e59e,32'h3f981a8b,// invsqrt(0.8569) = 1.0803 +32'h3ee6c279,32'h3fbad961,32'h3fc279c3, 32'h3fb52118,32'h3fc8320c, 32'h3fab989d,32'h3fd1ba87,// invsqrt(0.4507) = 1.4895 +32'h4050d556,32'h3f0ae29f,32'h3f108dd5, 32'h3f06a237,32'h3f14ce3d, 32'h3eff1868,32'h3f1be440,// invsqrt(3.2630) = 0.5536 +32'h3dd119b0,32'h404449b8,32'h404c4cba, 32'h403e4776,32'h40524efc, 32'h403443b3,32'h405c52bf,// invsqrt(0.1021) = 3.1296 +32'h40a8f241,32'h3eda5f32,32'h3ee348f6, 32'h3ed3afe0,32'h3ee9f848, 32'h3ec88bac,32'h3ef51c7c,// invsqrt(5.2796) = 0.4352 +32'h40e75533,32'h3eba9e16,32'h3ec23c0c, 32'h3eb4e79d,32'h3ec7f285, 32'h3eab6229,32'h3ed177f9,// invsqrt(7.2292) = 0.3719 +32'h416f42b8,32'h3e81c100,32'h3e870ccb, 32'h3e7b904d,32'h3e8b05a4, 32'h3e6e52cf,32'h3e91a462,// invsqrt(14.9538) = 0.2586 +32'h4087cc94,32'h3ef391bd,32'h3efd82c9, 32'h3eec1cf3,32'h3f027bca, 32'h3edfafa3,32'h3f08b272,// invsqrt(4.2437) = 0.4854 +32'h3f78493d,32'h3f7ebf58,32'h3f849299, 32'h3f76f2f6,32'h3f8878cb, 32'h3f69f3a5,32'h3f8ef873,// invsqrt(0.9699) = 1.0154 +32'h3ef1679f,32'h3fb6aed8,32'h3fbe23b2, 32'h3fb11735,32'h3fc3bb55, 32'h3fa7c524,32'h3fcd0d66,// invsqrt(0.4715) = 1.4563 +32'h40f1aaba,32'h3eb69579,32'h3ebe094a, 32'h3eb0fe9d,32'h3ec3a027, 32'h3ea7add8,32'h3eccf0ec,// invsqrt(7.5521) = 0.3639 +32'h3fadba68,32'h3f575877,32'h3f60229b, 32'h3f50c0dc,32'h3f66ba36, 32'h3f45c42e,32'h3f71b6e4,// invsqrt(1.3573) = 0.8584 +32'h3e16f7c5,32'h40235916,32'h402a03e8, 32'h401e58f9,32'h402f0405, 32'h40160372,32'h4037598c,// invsqrt(0.1474) = 2.6044 +32'h3f8ae5e3,32'h3f70d63f,32'h3f7aaabf, 32'h3f6976df,32'h3f81050f, 32'h3f5d2d3f,32'h3f8729df,// invsqrt(1.0851) = 0.9600 +32'h3f065f5f,32'h3fad242e,32'h3fb43555, 32'h3fa7d752,32'h3fb98232, 32'h3f9f01e2,32'h3fc257a2,// invsqrt(0.5249) = 1.3803 +32'h3dee6a11,32'h4037d33b,32'h403f5403, 32'h403232a4,32'h4044f49a, 32'h4028d1a9,32'h404e5595,// invsqrt(0.1164) = 2.9309 +32'h409e2112,32'h3ee1b7a7,32'h3eeaee2b, 32'h3edacec4,32'h3ef1d70e, 32'h3ecf4a9e,32'h3efd5b34,// invsqrt(4.9415) = 0.4499 +32'h3f981393,32'h3f662a57,32'h3f6f8f55, 32'h3f5f1e98,32'h3f769b14, 32'h3f53605a,32'h3f812ca9,// invsqrt(1.1881) = 0.9174 +32'h3eff5609,32'h3fb1a123,32'h3fb8e12f, 32'h3fac311a,32'h3fbe5138, 32'h3fa3210b,32'h3fc76147,// invsqrt(0.4987) = 1.4161 +32'h3f4436a0,32'h3f8f4833,32'h3f952159, 32'h3f8ae556,32'h3f998436, 32'h3f8395e5,32'h3fa0d3a7,// invsqrt(0.7665) = 1.1422 +32'h401bbbbc,32'h3f20d44e,32'h3f2764cf, 32'h3f1be7ee,32'h3f2c5130, 32'h3f13b34e,32'h3f3485d1,// invsqrt(2.4333) = 0.6411 +32'h3e050995,32'h402e0209,32'h40351c3e, 32'h4028ae62,32'h403a6fe6, 32'h401fcda0,32'h404350a8,// invsqrt(0.1299) = 2.7744 +32'h40569e73,32'h3f09001e,32'h3f0e97a2, 32'h3f04ce7b,32'h3f12c945, 32'h3efba22d,32'h3f19c6aa,// invsqrt(3.3534) = 0.5461 +32'h3f80903a,32'h3f7a5467,32'h3f82460c, 32'h3f72aaa2,32'h3f861aee, 32'h3f65e506,32'h3f8c7dbc,// invsqrt(1.0044) = 0.9978 +32'h40dad2a0,32'h3ebfe0b9,32'h3ec7b5a7, 32'h3eba0107,32'h3ecd9559, 32'h3eb036de,32'h3ed75f82,// invsqrt(6.8382) = 0.3824 +32'h403b4f5b,32'h3f12a5d7,32'h3f18a228, 32'h3f0e2899,32'h3f1d1f65, 32'h3f06ad32,32'h3f249acc,// invsqrt(2.9267) = 0.5845 +32'h3e7012d5,32'h400188b6,32'h4006d236, 32'h3ffb232d,32'h400ac956, 32'h3fedeb6e,32'h40116535,// invsqrt(0.2344) = 2.0653 +32'h3f0dd036,32'h3fa889b2,32'h3faf6abe, 32'h3fa360e8,32'h3fb49388, 32'h3f9ac799,32'h3fbd2cd7,// invsqrt(0.5540) = 1.3436 +32'h3f600f9f,32'h3f86152e,32'h3f8b8e36, 32'h3f81fa69,32'h3f8fa8fb, 32'h3f764640,32'h3f968044,// invsqrt(0.8752) = 1.0689 +32'h3e9f4d90,32'h3fe0e25f,32'h3fea102f, 32'h3fda0003,32'h3ff0f28b, 32'h3fce86c0,32'h3ffc6bce,// invsqrt(0.3111) = 1.7928 +32'h3f90a3e1,32'h3f6c0208,32'h3f75a412, 32'h3f64c880,32'h3f7cdd9a, 32'h3f58bdf2,32'h3f847414,// invsqrt(1.1300) = 0.9407 +32'h3f5fc526,32'h3f862b7c,32'h3f8ba56c, 32'h3f821008,32'h3f8fc0e0, 32'h3f766f37,32'h3f96994c,// invsqrt(0.8741) = 1.0696 +32'h4014136f,32'h3f24ef75,32'h3f2baadd, 32'h3f1fe2e7,32'h3f30b76b, 32'h3f1778a5,32'h3f3921ad,// invsqrt(2.3137) = 0.6574 +32'h3ef5341a,32'h3fb5432b,32'h3fbca92d, 32'h3fafb6aa,32'h3fc235ae, 32'h3fa67728,32'h3fcb7530,// invsqrt(0.4789) = 1.4450 +32'h3eeac892,32'h3fb93db6,32'h3fc0cd4a, 32'h3fb39206,32'h3fc678fa, 32'h3faa1e8d,32'h3fcfec73,// invsqrt(0.4586) = 1.4767 +32'h3f10cf49,32'h3fa6c8fb,32'h3fad97b7, 32'h3fa1adee,32'h3fb2b2c4, 32'h3f992b83,32'h3fbb352f,// invsqrt(0.5657) = 1.3296 +32'h40794503,32'h3efe3e91,32'h3f044f94, 32'h3ef6761e,32'h3f0833cd, 32'h3ee97d60,32'h3f0eb02c,// invsqrt(3.8948) = 0.5067 +32'h3e2a21cb,32'h4019df8b,32'h4020275d, 32'h401529ae,32'h4024dd3a, 32'h400d4fe8,32'h402cb700,// invsqrt(0.1661) = 2.4533 +32'h3f5c1ea2,32'h3f874720,32'h3f8ccca3, 32'h3f8322fc,32'h3f90f0c6, 32'h3f78782f,32'h3f97d7ab,// invsqrt(0.8598) = 1.0784 +32'h3f3050b2,32'h3f9726aa,32'h3f9d520a, 32'h3f928622,32'h3fa1f292, 32'h3f8acfeb,32'h3fa9a8c9,// invsqrt(0.6887) = 1.2050 +32'h3f8ae154,32'h3f70da33,32'h3f7aaedd, 32'h3f697ab4,32'h3f81072e, 32'h3f5d30e1,32'h3f872c18,// invsqrt(1.0850) = 0.9600 +32'h40a0b3c7,32'h3edfe72f,32'h3ee90abe, 32'h3ed90c84,32'h3eefe56a, 32'h3ecda012,32'h3efb51dd,// invsqrt(5.0219) = 0.4462 +32'h40262965,32'h3f1bb361,32'h3f220e4b, 32'h3f16ef32,32'h3f26d27a, 32'h3f0efd8d,32'h3f2ec41f,// invsqrt(2.5963) = 0.6206 +32'h3f8e26cc,32'h3f6e1098,32'h3f77c821, 32'h3f66c6f2,32'h3f7f11c8, 32'h3f5aa187,32'h3f859b9a,// invsqrt(1.1106) = 0.9489 +32'h3ec48094,32'h3fca7b7e,32'h3fd2bf3a, 32'h3fc448b1,32'h3fd8f207, 32'h3fb9f406,32'h3fe346b2,// invsqrt(0.3838) = 1.6142 +32'h3f41be99,32'h3f90312a,32'h3f9613d3, 32'h3f8bc72c,32'h3f9a7dd2, 32'h3f846bd9,32'h3fa1d925,// invsqrt(0.7568) = 1.1495 +32'h40085ab6,32'h3f2be0e5,32'h3f32e4d9, 32'h3f269ded,32'h3f3827d1, 32'h3f1dd8fc,32'h3f40ecc2,// invsqrt(2.1305) = 0.6851 +32'h3dbabfc8,32'h404fb3d7,32'h40582e1e, 32'h40495821,32'h405e89d3, 32'h403ebf47,32'h406922ad,// invsqrt(0.0912) = 3.3116 +32'h3f4e0add,32'h3f8bd29d,32'h3f91879e, 32'h3f878adc,32'h3f95cf5e, 32'h3f80689a,32'h3f9cf1a0,// invsqrt(0.8049) = 1.1147 +32'h3f59e139,32'h3f87f8ae,32'h3f8d8571, 32'h3f83cf1b,32'h3f91af03, 32'h3f79be4e,32'h3f989ef7,// invsqrt(0.8511) = 1.0840 +32'h40783920,32'h3efec79d,32'h3f0496e7, 32'h3ef6fafa,32'h3f087d39, 32'h3ee9fb3d,32'h3f0efd17,// invsqrt(3.8785) = 0.5078 +32'h4143d506,32'h3e8f6be3,32'h3e95467f, 32'h3e8b07ef,32'h3e99aa73, 32'h3e83b6ac,32'h3ea0fbb6,// invsqrt(12.2395) = 0.2858 +32'h3cc48013,32'h40ca7bc0,32'h40d2bf7f, 32'h40c448f2,32'h40d8f24e, 32'h40b9f443,32'h40e346fd,// invsqrt(0.0240) = 6.4567 +32'h3f530264,32'h3f8a2ad2,32'h3f8fce88, 32'h3f85f00b,32'h3f94094f, 32'h3f7dc6d1,32'h3f9b15f2,// invsqrt(0.8243) = 1.1015 +32'h3cc6b01f,32'h40c95d97,32'h40d195a7, 32'h40c3338a,32'h40d7bfb4, 32'h40b8ed76,32'h40e205c9,// invsqrt(0.0243) = 6.4211 +32'h3f9f81c9,32'h3f60bd8c,32'h3f69e9db, 32'h3f59dc51,32'h3f70cb17, 32'h3f4e64ef,32'h3f7c4279,// invsqrt(1.2461) = 0.8958 +32'h40e92492,32'h3eb9e446,32'h3ec17aa6, 32'h3eb4337d,32'h3ec72b6f, 32'h3eaab784,32'h3ed0a768,// invsqrt(7.2857) = 0.3705 +32'h40ae4252,32'h3ed7046c,32'h3edfcb22, 32'h3ed06f63,32'h3ee6602b, 32'h3ec57700,32'h3ef1588e,// invsqrt(5.4456) = 0.4285 +32'h40569fe8,32'h3f08ffa7,32'h3f0e9725, 32'h3f04ce07,32'h3f12c8c5, 32'h3efba151,32'h3f19c623,// invsqrt(3.3535) = 0.5461 +32'h3ee5cb13,32'h3fbb3ddb,32'h3fc2e257, 32'h3fb5827e,32'h3fc89db4, 32'h3fabf4e3,32'h3fd22b4f,// invsqrt(0.4488) = 1.4927 +32'h4004fcad,32'h3f2e0a7b,32'h3f352507, 32'h3f28b691,32'h3f3a78f1, 32'h3f1fd561,32'h3f435a21,// invsqrt(2.0779) = 0.6937 +32'h407cfea1,32'h3efc5da0,32'h3f03554c, 32'h3ef4a3e7,32'h3f073228, 32'h3ee7c3b3,32'h3f0da243,// invsqrt(3.9530) = 0.5030 +32'h3e20ecb7,32'h401e36c4,32'h4024abf0, 32'h40195ee2,32'h402983d2, 32'h40114c6b,32'h40319649,// invsqrt(0.1572) = 2.5225 +32'h3fa24f1e,32'h3f5ecac3,32'h3f67e2b6, 32'h3f57f8cc,32'h3f6eb4ac, 32'h3f4c9adc,32'h3f7a129c,// invsqrt(1.2680) = 0.8880 +32'h40ac731f,32'h3ed8246f,32'h3ee0f6e7, 32'h3ed18696,32'h3ee794c0, 32'h3ec67f80,32'h3ef29bd6,// invsqrt(5.3891) = 0.4308 +32'h417068c2,32'h3e81718e,32'h3e86ba1c, 32'h3e7af648,32'h3e8ab086, 32'h3e6dc0e6,32'h3e914b37,// invsqrt(15.0256) = 0.2580 +32'h4035b412,32'h3f14e4a1,32'h3f1af869, 32'h3f1055cb,32'h3f1f873f, 32'h3f08bd11,32'h3f271ff9,// invsqrt(2.8391) = 0.5935 +32'h40485b2e,32'h3f0dcaff,32'h3f139497, 32'h3f0973ce,32'h3f17ebc8, 32'h3f0237d0,32'h3f1f27c6,// invsqrt(3.1306) = 0.5652 +32'h40075056,32'h3f2c89c0,32'h3f339498, 32'h3f27419d,32'h3f38dcbb, 32'h3f1e740e,32'h3f41aa4a,// invsqrt(2.1143) = 0.6877 +32'h3ffd690b,32'h3f324d97,32'h3f3994ad, 32'h3f2cd847,32'h3f3f09fd, 32'h3f23bf6b,32'h3f4822d9,// invsqrt(1.9798) = 0.7107 +32'h3f719a11,32'h3f811faa,32'h3f8664e0, 32'h3f7a5783,32'h3f8a58c8, 32'h3f6d2a7c,32'h3f90ef4c,// invsqrt(0.9438) = 1.0294 +32'h3fa129fc,32'h3f5f9504,32'h3f68b538, 32'h3f58bcdc,32'h3f6f8d60, 32'h3f4d549b,32'h3f7af5a1,// invsqrt(1.2591) = 0.8912 +32'h3e484230,32'h400dd3d8,32'h40139dcc, 32'h40097c61,32'h4017f543, 32'h40023ff0,32'h401f31b4,// invsqrt(0.1956) = 2.2613 +32'h412a9199,32'h3e99ad14,32'h3e9ff2d6, 32'h3e94f8c2,32'h3ea4a728, 32'h3e8d2190,32'h3eac7e5a,// invsqrt(10.6605) = 0.3063 +32'h40ad2874,32'h3ed7b325,32'h3ee080fd, 32'h3ed118c3,32'h3ee71b5f, 32'h3ec61776,32'h3ef21cac,// invsqrt(5.4112) = 0.4299 +32'h3f5da292,32'h3f86d087,32'h3f8c5134, 32'h3f82b006,32'h3f9071b6, 32'h3f779e5c,32'h3f97528e,// invsqrt(0.8658) = 1.0747 +32'h3e547e3a,32'h4009af1e,32'h400f4dc6, 32'h40057820,32'h401384c4, 32'h3ffce39a,32'h401a8b17,// invsqrt(0.2075) = 2.1952 +32'h418a5a7b,32'h3e714f77,32'h3e7b28e9, 32'h3e69ec61,32'h3e814600, 32'h3e5d9c92,32'h3e876de7,// invsqrt(17.2942) = 0.2405 +32'h3faacd29,32'h3f592ec8,32'h3f620c1e, 32'h3f5288c7,32'h3f68b21f, 32'h3f47741b,32'h3f73c6cb,// invsqrt(1.3344) = 0.8657 +32'h3f821996,32'h3f78d8d7,32'h3f818085, 32'h3f713ab2,32'h3f854f98, 32'h3f648872,32'h3f8ba8b8,// invsqrt(1.0164) = 0.9919 +32'h3fc36234,32'h3f4b0fab,32'h3f535973, 32'h3f44d855,32'h3f5990c9, 32'h3f3a7c1a,32'h3f63ed04,// invsqrt(1.5264) = 0.8094 +32'h3f278f9c,32'h3f9b0c9a,32'h3fa160b5, 32'h3f964d85,32'h3fa61fc9, 32'h3f8e6463,32'h3fae08eb,// invsqrt(0.6545) = 1.2360 +32'h3beb891e,32'h4138f1ef,32'h41407e6c, 32'h41334892,32'h414627ca, 32'h4129d8f6,32'h414f9766,// invsqrt(0.0072) = 11.7950 +32'h3dc2908c,32'h404b7cf6,32'h4053cb34, 32'h40454247,32'h405a05e3, 32'h403ae079,32'h406467b1,// invsqrt(0.0950) = 3.2444 +32'h3f510dbc,32'h3f8acfe2,32'h3f907a54, 32'h3f86900d,32'h3f94ba29, 32'h3f7ef5fd,32'h3f9bcf37,// invsqrt(0.8166) = 1.1066 +32'h408ec3c9,32'h3eed8d90,32'h3ef73fc0, 32'h3ee647ec,32'h3efe8564, 32'h3eda2931,32'h3f055210,// invsqrt(4.4614) = 0.4734 +32'h3dbf43ed,32'h404d3c56,32'h40559cd7, 32'h4046f3f6,32'h405be538, 32'h403c7b55,32'h40665dd9,// invsqrt(0.0934) = 3.2723 +32'h414a7418,32'h3e8d0e7d,32'h3e92d063, 32'h3e88bd11,32'h3e9721cf, 32'h3e818ab2,32'h3e9e542e,// invsqrt(12.6533) = 0.2811 +32'h418bd22f,32'h3e700a67,32'h3e79d695, 32'h3e68b144,32'h3e8097dc, 32'h3e5c720b,32'h3e86b778,// invsqrt(17.4776) = 0.2392 +32'h3d6ba9b4,32'h4082bd97,32'h408813b2, 32'h407d7a04,32'h408c1446, 32'h407022c1,32'h4092bfe8,// invsqrt(0.0575) = 4.1690 +32'h3ed0c3e3,32'h3fc4720a,32'h3fcc76b1, 32'h3fbe6e8c,32'h3fd27a2e, 32'h3fb468ba,32'h3fdc8000,// invsqrt(0.4077) = 1.5661 +32'h4021d510,32'h3f1dc508,32'h3f243590, 32'h3f18f0a2,32'h3f2909f6, 32'h3f10e3f8,32'h3f3116a0,// invsqrt(2.5286) = 0.6289 +32'h3ed25a86,32'h3fc3b3cd,32'h3fcbb0b1, 32'h3fbdb622,32'h3fd1ae5c, 32'h3fb3ba06,32'h3fdbaa79,// invsqrt(0.4108) = 1.5601 +32'h3f5ccc19,32'h3f8711f1,32'h3f8c954a, 32'h3f82ef70,32'h3f90b7cc, 32'h3f781683,32'h3f979bfb,// invsqrt(0.8625) = 1.0768 +32'h3eda7175,32'h3fc00b61,32'h3fc7e20d, 32'h3fba2a61,32'h3fcdc30d, 32'h3fb05e0b,32'h3fd78f63,// invsqrt(0.4266) = 1.5310 +32'h3ed310cd,32'h3fc35f3a,32'h3fcb58aa, 32'h3fbd6426,32'h3fd153be, 32'h3fb36c5a,32'h3fdb4b8a,// invsqrt(0.4122) = 1.5575 +32'h3fd29e63,32'h3f439443,32'h3f4b8fde, 32'h3f3d9790,32'h3f518c92, 32'h3f339d0f,32'h3f5b8713,// invsqrt(1.6455) = 0.7796 +32'h40fdf641,32'h3eb21bfe,32'h3eb9610e, 32'h3eaca832,32'h3ebed4da, 32'h3ea391df,32'h3ec7eb2d,// invsqrt(7.9363) = 0.3550 +32'h3f4bbbe2,32'h3f8c9cd6,32'h3f925a18, 32'h3f884ee4,32'h3f96a80a, 32'h3f812252,32'h3f9dd49c,// invsqrt(0.7958) = 1.1210 +32'h3ef07b9a,32'h3fb70868,32'h3fbe80e9, 32'h3fb16e06,32'h3fc41b4a, 32'h3fa81764,32'h3fcd71ec,// invsqrt(0.4697) = 1.4591 +32'h3f2fd022,32'h3f975de4,32'h3f9d8b84, 32'h3f92bbab,32'h3fa22dbd, 32'h3f8b02a2,32'h3fa9e6c6,// invsqrt(0.6868) = 1.2067 +32'h408fafb0,32'h3eecca3e,32'h3ef67475, 32'h3ee58a96,32'h3efdb41e, 32'h3ed975d1,32'h3f04e472,// invsqrt(4.4902) = 0.4719 +32'h3fdf8ad1,32'h3f3dd76e,32'h3f459714, 32'h3f3807b1,32'h3f4b66d1, 32'h3f2e5821,32'h3f551661,// invsqrt(1.7464) = 0.7567 +32'h40858917,32'h3ef5a003,32'h3effa68b, 32'h3eee1b1d,32'h3f0395b8, 32'h3ee192f3,32'h3f09d9cd,// invsqrt(4.1730) = 0.4895 +32'h3ded5bad,32'h40383bd1,32'h403fc0df, 32'h40329807,32'h404564a9, 32'h402931b6,32'h404ecafa,// invsqrt(0.1159) = 2.9374 +32'h405a68c1,32'h3f07ce77,32'h3f0d5981, 32'h3f03a62f,32'h3f1181c9, 32'h3ef970c6,32'h3f186f95,// invsqrt(3.4126) = 0.5413 +32'h40158cf7,32'h3f241ec2,32'h3f2ad1a5, 32'h3f1f1897,32'h3f2fd7cf, 32'h3f16b8fb,32'h3f38376b,// invsqrt(2.3367) = 0.6542 +32'h41548aa5,32'h3e89ab18,32'h3e8f4997, 32'h3e85743a,32'h3e938076, 32'h3e7cdc38,32'h3e9a8694,// invsqrt(13.2838) = 0.2744 +32'h3ebd0f78,32'h3fce6dd3,32'h3fd6dacb, 32'h3fc81c18,32'h3fdd2c86, 32'h3fbd93e1,32'h3fe7b4bd,// invsqrt(0.3693) = 1.6456 +32'h3f877738,32'h3f73de6e,32'h3f7dd29c, 32'h3f6c674b,32'h3f82a4df, 32'h3f5ff611,32'h3f88dd7c,// invsqrt(1.0583) = 0.9721 +32'h4083a805,32'h3ef75f2d,32'h3f00bbfb, 32'h3eefcc97,32'h3f048546, 32'h3ee32d9c,32'h3f0ad4c3,// invsqrt(4.1143) = 0.4930 +32'h40786a90,32'h3efeae42,32'h3f0489b5, 32'h3ef6e265,32'h3f086fa4, 32'h3ee9e3f4,32'h3f0eeedc,// invsqrt(3.8815) = 0.5076 +32'h42001057,32'h3e315ad0,32'h3e3897fd, 32'h3e2becee,32'h3e3e05de, 32'h3e22e075,32'h3e471257,// invsqrt(32.0160) = 0.1767 +32'h4017e35b,32'h3f22da36,32'h3f297fda, 32'h3f1dddfb,32'h3f2e7c15, 32'h3f158eee,32'h3f36cb22,// invsqrt(2.3733) = 0.6491 +32'h3f306349,32'h3f971eb3,32'h3f9d49bf, 32'h3f927e69,32'h3fa1ea09, 32'h3f8ac89a,32'h3fa99fd8,// invsqrt(0.6890) = 1.2047 +32'h3fb80ad9,32'h3f51396b,32'h3f59c399, 32'h3f4ad1c8,32'h3f602b3c, 32'h3f40250f,32'h3f6ad7f5,// invsqrt(1.4378) = 0.8340 +32'h40b22c90,32'h3ed4a451,32'h3edd5235, 32'h3ece21e6,32'h3ee3d4a0, 32'h3ec34889,32'h3eeeadfd,// invsqrt(5.5679) = 0.4238 +32'h3f63f23a,32'h3f84ef66,32'h3f8a5c70, 32'h3f80dd9f,32'h3f8e6e37, 32'h3f742aa7,32'h3f953682,// invsqrt(0.8904) = 1.0598 +32'h3f8e4806,32'h3f6df4ca,32'h3f77ab31, 32'h3f66abfe,32'h3f7ef3fe, 32'h3f5a87fe,32'h3f858bff,// invsqrt(1.1116) = 0.9485 +32'h3f0d7f0e,32'h3fa8ba00,32'h3faf9d06, 32'h3fa38fbc,32'h3fb4c74a, 32'h3f9af3f6,32'h3fbd6310,// invsqrt(0.5527) = 1.3451 +32'h3fadcc91,32'h3f574d36,32'h3f6016e5, 32'h3f50b5f4,32'h3f66ae28, 32'h3f45b9d9,32'h3f71aa43,// invsqrt(1.3578) = 0.8582 +32'h3f85747c,32'h3f75b2f8,32'h3f7fba47, 32'h3f6e2d7e,32'h3f839fe1, 32'h3f61a45d,32'h3f89e472,// invsqrt(1.0426) = 0.9793 +32'h3e8a2656,32'h3ff17cfd,32'h3ffb584b, 32'h3fea1882,32'h40015e63, 32'h3fddc660,32'h40078774,// invsqrt(0.2698) = 1.9251 +32'h40aec7a2,32'h3ed6b25c,32'h3edf75b8, 32'h3ed01fd6,32'h3ee6083e, 32'h3ec52ba3,32'h3ef0fc71,// invsqrt(5.4619) = 0.4279 +32'h3fac6c34,32'h3f5828c5,32'h3f60fb6a, 32'h3f518aca,32'h3f679966, 32'h3f46837c,32'h3f72a0b4,// invsqrt(1.3471) = 0.8616 +32'h4133675e,32'h3e95d824,32'h3e9bf5dc, 32'h3e9141da,32'h3ea08c26, 32'h3e899cb3,32'h3ea8314d,// invsqrt(11.2127) = 0.2986 +32'h3f2d3f6a,32'h3f987bb9,32'h3f9eb505, 32'h3f93d0c1,32'h3fa35ffd, 32'h3f8c0922,32'h3fab279c,// invsqrt(0.6767) = 1.2156 +32'h407db496,32'h3efc0310,32'h3f03262a, 32'h3ef44c1c,32'h3f0701a4, 32'h3ee77087,32'h3f0d6f6f,// invsqrt(3.9641) = 0.5023 +32'h4177fd09,32'h3e7ee679,32'h3e84a6f6, 32'h3e7718e3,32'h3e888dc1, 32'h3e6a1793,32'h3e8f0e68,// invsqrt(15.4993) = 0.2540 +32'h3f51a596,32'h3f8a9d93,32'h3f9045f7, 32'h3f865f48,32'h3f948442, 32'h3f7e9996,32'h3f9b96bf,// invsqrt(0.8189) = 1.1050 +32'h3f8123f3,32'h3f79c511,32'h3f81fb74, 32'h3f721fb0,32'h3f85ce25, 32'h3f656164,32'h3f8c2d4b,// invsqrt(1.0089) = 0.9956 +32'h3e641cb2,32'h4004e306,32'h400a4f8e, 32'h4000d1a0,32'h400e60f4, 32'h3ff413ec,32'h4015289e,// invsqrt(0.2228) = 2.1187 +32'h3e3c966f,32'h40122674,32'h40181d92, 32'h400dad1c,32'h401c96ea, 32'h40063836,32'h40240bd0,// invsqrt(0.1842) = 2.3302 +32'h40288ac5,32'h3f1a98e8,32'h3f20e84a, 32'h3f15dd5e,32'h3f25a3d4, 32'h3f0dfa23,32'h3f2d870f,// invsqrt(2.6335) = 0.6162 +32'h3f2a40b1,32'h3f99d194,32'h3fa018d3, 32'h3f951c24,32'h3fa4ce42, 32'h3f8d4314,32'h3faca752,// invsqrt(0.6650) = 1.2262 +32'h3f410b27,32'h3f90741f,32'h3f965983, 32'h3f8c0813,32'h3f9ac58f, 32'h3f84a956,32'h3fa2244c,// invsqrt(0.7541) = 1.1516 +32'h3ec9e289,32'h3fc7c3ce,32'h3fcfeb25, 32'h3fc1a64e,32'h3fd608a6, 32'h3fb77521,32'h3fe039d3,// invsqrt(0.3943) = 1.5925 +32'h3f8081dc,32'h3f7a6265,32'h3f824d54, 32'h3f72b832,32'h3f86226d, 32'h3f65f1df,32'h3f8c8596,// invsqrt(1.0040) = 0.9980 +32'h3f38f7d1,32'h3f9392c2,32'h3f9998bf, 32'h3f8f0e43,32'h3f9e1d3d, 32'h3f8786c6,32'h3fa5a4ba,// invsqrt(0.7225) = 1.1764 +32'h3f16607c,32'h3fa3ab2c,32'h3faa5958, 32'h3f9ea88c,32'h3faf5bf8, 32'h3f964ed5,32'h3fb7b5af,// invsqrt(0.5874) = 1.3048 +32'h3ff77bed,32'h3f346cdf,32'h3f3bca21, 32'h3f2ee6ed,32'h3f415013, 32'h3f25b25a,32'h3f4a84a6,// invsqrt(1.9335) = 0.7192 +32'h3ff24925,32'h3f3659bf,32'h3f3dcb1f, 32'h3f30c4b6,32'h3f436028, 32'h3f2776fe,32'h3f4cade1,// invsqrt(1.8929) = 0.7268 +32'h3f919ffa,32'h3f6b3567,32'h3f74cf17, 32'h3f640222,32'h3f7c025c, 32'h3f580206,32'h3f84013c,// invsqrt(1.1377) = 0.9375 +32'h400b900f,32'h3f29e430,32'h3f30d362, 32'h3f24b0cc,32'h3f3606c6, 32'h3f1c05ce,32'h3f3eb1c4,// invsqrt(2.1807) = 0.6772 +32'h3ea98e00,32'h3fd9fad0,32'h3fe2e07a, 32'h3fd34e90,32'h3fe98cba, 32'h3fc82f7b,32'h3ff4abcf,// invsqrt(0.3312) = 1.7377 +32'h3f98f93b,32'h3f657d51,32'h3f6edb3f, 32'h3f5e76de,32'h3f75e1b2, 32'h3f52c174,32'h3f80cb8e,// invsqrt(1.1951) = 0.9147 +32'h40d4b57d,32'h3ec29da7,32'h3eca8f31, 32'h3ebca880,32'h3ed08458, 32'h3eb2ba94,32'h3eda7244,// invsqrt(6.6472) = 0.3879 +32'h3eeab090,32'h3fb9472f,32'h3fc0d727, 32'h3fb39b36,32'h3fc68320, 32'h3faa2740,32'h3fcff716,// invsqrt(0.4584) = 1.4770 +32'h3f84adc8,32'h3f766aaf,32'h3f803cbe, 32'h3f6edf95,32'h3f84024c, 32'h3f624d14,32'h3f8a4b8c,// invsqrt(1.0366) = 0.9822 +32'h405c19d9,32'h3f074898,32'h3f0cce2c, 32'h3f03246a,32'h3f10f25a, 32'h3ef87ae4,32'h3f17d952,// invsqrt(3.4391) = 0.5392 +32'h40691846,32'h3f037575,32'h3f08d311, 32'h3efede7f,32'h3f0cd946, 32'h3ef17479,32'h3f138e4a,// invsqrt(3.6421) = 0.5240 +32'h3e328de0,32'h4016334b,32'h401c54bc, 32'h40119a37,32'h4020edd1, 32'h4009f06a,32'h4028979e,// invsqrt(0.1744) = 2.3948 +32'h3f292b46,32'h3f9a4f80,32'h3fa09be3, 32'h3f959635,32'h3fa5552d, 32'h3f8db6b9,32'h3fad34a9,// invsqrt(0.6608) = 1.2302 +32'h3f4e825f,32'h3f8baa22,32'h3f915d7c, 32'h3f87639e,32'h3f95a400, 32'h3f80436e,32'h3f9cc430,// invsqrt(0.8067) = 1.1134 +32'h3fb6308a,32'h3f524913,32'h3f5ade58, 32'h3f4bd920,32'h3f614e4c, 32'h3f411e8a,32'h3f6c08e2,// invsqrt(1.4234) = 0.8382 +32'h3f32d22f,32'h3f961698,32'h3f9c36dd, 32'h3f917e65,32'h3fa0cf11, 32'h3f89d60f,32'h3fa87767,// invsqrt(0.6985) = 1.1965 +32'h3d5428a6,32'h4089cae0,32'h408f6aaa, 32'h40859308,32'h4093a282, 32'h407d1696,32'h409aaa3f,// invsqrt(0.0518) = 4.3939 +32'h40309739,32'h3f170878,32'h3f1d329c, 32'h3f1268dd,32'h3f21d237, 32'h3f0ab42f,32'h3f2986e5,// invsqrt(2.7592) = 0.6020 +32'h3f84db8d,32'h3f76403a,32'h3f8026a6, 32'h3f6eb66c,32'h3f83eb8d, 32'h3f622616,32'h3f8a33b8,// invsqrt(1.0380) = 0.9815 +32'h3ebb6820,32'h3fcf5678,32'h3fd7ccf0, 32'h3fc8fd9e,32'h3fde25ca, 32'h3fbe6988,32'h3fe8b9e0,// invsqrt(0.3660) = 1.6529 +32'h3f8ddb95,32'h3f6e4fad,32'h3f7809c9, 32'h3f670418,32'h3f7f555e, 32'h3f5adb75,32'h3f85bf00,// invsqrt(1.1083) = 0.9499 +32'h400e3ab9,32'h3f284a8b,32'h3f2f2903, 32'h3f2323b0,32'h3f344fde, 32'h3f1a8d9a,32'h3f3ce5f5,// invsqrt(2.2223) = 0.6708 +32'h3c779cfb,32'h40ff17e5,32'h4104c0ae, 32'h40f748cb,32'h4108a83a, 32'h40ea44f6,32'h410f2a25,// invsqrt(0.0151) = 8.1344 +32'h3fef9581,32'h3f376037,32'h3f3edc4f, 32'h3f31c326,32'h3f447960, 32'h3f286809,32'h3f4dd47d,// invsqrt(1.8717) = 0.7309 +32'h3e9d7525,32'h3fe232c0,32'h3feb6e4a, 32'h3fdb4618,32'h3ff25af2, 32'h3fcfbbab,32'h3ffde55f,// invsqrt(0.3075) = 1.8032 +32'h4097b784,32'h3ee67021,32'h3eefd7f9, 32'h3edf6240,32'h3ef6e5da, 32'h3ed3a072,32'h3f0153d4,// invsqrt(4.7412) = 0.4593 +32'h420adfc3,32'h3e2a4fe4,32'h3e31437b, 32'h3e251934,32'h3e367a2c, 32'h3e1c68b8,32'h3e3f2aa8,// invsqrt(34.7185) = 0.1697 +32'h3eb06703,32'h3fd5b4ff,32'h3fde6e05, 32'h3fcf2a3c,32'h3fe4f8c8, 32'h3fc442f5,32'h3fefe00f,// invsqrt(0.3445) = 1.7037 +32'h3f74e9b4,32'h3f803f75,32'h3f857b85, 32'h3f78a4d4,32'h3f896890, 32'h3f6b8eae,32'h3f8ff3a3,// invsqrt(0.9567) = 1.0224 +32'h437341a4,32'h3d80af0e,32'h3d85efab, 32'h3d797d2f,32'h3d89e021, 32'h3d6c5ba6,32'h3d9070e5,// invsqrt(243.2564) = 0.0641 +32'h3fd04a48,32'h3f44ab59,32'h3f4cb258, 32'h3f3ea61b,32'h3f52b797, 32'h3f349d5d,32'h3f5cc055,// invsqrt(1.6273) = 0.7839 +32'h3e6340b2,32'h40052349,32'h400a9271, 32'h40010fec,32'h400ea5ce, 32'h3ff489f4,32'h401570c0,// invsqrt(0.2219) = 2.1227 +32'h3ffe2e32,32'h3f320864,32'h3f394ca6, 32'h3f2c9532,32'h3f3ebfd8, 32'h3f237fde,32'h3f47d52c,// invsqrt(1.9858) = 0.7096 +32'h3f9a3d6b,32'h3f648ba5,32'h3f6ddfb6, 32'h3f5d8c98,32'h3f74dec2, 32'h3f51e382,32'h3f8043ec,// invsqrt(1.2050) = 0.9110 +32'h402e2cfb,32'h3f181399,32'h3f1e48a4, 32'h3f136bd0,32'h3f22f06c, 32'h3f0ba981,32'h3f2ab2bb,// invsqrt(2.7215) = 0.6062 +32'h3d5a9078,32'h4087c220,32'h408d4ca9, 32'h40839a39,32'h4091748f, 32'h40795a1a,32'h409861bb,// invsqrt(0.0534) = 4.3290 +32'h408422a3,32'h3ef6ec4c,32'h3f008032, 32'h3eef5d3a,32'h3f0447bb, 32'h3ee2c41c,32'h3f0a944a,// invsqrt(4.1292) = 0.4921 +32'h40253930,32'h3f1c2467,32'h3f2283ed, 32'h3f175cc2,32'h3f274b92, 32'h3f0f6559,32'h3f2f42fb,// invsqrt(2.5816) = 0.6224 +32'h409cbd87,32'h3ee2b717,32'h3eebf809, 32'h3edbc662,32'h3ef2e8be, 32'h3ed03535,32'h3efe79eb,// invsqrt(4.8981) = 0.4518 +32'h417c68b3,32'h3e7ca888,32'h3e837c47, 32'h3e74ec85,32'h3e875a4a, 32'h3e68087e,32'h3e8dcc4d,// invsqrt(15.7756) = 0.2518 +32'h3edd3602,32'h3fbed6d9,32'h3fc6a0ed, 32'h3fb8ff4b,32'h3fcc787b, 32'h3faf42b3,32'h3fd63513,// invsqrt(0.4321) = 1.5214 +32'h40c35668,32'h3ecb15cd,32'h3ed35fd5, 32'h3ec4de47,32'h3ed9975b, 32'h3eba81bc,32'h3ee3f3e6,// invsqrt(6.1043) = 0.4047 +32'h3da79b3f,32'h405b3e34,32'h40643111, 32'h4054880d,32'h406ae737, 32'h40495878,32'h407616cc,// invsqrt(0.0818) = 3.4956 +32'h3ed06862,32'h3fc49d25,32'h3fcca38f, 32'h3fbe9856,32'h3fd2a85e, 32'h3fb49051,32'h3fdcb063,// invsqrt(0.4070) = 1.5674 +32'h3ee52bf8,32'h3fbb7ecf,32'h3fc325f1, 32'h3fb5c175,32'h3fc8e34b, 32'h3fac308a,32'h3fd27436,// invsqrt(0.4476) = 1.4947 +32'h3d9e3033,32'h4061acdb,32'h406ae2ef, 32'h405ac44d,32'h4071cb7d, 32'h404f40b4,32'h407d4f16,// invsqrt(0.0772) = 3.5981 +32'h40021481,32'h3f2ff990,32'h3f372852, 32'h3f2a967f,32'h3f3c8b63, 32'h3f219c0c,32'h3f4585d6,// invsqrt(2.0325) = 0.7014 +32'h3fc37718,32'h3f4b04d1,32'h3f534e28, 32'h3f44cdd0,32'h3f59852a, 32'h3f3a7224,32'h3f63e0d6,// invsqrt(1.5271) = 0.8092 +32'h3eae23f5,32'h3fd7172a,32'h3fdfdea4, 32'h3fd0818f,32'h3fe6743f, 32'h3fc58836,32'h3ff16d98,// invsqrt(0.3401) = 1.7147 +32'h3f0df50b,32'h3fa873d3,32'h3faf53fb, 32'h3fa34bb5,32'h3fb47c19, 32'h3f9ab383,32'h3fbd144b,// invsqrt(0.5545) = 1.3429 +32'h3e25452f,32'h401c1ebc,32'h40227e08, 32'h40175744,32'h40274580, 32'h400f6025,32'h402f3c9f,// invsqrt(0.1614) = 2.4892 +32'h3f6c004c,32'h3f82a598,32'h3f87fab8, 32'h3f7d4b7f,32'h3f8bfa90, 32'h3f6ff6ae,32'h3f92a4f9,// invsqrt(0.9219) = 1.0415 +32'h4115735d,32'h3ea42cd0,32'h3eaae046, 32'h3e9f2637,32'h3eafe6df, 32'h3e96c5e4,32'h3eb84733,// invsqrt(9.3407) = 0.3272 +32'h3f7883dc,32'h3f7ea14b,32'h3f8482f5, 32'h3f76d5d2,32'h3f8868b1, 32'h3f69d80b,32'h3f8ee795,// invsqrt(0.9708) = 1.0149 +32'h3e6f899b,32'h4001adcb,32'h4006f8cf, 32'h3ffb6b12,32'h400af111, 32'h3fee2f8b,32'h40118ed5,// invsqrt(0.2339) = 2.0676 +32'h3f5373ee,32'h3f8a05b5,32'h3f8fa7e7, 32'h3f85cc10,32'h3f93e18c, 32'h3f7d82a6,32'h3f9aec49,// invsqrt(0.8260) = 1.1003 +32'h3e96368f,32'h3fe796ac,32'h3ff10a89, 32'h3fe07fc6,32'h3ff8216e, 32'h3fd4aef1,32'h4001f922,// invsqrt(0.2934) = 1.8462 +32'h40e4f015,32'h3ebb9753,32'h3ec33f75, 32'h3eb5d939,32'h3ec8fd8f, 32'h3eac470d,32'h3ed28fbb,// invsqrt(7.1543) = 0.3739 +32'h3fc07379,32'h3f4c9a3b,32'h3f54f41f, 32'h3f4656d1,32'h3f5b3789, 32'h3f3be675,32'h3f65a7e5,// invsqrt(1.5035) = 0.8155 +32'h3e964117,32'h3fe78e8e,32'h3ff10216, 32'h3fe077e8,32'h3ff818bc, 32'h3fd4a77d,32'h4001f494,// invsqrt(0.2935) = 1.8460 +32'h4013d32c,32'h3f25134b,32'h3f2bd029, 32'h3f2005a4,32'h3f30ddd0, 32'h3f17998e,32'h3f3949e6,// invsqrt(2.3098) = 0.6580 +32'h404297d1,32'h3f0fe099,32'h3f15bff9, 32'h3f0b7912,32'h3f1a2780, 32'h3f0421db,32'h3f217eb7,// invsqrt(3.0405) = 0.5735 +32'h3fc114d2,32'h3f4c44ad,32'h3f549b12, 32'h3f4603e1,32'h3f5adbdd, 32'h3f3b97e2,32'h3f6547dc,// invsqrt(1.5084) = 0.8142 +32'h3e7911cd,32'h3ffe58b2,32'h40045d2e, 32'h3ff68f74,32'h400841ce, 32'h3fe99561,32'h400ebed8,// invsqrt(0.2432) = 2.0276 +32'h3eeb48e6,32'h3fb90b2b,32'h3fc098af, 32'h3fb36108,32'h3fc642d2, 32'h3fa9f022,32'h3fcfb3b8,// invsqrt(0.4595) = 1.4752 +32'h4024ea2d,32'h3f1c49ca,32'h3f22aad7, 32'h3f1780ff,32'h3f2773a1, 32'h3f0f87ae,32'h3f2f6cf2,// invsqrt(2.5768) = 0.6230 +32'h3e8cbacb,32'h3fef43b4,32'h3ff907c6, 32'h3fe7f0a7,32'h40002d6a, 32'h3fdbbb90,32'h400647f5,// invsqrt(0.2749) = 1.9074 +32'h40978926,32'h3ee69360,32'h3eeffca8, 32'h3edf846a,32'h3ef70b9e, 32'h3ed3c0d0,32'h3f01679c,// invsqrt(4.7355) = 0.4595 +32'h3fc15ab8,32'h3f4c1fbd,32'h3f5474a1, 32'h3f45e013,32'h3f5ab44b, 32'h3f3b75f7,32'h3f651e67,// invsqrt(1.5106) = 0.8136 +32'h3f65a50e,32'h3f847150,32'h3f89d934, 32'h3f806365,32'h3f8de71f, 32'h3f734310,32'h3f94a8fc,// invsqrt(0.8970) = 1.0558 +32'h3e5c7571,32'h40072c7b,32'h400cb0e8, 32'h40030928,32'h4010d43a, 32'h3ff8473f,32'h4017b9c3,// invsqrt(0.2153) = 2.1552 +32'h3fcf1394,32'h3f453eae,32'h3f4d4bb0, 32'h3f3f34ed,32'h3f535571, 32'h3f3524aa,32'h3f5d65b4,// invsqrt(1.6178) = 0.7862 +32'h3f650c40,32'h3f849d76,32'h3f8a0728, 32'h3f808e31,32'h3f8e166d, 32'h3f739428,32'h3f94da8a,// invsqrt(0.8947) = 1.0572 +32'h3f32a57e,32'h3f96295d,32'h3f9c4a67, 32'h3f919097,32'h3fa0e32d, 32'h3f89e74b,32'h3fa88c79,// invsqrt(0.6978) = 1.1971 +32'h3f32e930,32'h3f960cf2,32'h3f9c2cd2, 32'h3f91750a,32'h3fa0c4ba, 32'h3f89cd32,32'h3fa86c92,// invsqrt(0.6989) = 1.1962 +32'h3f1c3e99,32'h3fa090e6,32'h3fa71ea6, 32'h3f9ba696,32'h3fac08f6, 32'h3f937565,32'h3fb43a27,// invsqrt(0.6103) = 1.2800 +32'h3de580f4,32'h403b5c15,32'h404301cc, 32'h40359fca,32'h4048be16, 32'h402c10a5,32'h40524d3b,// invsqrt(0.1121) = 2.9872 +32'h3f551d91,32'h3f897b9c,32'h3f8f182a, 32'h3f854631,32'h3f934d95, 32'h3f7c84ff,32'h3f9a5146,// invsqrt(0.8325) = 1.0960 +32'h3f3cb599,32'h3f921a62,32'h3f981102, 32'h3f8da169,32'h3f9c89fb, 32'h3f862d20,32'h3fa3fe44,// invsqrt(0.7371) = 1.1647 +32'h40b8ebd7,32'h3ed0b9fd,32'h3ed93ef7, 32'h3eca5641,32'h3edfa2b3, 32'h3ebfb007,32'h3eea48ed,// invsqrt(5.7788) = 0.4160 +32'h3d73dcbe,32'h4080861b,32'h4085c50d, 32'h40792dcc,32'h4089b442, 32'h406c1071,32'h409042f0,// invsqrt(0.0595) = 4.0983 +32'h3b44ddf6,32'h418f0b41,32'h4194e1eb, 32'h418aaa42,32'h419942ea, 32'h41835ded,32'h41a08f3f,// invsqrt(0.0030) = 18.2454 +32'h3f35f8ab,32'h3f94c88e,32'h3f9adb30, 32'h3f903a94,32'h3f9f692a, 32'h3f88a349,32'h3fa70075,// invsqrt(0.7108) = 1.1861 +32'h3f685adc,32'h3f83aaff,32'h3f890acb, 32'h3f7f464d,32'h3f8d12a4, 32'h3f71d6cf,32'h3f93ca62,// invsqrt(0.9076) = 1.0496 +32'h410d3fb6,32'h3ea8dfd1,32'h3eafc462, 32'h3ea3b466,32'h3eb4efce, 32'h3e9b16b1,32'h3ebd8d83,// invsqrt(8.8281) = 0.3366 +32'h40c80d16,32'h3ec8ada9,32'h3ed0de8b, 32'h3ec288ff,32'h3ed70335, 32'h3eb84be4,32'h3ee14050,// invsqrt(6.2516) = 0.3999 +32'h3f7f14ef,32'h3f7b54c6,32'h3f82cb77, 32'h3f73a329,32'h3f86a446, 32'h3f66d077,32'h3f8d0d9e,// invsqrt(0.9964) = 1.0018 +32'h3f314c4c,32'h3f96bb45,32'h3f9ce242, 32'h3f921e06,32'h3fa17f80, 32'h3f8a6d49,32'h3fa9303d,// invsqrt(0.6926) = 1.2016 +32'h3f11fee4,32'h3fa61b35,32'h3face2d9, 32'h3fa1057a,32'h3fb1f894, 32'h3f988bed,32'h3fba7221,// invsqrt(0.5703) = 1.3242 +32'h3eaee4d7,32'h3fd6a06d,32'h3fdf630f, 32'h3fd00e74,32'h3fe5f508, 32'h3fc51b2b,32'h3ff0e851,// invsqrt(0.3416) = 1.7110 +32'h3ee64b1e,32'h3fbb09c6,32'h3fc2ac22, 32'h3fb55001,32'h3fc865e7, 32'h3fabc50f,32'h3fd1f0d9,// invsqrt(0.4498) = 1.4911 +32'h3e947cb1,32'h3fe8ee41,32'h3ff27025, 32'h3fe1ccd7,32'h3ff9918f, 32'h3fd5ea7b,32'h4002b9f6,// invsqrt(0.2900) = 1.8569 +32'h41227e8a,32'h3e9d72ac,32'h3ea3dfd8, 32'h3e98a0cc,32'h3ea8b1b8, 32'h3e909855,32'h3eb0ba2f,// invsqrt(10.1559) = 0.3138 +32'h3f8b83dd,32'h3f704dbe,32'h3f7a1cac, 32'h3f68f28c,32'h3f80bbef, 32'h3f5cafe3,32'h3f86dd44,// invsqrt(1.0900) = 0.9578 +32'h3e732f15,32'h4000b3f7,32'h4005f4c7, 32'h3ff986b4,32'h4009e564, 32'h3fec64ab,32'h40107668,// invsqrt(0.2375) = 2.0520 +32'h40588b05,32'h3f0863f3,32'h3f0df517, 32'h3f043718,32'h3f1221f2, 32'h3efa8356,32'h3f19175f,// invsqrt(3.3835) = 0.5436 +32'h3f2d4976,32'h3f98774e,32'h3f9eb06b, 32'h3f93cc77,32'h3fa35b41, 32'h3f8c0513,32'h3fab22a5,// invsqrt(0.6769) = 1.2154 +32'h3f2aa152,32'h3f99a600,32'h3f9feb78, 32'h3f94f1e6,32'h3fa49f92, 32'h3f8d1b10,32'h3fac7668,// invsqrt(0.6665) = 1.2249 +32'h3f08a0b5,32'h3fabb4d8,32'h3fb2b700, 32'h3fa6733a,32'h3fb7f89e, 32'h3f9db088,32'h3fc0bb50,// invsqrt(0.5337) = 1.3688 +32'h3f307919,32'h3f97155c,32'h3f9d4006, 32'h3f92755b,32'h3fa1e007, 32'h3f8ac006,32'h3fa9955c,// invsqrt(0.6893) = 1.2044 +32'h3f37a7f9,32'h3f941972,32'h3f9a24ef, 32'h3f8f90d5,32'h3f9ead8d, 32'h3f880279,32'h3fa63be9,// invsqrt(0.7174) = 1.1806 +32'h3c5a8d71,32'h4107c311,32'h410d4da3, 32'h41039b22,32'h41117592, 32'h40f95bd5,32'h411862c9,// invsqrt(0.0133) = 8.6583 +32'h3f595a80,32'h3f8822cb,32'h3f8db146, 32'h3f83f7ee,32'h3f91dc22, 32'h3f7a0ba8,32'h3f98ce3c,// invsqrt(0.8490) = 1.0853 +32'h4079b141,32'h3efe076f,32'h3f0432e4, 32'h3ef640ae,32'h3f081645, 32'h3ee94ac0,32'h3f0e913c,// invsqrt(3.9014) = 0.5063 +32'h3e2529ae,32'h401c2bbb,32'h40228b8f, 32'h401763dd,32'h4027536d, 32'h400f6c14,32'h402f4b36,// invsqrt(0.1613) = 2.4900 +32'h4044bb4a,32'h3f0f17db,32'h3f14ef09, 32'h3f0ab679,32'h3f19506b, 32'h3f036980,32'h3f209d64,// invsqrt(3.0739) = 0.5704 +32'h3faf4ee3,32'h3f565f79,32'h3f5f1f73, 32'h3f4fcf7d,32'h3f65af6f, 32'h3f44df84,32'h3f709f68,// invsqrt(1.3696) = 0.8545 +32'h3edba5c4,32'h3fbf846a,32'h3fc75592, 32'h3fb9a78b,32'h3fcd3271, 32'h3fafe218,32'h3fd6f7e4,// invsqrt(0.4290) = 1.5268 +32'h3d76f553,32'h407f6e6d,32'h4084edb7, 32'h40779caf,32'h4088d697, 32'h406a9470,32'h408f5ab6,// invsqrt(0.0603) = 4.0726 +32'h40358b9c,32'h3f14f537,32'h3f1b09ad, 32'h3f1065e0,32'h3f1f9904, 32'h3f08cc4d,32'h3f273297,// invsqrt(2.8366) = 0.5937 +32'h3fd47771,32'h3f42ba0f,32'h3f4aacc2, 32'h3f3cc40a,32'h3f50a2c8, 32'h3f32d4ab,32'h3f5a9227,// invsqrt(1.6599) = 0.7762 +32'h3f4439bd,32'h3f8f4710,32'h3f95202a, 32'h3f8ae43c,32'h3f9982fe, 32'h3f8394da,32'h3fa0d260,// invsqrt(0.7665) = 1.1422 +32'h3fd1d650,32'h3f43f16b,32'h3f4bf0d2, 32'h3f3df1dc,32'h3f51f060, 32'h3f33f29b,32'h3f5befa1,// invsqrt(1.6394) = 0.7810 +32'h3f57393d,32'h3f88ced1,32'h3f8e6451, 32'h3f849eb0,32'h3f929472, 32'h3f7b479f,32'h3f998f53,// invsqrt(0.8407) = 1.0906 +32'h3f9a5232,32'h3f647c41,32'h3f6dcfb2, 32'h3f5d7dae,32'h3f74ce46, 32'h3f51d561,32'h3f803b4a,// invsqrt(1.2056) = 0.9107 +32'h3e8d24b7,32'h3feee9dc,32'h3ff8aa42, 32'h3fe7998e,32'h3ffffa90, 32'h3fdb690e,32'h40061588,// invsqrt(0.2757) = 1.9046 +32'h4019db81,32'h3f21ce8b,32'h3f286942, 32'h3f1cda81,32'h3f2d5d4b, 32'h3f14991c,32'h3f359eb0,// invsqrt(2.4040) = 0.6450 +32'h3dc212c2,32'h404bbedd,32'h40540fcc, 32'h4045822a,32'h405a4c80, 32'h403b1d00,32'h4064b1aa,// invsqrt(0.0948) = 3.2485 +32'h3fe747da,32'h3f3aa378,32'h3f4241a7, 32'h3f34ecd6,32'h3f47f84a, 32'h3f2b671b,32'h3f517e05,// invsqrt(1.8069) = 0.7439 +32'h3f802f15,32'h3f7ab330,32'h3f827760, 32'h3f730685,32'h3f864db5, 32'h3f663c12,32'h3f8cb2ef,// invsqrt(1.0014) = 0.9993 +32'h3f880f4b,32'h3f7355fe,32'h3f7d449b, 32'h3f6be309,32'h3f825bc8, 32'h3f5f78c5,32'h3f8890ea,// invsqrt(1.0630) = 0.9699 +32'h3f579cce,32'h3f88af37,32'h3f8e436d, 32'h3f84800e,32'h3f927296, 32'h3f7b0d94,32'h3f996bda,// invsqrt(0.8422) = 1.0896 +32'h40226802,32'h3f1d7d97,32'h3f23eb35, 32'h3f18ab61,32'h3f28bd6b, 32'h3f10a25c,32'h3f30c670,// invsqrt(2.5376) = 0.6278 +32'h3f7b3f49,32'h3f7d3de7,32'h3f83ca03, 32'h3f757d50,32'h3f87aa4e, 32'h3f6891aa,32'h3f8e2021,// invsqrt(0.9814) = 1.0094 +32'h3f7f009e,32'h3f7b5ec9,32'h3f82d0ac, 32'h3f73acdc,32'h3f86a9a2, 32'h3f66d9a8,32'h3f8d133c,// invsqrt(0.9961) = 1.0020 +32'h3f58b2e1,32'h3f885768,32'h3f8de808, 32'h3f842aef,32'h3f921481, 32'h3f7a6c4b,32'h3f99094a,// invsqrt(0.8465) = 1.0869 +32'h3f91cb7a,32'h3f6b124d,32'h3f74aa8f, 32'h3f63e01c,32'h3f7bdcc0, 32'h3f57e1c9,32'h3f83ed89,// invsqrt(1.1390) = 0.9370 +32'h40069822,32'h3f2cffa8,32'h3f340f51, 32'h3f27b3ea,32'h3f395b10, 32'h3f1ee057,32'h3f422ea3,// invsqrt(2.1030) = 0.6896 +32'h3f1316d5,32'h3fa57cd8,32'h3fac3e06, 32'h3fa06bf6,32'h3fb14ee8, 32'h3f97fa7e,32'h3fb9c061,// invsqrt(0.5746) = 1.3193 +32'h4121dd2d,32'h3e9dc113,32'h3ea43172, 32'h3e98eccc,32'h3ea905ba, 32'h3e90e056,32'h3eb11230,// invsqrt(10.1165) = 0.3144 +32'h3fb76ae2,32'h3f519494,32'h3f5a227a, 32'h3f4b2a27,32'h3f608ce7, 32'h3f4078c6,32'h3f6b3e48,// invsqrt(1.4329) = 0.8354 +32'h408fe48e,32'h3eec9eba,32'h3ef6472a, 32'h3ee56066,32'h3efd857e, 32'h3ed94dda,32'h3f04cc05,// invsqrt(4.4966) = 0.4716 +32'h3f827820,32'h3f787e9e,32'h3f815191, 32'h3f70e33b,32'h3f851f42, 32'h3f643596,32'h3f8b7615,// invsqrt(1.0193) = 0.9905 +32'h3eef13f3,32'h3fb791e0,32'h3fbf0ffe, 32'h3fb1f349,32'h3fc4ae95, 32'h3fa895a4,32'h3fce0c3a,// invsqrt(0.4669) = 1.4634 +32'h3fce8d14,32'h3f457edb,32'h3f4d8e7c, 32'h3f3f7323,32'h3f539a35, 32'h3f355f9b,32'h3f5dadbd,// invsqrt(1.6137) = 0.7872 +32'h3f8e42ba,32'h3f6df938,32'h3f77afcd, 32'h3f66b049,32'h3f7ef8bd, 32'h3f5a8c10,32'h3f858e7b,// invsqrt(1.1114) = 0.9486 +32'h3e07363e,32'h402c9a65,32'h4033a5eb, 32'h402751c0,32'h4038ee90, 32'h401e8357,32'h4041bcf9,// invsqrt(0.1320) = 2.7520 +32'h40ceee5b,32'h3ec5506a,32'h3ecd5e26, 32'h3ebf461e,32'h3ed36872, 32'h3eb534f4,32'h3edd799c,// invsqrt(6.4666) = 0.3932 +32'h407fbaad,32'h3efb0347,32'h3f02a10d, 32'h3ef35427,32'h3f06789c, 32'h3ee6859e,32'h3f0cdfe1,// invsqrt(3.9958) = 0.5003 +32'h3edf77ce,32'h3fbddf81,32'h3fc59f7c, 32'h3fb80f85,32'h3fcb6f79, 32'h3fae5f8c,32'h3fd51f72,// invsqrt(0.4365) = 1.5137 +32'h3fa2eb68,32'h3f5e5fcc,32'h3f677362, 32'h3f57911c,32'h3f6e4212, 32'h3f4c38a2,32'h3f799a8d,// invsqrt(1.2728) = 0.8864 +32'h3eecbe74,32'h3fb878f4,32'h3fc00080, 32'h3fb2d34a,32'h3fc5a62a, 32'h3fa969db,32'h3fcf0f99,// invsqrt(0.4624) = 1.4706 +32'h3fa6d89e,32'h3f5bbdef,32'h3f64b603, 32'h3f5503e0,32'h3f6b7012, 32'h3f49cdc6,32'h3f76a62c,// invsqrt(1.3035) = 0.8759 +32'h4201d35b,32'h3e3025b2,32'h3e375641, 32'h3e2ac146,32'h3e3cbaac, 32'h3e21c493,32'h3e45b75f,// invsqrt(32.4564) = 0.1755 +32'h417a33c5,32'h3e7dc525,32'h3e841064, 32'h3e76006a,32'h3e87f2c1, 32'h3e690ddd,32'h3e8e6c07,// invsqrt(15.6376) = 0.2529 +32'h3f9175f1,32'h3f6b5760,32'h3f74f274, 32'h3f642312,32'h3f7c26c2, 32'h3f582139,32'h3f84144e,// invsqrt(1.1364) = 0.9381 +32'h3f6e84d7,32'h3f81f49b,32'h3f874281, 32'h3f7bf45b,32'h3f8b3cef, 32'h3f6eb199,32'h3f91de4f,// invsqrt(0.9317) = 1.0360 +32'h3e4c4dec,32'h400c6a8b,32'h401225c0, 32'h40081e24,32'h40167228, 32'h4000f422,32'h401d9c2a,// invsqrt(0.1995) = 2.2388 +32'h3ea4c7fd,32'h3fdd1d4f,32'h3fe623bb, 32'h3fd6587e,32'h3fece88c, 32'h3fcb1078,32'h3ff83092,// invsqrt(0.3218) = 1.7627 +32'h3f834853,32'h3f77b945,32'h3f80eadd, 32'h3f7023ed,32'h3f84b58a, 32'h3f63805a,32'h3f8b0753,// invsqrt(1.0256) = 0.9874 +32'h3f3fa854,32'h3f90f999,32'h3f96e470, 32'h3f8c8977,32'h3f9b5491, 32'h3f8523ea,32'h3fa2ba1e,// invsqrt(0.7487) = 1.1557 +32'h400d3c89,32'h3f28e1b7,32'h3f2fc65c, 32'h3f23b63d,32'h3f34f1d7, 32'h3f1b186f,32'h3f3d8fa5,// invsqrt(2.2068) = 0.6732 +32'h3d14735c,32'h40a4ba22,32'h40ab735d, 32'h409faf36,32'h40b07e4a, 32'h409747ad,32'h40b8e5d3,// invsqrt(0.0362) = 5.2528 +32'h3dc99214,32'h4047eba8,32'h405014a0, 32'h4041ccef,32'h40563359, 32'h403799ba,32'h4060668e,// invsqrt(0.0984) = 3.1875 +32'h3fb9414d,32'h3f5089d2,32'h3f590cd6, 32'h3f4a2790,32'h3f5f6f18, 32'h3f3f83cc,32'h3f6a12dc,// invsqrt(1.4473) = 0.8312 +32'h3f365ea3,32'h3f949eef,32'h3f9aafdf, 32'h3f90123b,32'h3f9f3c93, 32'h3f887d10,32'h3fa6d1be,// invsqrt(0.7124) = 1.1848 +32'h3fcb03ae,32'h3f473559,32'h3f4f56df, 32'h3f411c34,32'h3f557004, 32'h3f36f24c,32'h3f5f99ec,// invsqrt(1.5860) = 0.7940 +32'h3f89b945,32'h3f71dc89,32'h3f7bbbbd, 32'h3f6a7521,32'h3f819192, 32'h3f5e1e20,32'h3f87bd13,// invsqrt(1.0760) = 0.9641 +32'h3e94b1e1,32'h3fe8c495,32'h3ff244c5, 32'h3fe1a471,32'h3ff964e9, 32'h3fd5c435,32'h4002a292,// invsqrt(0.2904) = 1.8556 +32'h42670d04,32'h3e0409fc,32'h3e096da9, 32'h3dfffe77,32'h3e0d786a, 32'h3df28549,32'h3e143502,// invsqrt(57.7627) = 0.1316 +32'h3c4959b8,32'h410d7143,32'h41133731, 32'h41091cd1,32'h41178ba3, 32'h4101e567,32'h411ec30d,// invsqrt(0.0123) = 9.0206 +32'h3e3777f6,32'h40142cd2,32'h401a3919, 32'h400fa39c,32'h401ec24e, 32'h40081443,32'h402651a7,// invsqrt(0.1792) = 2.3625 +32'h3f2a7599,32'h3f99b9b3,32'h3f9ffff9, 32'h3f9504fe,32'h3fa4b4ae, 32'h3f8d2d27,32'h3fac8c85,// invsqrt(0.6659) = 1.2255 +32'h3e9b588e,32'h3fe3baff,32'h3fed068c, 32'h3fdcc255,32'h3ff3ff35, 32'h3fd123e4,32'h3fff9da6,// invsqrt(0.3034) = 1.8155 +32'h3e5cb439,32'h40071940,32'h400c9ce4, 32'h4002f684,32'h4010bfa0, 32'h3ff823ed,32'h4017a42d,// invsqrt(0.2155) = 2.1540 +32'h3f005870,32'h3fb128f9,32'h3fb8641d, 32'h3fabbc9e,32'h3fbdd078, 32'h3fa2b2b0,32'h3fc6da66,// invsqrt(0.5013) = 1.4123 +32'h3f756600,32'h3f801ef6,32'h3f8559b2, 32'h3f7865d3,32'h3f8945bf, 32'h3f6b52fe,32'h3f8fcf29,// invsqrt(0.9586) = 1.0214 +32'h41768b4d,32'h3e7fa554,32'h3e850a48, 32'h3e77d1e6,32'h3e88f3ff, 32'h3e6ac6da,32'h3e8f7985,// invsqrt(15.4090) = 0.2547 +32'h3f53d975,32'h3f89e49f,32'h3f8f8576, 32'h3f85abfd,32'h3f93be17, 32'h3f7d45df,32'h3f9ac725,// invsqrt(0.8275) = 1.0993 +32'h3e69e117,32'h40033cf9,32'h40089847, 32'h3ffe70fd,32'h400c9cc2, 32'h3ff10cba,32'h40134ee3,// invsqrt(0.2284) = 2.0924 +32'h40132532,32'h3f2574c4,32'h3f2c359e, 32'h3f206422,32'h3f314640, 32'h3f17f312,32'h3f39b750,// invsqrt(2.2991) = 0.6595 +32'h3ee9a062,32'h3fb9b2fd,32'h3fc1475b, 32'h3fb403b7,32'h3fc6f6a1, 32'h3faa8a41,32'h3fd07017,// invsqrt(0.4563) = 1.4804 +32'h3f418083,32'h3f90484a,32'h3f962be4, 32'h3f8bdd96,32'h3f9a9698, 32'h3f848115,32'h3fa1f319,// invsqrt(0.7559) = 1.1502 +32'h3fa8a096,32'h3f5a940d,32'h3f637ff9, 32'h3f53e31c,32'h3f6a30ea, 32'h3f48bc36,32'h3f7557d0,// invsqrt(1.3174) = 0.8712 +32'h3c7ecc52,32'h40fb7893,32'h4102de18, 32'h40f3c5dd,32'h4106b773, 32'h40e6f158,32'h410d21b6,// invsqrt(0.0156) = 8.0188 +32'h3e3e59ce,32'h401178c5,32'h401768cd, 32'h400d04bf,32'h401bdcd3, 32'h400598b5,32'h402348dd,// invsqrt(0.1859) = 2.3194 +32'h3eef86d4,32'h3fb765d5,32'h3fbee227, 32'h3fb1c898,32'h3fc47f64, 32'h3fa86d31,32'h3fcddacb,// invsqrt(0.4678) = 1.4620 +32'h405cb50c,32'h3f0718ff,32'h3f0c9ca1, 32'h3f02f646,32'h3f10bf5a, 32'h3ef82376,32'h3f17a3e5,// invsqrt(3.4486) = 0.5385 +32'h3fa937c3,32'h3f5a3254,32'h3f631a43, 32'h3f538462,32'h3f69c836, 32'h3f486278,32'h3f74ea20,// invsqrt(1.3220) = 0.8697 +32'h402bc092,32'h3f19254d,32'h3f1f6585, 32'h3f147524,32'h3f2415ae, 32'h3f0ca4de,32'h3f2be5f4,// invsqrt(2.6836) = 0.6104 +32'h3fb42733,32'h3f53787d,32'h3f5c1a25, 32'h3f4cff40,32'h3f629362, 32'h3f42352f,32'h3f6d5d73,// invsqrt(1.4074) = 0.8429 +32'h3e9a4f37,32'h3fe47e76,32'h3fedd1fe, 32'h3fdd7fd1,32'h3ff4d0a3, 32'h3fd1d767,32'h40003c86,// invsqrt(0.3014) = 1.8215 +32'h403b5024,32'h3f12a588,32'h3f18a1d6, 32'h3f0e284d,32'h3f1d1f11, 32'h3f06acea,32'h3f249a74,// invsqrt(2.9268) = 0.5845 +32'h40a44181,32'h3edd77c1,32'h3ee681dd, 32'h3ed6b02b,32'h3eed4973, 32'h3ecb6387,32'h3ef89617,// invsqrt(5.1330) = 0.4414 +32'h3f619a80,32'h3f859fa3,32'h3f8b13dd, 32'h3f818876,32'h3f8f2b0a, 32'h3f756e5a,32'h3f95fc53,// invsqrt(0.8813) = 1.0652 +32'h3f2f8af9,32'h3f977bb2,32'h3f9daa8a, 32'h3f92d890,32'h3fa24dac, 32'h3f8b1e01,32'h3faa083b,// invsqrt(0.6857) = 1.2076 +32'h40fdf7e9,32'h3eb21b6a,32'h3eb96073, 32'h3eaca7a2,32'h3ebed43a, 32'h3ea39156,32'h3ec7ea86,// invsqrt(7.9365) = 0.3550 +32'h3ed57002,32'h3fc2488b,32'h3fca369b, 32'h3fbc55ff,32'h3fd02927, 32'h3fb26c6b,32'h3fda12bb,// invsqrt(0.4169) = 1.5488 +32'h3f5f54dc,32'h3f864d33,32'h3f8bc883, 32'h3f8230b6,32'h3f8fe500, 32'h3f76ad24,32'h3f96bf24,// invsqrt(0.8724) = 1.0706 +32'h3efed2cc,32'h3fb1cedb,32'h3fb910c4, 32'h3fac5d6b,32'h3fbe8233, 32'h3fa34b07,32'h3fc79497,// invsqrt(0.4977) = 1.4175 +32'h40a67c25,32'h3edbfaed,32'h3ee4f57f, 32'h3ed53f00,32'h3eebb16c, 32'h3eca05ca,32'h3ef6eaa2,// invsqrt(5.2027) = 0.4384 +32'h3ed323b8,32'h3fc35679,32'h3fcb4f8d, 32'h3fbd5ba9,32'h3fd14a5d, 32'h3fb36450,32'h3fdb41b7,// invsqrt(0.4124) = 1.5572 +32'h3f175e40,32'h3fa321c1,32'h3fa9ca51, 32'h3f9e2355,32'h3faec8bd, 32'h3f95d0a2,32'h3fb71b70,// invsqrt(0.5913) = 1.3005 +32'h3fa0553b,32'h3f60292a,32'h3f694f6a, 32'h3f594c7a,32'h3f702c1a, 32'h3f4ddca9,32'h3f7b9beb,// invsqrt(1.2526) = 0.8935 +32'h3eae8b49,32'h3fd6d776,32'h3fdf9c56, 32'h3fd043ce,32'h3fe62ffe, 32'h3fc54db5,32'h3ff12617,// invsqrt(0.3409) = 1.7127 +32'h4080b299,32'h3efa32f7,32'h3f0234a5, 32'h3ef28a39,32'h3f060905, 32'h3ee5c651,32'h3f0c6af9,// invsqrt(4.0218) = 0.4986 +32'h3c89dfdd,32'h40f1baac,32'h40fb987f, 32'h40ea544e,32'h41017f6f, 32'h40ddff07,32'h4107aa12,// invsqrt(0.0168) = 7.7082 +32'h3de9fb32,32'h40398ef0,32'h404121d6, 32'h4033e0c4,32'h4046d002, 32'h402a6926,32'h405047a0,// invsqrt(0.1142) = 2.9585 +32'h3ff1fca2,32'h3f367690,32'h3f3de91e, 32'h3f30e0a6,32'h3f437f08, 32'h3f279175,32'h3f4cce39,// invsqrt(1.8905) = 0.7273 +32'h4295e716,32'h3de7d407,32'h3df14a65, 32'h3de0bb40,32'h3df8632c, 32'h3dd4e74a,32'h3e021b91,// invsqrt(74.9513) = 0.1155 +32'h408aeecc,32'h3ef0ce86,32'h3efaa2b6, 32'h3ee96f63,32'h3f0100ed, 32'h3edd2628,32'h3f07258a,// invsqrt(4.3417) = 0.4799 +32'h3fb3e49b,32'h3f539f9e,32'h3f5c42de, 32'h3f4d252e,32'h3f62bd4e, 32'h3f42591e,32'h3f6d895e,// invsqrt(1.4054) = 0.8435 +32'h3fd60bc0,32'h3f4201d0,32'h3f49ecfd, 32'h3f3c116e,32'h3f4fdd5e, 32'h3f322b75,32'h3f59c357,// invsqrt(1.6722) = 0.7733 +32'h401d2017,32'h3f201d86,32'h3f26a690, 32'h3f1b36be,32'h3f2b8d58, 32'h3f130b70,32'h3f33b8a6,// invsqrt(2.4551) = 0.6382 +32'h3fb3a88f,32'h3f53c2f9,32'h3f5c67aa, 32'h3f4d4773,32'h3f62e32f, 32'h3f427996,32'h3f6db10c,// invsqrt(1.4036) = 0.8441 +32'h3f6322cb,32'h3f852c0c,32'h3f8a9b90, 32'h3f81186a,32'h3f8eaf32, 32'h3f749a0c,32'h3f957a96,// invsqrt(0.8872) = 1.0616 +32'h4007a032,32'h3f2c56ec,32'h3f335fb2, 32'h3f271058,32'h3f38a646, 32'h3f1e4561,32'h3f41713d,// invsqrt(2.1192) = 0.6869 +32'h3f6195e8,32'h3f85a0ff,32'h3f8b1549, 32'h3f8189c9,32'h3f8f2c7f, 32'h3f7570da,32'h3f95fddb,// invsqrt(0.8812) = 1.0653 +32'h3f273063,32'h3f9b38bb,32'h3fa18ea3, 32'h3f96784d,32'h3fa64f11, 32'h3f8e8cea,32'h3fae3a74,// invsqrt(0.6531) = 1.2374 +32'h4073a1ef,32'h3f00959d,32'h3f05d531, 32'h3ef94bdd,32'h3f09c4df, 32'h3eec2ced,32'h3f105458,// invsqrt(3.8068) = 0.5125 +32'h409a8132,32'h3ee4597e,32'h3eedab84, 32'h3edd5bfb,32'h3ef4a907, 32'h3ed1b574,32'h3f0027c7,// invsqrt(4.8283) = 0.4551 +32'h3f2f46d8,32'h3f979920,32'h3f9dc92c, 32'h3f92f517,32'h3fa26d35, 32'h3f8b3909,32'h3faa2943,// invsqrt(0.6847) = 1.2085 +32'h3fbfd463,32'h3f4cef01,32'h3f554c59, 32'h3f46a8fe,32'h3f5b925c, 32'h3f3c344f,32'h3f66070b,// invsqrt(1.4987) = 0.8169 +32'h408592c6,32'h3ef5971b,32'h3eff9d46, 32'h3eee127b,32'h3f0390f3, 32'h3ee18ac6,32'h3f09d4ce,// invsqrt(4.1742) = 0.4895 +32'h3f065c95,32'h3fad25fa,32'h3fb43734, 32'h3fa7d90f,32'h3fb9841f, 32'h3f9f0388,32'h3fc259a6,// invsqrt(0.5249) = 1.3803 +32'h3fadf775,32'h3f5732aa,32'h3f5ffb44, 32'h3f509c37,32'h3f6691b7, 32'h3f45a178,32'h3f718c76,// invsqrt(1.3591) = 0.8578 +32'h3f93c73b,32'h3f697d18,32'h3f7304d0, 32'h3f62574e,32'h3f7a2a9a, 32'h3f566da8,32'h3f830a20,// invsqrt(1.1545) = 0.9307 +32'h4002e7e8,32'h3f2f6b3f,32'h3f369431, 32'h3f2a0c88,32'h3f3bf2e8, 32'h3f211959,32'h3f44e617,// invsqrt(2.0454) = 0.6992 +32'h40af591f,32'h3ed65938,32'h3edf18f1, 32'h3ecfc96d,32'h3ee5a8bb, 32'h3ec4d9c5,32'h3ef09863,// invsqrt(5.4796) = 0.4272 +32'h3fd10553,32'h3f445347,32'h3f4c56ad, 32'h3f3e50ba,32'h3f52593a, 32'h3f344c7b,32'h3f5c5d79,// invsqrt(1.6330) = 0.7825 +32'h3faa10a9,32'h3f59a703,32'h3f628942, 32'h3f52fd54,32'h3f6932f2, 32'h3f47e286,32'h3f744dc0,// invsqrt(1.3286) = 0.8676 +32'h3f2cc085,32'h3f98b3b0,32'h3f9eef44, 32'h3f940701,32'h3fa39bf3, 32'h3f8c3c87,32'h3fab666d,// invsqrt(0.6748) = 1.2173 +32'h3f17365f,32'h3fa33742,32'h3fa9e0b2, 32'h3f9e382e,32'h3faedfc6, 32'h3f95e461,32'h3fb73393,// invsqrt(0.5907) = 1.3011 +32'h3c8f93f0,32'h40ece11f,32'h40f68c45, 32'h40e5a0c3,32'h40fdcca1, 32'h40d98ad3,32'h4104f148,// invsqrt(0.0175) = 7.5536 +32'h3f854004,32'h3f75e353,32'h3f7fec9b, 32'h3f6e5c5e,32'h3f83b9c8, 32'h3f61d0c5,32'h3f89ff95,// invsqrt(1.0410) = 0.9801 +32'h3fa38b48,32'h3f5df300,32'h3f670225, 32'h3f5727a5,32'h3f6dcd81, 32'h3f4bd4b8,32'h3f79206f,// invsqrt(1.2777) = 0.8847 +32'h3eab2cc6,32'h3fd8f218,32'h3fe1ccf4, 32'h3fd24df2,32'h3fe8711a, 32'h3fc73c5f,32'h3ff382ad,// invsqrt(0.3343) = 1.7295 +32'h3ffec9f6,32'h3f31d1f0,32'h3f3913fa, 32'h3f2c6069,32'h3f3e8581, 32'h3f234ddc,32'h3f47980e,// invsqrt(1.9905) = 0.7088 +32'h3f9805a0,32'h3f6634e6,32'h3f6f9a53, 32'h3f5f28d5,32'h3f76a665, 32'h3f536a0d,32'h3f813296,// invsqrt(1.1877) = 0.9176 +32'h3eb892e8,32'h3fd0ec40,32'h3fd97348, 32'h3fca86fa,32'h3fdfd88e, 32'h3fbfde30,32'h3fea8158,// invsqrt(0.3605) = 1.6655 +32'h3e9e6b4a,32'h3fe182c1,32'h3feab71d, 32'h3fda9b7d,32'h3ff19e61, 32'h3fcf1a0a,32'h3ffd1fd4,// invsqrt(0.3094) = 1.7978 +32'h3f8124dc,32'h3f79c430,32'h3f81faff, 32'h3f721ed6,32'h3f85cdac, 32'h3f656095,32'h3f8c2ccd,// invsqrt(1.0089) = 0.9956 +32'h3ef65db2,32'h3fb4d590,32'h3fbc3718, 32'h3faf4c69,32'h3fc1c03f, 32'h3fa6127f,32'h3fcafa29,// invsqrt(0.4812) = 1.4416 +32'h3f1b43f0,32'h3fa1124e,32'h3fa7a556, 32'h3f9c2408,32'h3fac939c, 32'h3f93ec3d,32'h3fb4cb67,// invsqrt(0.6065) = 1.2841 +32'h3efa66d2,32'h3fb35f00,32'h3fbab13e, 32'h3fade151,32'h3fc02eed, 32'h3fa4ba82,32'h3fc955bc,// invsqrt(0.4891) = 1.4299 +32'h421f9b05,32'h3e1eddcc,32'h3e2559ca, 32'h3e1a00ce,32'h3e2a36c8, 32'h3e11e5d0,32'h3e3251c6,// invsqrt(39.9014) = 0.1583 +32'h3f23053a,32'h3f9d3194,32'h3fa39c17, 32'h3f9861b1,32'h3fa86bf9, 32'h3f905c8c,32'h3fb0711e,// invsqrt(0.6368) = 1.2531 +32'h3fa06a05,32'h3f601aa3,32'h3f69404b, 32'h3f593e64,32'h3f701c8a, 32'h3f4dcf52,32'h3f7b8b9d,// invsqrt(1.2532) = 0.8933 +32'h3fe7f72c,32'h3f3a5ce3,32'h3f41f830, 32'h3f34a869,32'h3f47aca9, 32'h3f2b2648,32'h3f512eca,// invsqrt(1.8122) = 0.7428 +32'h3fee4921,32'h3f37dfef,32'h3f3f613d, 32'h3f323ef5,32'h3f450237, 32'h3f28dd54,32'h3f4e63d8,// invsqrt(1.8616) = 0.7329 +32'h3e2dbeaf,32'h401843d6,32'h401e7ada, 32'h40139a94,32'h4023241c, 32'h400bd5cf,32'h402ae8e1,// invsqrt(0.1697) = 2.4277 +32'h407befdb,32'h3efce519,32'h3f039bcc, 32'h3ef5273a,32'h3f077abb, 32'h3ee8401c,32'h3f0dee4a,// invsqrt(3.9365) = 0.5040 +32'h3f51e3dd,32'h3f8a8901,32'h3f90308f, 32'h3f864b58,32'h3f946e38, 32'h3f7e73ce,32'h3f9b7fa9,// invsqrt(0.8199) = 1.1044 +32'h3fe234df,32'h3f3cb861,32'h3f446c51, 32'h3f36f16e,32'h3f4a3344, 32'h3f2d5083,32'h3f53d42f,// invsqrt(1.7672) = 0.7522 +32'h3faac8ee,32'h3f593179,32'h3f620eeb, 32'h3f528b63,32'h3f68b501, 32'h3f477693,32'h3f73c9d1,// invsqrt(1.3343) = 0.8657 +32'h3f138d15,32'h3fa53a7b,32'h3fabf8f3, 32'h3fa02ba1,32'h3fb107cd, 32'h3f97bd8b,32'h3fb975e3,// invsqrt(0.5764) = 1.3172 +32'h3fe81612,32'h3f3a507b,32'h3f41eb46, 32'h3f349c62,32'h3f479f5e, 32'h3f2b1ae3,32'h3f5120dd,// invsqrt(1.8132) = 0.7426 +32'h3cb4c42c,32'h40d31c98,32'h40dbba7f, 32'h40cca62b,32'h40e230ed, 32'h40c1e0cb,32'h40ecf64d,// invsqrt(0.0221) = 6.7319 +32'h3f72036b,32'h3f81038c,32'h3f86479c, 32'h3f7a2100,32'h3f8a3aa8, 32'h3f6cf6d7,32'h3f90cfbc,// invsqrt(0.9454) = 1.0285 +32'h3f933957,32'h3f69ed81,32'h3f7379cf, 32'h3f62c446,32'h3f7aa30a, 32'h3f56d4e4,32'h3f834936,// invsqrt(1.1502) = 0.9324 +32'h3f28228a,32'h3f9ac8cc,32'h3fa11a22, 32'h3f960bcb,32'h3fa5d723, 32'h3f8e261e,32'h3fadbcd0,// invsqrt(0.6568) = 1.2339 +32'h3fb5c48e,32'h3f528781,32'h3f5b1f52, 32'h3f4c15a4,32'h3f61912e, 32'h3f4157de,32'h3f6c4ef4,// invsqrt(1.4201) = 0.8392 +32'h3ff14498,32'h3f36bc1b,32'h3f3e317f, 32'h3f312410,32'h3f43c98a, 32'h3f27d152,32'h3f4d1c48,// invsqrt(1.8849) = 0.7284 +32'h3f69ca5e,32'h3f834359,32'h3f889eea, 32'h3f7e7d5a,32'h3f8ca397, 32'h3f711870,32'h3f93560c,// invsqrt(0.9132) = 1.0464 +32'h3f8b1ecc,32'h3f70a4f8,32'h3f7a7775, 32'h3f69471a,32'h3f80eaa9, 32'h3f5cfffd,32'h3f870e37,// invsqrt(1.0869) = 0.9592 +32'h3dc0348d,32'h404cbbb6,32'h405516f6, 32'h40467745,32'h405b5b67, 32'h403c0534,32'h4065cd78,// invsqrt(0.0939) = 3.2642 +32'h3f1b9a53,32'h3fa0e592,32'h3fa776c6, 32'h3f9bf8aa,32'h3fac63ae, 32'h3f93c328,32'h3fb49930,// invsqrt(0.6078) = 1.2827 +32'h40b7a319,32'h3ed1747d,32'h3eda0115, 32'h3ecb0b0c,32'h3ee06a86, 32'h3ec05b4e,32'h3eeb1a44,// invsqrt(5.7387) = 0.4174 +32'h3f325dfc,32'h3f964774,32'h3f9c69b8, 32'h3f91adc2,32'h3fa1036a, 32'h3f8a02ed,32'h3fa8ae3f,// invsqrt(0.6967) = 1.1980 +32'h3f7aaf58,32'h3f7d8691,32'h3f83efd3, 32'h3f75c3c1,32'h3f87d13c, 32'h3f68d466,32'h3f8e48e9,// invsqrt(0.9792) = 1.0105 +32'h40f9e954,32'h3eb38c03,32'h3ebae018, 32'h3eae0cf3,32'h3ec05f27, 32'h3ea4e3d8,32'h3ec98842,// invsqrt(7.8097) = 0.3578 +32'h3ede5e20,32'h3fbe579e,32'h3fc61c80, 32'h3fb883f5,32'h3fcbf029, 32'h3faecdda,32'h3fd5a644,// invsqrt(0.4343) = 1.5174 +32'h3f54988e,32'h3f89a697,32'h3f8f44e7, 32'h3f856fdc,32'h3f937ba2, 32'h3f7cd3f1,32'h3f9a8185,// invsqrt(0.8305) = 1.0973 +32'h3e21dab9,32'h401dc245,32'h402432b1, 32'h4018edf5,32'h40290701, 32'h4010e16f,32'h40311387,// invsqrt(0.1581) = 2.5153 +32'h3faabc17,32'h3f5939a3,32'h3f62176b, 32'h3f52934d,32'h3f68bdc1, 32'h3f477e13,32'h3f73d2fb,// invsqrt(1.3339) = 0.8659 +32'h3ef76405,32'h3fb47597,32'h3fbbd334, 32'h3faeef60,32'h3fc1596a, 32'h3fa5ba5b,32'h3fca8e6f,// invsqrt(0.4832) = 1.4386 +32'h3f8500fd,32'h3f761d8f,32'h3f80149b, 32'h3f6e94d1,32'h3f83d8fa, 32'h3f620640,32'h3f8a2043,// invsqrt(1.0391) = 0.9810 +32'h3f64d813,32'h3f84ac93,32'h3f8a16e3, 32'h3f809cd8,32'h3f8e269e, 32'h3f73afea,32'h3f94eb81,// invsqrt(0.8939) = 1.0577 +32'h3fa825dc,32'h3f5ae3c3,32'h3f63d2ef, 32'h3f543061,32'h3f6a8651, 32'h3f49056a,32'h3f75b148,// invsqrt(1.3137) = 0.8725 +32'h3f934b27,32'h3f69df5c,32'h3f736b16, 32'h3f62b690,32'h3f7a93e2, 32'h3f56c7e6,32'h3f834146,// invsqrt(1.1507) = 0.9322 +32'h3f2cef1b,32'h3f989f1d,32'h3f9ed9db, 32'h3f93f30f,32'h3fa385e9, 32'h3f8c29a3,32'h3fab4f55,// invsqrt(0.6755) = 1.2167 +32'h3ed4376e,32'h3fc2d76c,32'h3fcacb51, 32'h3fbce080,32'h3fd0c23c, 32'h3fb2efa1,32'h3fdab31b,// invsqrt(0.4145) = 1.5533 +32'h40c8923e,32'h3ec86b01,32'h3ed0992b, 32'h3ec24862,32'h3ed6bbca, 32'h3eb80ead,32'h3ee0f57f,// invsqrt(6.2679) = 0.3994 +32'h3f8781ae,32'h3f73d504,32'h3f7dc8d0, 32'h3f6c5e2b,32'h3f829fd4, 32'h3f5fed6c,32'h3f88d834,// invsqrt(1.0586) = 0.9719 +32'h40508a11,32'h3f0afbae,32'h3f10a7e9, 32'h3f06ba81,32'h3f14e915, 32'h3eff466d,32'h3f1c005f,// invsqrt(3.2584) = 0.5540 +32'h3e4687a6,32'h400e7193,32'h401441f7, 32'h400a1548,32'h40189e42, 32'h4002d0cb,32'h401fe2bf,// invsqrt(0.1939) = 2.2711 +32'h3f7f9de8,32'h3f7b1166,32'h3f82a867, 32'h3f7361d9,32'h3f86802e, 32'h3f669297,32'h3f8ce7ce,// invsqrt(0.9985) = 1.0007 +32'h3d56f7d7,32'h4088e39f,32'h408e79f9, 32'h4084b2db,32'h4092aabd, 32'h407b6dd6,32'h4099a6ad,// invsqrt(0.0525) = 4.3651 +32'h3e6a5df5,32'h400319fe,32'h400873df, 32'h3ffe2d2c,32'h400c7748, 32'h3ff0cc7b,32'h401327a0,// invsqrt(0.2289) = 2.0903 +32'h3fddc948,32'h3f3e9772,32'h3f465eee, 32'h3f38c1d4,32'h3f4c348c, 32'h3f2f0878,32'h3f55ede8,// invsqrt(1.7327) = 0.7597 +32'h3e2db380,32'h401848bd,32'h401e7ff3, 32'h40139f54,32'h4023295c, 32'h400bda4f,32'h402aee61,// invsqrt(0.1696) = 2.4280 +32'h3d95d1cd,32'h4067e47e,32'h40715b89, 32'h4060cb37,32'h407874d1, 32'h4054f66a,32'h408224cf,// invsqrt(0.0732) = 3.6973 +32'h3ff35b06,32'h3f35f305,32'h3f3d6035, 32'h3f306122,32'h3f42f218, 32'h3f2718a7,32'h3f4c3a93,// invsqrt(1.9012) = 0.7252 +32'h3da3cd3b,32'h405dc64e,32'h4066d39f, 32'h4056fc50,32'h406d9d9c, 32'h404babaa,32'h4078ee42,// invsqrt(0.0800) = 3.5359 +32'h40a8da9a,32'h3eda6e7d,32'h3ee358e1, 32'h3ed3beb3,32'h3eea08ab, 32'h3ec899b7,32'h3ef52da7,// invsqrt(5.2767) = 0.4353 +32'h3f96c2e1,32'h3f672acc,32'h3f709a42, 32'h3f601733,32'h3f77addb, 32'h3f544be0,32'h3f81bc97,// invsqrt(1.1778) = 0.9214 +32'h40c7a569,32'h3ec8e1bd,32'h3ed114bf, 32'h3ec2bb7b,32'h3ed73b01, 32'h3eb87bb8,32'h3ee17ac4,// invsqrt(6.2389) = 0.4004 +32'h40458e21,32'h3f0ecb6b,32'h3f149f7a, 32'h3f0a6c60,32'h3f18fe86, 32'h3f03234e,32'h3f204798,// invsqrt(3.0868) = 0.5692 +32'h3f63163f,32'h3f852fba,32'h3f8a9f64, 32'h3f811bfb,32'h3f8eb323, 32'h3f74a0ce,32'h3f957eb7,// invsqrt(0.8871) = 1.0618 +32'h3f836f4c,32'h3f779488,32'h3f80d7bf, 32'h3f700050,32'h3f84a1db, 32'h3f635e9c,32'h3f8af2b5,// invsqrt(1.0268) = 0.9868 +32'h416bd81b,32'h3e82b0ba,32'h3e88064e, 32'h3e7d6114,32'h3e8c067e, 32'h3e700b21,32'h3e92b178,// invsqrt(14.7403) = 0.2605 +32'h4033c422,32'h3f15b176,32'h3f1bcd9a, 32'h3f111c5b,32'h3f2062b5, 32'h3f09792e,32'h3f2805e2,// invsqrt(2.8088) = 0.5967 +32'h405c76fd,32'h3f072c01,32'h3f0cb06a, 32'h3f0308b3,32'h3f10d3b9, 32'h3ef84661,32'h3f17b93b,// invsqrt(3.4448) = 0.5388 +32'h3eb3593b,32'h3fd3f1c9,32'h3fdc9863, 32'h3fcd74d5,32'h3fe31557, 32'h3fc2a494,32'h3fede598,// invsqrt(0.3503) = 1.6896 +32'h3f0e1c57,32'h3fa85c87,32'h3faf3bbc, 32'h3fa33520,32'h3fb46324, 32'h3f9a9e1f,32'h3fbcfa25,// invsqrt(0.5551) = 1.3422 +32'h3f8eac22,32'h3f6da140,32'h3f77543e, 32'h3f665b02,32'h3f7e9a7c, 32'h3f5a3b46,32'h3f855d1c,// invsqrt(1.1146) = 0.9472 +32'h3f9a5571,32'h3f6479da,32'h3f6dcd32, 32'h3f5d7b59,32'h3f74cbb3, 32'h3f51d32c,32'h3f8039f0,// invsqrt(1.2057) = 0.9107 +32'h40d4bd15,32'h3ec29a2e,32'h3eca8b93, 32'h3ebca522,32'h3ed0809e, 32'h3eb2b763,32'h3eda6e5d,// invsqrt(6.6481) = 0.3878 +32'h41927235,32'h3e6a8c56,32'h3e741f20, 32'h3e635e3e,32'h3e7b4d38, 32'h3e5766c2,32'h3e83a25a,// invsqrt(18.3058) = 0.2337 +32'h403b1c2f,32'h3f12b9e3,32'h3f18b706, 32'h3f0e3c08,32'h3f1d34e0, 32'h3f06bf9c,32'h3f24b14c,// invsqrt(2.9236) = 0.5848 +32'h41b847aa,32'h3e5116e2,32'h3e599fa8, 32'h3e4ab04e,32'h3e60063c, 32'h3e400558,32'h3e6ab132,// invsqrt(23.0350) = 0.2084 +32'h3f2c0153,32'h3f990877,32'h3f9f4781, 32'h3f94592f,32'h3fa3f6c9, 32'h3f8c8a63,32'h3fabc595,// invsqrt(0.6719) = 1.2200 +32'h3f05603d,32'h3fadc979,32'h3fb4e15f, 32'h3fa8778d,32'h3fba334b, 32'h3f9f99ae,32'h3fc3112a,// invsqrt(0.5210) = 1.3854 +32'h3fce0a69,32'h3f45bd71,32'h3f4dcfa0, 32'h3f3fafcf,32'h3f53dd43, 32'h3f359915,32'h3f5df3fd,// invsqrt(1.6097) = 0.7882 +32'h3f9f1ae4,32'h3f61062c,32'h3f6a3572, 32'h3f5a22b8,32'h3f7118e6, 32'h3f4ea7a1,32'h3f7c93fd,// invsqrt(1.2430) = 0.8969 +32'h3e3e9a33,32'h40116030,32'h40174f38, 32'h400ceceb,32'h401bc27d, 32'h40058222,32'h40232d46,// invsqrt(0.1861) = 2.3179 +32'h3d95272d,32'h406868fc,32'h4071e56e, 32'h40614ba6,32'h407902c4, 32'h40557016,32'h40826f2a,// invsqrt(0.0728) = 3.7055 +32'h3e32f1c2,32'h4016095a,32'h401c2914, 32'h4011718e,32'h4020c0e0, 32'h4009c9e5,32'h40286889,// invsqrt(0.1748) = 2.3922 +32'h3fb2afd1,32'h3f54562a,32'h3f5d00de, 32'h3f4dd624,32'h3f6380e4, 32'h3f4300c4,32'h3f6e5644,// invsqrt(1.3960) = 0.8464 +32'h3fb85f94,32'h3f510953,32'h3f59918a, 32'h3f4aa328,32'h3f5ff7b4, 32'h3f3ff8e3,32'h3f6aa1f9,// invsqrt(1.4404) = 0.8332 +32'h3e88b2e1,32'h3ff2c439,32'h3ffcace3, 32'h3feb55ba,32'h40020db1, 32'h3fdef2e6,32'h40083f1b,// invsqrt(0.2670) = 1.9353 +32'h3d809aa5,32'h407a4a43,32'h408240c5, 32'h4072a0cf,32'h40861580, 32'h4065dbb6,32'h408c780c,// invsqrt(0.0628) = 3.9906 +32'h3e4af7f9,32'h400ce0a2,32'h4012a0a8, 32'h4008909d,32'h4016f0ad, 32'h40016094,32'h401e20b6,// invsqrt(0.1982) = 2.2461 +32'h3db606c6,32'h40526132,32'h405af772, 32'h404bf081,32'h40616823, 32'h404134b0,32'h406c23f4,// invsqrt(0.0889) = 3.3543 +32'h411175ed,32'h3ea66956,32'h3ead342b, 32'h3ea15137,32'h3eb24c4b, 32'h3e98d3ae,32'h3ebac9d4,// invsqrt(9.0913) = 0.3317 +32'h3f68c919,32'h3f838bce,32'h3f88ea54, 32'h3f7f09d4,32'h3f8cf138, 32'h3f719d85,32'h3f93a760,// invsqrt(0.9093) = 1.0487 +32'h3faee4d0,32'h3f56a072,32'h3f5f6313, 32'h3f500e78,32'h3f65f50c, 32'h3f451b2f,32'h3f70e855,// invsqrt(1.3664) = 0.8555 +32'h3fe31b85,32'h3f3c5874,32'h3f440878, 32'h3f369470,32'h3f49cc7c, 32'h3f2cf86a,32'h3f536882,// invsqrt(1.7743) = 0.7507 +32'h3fe78591,32'h3f3a8a96,32'h3f4227c1, 32'h3f34d4b7,32'h3f47dda1, 32'h3f2b5041,32'h3f516217,// invsqrt(1.8088) = 0.7435 +32'h3fcea595,32'h3f457326,32'h3f4d824c, 32'h3f3f67c9,32'h3f538da9, 32'h3f3554da,32'h3f5da098,// invsqrt(1.6144) = 0.7870 +32'h3fa59e7f,32'h3f5c8def,32'h3f658e81, 32'h3f55cd82,32'h3f6c4eee, 32'h3f4a8ccc,32'h3f778fa4,// invsqrt(1.2939) = 0.8791 +32'h4109c3c1,32'h3eaaff18,32'h3eb1f9d5, 32'h3ea5c30a,32'h3eb735e2, 32'h3e9d099d,32'h3ebfef4f,// invsqrt(8.6103) = 0.3408 +32'h4021fd16,32'h3f1db189,32'h3f242145, 32'h3f18ddbb,32'h3f28f513, 32'h3f10d210,32'h3f3100be,// invsqrt(2.5311) = 0.6286 +32'h3ef835c4,32'h3fb42947,32'h3fbb83c7, 32'h3faea567,32'h3fc107a7, 32'h3fa57446,32'h3fca38c8,// invsqrt(0.4848) = 1.4362 +32'h3fa5b03f,32'h3f5c821e,32'h3f658234, 32'h3f55c20d,32'h3f6c4245, 32'h3f4a81f2,32'h3f778260,// invsqrt(1.2944) = 0.8789 +32'h3e82d27b,32'h3ff828bf,32'h400124e1, 32'h3ff08ffd,32'h4004f142, 32'h3fe3e6ba,32'h400b45e3,// invsqrt(0.2555) = 1.9783 +32'h3f736993,32'h3f80a47f,32'h3f85e4ad, 32'h3f7968b7,32'h3f89d4d1, 32'h3f6c4841,32'h3f90650b,// invsqrt(0.9508) = 1.0255 +32'h3edb7897,32'h3fbf981f,32'h3fc76a15, 32'h3fb9baa6,32'h3fcd478e, 32'h3faff431,32'h3fd70e03,// invsqrt(0.4287) = 1.5274 +32'h3f84403c,32'h3f76d0a9,32'h3f8071d0, 32'h3f6f426f,32'h3f8438ec, 32'h3f62aaba,32'h3f8a84c7,// invsqrt(1.0332) = 0.9838 +32'h3d8857cd,32'h40731542,32'h407d013a, 32'h406ba448,32'h4082391a, 32'h405f3d51,32'h40886c95,// invsqrt(0.0666) = 3.8757 +32'h3f0f1819,32'h3fa7c82b,32'h3faea151, 32'h3fa2a54e,32'h3fb3c42e, 32'h3f9a15de,32'h3fbc539e,// invsqrt(0.5590) = 1.3375 +32'h4087561c,32'h3ef3fc41,32'h3efdf1a7, 32'h3eec8435,32'h3f02b4da, 32'h3ee01175,32'h3f08ee39,// invsqrt(4.2293) = 0.4863 +32'h3f16a590,32'h3fa385a1,32'h3faa3245, 32'h3f9e8427,32'h3faf33bf, 32'h3f962c5b,32'h3fb78b8b,// invsqrt(0.5885) = 1.3036 +32'h40bce686,32'h3ece8431,32'h3ed6f213, 32'h3ec831c7,32'h3edd447d, 32'h3ebda86b,32'h3ee7cdd9,// invsqrt(5.9031) = 0.4116 +32'h3f9c17ac,32'h3f632f6a,32'h3f6c7544, 32'h3f5c3b06,32'h3f7369a8, 32'h3f50a3b5,32'h3f7f00f9,// invsqrt(1.2195) = 0.9056 +32'h408c6f23,32'h3eef841f,32'h3ef94ad1, 32'h3ee82f18,32'h3f004fec, 32'h3edbf6b9,32'h3f066c1c,// invsqrt(4.3886) = 0.4774 +32'h4041b083,32'h3f103668,32'h3f161948, 32'h3f0bcc40,32'h3f1a8370, 32'h3f0470a9,32'h3f21df07,// invsqrt(3.0264) = 0.5748 +32'h3ed321ba,32'h3fc35765,32'h3fcb5083, 32'h3fbd5c8e,32'h3fd14b5a, 32'h3fb36528,32'h3fdb42c0,// invsqrt(0.4124) = 1.5572 +32'h3e5326cb,32'h400a1ee9,32'h400fc221, 32'h4005e47e,32'h4013fc8c, 32'h3ffdb0ef,32'h401b0892,// invsqrt(0.2062) = 2.2022 +32'h3dfea9d5,32'h4031dd27,32'h40391fa6, 32'h402c6b48,32'h403e9186, 32'h4023582a,32'h4047a4a5,// invsqrt(0.1243) = 2.8358 +32'h3f897147,32'h3f721bd8,32'h3f7bfda2, 32'h3f6ab280,32'h3f81b37d, 32'h3f5e5844,32'h3f87e09b,// invsqrt(1.0738) = 0.9650 +32'h3f492ea9,32'h3f8d8065,32'h3f9346f1, 32'h3f892b7c,32'h3f979bda, 32'h3f81f34d,32'h3f9ed409,// invsqrt(0.7859) = 1.1280 +32'h3fae8173,32'h3f56dd84,32'h3f5fa2a3, 32'h3f5049ac,32'h3f66367a, 32'h3f455344,32'h3f712ce2,// invsqrt(1.3633) = 0.8564 +32'h3f9724e9,32'h3f66dfc8,32'h3f704c2e, 32'h3f5fce7b,32'h3f775d7b, 32'h3f5406fc,32'h3f81927d,// invsqrt(1.1808) = 0.9203 +32'h3eaac99c,32'h3fd9310a,32'h3fe20e78, 32'h3fd28af7,32'h3fe8b48b, 32'h3fc7762e,32'h3ff3c954,// invsqrt(0.3336) = 1.7314 +32'h3e215664,32'h401e02eb,32'h402475f9, 32'h40192ca0,32'h40294c44, 32'h40111ccd,32'h40315c17,// invsqrt(0.1576) = 2.5193 +32'h3f2e5b4d,32'h3f97ff64,32'h3f9e339c, 32'h3f93583a,32'h3fa2dac6, 32'h3f8b96f3,32'h3faa9c0d,// invsqrt(0.6811) = 1.2117 +32'h3f79c526,32'h3f7dfd51,32'h3f842da0, 32'h3f7636de,32'h3f8810d9, 32'h3f694174,32'h3f8e8b8e,// invsqrt(0.9757) = 1.0124 +32'h3e37178b,32'h401453d1,32'h401a61af, 32'h400fc96a,32'h401eec16, 32'h40083813,32'h40267d6d,// invsqrt(0.1788) = 2.3649 +32'h3debfe3d,32'h4038c405,32'h40404ea2, 32'h40331c10,32'h4045f698, 32'h4029aecc,32'h404f63dc,// invsqrt(0.1152) = 2.9459 +32'h3f1c7517,32'h3fa074ee,32'h3fa7018a, 32'h3f9b8b79,32'h3fabeaff, 32'h3f935bb6,32'h3fb41ac2,// invsqrt(0.6112) = 1.2792 +32'h3ed105dc,32'h3fc45307,32'h3fcc566a, 32'h3fbe507c,32'h3fd258f4, 32'h3fb44c3f,32'h3fdc5d31,// invsqrt(0.4082) = 1.5651 +32'h4099fdd6,32'h3ee4bace,32'h3eee10cc, 32'h3eddba50,32'h3ef5114a, 32'h3ed20ed2,32'h3f005e64,// invsqrt(4.8122) = 0.4559 +32'h40eb06df,32'h3eb92527,32'h3ec0b3bb, 32'h3eb37a38,32'h3ec65eaa, 32'h3eaa07ff,32'h3ecfd0e3,// invsqrt(7.3446) = 0.3690 +32'h3fb32153,32'h3f5412d9,32'h3f5cbacd, 32'h3f4d94e2,32'h3f6338c4, 32'h3f42c2f1,32'h3f6e0ab5,// invsqrt(1.3995) = 0.8453 +32'h42e34abc,32'h3dbc44e3,32'h3dc3f41b, 32'h3db68179,32'h3dc9b785, 32'h3dace672,32'h3dd3528c,// invsqrt(113.6460) = 0.0938 +32'h3f639eef,32'h3f8507b7,32'h3f8a75be, 32'h3f80f531,32'h3f8e8843, 32'h3f74574f,32'h3f9551cd,// invsqrt(0.8891) = 1.0605 +32'h3af253b8,32'h41b655c4,32'h41bdc6fc, 32'h41b0c0db,32'h41c35be5, 32'h41a77356,32'h41cca96a,// invsqrt(0.0018) = 23.2570 +32'h3fdeb79e,32'h3f3e315c,32'h3f45f4ae, 32'h3f385ede,32'h3f4bc72c, 32'h3f2eaab8,32'h3f557b52,// invsqrt(1.7400) = 0.7581 +32'h3ed40a99,32'h3fc2ec03,32'h3fcae0c0, 32'h3fbcf477,32'h3fd0d84d, 32'h3fb3028b,32'h3fdaca39,// invsqrt(0.4141) = 1.5539 +32'h3f83395f,32'h3f77c762,32'h3f80f236, 32'h3f70319b,32'h3f84bd19, 32'h3f638d50,32'h3f8b0f3f,// invsqrt(1.0252) = 0.9876 +32'h3e93ab3e,32'h3fe99338,32'h3ff31bd6, 32'h3fe26cc0,32'h3ffa424e, 32'h3fd681fa,32'h4003168a,// invsqrt(0.2884) = 1.8620 +32'h3f7b1003,32'h3f7d55bd,32'h3f83d66b, 32'h3f75946d,32'h3f87b714, 32'h3f68a78f,32'h3f8e2d82,// invsqrt(0.9807) = 1.0098 +32'h3f3e005e,32'h3f919afe,32'h3f978c6c, 32'h3f8d25ec,32'h3f9c017e, 32'h3f85b823,32'h3fa36f47,// invsqrt(0.7422) = 1.1608 +32'h3fd2395f,32'h3f43c33b,32'h3f4bc0c1, 32'h3f3dc518,32'h3f51bee4, 32'h3f33c831,32'h3f5bbbcb,// invsqrt(1.6424) = 0.7803 +32'h3f6c828d,32'h3f82819a,32'h3f87d542, 32'h3f7d05b7,32'h3f8bd400, 32'h3f6fb493,32'h3f927c93,// invsqrt(0.9239) = 1.0404 +32'h3fe5f212,32'h3f3b2df9,32'h3f42d1cf, 32'h3f357319,32'h3f488caf, 32'h3f2be64d,32'h3f52197b,// invsqrt(1.7964) = 0.7461 +32'h41e66f25,32'h3e3afb26,32'h3e429ce9, 32'h3e3541d4,32'h3e48563c, 32'h3e2bb7a1,32'h3e51e06f,// invsqrt(28.8043) = 0.1863 +32'h400851b7,32'h3f2be691,32'h3f32eac1, 32'h3f26a36d,32'h3f382de5, 32'h3f1dde32,32'h3f40f320,// invsqrt(2.1300) = 0.6852 +32'h3d38eca3,32'h40939737,32'h40999d63, 32'h408f1296,32'h409e2204, 32'h40878adf,32'h40a5a9bb,// invsqrt(0.0451) = 4.7063 +32'h401fef5c,32'h3f1eb3e3,32'h3f252e2b, 32'h3f19d82d,32'h3f2a09e1, 32'h3f11bf53,32'h3f3222bb,// invsqrt(2.4990) = 0.6326 +32'h3f09e40c,32'h3faaeb11,32'h3fb1e4fd, 32'h3fa5afa0,32'h3fb7206e, 32'h3f9cf73a,32'h3fbfd8d5,// invsqrt(0.5386) = 1.3625 +32'h3eebde9a,32'h3fb8d069,32'h3fc05b87, 32'h3fb32812,32'h3fc603de, 32'h3fa9ba2c,32'h3fcf71c4,// invsqrt(0.4607) = 1.4733 +32'h402cfdfc,32'h3f18988d,32'h3f1ed305, 32'h3f13ecb2,32'h3f237ee0, 32'h3f0c239b,32'h3f2b47f7,// invsqrt(2.7030) = 0.6082 +32'h3e067fac,32'h402d0f63,32'h40341fb0, 32'h4027c329,32'h40396be9, 32'h401eeec8,32'h4042404a,// invsqrt(0.1313) = 2.7592 +32'h3f555275,32'h3f896a90,32'h3f8f066c, 32'h3f8535ab,32'h3f933b51, 32'h3f7c65b0,32'h3f9a3e24,// invsqrt(0.8333) = 1.0955 +32'h3f967f60,32'h3f675e9e,32'h3f70d032, 32'h3f604970,32'h3f77e560, 32'h3f547b77,32'h3f81d9ac,// invsqrt(1.1758) = 0.9222 +32'h40ec7d71,32'h3eb8924e,32'h3ec01ae3, 32'h3eb2ebdd,32'h3ec5c153, 32'h3ea98122,32'h3ecf2c0e,// invsqrt(7.3903) = 0.3678 +32'h3e6c4a2b,32'h4002912b,32'h4007e575, 32'h3ffd23e5,32'h400be4ae, 32'h3fefd12a,32'h40128e0b,// invsqrt(0.2308) = 2.0817 +32'h3c383663,32'h4113e028,32'h4119e94e, 32'h410f594b,32'h411e702b, 32'h4107cddc,32'h4125fb9a,// invsqrt(0.0112) = 9.4308 +32'h3f151b58,32'h3fa45d3e,32'h3fab12ae, 32'h3f9f552a,32'h3fb01ac2, 32'h3f96f25d,32'h3fb87d8f,// invsqrt(0.5824) = 1.3103 +32'h3e35060b,32'h40152c21,32'h401b42d4, 32'h40109b1b,32'h401fd3db, 32'h4008febc,32'h4027703a,// invsqrt(0.1768) = 2.3784 +32'h3fb8b490,32'h3f50d936,32'h3f595f77, 32'h3f4a7486,32'h3f5fc428, 32'h3f3fccb5,32'h3f6a6bf9,// invsqrt(1.4430) = 0.8325 +32'h3fc10131,32'h3f4c4f0f,32'h3f54a5e1, 32'h3f460df2,32'h3f5ae6fe, 32'h3f3ba16c,32'h3f655384,// invsqrt(1.5078) = 0.8144 +32'h3f9476c6,32'h3f68f2e6,32'h3f7274fa, 32'h3f61d157,32'h3f799689, 32'h3f55eebe,32'h3f82bc91,// invsqrt(1.1599) = 0.9285 +32'h3ef110fd,32'h3fb6cfa9,32'h3fbe45d9, 32'h3fb13704,32'h3fc3de7e, 32'h3fa7e347,32'h3fcd323b,// invsqrt(0.4708) = 1.4574 +32'h40180215,32'h3f22c9c0,32'h3f296eb8, 32'h3f1dce06,32'h3f2e6a72, 32'h3f157fd0,32'h3f36b8a8,// invsqrt(2.3751) = 0.6489 +32'h3ebdfadc,32'h3fcdedc9,32'h3fd65588, 32'h3fc79ff9,32'h3fdca357, 32'h3fbd1e4a,32'h3fe72506,// invsqrt(0.3711) = 1.6417 +32'h3e31032a,32'h4016da65,32'h401d02a7, 32'h40123c32,32'h4021a0da, 32'h400a89df,32'h4029532d,// invsqrt(0.1729) = 2.4052 +32'h40a284c4,32'h3edea5fa,32'h3ee7bc6c, 32'h3ed7d524,32'h3eee8d42, 32'h3ecc7914,32'h3ef9e952,// invsqrt(5.0787) = 0.4437 +32'h3ebce3ce,32'h3fce85ae,32'h3fd6f3a0, 32'h3fc83338,32'h3fdd4616, 32'h3fbda9ca,32'h3fe7cf85,// invsqrt(0.3689) = 1.6464 +32'h3f51f113,32'h3f8a84a5,32'h3f902c05, 32'h3f86471e,32'h3f94698c, 32'h3f7e6bcc,32'h3f9b7ac4,// invsqrt(0.8201) = 1.1043 +32'h3f618fe5,32'h3f85a2c7,32'h3f8b1723, 32'h3f818b82,32'h3f8f2e68, 32'h3f75741f,32'h3f95ffda,// invsqrt(0.8811) = 1.0653 +32'h3f34969b,32'h3f955a21,32'h3f9b72b4, 32'h3f90c7b2,32'h3fa00522, 32'h3f8928f9,32'h3fa7a3db,// invsqrt(0.7054) = 1.1906 +32'h3fce01a0,32'h3f45c1a9,32'h3f4dd403, 32'h3f3fb3e5,32'h3f53e1c7, 32'h3f359cf4,32'h3f5df8b8,// invsqrt(1.6094) = 0.7883 +32'h40826753,32'h3ef88ea0,32'h3f0159e5, 32'h3ef0f2bf,32'h3f0527d5, 32'h3ee44449,32'h3f0b7f10,// invsqrt(4.0751) = 0.4954 +32'h3ef49e85,32'h3fb57a8e,32'h3fbce2d3, 32'h3fafec5b,32'h3fc27107, 32'h3fa6aa06,32'h3fcbb35c,// invsqrt(0.4778) = 1.4467 +32'h3ee12d44,32'h3fbd26b7,32'h3fc4df27, 32'h3fb75c63,32'h3fcaa97b, 32'h3fadb5d7,32'h3fd45007,// invsqrt(0.4398) = 1.5079 +32'h40a01d14,32'h3ee05075,32'h3ee97850, 32'h3ed97291,32'h3ef05635, 32'h3ece00c0,32'h3efbc807,// invsqrt(5.0035) = 0.4471 +32'h3f7d7b11,32'h3f7c1fa6,32'h3f83350b, 32'h3f7467d3,32'h3f8710f5, 32'h3f678ac8,32'h3f8d7f7a,// invsqrt(0.9902) = 1.0050 +32'h3f4b5d4f,32'h3f8cbd84,32'h3f927c1c, 32'h3f886e92,32'h3f96cb0e, 32'h3f814055,32'h3f9df94b,// invsqrt(0.7944) = 1.1220 +32'h407fefba,32'h3efae941,32'h3f029383, 32'h3ef33aef,32'h3f066aac, 32'h3ee66dba,32'h3f0cd147,// invsqrt(3.9990) = 0.5001 +32'h3d72341f,32'h4080f693,32'h40863a1b, 32'h407a07d8,32'h408a2cc2, 32'h406cdf03,32'h4090c12c,// invsqrt(0.0591) = 4.1123 +32'h40c8b81a,32'h3ec85819,32'h3ed0857d, 32'h3ec2360e,32'h3ed6a788, 32'h3eb7fd50,32'h3ee0e046,// invsqrt(6.2725) = 0.3993 +32'h40400000,32'h3f10d87c,32'h3f16c1f9, 32'h3f0c695e,32'h3f1b3116, 32'h3f050581,32'h3f2294f3,// invsqrt(3.0000) = 0.5774 +32'h3f9f47ff,32'h3f60e64d,32'h3f6a1446, 32'h3f5a03d3,32'h3f70f6c1, 32'h3f4e8a5c,32'h3f7c7038,// invsqrt(1.2444) = 0.8964 +32'h3f2141c5,32'h3f9e0d05,32'h3fa4807d, 32'h3f99366b,32'h3fa95717, 32'h3f912614,32'h3fb1676e,// invsqrt(0.6299) = 1.2600 +32'h3fab6b82,32'h3f58ca61,32'h3f61a39f, 32'h3f522773,32'h3f68468d, 32'h3f4717e6,32'h3f73561a,// invsqrt(1.3392) = 0.8641 +32'h40f1c89e,32'h3eb68a30,32'h3ebdfd8a, 32'h3eb0f3ac,32'h3ec3940e, 32'h3ea7a37a,32'h3ecce440,// invsqrt(7.5557) = 0.3638 +32'h3f8a5709,32'h3f715278,32'h3f7b2c0a, 32'h3f69ef4b,32'h3f81479c, 32'h3f5d9f54,32'h3f876f97,// invsqrt(1.0808) = 0.9619 +32'h3ff268cc,32'h3f364dd7,32'h3f3dbebb, 32'h3f30b92c,32'h3f435366, 32'h3f276c0e,32'h3f4ca084,// invsqrt(1.8938) = 0.7267 +32'h4025dd27,32'h3f1bd726,32'h3f223386, 32'h3f1711de,32'h3f26f8ce, 32'h3f0f1e67,32'h3f2eec45,// invsqrt(2.5916) = 0.6212 +32'h40728a4b,32'h3f00dfa8,32'h3f062242, 32'h3ef9db6b,32'h3f0a1434, 32'h3eecb4ec,32'h3f10a774,// invsqrt(3.7897) = 0.5137 +32'h3f0ae5e2,32'h3faa4c24,32'h3fb13f93, 32'h3fa51590,32'h3fb67626, 32'h3f9c6545,32'h3fbf2671,// invsqrt(0.5426) = 1.3576 +32'h3ee4b99b,32'h3fbbada9,32'h3fc356b5, 32'h3fb5eee0,32'h3fc9157e, 32'h3fac5b91,32'h3fd2a8cd,// invsqrt(0.4467) = 1.4962 +32'h406d8b1f,32'h3f0238d8,32'h3f078988, 32'h3efc78a8,32'h3f0b860c, 32'h3eef2ef0,32'h3f122ae8,// invsqrt(3.7116) = 0.5191 +32'h40ad7d98,32'h3ed77e31,32'h3ee049e0, 32'h3ed0e56f,32'h3ee6e2a3, 32'h3ec5e6d5,32'h3ef1e13d,// invsqrt(5.4216) = 0.4295 +32'h3f1fdbd9,32'h3f9ebd92,32'h3fa53840, 32'h3f99e191,32'h3faa1441, 32'h3f91c838,32'h3fb22d9a,// invsqrt(0.6244) = 1.2655 +32'h3fc8174f,32'h3f48a888,32'h3f50d935, 32'h3f428407,32'h3f56fdb7, 32'h3f38472f,32'h3f613a8f,// invsqrt(1.5632) = 0.7998 +32'h401d2134,32'h3f201cf5,32'h3f26a5f9, 32'h3f1b3631,32'h3f2b8cbd, 32'h3f130aeb,32'h3f33b803,// invsqrt(2.4552) = 0.6382 +32'h3f95f364,32'h3f67ca84,32'h3f71407e, 32'h3f60b207,32'h3f7858fb, 32'h3f54de8e,32'h3f82163a,// invsqrt(1.1715) = 0.9239 +32'h40f21859,32'h3eb66c1e,32'h3ebdde3f, 32'h3eb0d686,32'h3ec373d8, 32'h3ea787de,32'h3eccc281,// invsqrt(7.5655) = 0.3636 +32'h40488324,32'h3f0dbcde,32'h3f1385e1, 32'h3f09661a,32'h3f17dca4, 32'h3f022ad6,32'h3f1f17e8,// invsqrt(3.1330) = 0.5650 +32'h3f64ce61,32'h3f84af63,32'h3f8a19cf, 32'h3f809f91,32'h3f8e29a1, 32'h3f73b513,32'h3f94eea8,// invsqrt(0.8938) = 1.0578 +32'h3e35978d,32'h4014f051,32'h401b0493, 32'h40106120,32'h401f93c4, 32'h4008c7cd,32'h40272d17,// invsqrt(0.1773) = 2.3747 +32'h3e538e0e,32'h4009fd2f,32'h400f9f07, 32'h4005c3cd,32'h4013d869, 32'h3ffd72fd,32'h401ae2b7,// invsqrt(0.2066) = 2.2001 +32'h3c8c6800,32'h40ef8a35,32'h40f95127, 32'h40e834ff,32'h4100532f, 32'h40dbfc50,32'h41066f86,// invsqrt(0.0171) = 7.6384 +32'h3f275bed,32'h3f9b2489,32'h3fa1799e, 32'h3f9664b8,32'h3fa6396e, 32'h3f8e7a5e,32'h3fae23c8,// invsqrt(0.6537) = 1.2368 +32'h3e076c4b,32'h402c77ef,32'h4033820e, 32'h40273059,32'h4038c9a5, 32'h401e63b2,32'h4041964c,// invsqrt(0.1322) = 2.7498 +32'h3ec57c58,32'h3fc9fa43,32'h3fd238b9, 32'h3fc3cb6b,32'h3fd86791, 32'h3fb97d58,32'h3fe2b5a4,// invsqrt(0.3857) = 1.6102 +32'h3f9afc56,32'h3f63feb5,32'h3f6d4d05, 32'h3f5d03f8,32'h3f7447c2, 32'h3f516214,32'h3f7fe9a6,// invsqrt(1.2108) = 0.9088 +32'h41b0a91a,32'h3e558d02,32'h3e5e4466, 32'h3e4f0378,32'h3e64cdf0, 32'h3e441e3c,32'h3e6fb32c,// invsqrt(22.0826) = 0.2128 +32'h3edfece3,32'h3fbdadd7,32'h3fc56bcb, 32'h3fb7df60,32'h3fcb3a42, 32'h3fae31ef,32'h3fd4e7b3,// invsqrt(0.4374) = 1.5121 +32'h3ef3a2a5,32'h3fb5d845,32'h3fbd445d, 32'h3fb04733,32'h3fc2d56f, 32'h3fa70016,32'h3fcc1c8c,// invsqrt(0.4759) = 1.4497 +32'h4100297a,32'h3eb1496b,32'h3eb885e2, 32'h3eabdc11,32'h3ebdf33b, 32'h3ea2d07c,32'h3ec6fed0,// invsqrt(8.0101) = 0.3533 +32'h3ccf8502,32'h40c508be,32'h40cd138c, 32'h40bf00a3,32'h40d31ba7, 32'h40b4f321,32'h40dd2929,// invsqrt(0.0253) = 6.2830 +32'h3f68bd69,32'h3f838f1c,32'h3f88edc4, 32'h3f7f103b,32'h3f8cf4c2, 32'h3f71a396,32'h3f93ab15,// invsqrt(0.9091) = 1.0488 +32'h3be0b01b,32'h413d5b5f,32'h414515f5, 32'h41378f6e,32'h414ae1e6, 32'h412de633,32'h41548b21,// invsqrt(0.0069) = 12.0763 +32'h3d44965a,32'h408f254c,32'h4094fd06, 32'h408ac381,32'h40995ed1, 32'h408375d8,32'h40a0ac7a,// invsqrt(0.0480) = 4.5646 +32'h3dc5fd7d,32'h4049b859,32'h4051f41d, 32'h40438b85,32'h405820f1, 32'h403940cf,32'h40626ba7,// invsqrt(0.0967) = 3.2162 +32'h3fb22b20,32'h3f54a52d,32'h3f5d5319, 32'h3f4e22bb,32'h3f63d58b, 32'h3f434953,32'h3f6eaef3,// invsqrt(1.3919) = 0.8476 +32'h3e3682b3,32'h40149040,32'h401aa096, 32'h401003ff,32'h401f2cd7, 32'h40086f94,32'h4026c142,// invsqrt(0.1782) = 2.3687 +32'h3eea0639,32'h3fb98a91,32'h3fc11d49, 32'h3fb3dc88,32'h3fc6cb52, 32'h3faa6522,32'h3fd042b8,// invsqrt(0.4571) = 1.4791 +32'h3e046f1f,32'h402e6765,32'h403585bd, 32'h402910a3,32'h403adc7f, 32'h40202ab6,32'h4043c26c,// invsqrt(0.1293) = 2.7807 +32'h425b7aad,32'h3e07799d,32'h3e0d0131, 32'h3e0353ef,32'h3e1126df, 32'h3df8d4ed,32'h3e181058,// invsqrt(54.8698) = 0.1350 +32'h422d9db5,32'h3e18524b,32'h3e1e89e6, 32'h3e13a898,32'h3e23339a, 32'h3e0be316,32'h3e2af91c,// invsqrt(43.4040) = 0.1518 +32'h413c0111,32'h3e926077,32'h3e9859f4, 32'h3e8de559,32'h3e9cd511, 32'h3e866d7c,32'h3ea44cee,// invsqrt(11.7503) = 0.2917 +32'h3fefb7f5,32'h3f375309,32'h3f3ece97, 32'h3f31b65f,32'h3f446b41, 32'h3f285bee,32'h3f4dc5b2,// invsqrt(1.8728) = 0.7307 +32'h3cccec07,32'h40c6476e,32'h40ce5f3e, 32'h40c03592,32'h40d4711a, 32'h40b617cd,32'h40de8edf,// invsqrt(0.0250) = 6.3227 +32'h3ef64b0e,32'h3fb4dc68,32'h3fbc3e38, 32'h3faf530c,32'h3fc1c794, 32'h3fa618c8,32'h3fcb01d8,// invsqrt(0.4810) = 1.4418 +32'h41cef48a,32'h3e454d78,32'h3e4d5b14, 32'h3e3f4342,32'h3e53654a, 32'h3e35323f,32'h3e5d764d,// invsqrt(25.8694) = 0.1966 +32'h4016f09a,32'h3f235cf7,32'h3f2a07f1, 32'h3f1e5cbb,32'h3f2f082d, 32'h3f160702,32'h3f375de6,// invsqrt(2.3584) = 0.6512 +32'h4102fd60,32'h3eaf5cde,32'h3eb6853a, 32'h3ea9fe98,32'h3ebbe380, 32'h3ea10c24,32'h3ec4d5f4,// invsqrt(8.1869) = 0.3495 +32'h3f57838a,32'h3f88b73a,32'h3f8e4bc4, 32'h3f8487d2,32'h3f927b2c, 32'h3f7b1c4b,32'h3f9974d8,// invsqrt(0.8419) = 1.0899 +32'h3f77a711,32'h3f7f12b3,32'h3f84bdfa, 32'h3f7743c3,32'h3f88a572, 32'h3f6a4032,32'h3f8f273b,// invsqrt(0.9674) = 1.0167 +32'h3faeb77c,32'h3f56bc47,32'h3f5f800b, 32'h3f502974,32'h3f6612de, 32'h3f4534be,32'h3f710794,// invsqrt(1.3650) = 0.8559 +32'h3f81fec9,32'h3f78f27d,32'h3f818dde, 32'h3f71538e,32'h3f855d55, 32'h3f64a000,32'h3f8bb71c,// invsqrt(1.0156) = 0.9923 +32'h3f870dbd,32'h3f743d97,32'h3f7e35a8, 32'h3f6cc38b,32'h3f82d7da, 32'h3f604d76,32'h3f8912e5,// invsqrt(1.0551) = 0.9735 +32'h4104cccd,32'h3eae29d7,32'h3eb545ab, 32'h3ea8d4f7,32'h3eba9a8b, 32'h3e9ff22e,32'h3ec37d54,// invsqrt(8.3000) = 0.3471 +32'h402fcc58,32'h3f175f86,32'h3f1d8d37, 32'h3f12bd40,32'h3f222f7c, 32'h3f0b0421,32'h3f29e89b,// invsqrt(2.7468) = 0.6034 +32'h3f82131d,32'h3f78df08,32'h3f8183be, 32'h3f7140b2,32'h3f8552e9, 32'h3f648e22,32'h3f8bac31,// invsqrt(1.0162) = 0.9920 +32'h4048ef03,32'h3f0d96cd,32'h3f135e43, 32'h3f094134,32'h3f17b3dc, 32'h3f0207e1,32'h3f1eed2f,// invsqrt(3.1396) = 0.5644 +32'h3f8b67cd,32'h3f7065ed,32'h3f7a35d7, 32'h3f6909fd,32'h3f80c8e3, 32'h3f5cc618,32'h3f86ead6,// invsqrt(1.0891) = 0.9582 +32'h3fac4e8a,32'h3f583b60,32'h3f610ec7, 32'h3f519cd2,32'h3f67ad54, 32'h3f469491,32'h3f72b595,// invsqrt(1.3461) = 0.8619 +32'h3e9d8ef6,32'h3fe22037,32'h3feb5aff, 32'h3fdb3420,32'h3ff24716, 32'h3fcfaaa5,32'h3ffdd091,// invsqrt(0.3077) = 1.8027 +32'h3f575872,32'h3f88c4e7,32'h3f8e5a00, 32'h3f849514,32'h3f9289d2, 32'h3f7b3569,32'h3f998432,// invsqrt(0.8412) = 1.0903 +32'h3e9375aa,32'h3fe9bda3,32'h3ff347fd, 32'h3fe295df,32'h3ffa6fc1, 32'h3fd6a8ee,32'h40032e59,// invsqrt(0.2880) = 1.8634 +32'h3fc82f65,32'h3f489c76,32'h3f50cca4, 32'h3f427853,32'h3f56f0c7, 32'h3f383c18,32'h3f612d02,// invsqrt(1.5639) = 0.7996 +32'h3f4ed463,32'h3f8b8e6e,32'h3f9140a6, 32'h3f8748c3,32'h3f958651, 32'h3f8029fc,32'h3f9ca518,// invsqrt(0.8079) = 1.1125 +32'h3e94818c,32'h3fe8ea72,32'h3ff26c2e, 32'h3fe1c926,32'h3ff98d7a, 32'h3fd5e6fb,32'h4002b7d2,// invsqrt(0.2901) = 1.8568 +32'h3f999db1,32'h3f650257,32'h3f6e5b41, 32'h3f5dffa8,32'h3f755df0, 32'h3f525084,32'h3f80868a,// invsqrt(1.2001) = 0.9128 +32'h40164d04,32'h3f23b5c5,32'h3f2a6460, 32'h3f1eb2d2,32'h3f2f6754, 32'h3f165891,32'h3f37c195,// invsqrt(2.3485) = 0.6525 +32'h3ee2d254,32'h3fbc76d5,32'h3fc42817, 32'h3fb6b1e3,32'h3fc9ed09, 32'h3fad1451,32'h3fd38a9b,// invsqrt(0.4430) = 1.5024 +32'h402be098,32'h3f191708,32'h3f1f56ab, 32'h3f14674f,32'h3f240665, 32'h3f0c97c4,32'h3f2bd5f0,// invsqrt(2.6856) = 0.6102 +32'h3f8c0231,32'h3f6fe13c,32'h3f79abbc, 32'h3f68895c,32'h3f8081ce, 32'h3f5c4c3c,32'h3f86a05e,// invsqrt(1.0938) = 0.9562 +32'h41ad72d0,32'h3e5784e4,32'h3e6050d8, 32'h3e50ebec,32'h3e66e9d0, 32'h3e45ecfb,32'h3e71e8c1,// invsqrt(21.6811) = 0.2148 +32'h3f62396b,32'h3f8570ab,32'h3f8ae2fc, 32'h3f815af0,32'h3f8ef8b8, 32'h3f751817,32'h3f95c79c,// invsqrt(0.8837) = 1.0638 +32'h4145a888,32'h3e8ec1e2,32'h3e94958d, 32'h3e8a6321,32'h3e98f44d, 32'h3e831a8b,32'h3ea03ce3,// invsqrt(12.3536) = 0.2845 +32'h3fc9969e,32'h3f47e968,32'h3f501248, 32'h3f41cac0,32'h3f5630f0, 32'h3f3797a9,32'h3f606407,// invsqrt(1.5749) = 0.7968 +32'h3cf8be57,32'h40b3f7cb,32'h40bb5046, 32'h40ae756e,32'h40c0d2a2, 32'h40a546d4,32'h40ca013c,// invsqrt(0.0304) = 5.7388 +32'h4080f280,32'h3ef9f4f1,32'h3f02145e, 32'h3ef24e18,32'h3f05e7ca, 32'h3ee58d5a,32'h3f0c4829,// invsqrt(4.0296) = 0.4982 +32'h40a44fe8,32'h3edd6e0c,32'h3ee677c4, 32'h3ed6a6c3,32'h3eed3f0d, 32'h3ecb5a9e,32'h3ef88b32,// invsqrt(5.1348) = 0.4413 +32'h3faf787d,32'h3f56460e,32'h3f5f04ff, 32'h3f4fb6da,32'h3f659434, 32'h3f44c82d,32'h3f7082e1,// invsqrt(1.3709) = 0.8541 +32'h3fbb9993,32'h3f4f3b23,32'h3f57b07d, 32'h3f48e31f,32'h3f5e0881, 32'h3f3e506e,32'h3f689b32,// invsqrt(1.4656) = 0.8260 +32'h3ebf89dd,32'h3fcd16db,32'h3fd575d4, 32'h3fc6cf9f,32'h3fdbbd0f, 32'h3fbc58e8,32'h3fe633c6,// invsqrt(0.3741) = 1.6350 +32'h3f961d46,32'h3f67aa2c,32'h3f711ed4, 32'h3f6092ad,32'h3f783653, 32'h3f54c0da,32'h3f820413,// invsqrt(1.1728) = 0.9234 +32'h405c0cc1,32'h3f074c9e,32'h3f0cd25c, 32'h3f032850,32'h3f10f6aa, 32'h3ef88247,32'h3f17ddd6,// invsqrt(3.4383) = 0.5393 +32'h3f337793,32'h3f95d160,32'h3f9beed2, 32'h3f913b4b,32'h3fa084e7, 32'h3f89967d,32'h3fa829b5,// invsqrt(0.7010) = 1.1943 +32'h3f5dc23c,32'h3f86c6e7,32'h3f8c472f, 32'h3f82a6b1,32'h3f906765, 32'h3f778cad,32'h3f9747bf,// invsqrt(0.8662) = 1.0744 +32'h3f4ee130,32'h3f8b8a1c,32'h3f913c28, 32'h3f874494,32'h3f9581b0, 32'h3f802605,32'h3f9ca03f,// invsqrt(0.8081) = 1.1124 +32'h4319363d,32'h3da225b8,32'h3da8c3fe, 32'h3d9d2f03,32'h3dadbab3, 32'h3d94e92c,32'h3db6008b,// invsqrt(153.2119) = 0.0808 +32'h3fd5ddef,32'h3f421697,32'h3f4a029d, 32'h3f3c2592,32'h3f4ff3a2, 32'h3f323e8b,32'h3f59daa9,// invsqrt(1.6708) = 0.7736 +32'h3f2149e2,32'h3f9e090b,32'h3fa47c5a, 32'h3f993290,32'h3fa952d6, 32'h3f91226e,32'h3fb162f8,// invsqrt(0.6300) = 1.2598 +32'h3e442586,32'h400f4e72,32'h401527da, 32'h400aeb64,32'h40198ae8, 32'h40039ba2,32'h4020daaa,// invsqrt(0.1915) = 2.2849 +32'h3f9bafaa,32'h3f637b40,32'h3f6cc433, 32'h3f5c848a,32'h3f73baea, 32'h3f50e95b,32'h3f7f5619,// invsqrt(1.2163) = 0.9067 +32'h3fb04b9d,32'h3f55c59a,32'h3f5e7f4c, 32'h3f4f3a54,32'h3f650a92, 32'h3f445234,32'h3f6ff2b2,// invsqrt(1.3773) = 0.8521 +32'h3cb490ca,32'h40d33aa0,32'h40dbd9c0, 32'h40ccc347,32'h40e25119, 32'h40c1fc5e,32'h40ed1802,// invsqrt(0.0220) = 6.7356 +32'h3f3e93b7,32'h3f9162a9,32'h3f9751cb, 32'h3f8cef51,32'h3f9bc523, 32'h3f858467,32'h3fa3300d,// invsqrt(0.7444) = 1.1590 +32'h3ff6c16c,32'h3f34b102,32'h3f3c110c, 32'h3f2f28fa,32'h3f419914, 32'h3f25f0ed,32'h3f4ad121,// invsqrt(1.9278) = 0.7202 +32'h3f035412,32'h3faf22f3,32'h3fb648f2, 32'h3fa9c673,32'h3fbba571, 32'h3fa0d6f3,32'h3fc494f1,// invsqrt(0.5130) = 1.3962 +32'h407ed8e6,32'h3efb725f,32'h3f02dade, 32'h3ef3bfda,32'h3f06b421, 32'h3ee6eba6,32'h3f0d1e3b,// invsqrt(3.9820) = 0.5011 +32'h3f27baa0,32'h3f9af8b7,32'h3fa14c02, 32'h3f963a3e,32'h3fa60a7a, 32'h3f8e5220,32'h3fadf298,// invsqrt(0.6552) = 1.2354 +32'h3fb7b080,32'h3f516cd9,32'h3f59f921, 32'h3f4b03a4,32'h3f606256, 32'h3f40544a,32'h3f6b11b0,// invsqrt(1.4351) = 0.8348 +32'h3efd177e,32'h3fb26a4f,32'h3fb9b291, 32'h3facf41e,32'h3fbf28c2, 32'h3fa3d9cb,32'h3fc84315,// invsqrt(0.4943) = 1.4223 +32'h40aa1a93,32'h3ed9a0ac,32'h3ee282a8, 32'h3ed2f72e,32'h3ee92c26, 32'h3ec7dcb3,32'h3ef446a1,// invsqrt(5.3157) = 0.4337 +32'h3fdedda3,32'h3f3e2122,32'h3f45e3cb, 32'h3f384f24,32'h3f4bb5ca, 32'h3f2e9bd2,32'h3f55691d,// invsqrt(1.7411) = 0.7579 +32'h3f9f4af4,32'h3f60e437,32'h3f6a1219, 32'h3f5a01cc,32'h3f70f484, 32'h3f4e8871,32'h3f7c6ddf,// invsqrt(1.2445) = 0.8964 +32'h3ff478ff,32'h3f35887b,32'h3f3cf151, 32'h3f2ff9da,32'h3f427ff2, 32'h3f26b6cf,32'h3f4bc2fd,// invsqrt(1.9099) = 0.7236 +32'h3f44ed62,32'h3f8f05a7,32'h3f94dc17, 32'h3f8aa4d4,32'h3f993cea, 32'h3f8358c9,32'h3fa088f5,// invsqrt(0.7692) = 1.1402 +32'h3fa059ec,32'h3f6025e2,32'h3f694c00, 32'h3f59494b,32'h3f702897, 32'h3f4dd9a6,32'h3f7b983c,// invsqrt(1.2527) = 0.8934 +32'h41970f60,32'h3e66f03d,32'h3e705d4f, 32'h3e5fde6f,32'h3e776f1d, 32'h3e541619,32'h3e819bba,// invsqrt(18.8825) = 0.2301 +32'h3f9f903e,32'h3f60b35e,32'h3f69df42, 32'h3f59d272,32'h3f70c02e, 32'h3f4e5b95,32'h3f7c370b,// invsqrt(1.2466) = 0.8956 +32'h3f3cd696,32'h3f920d9e,32'h3f9803ba, 32'h3f8d950a,32'h3f9c7c4e, 32'h3f862168,32'h3fa3eff0,// invsqrt(0.7376) = 1.1643 +32'h41e1b0c9,32'h3e3cef92,32'h3e44a5c2, 32'h3e3726ee,32'h3e4a6e66, 32'h3e2d8333,32'h3e541221,// invsqrt(28.2113) = 0.1883 +32'h3c9d6827,32'h40e23c15,32'h40eb7801, 32'h40db4f24,32'h40f264f2, 32'h40cfc43d,32'h40fdefd9,// invsqrt(0.0192) = 7.2141 +32'h404f4424,32'h3f0b68c9,32'h3f111979, 32'h3f072446,32'h3f155dfc, 32'h3f00076a,32'h3f1c7ad8,// invsqrt(3.2385) = 0.5557 +32'h3f99b6e0,32'h3f64ef94,32'h3f6e47ba, 32'h3f5ded78,32'h3f7549d6, 32'h3f523f4a,32'h3f807c02,// invsqrt(1.2009) = 0.9125 +32'h3f1a7cdc,32'h3fa179f5,32'h3fa81138, 32'h3f9c8882,32'h3fad02aa, 32'h3f944b6d,32'h3fb53fbf,// invsqrt(0.6035) = 1.2873 +32'h3f871a24,32'h3f743261,32'h3f7e29fd, 32'h3f6cb8ad,32'h3f82d1d9, 32'h3f60432a,32'h3f890c9a,// invsqrt(1.0555) = 0.9734 +32'h3d8dd260,32'h406e5769,32'h407811d5, 32'h40670b97,32'h407f5da7, 32'h405ae28f,32'h4085c357,// invsqrt(0.0692) = 3.8001 +32'h3d05d5bc,32'h40ad7d1f,32'h40b491e7, 32'h40a82d89,32'h40b9e17d, 32'h409f5390,32'h40c2bb77,// invsqrt(0.0327) = 5.5322 +32'h3e845d3d,32'h3ff6b59d,32'h400063bd, 32'h3fef2837,32'h40042a6f, 32'h3fe291e4,32'h400a7599,// invsqrt(0.2585) = 1.9668 +32'h3fa411bb,32'h3f5d97fd,32'h3f66a36b, 32'h3f56cf6b,32'h3f6d6bfd, 32'h3f4b8122,32'h3f78ba46,// invsqrt(1.2818) = 0.8833 +32'h4026ec65,32'h3f1b5855,32'h3f21af87, 32'h3f1696ef,32'h3f2670ed, 32'h3f0ea9f0,32'h3f2e5dec,// invsqrt(2.6082) = 0.6192 +32'h403efcb2,32'h3f113aaf,32'h3f17282e, 32'h3f0cc88f,32'h3f1b9a4d, 32'h3f055fb0,32'h3f23032c,// invsqrt(2.9842) = 0.5789 +32'h3f35830d,32'h3f94f8ba,32'h3f9b0d54, 32'h3f906947,32'h3f9f9cc7, 32'h3f88cf86,32'h3fa73688,// invsqrt(0.7090) = 1.1876 +32'h417c2df9,32'h3e7cc5f2,32'h3e838b95, 32'h3e750907,32'h3e876a0b, 32'h3e682380,32'h3e8ddcce,// invsqrt(15.7612) = 0.2519 +32'h3f189cd3,32'h3fa27723,32'h3fa918bc, 32'h3f9d7df1,32'h3fae11ef, 32'h3f9533f2,32'h3fb65bee,// invsqrt(0.5961) = 1.2952 +32'h40ea1652,32'h3eb98430,32'h3ec116a4, 32'h3eb3d658,32'h3ec6c47c, 32'h3eaa5f46,32'h3ed03b8e,// invsqrt(7.3152) = 0.3697 +32'h3f2a24cf,32'h3f99de2e,32'h3fa025f1, 32'h3f95285b,32'h3fa4dbc3, 32'h3f8d4ea7,32'h3facb577,// invsqrt(0.6646) = 1.2266 +32'h401fb302,32'h3f1ed1dd,32'h3f254d5f, 32'h3f19f53c,32'h3f2a2a00, 32'h3f11dadb,32'h3f324461,// invsqrt(2.4953) = 0.6331 +32'h3e825881,32'h3ff89cc1,32'h40016140, 32'h3ff10072,32'h40052f67, 32'h3fe45143,32'h400b86fe,// invsqrt(0.2546) = 1.9819 +32'h3f7cc14b,32'h3f7c7c3d,32'h3f83653a, 32'h3f74c194,32'h3f87428e, 32'h3f67dfcf,32'h3f8db370,// invsqrt(0.9873) = 1.0064 +32'h3d7d3ea3,32'h407c3db9,32'h408344b1, 32'h407484fa,32'h40872111, 32'h4067a666,32'h408d905b,// invsqrt(0.0618) = 4.0217 +32'h3e907d35,32'h3fec219b,32'h3ff5c4ef, 32'h3fe4e71b,32'h3ffcff6f, 32'h3fd8daf1,32'h400485cc,// invsqrt(0.2822) = 1.8824 +32'h3f2d4f04,32'h3f9874dc,32'h3f9eade0, 32'h3f93ca19,32'h3fa358a3, 32'h3f8c02d5,32'h3fab1fe7,// invsqrt(0.6770) = 1.2154 +32'h403a8452,32'h3f12f592,32'h3f18f526, 32'h3f0e75e4,32'h3f1d74d4, 32'h3f06f66c,32'h3f24f44c,// invsqrt(2.9143) = 0.5858 +32'h3f896692,32'h3f722547,32'h3f7c0773, 32'h3f6abba5,32'h3f81b88a, 32'h3f5e60ed,32'h3f87e5e6,// invsqrt(1.0734) = 0.9652 +32'h3f133521,32'h3fa56bd0,32'h3fac2c4c, 32'h3fa05b74,32'h3fb13ca8, 32'h3f97ead9,32'h3fb9ad43,// invsqrt(0.5750) = 1.3187 +32'h3f978ca2,32'h3f6690b9,32'h3f6ff9e5, 32'h3f5f81d8,32'h3f7708c6, 32'h3f53be61,32'h3f81661f,// invsqrt(1.1840) = 0.9190 +32'h3de8ed69,32'h4039fa47,32'h4041918e, 32'h403448d2,32'h40474304, 32'h402acbba,32'h4050c01c,// invsqrt(0.1137) = 2.9652 +32'h3f01e1f2,32'h3fb01bcd,32'h3fb74bf4, 32'h3faab7ae,32'h3fbcb012, 32'h3fa1bb7d,32'h3fc5ac43,// invsqrt(0.5074) = 1.4039 +32'h3e4a9a6c,32'h400d0125,32'h4012c27f, 32'h4008b021,32'h40171383, 32'h40017e70,32'h401e4534,// invsqrt(0.1979) = 2.2482 +32'h3edc0450,32'h3fbf5b3f,32'h3fc72ab9, 32'h3fb97fa3,32'h3fcd0655, 32'h3fafbc49,32'h3fd6c9af,// invsqrt(0.4297) = 1.5255 +32'h41829893,32'h3e785fbd,32'h3e81417f, 32'h3e70c54c,32'h3e850eb8, 32'h3e64193b,32'h3e8b64c0,// invsqrt(16.3245) = 0.2475 +32'h3fada518,32'h3f5765ae,32'h3f60305c, 32'h3f50cdab,32'h3f66c85f, 32'h3f45d051,32'h3f71c5b9,// invsqrt(1.3566) = 0.8586 +32'h3f8060e6,32'h3f7a8288,32'h3f825e0d, 32'h3f72d75a,32'h3f8633a4, 32'h3f660f62,32'h3f8c97a0,// invsqrt(1.0030) = 0.9985 +32'h40cd176e,32'h3ec63271,32'h3ece4966, 32'h3ec0213a,32'h3ed45a9e, 32'h3eb60488,32'h3ede7750,// invsqrt(6.4091) = 0.3950 +32'h402170d9,32'h3f1df5f8,32'h3f246880, 32'h3f192013,32'h3f293e65, 32'h3f1110e9,32'h3f314d8f,// invsqrt(2.5225) = 0.6296 +32'h3f150fbe,32'h3fa463a3,32'h3fab1956, 32'h3f9f5b5c,32'h3fb0219c, 32'h3f96f83c,32'h3fb884bc,// invsqrt(0.5823) = 1.3105 +32'h3f97652c,32'h3f66aec3,32'h3f701929, 32'h3f5f9ef6,32'h3f7728f6, 32'h3f53d9f7,32'h3f8176fa,// invsqrt(1.1828) = 0.9195 +32'h3f191fea,32'h3fa23189,32'h3fa8d04b, 32'h3f9d3a78,32'h3fadc75c, 32'h3f94f406,32'h3fb60dce,// invsqrt(0.5981) = 1.2930 +32'h3fa26755,32'h3f5eba26,32'h3f67d16c, 32'h3f57e8b2,32'h3f6ea2e0, 32'h3f4c8b9b,32'h3f79fff7,// invsqrt(1.2688) = 0.8878 +32'h40067132,32'h3f2d18b4,32'h3f342962, 32'h3f27cc31,32'h3f3975e5, 32'h3f1ef757,32'h3f424abf,// invsqrt(2.1007) = 0.6900 +32'h3fa4ce74,32'h3f5d18f8,32'h3f661f37, 32'h3f56544a,32'h3f6ce3e6, 32'h3f4b0c7c,32'h3f782bb4,// invsqrt(1.2876) = 0.8813 +32'h3f68ffc2,32'h3f837c5f,32'h3f88da44, 32'h3f7eebe9,32'h3f8ce0b0, 32'h3f71812d,32'h3f93960d,// invsqrt(0.9102) = 1.0482 +32'h3f09649c,32'h3fab3a43,32'h3fb2376a, 32'h3fa5fc65,32'h3fb77547, 32'h3f9d3ff4,32'h3fc031b8,// invsqrt(0.5367) = 1.3650 +32'h3ed98cc4,32'h3fc07037,32'h3fc84b00, 32'h3fba8c21,32'h3fce2f17, 32'h3fb0baa6,32'h3fd80092,// invsqrt(0.4249) = 1.5341 +32'h400449a4,32'h3f2e8019,32'h3f359f72, 32'h3f292895,32'h3f3af6f5, 32'h3f204164,32'h3f43de26,// invsqrt(2.0670) = 0.6956 +32'h3e802c12,32'h3ffab622,32'h400278e8, 32'h3ff30960,32'h40064f49, 32'h3fe63ec6,32'h400cb496,// invsqrt(0.2503) = 1.9987 +32'h401756e4,32'h3f2325b8,32'h3f29ce72, 32'h3f1e272e,32'h3f2eccfc, 32'h3f15d446,32'h3f371fe4,// invsqrt(2.3647) = 0.6503 +32'h3e3c99ab,32'h40122533,32'h40181c45, 32'h400dabe6,32'h401c9592, 32'h40063710,32'h40240a68,// invsqrt(0.1842) = 2.3301 +32'h3f8647e6,32'h3f74f142,32'h3f7ef0a7, 32'h3f6d71b5,32'h3f83381a, 32'h3f60f275,32'h3f8977b9,// invsqrt(1.0491) = 0.9763 +32'h406bbba6,32'h3f02b89d,32'h3f080e84, 32'h3efd705e,32'h3f0c0ef1, 32'h3ef0199d,32'h3f12ba52,// invsqrt(3.6833) = 0.5211 +32'h4007941c,32'h3f2c5e9a,32'h3f3367b0, 32'h3f2717ca,32'h3f38ae80, 32'h3f1e4c6e,32'h3f4179dc,// invsqrt(2.1184) = 0.6871 +32'h403c222c,32'h3f125395,32'h3f184c8c, 32'h3f0dd8dd,32'h3f1cc745, 32'h3f0661a9,32'h3f243e79,// invsqrt(2.9396) = 0.5833 +32'h3f179e84,32'h3fa2ff2b,32'h3fa9a651, 32'h3f9e01ce,32'h3faea3ae, 32'h3f95b0de,32'h3fb6f49e,// invsqrt(0.5923) = 1.2994 +32'h3f372ccb,32'h3f944b36,32'h3f9a58ba, 32'h3f8fc112,32'h3f9ee2de, 32'h3f88302c,32'h3fa673c4,// invsqrt(0.7155) = 1.1822 +32'h3f09bf93,32'h3fab01b0,32'h3fb1fc88, 32'h3fa5c58e,32'h3fb738aa, 32'h3f9d0c00,32'h3fbff238,// invsqrt(0.5381) = 1.3633 +32'h4072bccb,32'h3f00d240,32'h3f06144d, 32'h3ef9c16b,32'h3f0a05d6, 32'h3eec9c4b,32'h3f109867,// invsqrt(3.7928) = 0.5135 +32'h3f234644,32'h3f9d1242,32'h3fa37b7e, 32'h3f984355,32'h3fa84a6b, 32'h3f903fca,32'h3fb04df6,// invsqrt(0.6378) = 1.2522 +32'h3fd6799c,32'h3f41d019,32'h3f49b93f, 32'h3f3be13d,32'h3f4fa81b, 32'h3f31fdce,32'h3f598b8a,// invsqrt(1.6756) = 0.7725 +32'h3f0bebf9,32'h3fa9ac5a,32'h3fb09944, 32'h3fa47aab,32'h3fb5caf3, 32'h3f9bd287,32'h3fbe7317,// invsqrt(0.5466) = 1.3526 +32'h3f425814,32'h3f8ff82f,32'h3f95d885, 32'h3f8b8fef,32'h3f9a40c5, 32'h3f843784,32'h3fa19930,// invsqrt(0.7592) = 1.1477 +32'h3f42297c,32'h3f900974,32'h3f95ea7e, 32'h3f8ba0ad,32'h3f9a5345, 32'h3f844760,32'h3fa1ac92,// invsqrt(0.7584) = 1.1483 +32'h3fa718dd,32'h3f5b93ac,32'h3f648a06, 32'h3f54dae8,32'h3f6b42ca, 32'h3f49a6f6,32'h3f7676bc,// invsqrt(1.3054) = 0.8752 +32'h3f1343a0,32'h3fa563ab,32'h3fac23d1, 32'h3fa0538e,32'h3fb133ee, 32'h3f97e35e,32'h3fb9a41e,// invsqrt(0.5753) = 1.3185 +32'h4131c81d,32'h3e9686be,32'h3e9cab97, 32'h3e91eb1c,32'h3ea1473a, 32'h3e8a3d0d,32'h3ea8f549,// invsqrt(11.1114) = 0.3000 +32'h4069cd28,32'h3f034291,32'h3f089e19, 32'h3efe7bd5,32'h3f0ca2c0, 32'h3ef116ff,32'h3f13552a,// invsqrt(3.6531) = 0.5232 +32'h3de83101,32'h403a45ac,32'h4041e006, 32'h403491e8,32'h404793ca, 32'h402b10f6,32'h405114bc,// invsqrt(0.1134) = 2.9699 +32'h416b81ef,32'h3e82c8a0,32'h3e881f2f, 32'h3e7d8f6c,32'h3e8c201a, 32'h3e703708,32'h3e92cc4c,// invsqrt(14.7192) = 0.2606 +32'h40381cde,32'h3f13ea67,32'h3f19f3f9, 32'h3f0f633a,32'h3f1e7b26, 32'h3f07d745,32'h3f26071b,// invsqrt(2.8768) = 0.5896 +32'h3f60be36,32'h3f85e110,32'h3f8b57f6, 32'h3f81c7e3,32'h3f8f7123, 32'h3f75e685,32'h3f9645c3,// invsqrt(0.8779) = 1.0673 +32'h3d98e4d5,32'h40658c9f,32'h406eeb2d, 32'h405e85b4,32'h4075f218, 32'h4052cf82,32'h4080d425,// invsqrt(0.0747) = 3.6599 +32'h3f3d35cc,32'h3f91e8db,32'h3f97dd76, 32'h3f8d7166,32'h3f9c54ea, 32'h3f85ffa4,32'h3fa3c6ac,// invsqrt(0.7391) = 1.1632 +32'h3e82e685,32'h3ff815c0,32'h40011afe, 32'h3ff07d93,32'h4004e715, 32'h3fe3d548,32'h400b3b3a,// invsqrt(0.2557) = 1.9777 +32'h3e0a6064,32'h402a9e34,32'h403194fd, 32'h4025651e,32'h4036ce14, 32'h401cb0a3,32'h403f828f,// invsqrt(0.1351) = 2.7203 +32'h3f628e98,32'h3f855794,32'h3f8ac8de, 32'h3f81429d,32'h3f8eddd5, 32'h3f74ea00,32'h3f95ab72,// invsqrt(0.8850) = 1.0630 +32'h3fc082d8,32'h3f4c9210,32'h3f54eb9e, 32'h3f464ee6,32'h3f5b2ec8, 32'h3f3bdef5,32'h3f659eb9,// invsqrt(1.5040) = 0.8154 +32'h4020e3f5,32'h3f1e3b12,32'h3f24b06c, 32'h3f19630f,32'h3f29886f, 32'h3f11505f,32'h3f319b1f,// invsqrt(2.5139) = 0.6307 +32'h40111f93,32'h3f269ad1,32'h3f2d67ab, 32'h3f21812e,32'h3f32814e, 32'h3f19011e,32'h3f3b015e,// invsqrt(2.2676) = 0.6641 +32'h3fda5a5a,32'h3f40158a,32'h3f47eca0, 32'h3f3a343a,32'h3f4dcdf0, 32'h3f306760,32'h3f579aca,// invsqrt(1.7059) = 0.7656 +32'h3f8bf65d,32'h3f6feb5f,32'h3f79b649, 32'h3f689330,32'h3f80873c, 32'h3f5c558c,32'h3f86a60e,// invsqrt(1.0935) = 0.9563 +32'h3ec3eb90,32'h3fcac870,32'h3fd30f50, 32'h3fc49348,32'h3fd94478, 32'h3fba3ab0,32'h3fe39d10,// invsqrt(0.3827) = 1.6166 +32'h401a5cd3,32'h3f218ab5,32'h3f2822a7, 32'h3f1c98bf,32'h3f2d149d, 32'h3f145ad0,32'h3f35528c,// invsqrt(2.4119) = 0.6439 +32'h41c7f55b,32'h3e48b991,32'h3e50eaef, 32'h3e42948a,32'h3e570ff6, 32'h3e3856d3,32'h3e614dad,// invsqrt(24.9948) = 0.2000 +32'h3ec97174,32'h3fc7fbd8,32'h3fd02578, 32'h3fc1dca0,32'h3fd644b0, 32'h3fb7a897,32'h3fe078b9,// invsqrt(0.3934) = 1.5943 +32'h3f2599f8,32'h3f9bf6bf,32'h3fa25469, 32'h3f973080,32'h3fa71aa8, 32'h3f8f3b6c,32'h3faf0fbc,// invsqrt(0.6469) = 1.2433 +32'h3f783076,32'h3f7ecc0f,32'h3f849937, 32'h3f76ff48,32'h3f887f9a, 32'h3f69ff51,32'h3f8eff95,// invsqrt(0.9695) = 1.0156 +32'h3fff6ef7,32'h3f319877,32'h3f38d829, 32'h3f2c28b2,32'h3f3e47ee, 32'h3f231915,32'h3f47578b,// invsqrt(1.9956) = 0.7079 +32'h3e6965e8,32'h40035f96,32'h4008bc4e, 32'h3ffeb419,32'h400cc1d8, 32'h3ff14c4d,32'h401375bd,// invsqrt(0.2279) = 2.0946 +32'h3f008054,32'h3fb10d77,32'h3fb8477b, 32'h3faba1f3,32'h3fbdb2ff, 32'h3fa2996d,32'h3fc6bb85,// invsqrt(0.5020) = 1.4115 +32'h3f809814,32'h3f7a4cc3,32'h3f824212, 32'h3f72a33a,32'h3f8616d6, 32'h3f65de01,32'h3f8c7972,// invsqrt(1.0046) = 0.9977 +32'h41c5ee6f,32'h3e49c005,32'h3e51fc1a, 32'h3e4392f5,32'h3e582929, 32'h3e3947da,32'h3e627444,// invsqrt(24.7414) = 0.2010 +32'h3ef850b5,32'h3fb41f81,32'h3fbb799b, 32'h3fae9bed,32'h3fc0fd2f, 32'h3fa56b4d,32'h3fca2dcf,// invsqrt(0.4850) = 1.4359 +32'h3f33f831,32'h3f959bce,32'h3f9bb710, 32'h3f91075d,32'h3fa04b81, 32'h3f89654a,32'h3fa7ed94,// invsqrt(0.7030) = 1.1927 +32'h3e73f6d4,32'h40007f3c,32'h4005bde5, 32'h3ff92078,32'h4009ace4, 32'h3fec03d0,32'h40103b38,// invsqrt(0.2382) = 2.0487 +32'h3d693239,32'h40836e24,32'h4088cb74, 32'h407ed050,32'h408cd170, 32'h40716709,32'h40938614,// invsqrt(0.0569) = 4.1910 +32'h3f590485,32'h3f883dc0,32'h3f8dcd54, 32'h3f841210,32'h3f91f904, 32'h3f7a3d2c,32'h3f98ec7e,// invsqrt(0.8477) = 1.0861 +32'h3f3c8daa,32'h3f9229da,32'h3f98211c, 32'h3f8db068,32'h3f9c9a8e, 32'h3f863b55,32'h3fa40fa1,// invsqrt(0.7365) = 1.1652 +32'h3f38e9f3,32'h3f93984a,32'h3f999e82, 32'h3f8f13a1,32'h3f9e232b, 32'h3f878bdc,32'h3fa5aaf0,// invsqrt(0.7223) = 1.1766 +32'h41701588,32'h3e8187fb,32'h3e86d173, 32'h3e7b21c2,32'h3e8ac88d, 32'h3e6dea16,32'h3e916463,// invsqrt(15.0053) = 0.2582 +32'h3e1312d3,32'h40257f19,32'h402c405e, 32'h40206e26,32'h40315152, 32'h4017fc90,32'h4039c2e8,// invsqrt(0.1436) = 2.6387 +32'h3f3a64a6,32'h3f93020e,32'h3f990224, 32'h3f8e81fe,32'h3f9d8234, 32'h3f8701e3,32'h3fa5024f,// invsqrt(0.7281) = 1.1719 +32'h3f1a204f,32'h3fa1aa69,32'h3fa843a7, 32'h3f9cb77b,32'h3fad3695, 32'h3f9477ee,32'h3fb57622,// invsqrt(0.6021) = 1.2888 +32'h3dabe6bf,32'h40587c9e,32'h406152ae, 32'h4051dc11,32'h4067f33b, 32'h4046d07c,32'h4072fed0,// invsqrt(0.0839) = 3.4516 +32'h3f727bb5,32'h3f80e388,32'h3f86264a, 32'h3f79e2ee,32'h3f8a185b, 32'h3f6cbc0a,32'h3f90abcd,// invsqrt(0.9472) = 1.0275 +32'h3f6be9e5,32'h3f82abcc,32'h3f88012e, 32'h3f7d5787,32'h3f8c0136, 32'h3f700214,32'h3f92abf0,// invsqrt(0.9215) = 1.0417 +32'h3f5f4492,32'h3f865219,32'h3f8bcd9d, 32'h3f823576,32'h3f8fea40, 32'h3f76b624,32'h3f96c4a4,// invsqrt(0.8721) = 1.0708 +32'h3f9bd553,32'h3f635fc2,32'h3f6ca795, 32'h3f5c69e2,32'h3f739d74, 32'h3f50d01a,32'h3f7f373c,// invsqrt(1.2174) = 0.9063 +32'h40cc9ff7,32'h3ec66c44,32'h3ece8596, 32'h3ec05948,32'h3ed49892, 32'h3eb639a2,32'h3edeb838,// invsqrt(6.3945) = 0.3955 +32'h40d5727c,32'h3ec2476b,32'h3eca356f, 32'h3ebc54e8,32'h3ed027f2, 32'h3eb26b62,32'h3eda1178,// invsqrt(6.6702) = 0.3872 +32'h3f4de3c9,32'h3f8bdfe1,32'h3f91956d, 32'h3f8797b8,32'h3f95dd96, 32'h3f8074ca,32'h3f9d0084,// invsqrt(0.8043) = 1.1151 +32'h3f23b65e,32'h3f9cdc72,32'h3fa3437b, 32'h3f980f2a,32'h3fa810c2, 32'h3f900e5d,32'h3fb0118f,// invsqrt(0.6395) = 1.2505 +32'h3f9bc71b,32'h3f636a22,32'h3f6cb262, 32'h3f5c73f2,32'h3f73a892, 32'h3f50d9a2,32'h3f7f42e2,// invsqrt(1.2170) = 0.9065 +32'h3f28095d,32'h3f9ad464,32'h3fa12634, 32'h3f961708,32'h3fa5e390, 32'h3f8e30c4,32'h3fadc9d4,// invsqrt(0.6564) = 1.2343 +32'h3f42a5f4,32'h3f8fdb5f,32'h3f95ba88, 32'h3f8b7401,32'h3f9a21e7, 32'h3f841d0f,32'h3fa178d9,// invsqrt(0.7603) = 1.1468 +32'h3dfb9861,32'h4032f1f2,32'h403a3fbe, 32'h402d779a,32'h403fba16, 32'h4024565c,32'h4048db54,// invsqrt(0.1228) = 2.8531 +32'h4000626a,32'h3f312216,32'h3f385cf2, 32'h3f2bb5f1,32'h3f3dc917, 32'h3f22ac5d,32'h3f46d2ab,// invsqrt(2.0060) = 0.7060 +32'h42834c27,32'h3df7b5a9,32'h3e00e8fd, 32'h3df0206d,32'h3e04b39b, 32'h3de37d09,32'h3e0b054d,// invsqrt(65.6487) = 0.1234 +32'h3f5a3c4a,32'h3f87dc4c,32'h3f8d67e6, 32'h3f83b398,32'h3f91909a, 32'h3f798a2d,32'h3f987f1c,// invsqrt(0.8525) = 1.0831 +32'h3f994887,32'h3f6541ed,32'h3f6e9d6f, 32'h3f5e3d4c,32'h3f75a210, 32'h3f528ae9,32'h3f80aa39,// invsqrt(1.1975) = 0.9138 +32'h408d1727,32'h3eeef557,32'h3ef8b636, 32'h3ee7a4b0,32'h3f00036f, 32'h3edb739a,32'h3f061bfa,// invsqrt(4.4091) = 0.4762 +32'h3ff40eb4,32'h3f35afff,32'h3f3d1a71, 32'h3f302028,32'h3f42aa48, 32'h3f26db19,32'h3f4bef57,// invsqrt(1.9067) = 0.7242 +32'h3e42cc78,32'h400fcd26,32'h4015abba, 32'h400b6637,32'h401a12a9, 32'h40040ffe,32'h402168e2,// invsqrt(0.1902) = 2.2928 +32'h3f960450,32'h3f67bd71,32'h3f7132e3, 32'h3f60a55b,32'h3f784af9, 32'h3f54d28c,32'h3f820ee4,// invsqrt(1.1720) = 0.9237 +32'h40d8d37a,32'h3ec0c25f,32'h3ec8a083, 32'h3ebadbc5,32'h3ece871d, 32'h3eb10619,32'h3ed85cc9,// invsqrt(6.7758) = 0.3842 +32'h402cd187,32'h3f18ac2c,32'h3f1ee772, 32'h3f13ffb8,32'h3f2393e6, 32'h3f0c35a1,32'h3f2b5dfd,// invsqrt(2.7003) = 0.6085 +32'h3ecee060,32'h3fc55715,32'h3fcd6515, 32'h3fbf4c94,32'h3fd36f96, 32'h3fb53b13,32'h3fdd8117,// invsqrt(0.4041) = 1.5732 +32'h403fbe64,32'h3f10f141,32'h3f16dbc1, 32'h3f0c8161,32'h3f1b4ba1, 32'h3f051c41,32'h3f22b0c1,// invsqrt(2.9960) = 0.5777 +32'h3f9d07e0,32'h3f628165,32'h3f6bc025, 32'h3f5b9255,32'h3f72af35, 32'h3f5003e4,32'h3f7e3da6,// invsqrt(1.2268) = 0.9028 +32'h4084fe98,32'h3ef61fc6,32'h3f0015c3, 32'h3eee96f7,32'h3f03da2a, 32'h3ee20849,32'h3f0a2182,// invsqrt(4.1561) = 0.4905 +32'h408a3b57,32'h3ef16aa3,32'h3efb4532, 32'h3eea06b9,32'h3f01548f, 32'h3eddb587,32'h3f077d28,// invsqrt(4.3197) = 0.4811 +32'h3de9277c,32'h4039e31c,32'h40417971, 32'h4034325d,32'h40472a31, 32'h402ab673,32'h4050a61b,// invsqrt(0.1138) = 2.9638 +32'h4197847a,32'h3e6696ee,32'h3e70005a, 32'h3e5f87dc,32'h3e770f6c, 32'h3e53c414,32'h3e81699a,// invsqrt(18.9397) = 0.2298 +32'h401c99fb,32'h3f206206,32'h3f26eddd, 32'h3f1b7926,32'h3f2bd6be, 32'h3f134a5a,32'h3f34058a,// invsqrt(2.4469) = 0.6393 +32'h401c19a1,32'h3f20a3e9,32'h3f27326f, 32'h3f1bb903,32'h3f2c1d55, 32'h3f1386db,32'h3f344f7d,// invsqrt(2.4391) = 0.6403 +32'h3f5eb20c,32'h3f867e42,32'h3f8bfb94, 32'h3f826046,32'h3f901990, 32'h3f770741,32'h3f96f636,// invsqrt(0.8699) = 1.0722 +32'h3f6bc288,32'h3f82b6b4,32'h3f880c88, 32'h3f7d6cac,32'h3f8c0ce6, 32'h3f70161c,32'h3f92b82e,// invsqrt(0.9209) = 1.0420 +32'h402d7363,32'h3f1864df,32'h3f1e9d3c, 32'h3f13ba9a,32'h3f234782, 32'h3f0bf426,32'h3f2b0df6,// invsqrt(2.7102) = 0.6074 +32'h40765918,32'h3effbf5f,32'h3f0517d6, 32'h3ef7eb26,32'h3f0901f3, 32'h3eeadec5,32'h3f0f8823,// invsqrt(3.8492) = 0.5097 +32'h3fe44537,32'h3f3bdd7b,32'h3f43887b, 32'h3f361d3b,32'h3f4948bb, 32'h3f2c877c,32'h3f52de7b,// invsqrt(1.7834) = 0.7488 +32'h41ad976a,32'h3e576e2a,32'h3e603931, 32'h3e50d5e5,32'h3e66d177, 32'h3e45d81d,32'h3e71cf3f,// invsqrt(21.6989) = 0.2147 +32'h3f84a550,32'h3f76728d,32'h3f8040d6, 32'h3f6ee735,32'h3f840682, 32'h3f62544d,32'h3f8a4ff6,// invsqrt(1.0363) = 0.9823 +32'h403b6a0d,32'h3f129b64,32'h3f189749, 32'h3f0e1e79,32'h3f1d1435, 32'h3f06a39b,32'h3f248f13,// invsqrt(2.9283) = 0.5844 +32'h3f84e773,32'h3f763534,32'h3f8020e9, 32'h3f6eabbc,32'h3f83e5a5, 32'h3f621bf6,32'h3f8a2d88,// invsqrt(1.0383) = 0.9814 +32'h3f74779d,32'h3f805d5f,32'h3f859aa6, 32'h3f78ded1,32'h3f89889c, 32'h3f6bc59d,32'h3f901535,// invsqrt(0.9550) = 1.0233 +32'h3e935779,32'h3fe9d594,32'h3ff360e8, 32'h3fe2ad14,32'h3ffa8968, 32'h3fd6beeb,32'h40033bc8,// invsqrt(0.2878) = 1.8641 +32'h3fa33255,32'h3f5e2f74,32'h3f674111, 32'h3f576240,32'h3f6e0e46, 32'h3f4c0c3c,32'h3f79644a,// invsqrt(1.2750) = 0.8856 +32'h403bb08c,32'h3f127fd9,32'h3f187a9e, 32'h3f0e03c6,32'h3f1cf6b2, 32'h3f068a50,32'h3f247028,// invsqrt(2.9327) = 0.5839 +32'h40122e6f,32'h3f260030,32'h3f2cc6ba, 32'h3f20eb49,32'h3f31dba1, 32'h3f18731c,32'h3f3a53ce,// invsqrt(2.2841) = 0.6617 +32'h3f509dd1,32'h3f8af519,32'h3f90a110, 32'h3f86b421,32'h3f94e209, 32'h3f7f3a59,32'h3f9bf8fe,// invsqrt(0.8149) = 1.1078 +32'h3f77311b,32'h3f7f4f89,32'h3f84dda2, 32'h3f777eba,32'h3f88c609, 32'h3f6a780f,32'h3f8f495e,// invsqrt(0.9656) = 1.0177 +32'h3f8b14d3,32'h3f70ad98,32'h3f7a8070, 32'h3f694f77,32'h3f80ef48, 32'h3f5d07ea,32'h3f87130f,// invsqrt(1.0866) = 0.9593 +32'h3f52af47,32'h3f8a4611,32'h3f8feae2, 32'h3f860a73,32'h3f94267f, 32'h3f7df8da,32'h3f9b3485,// invsqrt(0.8230) = 1.1023 +32'h403c94a3,32'h3f122726,32'h3f181e4c, 32'h3f0dadc9,32'h3f1c97a9, 32'h3f0638da,32'h3f240c98,// invsqrt(2.9466) = 0.5826 +32'h3fdfd389,32'h3f3db895,32'h3f4576f9, 32'h3f37e9ca,32'h3f4b45c4, 32'h3f2e3bcd,32'h3f54f3c1,// invsqrt(1.7486) = 0.7562 +32'h4070bea8,32'h3f015a74,32'h3f06a210, 32'h3efac97d,32'h3f0a97c5, 32'h3eed9677,32'h3f113149,// invsqrt(3.7616) = 0.5156 +32'h3fa16d82,32'h3f5f663c,32'h3f688488, 32'h3f588f84,32'h3f6f5b40, 32'h3f4d29a5,32'h3f7ac11f,// invsqrt(1.2612) = 0.8905 +32'h3f987d25,32'h3f65da9d,32'h3f6f3c5b, 32'h3f5ed14f,32'h3f7645a9, 32'h3f531723,32'h3f80ffeb,// invsqrt(1.1913) = 0.9162 +32'h408118ab,32'h3ef9cffb,32'h3f020122, 32'h3ef22a44,32'h3f05d3fd, 32'h3ee56b69,32'h3f0c336b,// invsqrt(4.0343) = 0.4979 +32'h3f63da96,32'h3f84f64c,32'h3f8a639d, 32'h3f80e44e,32'h3f8e759a, 32'h3f743751,32'h3f953e40,// invsqrt(0.8901) = 1.0600 +32'h3ef71d79,32'h3fb48f57,32'h3fbbee01, 32'h3faf0857,32'h3fc17501, 32'h3fa5d201,32'h3fcaab57,// invsqrt(0.4826) = 1.4394 +32'h3fb560e6,32'h3f52c14f,32'h3f5b5b7c, 32'h3f4c4dad,32'h3f61cf1d, 32'h3f418cf4,32'h3f6c8fd6,// invsqrt(1.4170) = 0.8401 +32'h3f1a5b75,32'h3fa18b6c,32'h3fa82366, 32'h3f9c9971,32'h3fad1561, 32'h3f945b78,32'h3fb5535a,// invsqrt(0.6030) = 1.2878 +32'h3d3d6dbb,32'h4091d34e,32'h4097c708, 32'h408d5c82,32'h409c3dd4, 32'h4085ebda,32'h40a3ae7c,// invsqrt(0.0462) = 4.6500 +32'h401163cd,32'h3f2673b6,32'h3f2d3ef6, 32'h3f215b45,32'h3f325767, 32'h3f18dd34,32'h3f3ad578,// invsqrt(2.2717) = 0.6635 +32'h3fbf602e,32'h3f4d2d2f,32'h3f558d12, 32'h3f46e546,32'h3f5bd4fc, 32'h3f3c6d6a,32'h3f664cd8,// invsqrt(1.4951) = 0.8178 +32'h3f85b76d,32'h3f757570,32'h3f7f7a3c, 32'h3f6df1d8,32'h3f837eea, 32'h3f616bda,32'h3f89c1e9,// invsqrt(1.0447) = 0.9784 +32'h3fb91169,32'h3f50a4cc,32'h3f5928e9, 32'h3f4a41b6,32'h3f5f8bfe, 32'h3f3f9c91,32'h3f6a3123,// invsqrt(1.4458) = 0.8316 +32'h4269ca93,32'h3e03434b,32'h3e089edb, 32'h3dfe7d3e,32'h3e0ca387, 32'h3df11855,32'h3e1355fb,// invsqrt(58.4478) = 0.1308 +32'h3f20174e,32'h3f9ea015,32'h3fa5198f, 32'h3f99c4fb,32'h3fa9f4a9, 32'h3f91ad23,32'h3fb20c81,// invsqrt(0.6254) = 1.2646 +32'h3f937e81,32'h3f69b6a2,32'h3f7340b3, 32'h3f628f15,32'h3f7a683f, 32'h3f56a27f,32'h3f832a6a,// invsqrt(1.1523) = 0.9316 +32'h3fed0836,32'h3f385c3e,32'h3f3fe29f, 32'h3f32b776,32'h3f458768, 32'h3f294f7e,32'h3f4eef61,// invsqrt(1.8518) = 0.7349 +32'h3fb9c9ca,32'h3f503d2a,32'h3f58bd0d, 32'h3f49dd41,32'h3f5f1cf7, 32'h3f3f3d66,32'h3f69bcd2,// invsqrt(1.4515) = 0.8300 +32'h4070ac3b,32'h3f015f67,32'h3f06a737, 32'h3efad316,32'h3f0a9d13, 32'h3eed9f8e,32'h3f1136d7,// invsqrt(3.7605) = 0.5157 +32'h40db17a3,32'h3ebfc27e,32'h3ec79630, 32'h3eb9e3b9,32'h3ecd74f5, 32'h3eb01b1b,32'h3ed73d93,// invsqrt(6.8466) = 0.3822 +32'h3f206d89,32'h3f9e756e,32'h3fa4ed2a, 32'h3f999ba2,32'h3fa9c6f6, 32'h3f9185f8,32'h3fb1dca0,// invsqrt(0.6267) = 1.2632 +32'h3f73548d,32'h3f80aa0d,32'h3f85ea77, 32'h3f79737d,32'h3f89dac5, 32'h3f6c5277,32'h3f906b49,// invsqrt(0.9505) = 1.0257 +32'h403706cf,32'h3f145a98,32'h3f1a68be, 32'h3f0fcffc,32'h3f1ef35a, 32'h3f083e4d,32'h3f268509,// invsqrt(2.8598) = 0.5913 +32'h3f1d19ce,32'h3fa020ba,32'h3fa6a9e6, 32'h3f9b39d9,32'h3fab90c7, 32'h3f930e62,32'h3fb3bc3e,// invsqrt(0.6137) = 1.2765 +32'h3eb7560e,32'h3fd1a07b,32'h3fda2edf, 32'h3fcb35b1,32'h3fe099a9, 32'h3fc083b5,32'h3feb4ba5,// invsqrt(0.3581) = 1.6711 +32'h3fe18c2a,32'h3f3cfee8,32'h3f44b5b8, 32'h3f3735cc,32'h3f4a7ed4, 32'h3f2d9148,32'h3f542358,// invsqrt(1.7621) = 0.7533 +32'h3f9cd2cc,32'h3f62a7b7,32'h3f6be807, 32'h3f5bb77a,32'h3f72d844, 32'h3f502716,32'h3f7e68a9,// invsqrt(1.2252) = 0.9034 +32'h3fe5767a,32'h3f3b605c,32'h3f430640, 32'h3f35a3f0,32'h3f48c2ac, 32'h3f2c1493,32'h3f525209,// invsqrt(1.7927) = 0.7469 +32'h3fbd5b50,32'h3f4e4478,32'h3f56afc0, 32'h3f47f401,32'h3f5d0037, 32'h3f3d6de6,32'h3f678652,// invsqrt(1.4793) = 0.8222 +32'h3f89290e,32'h3f725b8e,32'h3f7c3ff2, 32'h3f6af043,32'h3f81d59e, 32'h3f5e92c6,32'h3f88045d,// invsqrt(1.0716) = 0.9660 +32'h40058be9,32'h3f2dad0c,32'h3f34c3c9, 32'h3f285bff,32'h3f3a14d7, 32'h3f1f7f94,32'h3f42f143,// invsqrt(2.0867) = 0.6923 +32'h417b512c,32'h3e7d34e4,32'h3e83c552, 32'h3e757494,32'h3e87a57a, 32'h3e688964,32'h3e8e1b12,// invsqrt(15.7073) = 0.2523 +32'h3fa9ccf2,32'h3f59d265,32'h3f62b669, 32'h3f532762,32'h3f69616c, 32'h3f480a5d,32'h3f747e71,// invsqrt(1.3266) = 0.8682 +32'h418e9599,32'h3e6db406,32'h3e7767c8, 32'h3e666d35,32'h3e7eae99, 32'h3e5a4c83,32'h3e8567a5,// invsqrt(17.8230) = 0.2369 +32'h4447f555,32'h3d0def17,32'h3d13ba27, 32'h3d0996ca,32'h3d181274, 32'h3d0258f6,32'h3d1f5048,// invsqrt(799.8333) = 0.0354 +32'h410e88d0,32'h3ea81c6b,32'h3eaef901, 32'h3ea2f6fa,32'h3eb41e72, 32'h3e9a633d,32'h3ebcb22f,// invsqrt(8.9084) = 0.3350 +32'h3e91aaa1,32'h3feb2ccd,32'h3ff4c623, 32'h3fe3f9cc,32'h3ffbf924, 32'h3fd7fa1f,32'h4003fc68,// invsqrt(0.2845) = 1.8748 +32'h40d8c116,32'h3ec0ca8d,32'h3ec8a905, 32'h3ebae3b2,32'h3ece8fe0, 32'h3eb10d9b,32'h3ed865f7,// invsqrt(6.7736) = 0.3842 +32'h3f6fd98c,32'h3f81982d,32'h3f86e24f, 32'h3f7b4129,32'h3f8ad9e8, 32'h3f6e07d6,32'h3f917691,// invsqrt(0.9369) = 1.0331 +32'h3fb593b7,32'h3f52a3cf,32'h3f5b3cc7, 32'h3f4c3114,32'h3f61af82, 32'h3f4171dd,32'h3f6c6eb9,// invsqrt(1.4186) = 0.8396 +32'h3f8dec8b,32'h3f6e416f,32'h3f77faf6, 32'h3f66f649,32'h3f7f461b, 32'h3f5ace60,32'h3f85b702,// invsqrt(1.1088) = 0.9497 +32'h3f446daf,32'h3f8f341d,32'h3f950c71, 32'h3f8ad1dd,32'h3f996eb1, 32'h3f838373,32'h3fa0bd1b,// invsqrt(0.7673) = 1.1416 +32'h3f0375c2,32'h3faf0c81,32'h3fb63195, 32'h3fa9b0b1,32'h3fbb8d65, 32'h3fa0c257,32'h3fc47bbf,// invsqrt(0.5135) = 1.3955 +32'h3fb54a5a,32'h3f52ce69,32'h3f5b691f, 32'h3f4c5a61,32'h3f61dd27, 32'h3f4198fd,32'h3f6c9e8b,// invsqrt(1.4163) = 0.8403 +32'h4170c098,32'h3e8159ef,32'h3e86a185, 32'h3e7ac87b,32'h3e8a9736, 32'h3e6d9582,32'h3e9130b3,// invsqrt(15.0470) = 0.2578 +32'h3ffa4dda,32'h3f3367f2,32'h3f3aba8e, 32'h3f2de9fd,32'h3f403883, 32'h3f24c2ba,32'h3f495fc6,// invsqrt(1.9555) = 0.7151 +32'h3f2fe5e9,32'h3f975485,32'h3f9d81c3, 32'h3f92b295,32'h3fa223b3, 32'h3f8afa07,32'h3fa9dc41,// invsqrt(0.6871) = 1.2064 +32'h40d417ae,32'h3ec2e600,32'h3ecada7e, 32'h3ebceea2,32'h3ed0d1dc, 32'h3eb2fd06,32'h3edac379,// invsqrt(6.6279) = 0.3884 +32'h3f2e05a0,32'h3f9824ca,32'h3f9e5a89, 32'h3f937c7a,32'h3fa302d8, 32'h3f8bb94b,32'h3faac607,// invsqrt(0.6798) = 1.2129 +32'h3f2c544e,32'h3f98e39a,32'h3f9f2123, 32'h3f943574,32'h3fa3cf4a, 32'h3f8c6889,32'h3fab9c35,// invsqrt(0.6732) = 1.2188 +32'h40e618e1,32'h3ebb1e30,32'h3ec2c160, 32'h3eb563cb,32'h3ec87bc5, 32'h3eabd7ce,32'h3ed207c2,// invsqrt(7.1905) = 0.3729 +32'h40349249,32'h3f155bea,32'h3f1b7490, 32'h3f10c96d,32'h3f20070d, 32'h3f092a9d,32'h3f27a5dd,// invsqrt(2.8214) = 0.5953 +32'h3f77befe,32'h3f7f0662,32'h3f84b791, 32'h3f7737d2,32'h3f889ed9, 32'h3f6a34e2,32'h3f8f2051,// invsqrt(0.9678) = 1.0165 +32'h4010733a,32'h3f26fe18,32'h3f2dcefe, 32'h3f21e16a,32'h3f32ebac, 32'h3f195c4a,32'h3f3b70cc,// invsqrt(2.2570) = 0.6656 +32'h3f0e7fb6,32'h3fa821c9,32'h3faefe98, 32'h3fa2fc2f,32'h3fb42433, 32'h3f9a682c,32'h3fbcb836,// invsqrt(0.5566) = 1.3403 +32'h3f8db825,32'h3f6e6d76,32'h3f7828ca, 32'h3f6720f8,32'h3f7f7548, 32'h3f5af6d0,32'h3f85cfb8,// invsqrt(1.1072) = 0.9504 +32'h3f4fd7d3,32'h3f8b3739,32'h3f90e5e3, 32'h3f86f43a,32'h3f9528e2, 32'h3f7fb3cc,32'h3f9c4336,// invsqrt(0.8119) = 1.1098 +32'h3f6724e2,32'h3f84032b,32'h3f896691, 32'h3f7ff13f,32'h3f8d711c, 32'h3f7278c3,32'h3f942d5b,// invsqrt(0.9029) = 1.0524 +32'h3e82facc,32'h3ff8028b,32'h400110ff, 32'h3ff06af5,32'h4004dccb, 32'h3fe3c3a4,32'h400b3073,// invsqrt(0.2558) = 1.9771 +32'h404dc4a6,32'h3f0bea76,32'h3f11a070, 32'h3f07a1fa,32'h3f15e8ec, 32'h3f007e81,32'h3f1d0c65,// invsqrt(3.2151) = 0.5577 +32'h3f9382f4,32'h3f69b31b,32'h3f733d07, 32'h3f628baa,32'h3f7a6478, 32'h3f569f42,32'h3f832870,// invsqrt(1.1524) = 0.9315 +32'h3fbe8163,32'h3f4da506,32'h3f5609cc, 32'h3f475971,32'h3f5c5561, 32'h3f3cdb78,32'h3f66d35a,// invsqrt(1.4883) = 0.8197 +32'h3f166440,32'h3fa3a91f,32'h3faa5736, 32'h3f9ea68f,32'h3faf59c7, 32'h3f964cf4,32'h3fb7b363,// invsqrt(0.5875) = 1.3047 +32'h3fa39606,32'h3f5debb7,32'h3f66fa8f, 32'h3f572094,32'h3f6dc5b2, 32'h3f4bce06,32'h3f791840,// invsqrt(1.2780) = 0.8846 +32'h3faf960d,32'h3f563404,32'h3f5ef238, 32'h3f4fa55c,32'h3f6580e0, 32'h3f44b79b,32'h3f706ea1,// invsqrt(1.3718) = 0.8538 +32'h3f55fefa,32'h3f893320,32'h3f8eccb9, 32'h3f84ffee,32'h3f92ffec, 32'h3f7bffde,32'h3f99ffeb,// invsqrt(0.8359) = 1.0937 +32'h3f044d64,32'h3fae7d9f,32'h3fb59cdf, 32'h3fa9262f,32'h3fbaf44f, 32'h3fa03f1f,32'h3fc3db5f,// invsqrt(0.5168) = 1.3910 +32'h404dfa2e,32'h3f0bd846,32'h3f118d82, 32'h3f079059,32'h3f15d56f, 32'h3f006dcd,32'h3f1cf7fb,// invsqrt(3.2184) = 0.5574 +32'h40420b67,32'h3f10149e,32'h3f15f61c, 32'h3f0bab7f,32'h3f1a5f3b, 32'h3f0451a0,32'h3f21b91a,// invsqrt(3.0319) = 0.5743 +32'h3fe18504,32'h3f3d01e7,32'h3f44b8d7, 32'h3f3738b4,32'h3f4a820a, 32'h3f2d9409,32'h3f5426b5,// invsqrt(1.7619) = 0.7534 +32'h3f0b13d5,32'h3faa2fff,32'h3fb12249, 32'h3fa4fa49,32'h3fb657ff, 32'h3f9c4b6d,32'h3fbf06db,// invsqrt(0.5433) = 1.3567 +32'h3f27413e,32'h3f9b30e8,32'h3fa1867f, 32'h3f9670b8,32'h3fa646b0, 32'h3f8e85bc,32'h3fae31ac,// invsqrt(0.6533) = 1.2372 +32'h3de15efe,32'h403d11d8,32'h4044c96e, 32'h40374828,32'h404a931e, 32'h402da2ac,32'h4054389a,// invsqrt(0.1100) = 3.0145 +32'h3f61ce21,32'h3f85905b,32'h3f8b03f7, 32'h3f8179a7,32'h3f8f1aab, 32'h3f75524a,32'h3f95eb2d,// invsqrt(0.8821) = 1.0648 +32'h3de2e8ba,32'h403c6d87,32'h40441e68, 32'h4036a8df,32'h4049e311, 32'h402d0bc6,32'h4053802a,// invsqrt(0.1108) = 3.0043 +32'h402f33a2,32'h3f17a170,32'h3f1dd1d2, 32'h3f12fd26,32'h3f22761c, 32'h3f0b40aa,32'h3f2a3298,// invsqrt(2.7375) = 0.6044 +32'h3eb42185,32'h3fd37bd3,32'h3fdc1d9d, 32'h3fcd027c,32'h3fe296f4, 32'h3fc2383f,32'h3fed6131,// invsqrt(0.3518) = 1.6859 +32'h3e5092ff,32'h400af8b4,32'h4010a4d0, 32'h4006b79f,32'h4014e5e5, 32'h3fff40f7,32'h401bfd09,// invsqrt(0.2037) = 2.2157 +32'h419ee700,32'h3e612ae7,32'h3e6a5bad, 32'h3e5a4653,32'h3e714041, 32'h3e4ec95c,32'h3e7cbd38,// invsqrt(19.8628) = 0.2244 +32'h3eb434df,32'h3fd37078,32'h3fdc11cb, 32'h3fccf779,32'h3fe28ac9, 32'h3fc22dd1,32'h3fed5471,// invsqrt(0.3520) = 1.6856 +32'h40188f8c,32'h3f227e35,32'h3f292017, 32'h3f1d84ca,32'h3f2e1982, 32'h3f153a6f,32'h3f3663dd,// invsqrt(2.3838) = 0.6477 +32'h3e289006,32'h401a967f,32'h4020e5c9, 32'h4015db09,32'h4025a13f, 32'h400df7ed,32'h402d845b,// invsqrt(0.1646) = 2.4647 +32'h3ea5b3a6,32'h3fdc7fdb,32'h3fe57fd9, 32'h3fd5bfdc,32'h3fec3fd8, 32'h3fca7fde,32'h3ff77fd6,// invsqrt(0.3236) = 1.7578 +32'h41ca1428,32'h3e47ab46,32'h3e4fd19c, 32'h3e418e85,32'h3e55ee5d, 32'h3e375e99,32'h3e601e49,// invsqrt(25.2598) = 0.1990 +32'h3e830f2b,32'h3ff7ef44,32'h400106f7, 32'h3ff05845,32'h4004d277, 32'h3fe3b1f0,32'h400b25a1,// invsqrt(0.2560) = 1.9765 +32'h3f329e2f,32'h3f962c70,32'h3f9c4d99, 32'h3f919391,32'h3fa0e677, 32'h3f89ea1d,32'h3fa88feb,// invsqrt(0.6977) = 1.1972 +32'h3e24ef79,32'h401c4747,32'h4022a83b, 32'h40177e91,32'h402770f1, 32'h400f8561,32'h402f6a21,// invsqrt(0.1611) = 2.4917 +32'h3f514659,32'h3f8abd1a,32'h3f9066c8, 32'h3f867dd8,32'h3f94a60a, 32'h3f7ed37f,32'h3f9bba23,// invsqrt(0.8175) = 1.1060 +32'h3fa91fec,32'h3f5a41b5,32'h3f632a44, 32'h3f539349,32'h3f69d8af, 32'h3f487096,32'h3f74fb62,// invsqrt(1.3213) = 0.8700 +32'h410ca96d,32'h3ea939f0,32'h3eb0222e, 32'h3ea40bc1,32'h3eb5505d, 32'h3e9b6974,32'h3ebdf2aa,// invsqrt(8.7914) = 0.3373 +32'h3f01a871,32'h3fb042d6,32'h3fb77496, 32'h3faadd86,32'h3fbcd9e6, 32'h3fa1df57,32'h3fc5d815,// invsqrt(0.5065) = 1.4051 +32'h3fb902f6,32'h3f50acf1,32'h3f593164, 32'h3f4a499c,32'h3f5f94ba, 32'h3f3fa40d,32'h3f6a3a49,// invsqrt(1.4454) = 0.8318 +32'h3ef8f1fb,32'h3fb3e51f,32'h3fbb3cd7, 32'h3fae6355,32'h3fc0bea1, 32'h3fa535af,32'h3fc9ec47,// invsqrt(0.4862) = 1.4341 +32'h3d97c748,32'h40666429,32'h406fcb83, 32'h405f56a5,32'h4076d907, 32'h40539574,32'h40814d1c,// invsqrt(0.0741) = 3.6733 +32'h3fc594ee,32'h3f49edb2,32'h3f522ba4, 32'h3f43bf3c,32'h3f585a1a, 32'h3f3971cd,32'h3f62a789,// invsqrt(1.5436) = 0.8049 +32'h3f81e657,32'h3f7909e8,32'h3f819a0e, 32'h3f716a42,32'h3f8569e1, 32'h3f64b582,32'h3f8bc441,// invsqrt(1.0148) = 0.9927 +32'h3f98b385,32'h3f65b1ad,32'h3f6f11bf, 32'h3f5ea9a0,32'h3f7619cc, 32'h3f52f18a,32'h3f80e8f1,// invsqrt(1.1930) = 0.9156 +32'h3fb70e5f,32'h3f51c983,32'h3f5a5992, 32'h3f4b5d76,32'h3f60c59e, 32'h3f40a963,32'h3f6b79b1,// invsqrt(1.4301) = 0.8362 +32'h4075963b,32'h3f001261,32'h3f054c99, 32'h3ef84d6e,32'h3f093843, 32'h3eeb3be1,32'h3f0fc10a,// invsqrt(3.8373) = 0.5105 +32'h3f9266a1,32'h3f6a959c,32'h3f7428c7, 32'h3f63673c,32'h3f7b5728, 32'h3f576f47,32'h3f83a78f,// invsqrt(1.1438) = 0.9350 +32'h3f2d5b64,32'h3f986f6b,32'h3f9ea836, 32'h3f93c4d3,32'h3fa352cf, 32'h3f8bfdd6,32'h3fab19cc,// invsqrt(0.6772) = 1.2152 +32'h3e14f101,32'h40247499,32'h402b2afd, 32'h401f6bce,32'h403033c8, 32'h401707d0,32'h403897c6,// invsqrt(0.1455) = 2.6221 +32'h3ea6fcfe,32'h3fdba5fe,32'h3fe49d18, 32'h3fd4ecaa,32'h3feb566c, 32'h3fc9b7ca,32'h3ff68b4c,// invsqrt(0.3261) = 1.7510 +32'h4087c4be,32'h3ef398c4,32'h3efd8a1a, 32'h3eec23c3,32'h3f027f8d, 32'h3edfb617,32'h3f08b663,// invsqrt(4.2428) = 0.4855 +32'h3ea9d150,32'h3fd9cf98,32'h3fe2b37f, 32'h3fd324ab,32'h3fe95e6d, 32'h3fc807cb,32'h3ff47b4d,// invsqrt(0.3317) = 1.7364 +32'h4208cc19,32'h3e2b999b,32'h3e329aa7, 32'h3e2658d3,32'h3e37db6f, 32'h3e1d9784,32'h3e409cbe,// invsqrt(34.1993) = 0.1710 +32'h3fe16498,32'h3f3d0f7f,32'h3f44c6fc, 32'h3f3745e0,32'h3f4a909a, 32'h3f2da084,32'h3f5435f6,// invsqrt(1.7609) = 0.7536 +32'h3f2de678,32'h3f98326a,32'h3f9e68b8, 32'h3f9389b0,32'h3fa31172, 32'h3f8bc5cf,32'h3faad553,// invsqrt(0.6793) = 1.2133 +32'h402cb716,32'h3f18b7db,32'h3f1ef39b, 32'h3f140b0b,32'h3f23a06b, 32'h3f0c405c,32'h3f2b6b1a,// invsqrt(2.6987) = 0.6087 +32'h3fbf37a8,32'h3f4d42ec,32'h3f55a3b2, 32'h3f46fa58,32'h3f5bec46, 32'h3f3c8161,32'h3f66653d,// invsqrt(1.4939) = 0.8182 +32'h3f212949,32'h3f9e1906,32'h3fa48cfc, 32'h3f99420e,32'h3fa963f4, 32'h3f91311a,32'h3fb174e8,// invsqrt(0.6295) = 1.2603 +32'h3ea8babb,32'h3fda831d,32'h3fe36e58, 32'h3fd3d2b2,32'h3fea1ec4, 32'h3fc8aca8,32'h3ff544ce,// invsqrt(0.3295) = 1.7420 +32'h3e77c845,32'h3fff019c,32'h4004b515, 32'h3ff73330,32'h40089c4a, 32'h3fea307f,32'h400f1da3,// invsqrt(0.2420) = 2.0329 +32'h3f5740a2,32'h3f88cc77,32'h3f8e61df, 32'h3f849c69,32'h3f9291ed, 32'h3f7b434d,32'h3f998caf,// invsqrt(0.8408) = 1.0906 +32'h3f5e84b2,32'h3f868bf6,32'h3f8c09d6, 32'h3f826d8e,32'h3f90283e, 32'h3f77206b,32'h3f970597,// invsqrt(0.8692) = 1.0726 +32'h3f07abdd,32'h3fac4f83,32'h3fb357fb, 32'h3fa70929,32'h3fb89e55, 32'h3f9e3e92,32'h3fc168ec,// invsqrt(0.5300) = 1.3736 +32'h403f2793,32'h3f112a64,32'h3f171739, 32'h3f0cb8c4,32'h3f1b88d8, 32'h3f0550b9,32'h3f22f0e3,// invsqrt(2.9868) = 0.5786 +32'h3e3d34f1,32'h4011e92f,32'h4017ddcd, 32'h400d71b8,32'h401c5544, 32'h4005fff1,32'h4023c70b,// invsqrt(0.1848) = 2.3264 +32'h3e284571,32'h401ab8bd,32'h4021096c, 32'h4015fc3a,32'h4025c5f0, 32'h400e1760,32'h402daaca,// invsqrt(0.1643) = 2.4669 +32'h4025bd38,32'h3f1be629,32'h3f224325, 32'h3f17206b,32'h3f2708e3, 32'h3f0f2c30,32'h3f2efd1e,// invsqrt(2.5897) = 0.6214 +32'h3e104a6c,32'h402715b3,32'h402de791, 32'h4021f84d,32'h403304f7, 32'h401971f8,32'h403b8b4c,// invsqrt(0.1409) = 2.6640 +32'h3d3cce79,32'h409210c2,32'h409806fe, 32'h408d9815,32'h409c7fab, 32'h4086244a,32'h40a3f376,// invsqrt(0.0461) = 4.6577 +32'h3f12e8f1,32'h3fa596af,32'h3fac58eb, 32'h3fa08503,32'h3fb16a97, 32'h3f981238,32'h3fb9dd62,// invsqrt(0.5739) = 1.3201 +32'h3e1db00e,32'h401fd45e,32'h40265a6c, 32'h401aefd3,32'h402b3ef7, 32'h4012c841,32'h40336689,// invsqrt(0.1540) = 2.5483 +32'h3f344ae7,32'h3f957978,32'h3f9b9354, 32'h3f90e614,32'h3fa026b8, 32'h3f8945c2,32'h3fa7c70a,// invsqrt(0.7043) = 1.1916 +32'h3f4ea37b,32'h3f8b9ef1,32'h3f9151d6, 32'h3f8758c4,32'h3f959802, 32'h3f803926,32'h3f9cb7a0,// invsqrt(0.8072) = 1.1130 +32'h3faf6b03,32'h3f564e49,32'h3f5f0d90, 32'h3f4fbed4,32'h3f659d06, 32'h3f44cfbc,32'h3f708c1e,// invsqrt(1.3705) = 0.8542 +32'h3ec0b4d7,32'h3fcc7785,32'h3fd4cffd, 32'h3fc6352b,32'h3fdb1257, 32'h3fbbc694,32'h3fe580ee,// invsqrt(0.3764) = 1.6300 +32'h41957d38,32'h3e682610,32'h3e719fc7, 32'h3e610ac6,32'h3e78bb10, 32'h3e5532a0,32'h3e82499b,// invsqrt(18.6861) = 0.2313 +32'h3fd0423b,32'h3f44af27,32'h3f4cb64d, 32'h3f3ea9ca,32'h3f52bbaa, 32'h3f34a0db,32'h3f5cc499,// invsqrt(1.6270) = 0.7840 +32'h3e10cdf6,32'h4026c9be,32'h402d9882, 32'h4021aeab,32'h4032b395, 32'h40192c36,32'h403b360a,// invsqrt(0.1414) = 2.6592 +32'h40b1f4e4,32'h3ed4c592,32'h3edd74d1, 32'h3ece4222,32'h3ee3f840, 32'h3ec36712,32'h3eeed350,// invsqrt(5.5611) = 0.4241 +32'h3f95a26b,32'h3f680933,32'h3f7181bd, 32'h3f60eecc,32'h3f789c24, 32'h3f55181f,32'h3f823968,// invsqrt(1.1690) = 0.9249 +32'h3ece4f4d,32'h3fc59c6b,32'h3fcdad41, 32'h3fbf8fcb,32'h3fd3b9e1, 32'h3fb57ac1,32'h3fddceeb,// invsqrt(0.4029) = 1.5753 +32'h41265b71,32'h3e9b9bf4,32'h3ea1f5e9, 32'h3e96d87c,32'h3ea6b960, 32'h3e8ee809,32'h3eaea9d3,// invsqrt(10.3973) = 0.3101 +32'h3f773fe1,32'h3f7f47e8,32'h3f84d9aa, 32'h3f777756,32'h3f88c1f3, 32'h3f6a710e,32'h3f8f4517,// invsqrt(0.9658) = 1.0175 +32'h4011591f,32'h3f2679d3,32'h3f2d4553, 32'h3f216132,32'h3f325df4, 32'h3f18e2d1,32'h3f3adc55,// invsqrt(2.2711) = 0.6636 +32'h4021b202,32'h3f1dd621,32'h3f24475b, 32'h3f190134,32'h3f291c48, 32'h3f10f3ab,32'h3f3129d1,// invsqrt(2.5265) = 0.6291 +32'h3f46ae73,32'h3f8e63aa,32'h3f94337c, 32'h3f8a07cc,32'h3f988f5a, 32'h3f82c404,32'h3f9fd322,// invsqrt(0.7761) = 1.1351 +32'h415fe76f,32'h3e862136,32'h3e8b9abb, 32'h3e820613,32'h3e8fb5df, 32'h3e765c5a,32'h3e968dc5,// invsqrt(13.9940) = 0.2673 +32'h3d8597f5,32'h40759258,32'h407f9851, 32'h406e0ddd,32'h40838e66, 32'h40618665,32'h4089d221,// invsqrt(0.0652) = 3.9154 +32'h3daf3965,32'h40566c9e,32'h405f2d22, 32'h404fdc3b,32'h4065bd85, 32'h4044eb96,32'h4070ae2a,// invsqrt(0.0856) = 3.4188 +32'h41a56bca,32'h3e5cafba,32'h3e65b1ad, 32'h3e55ee45,32'h3e6c7323, 32'h3e4aabd5,32'h3e77b593,// invsqrt(20.6776) = 0.2199 +32'h40ad2b28,32'h3ed7b176,32'h3ee07f3c, 32'h3ed11721,32'h3ee71991, 32'h3ec615ea,32'h3ef21ac8,// invsqrt(5.4115) = 0.4299 +32'h3f1b2e40,32'h3fa11d8f,32'h3fa7b10d, 32'h3f9c2ef0,32'h3fac9fac, 32'h3f93f693,32'h3fb4d809,// invsqrt(0.6062) = 1.2844 +32'h412cea4e,32'h3e98a13c,32'h3e9edc0f, 32'h3e93f51d,32'h3ea3882d, 32'h3e8c2b94,32'h3eab51b6,// invsqrt(10.8072) = 0.3042 +32'h3e6e9fe1,32'h4001ed3e,32'h40073ad8, 32'h3ffbe615,32'h400b350c, 32'h3feea414,32'h4011d60c,// invsqrt(0.2330) = 2.0715 +32'h3f8f17db,32'h3f6d47bd,32'h3f76f713, 32'h3f66043c,32'h3f7e3a94, 32'h3f59e911,32'h3f852ae0,// invsqrt(1.1179) = 0.9458 +32'h3df6c854,32'h4034ae7a,32'h403c0e6a, 32'h402f2686,32'h4041965e, 32'h4025ee9a,32'h404ace4a,// invsqrt(0.1205) = 2.8808 +32'h3f829c89,32'h3f785bf9,32'h3f813f89, 32'h3f70c1a6,32'h3f850cb3, 32'h3f6415c5,32'h3f8b62a3,// invsqrt(1.0204) = 0.9900 +32'h401103b8,32'h3f26aad1,32'h3f2d7851, 32'h3f2190b0,32'h3f329272, 32'h3f190fcf,32'h3f3b1353,// invsqrt(2.2659) = 0.6643 +32'h41ab47c4,32'h3e58e0ff,32'h3e61bb29, 32'h3e523d60,32'h3e685ec8, 32'h3e472cac,32'h3e736f7c,// invsqrt(21.4100) = 0.2161 +32'h3f3c87cf,32'h3f922c1f,32'h3f982379, 32'h3f8db29b,32'h3f9c9cfd, 32'h3f863d6b,32'h3fa4122d,// invsqrt(0.7364) = 1.1653 +32'h3f3b929e,32'h3f928b89,32'h3f9886c9, 32'h3f8e0f1a,32'h3f9d0338, 32'h3f86950b,32'h3fa47d47,// invsqrt(0.7327) = 1.1682 +32'h3fab5f90,32'h3f58d1ef,32'h3f61ab7c, 32'h3f522ec6,32'h3f684ea6, 32'h3f471ed7,32'h3f735e95,// invsqrt(1.3389) = 0.8642 +32'h3e846455,32'h3ff6af01,32'h4000604c, 32'h3fef21cf,32'h400426e5, 32'h3fe28bd1,32'h400a71e3,// invsqrt(0.2586) = 1.9665 +32'h3fdbbad9,32'h3f3f7b3a,32'h3f474c02, 32'h3f399ea3,32'h3f4d2899, 32'h3f2fd9a8,32'h3f56ed94,// invsqrt(1.7166) = 0.7632 +32'h3db49467,32'h40533883,32'h405bd78d, 32'h404cc13b,32'h40624ed5, 32'h4041fa6e,32'h406d15a2,// invsqrt(0.0882) = 3.3677 +32'h40b97af3,32'h3ed06967,32'h3ed8eb18, 32'h3eca0823,32'h3edf4c5d, 32'h3ebf6606,32'h3ee9ee7a,// invsqrt(5.7963) = 0.4154 +32'h3f325c24,32'h3f96483b,32'h3f9c6a86, 32'h3f91ae82,32'h3fa1043e, 32'h3f8a03a3,32'h3fa8af1d,// invsqrt(0.6967) = 1.1980 +32'h3d33e185,32'h4095a53b,32'h409bc0df, 32'h40911080,32'h40a0559a, 32'h40896df2,32'h40a7f828,// invsqrt(0.0439) = 4.7719 +32'h3f064415,32'h3fad35c6,32'h3fb447a4, 32'h3fa7e85f,32'h3fb9950b, 32'h3f9f1209,32'h3fc26b61,// invsqrt(0.5245) = 1.3808 +32'h3e2adbce,32'h40198bb1,32'h401fd017, 32'h4014d865,32'h40248363, 32'h400d02e7,32'h402c58e1,// invsqrt(0.1669) = 2.4481 +32'h3f316a1c,32'h3f96ae9a,32'h3f9cd514, 32'h3f9211bf,32'h3fa171ef, 32'h3f8a61a8,32'h3fa92206,// invsqrt(0.6930) = 1.2012 +32'h3d731877,32'h4080b9f3,32'h4085fb03, 32'h40799250,32'h4089ebce, 32'h406c6faa,32'h40907d21,// invsqrt(0.0593) = 4.1048 +32'h40853026,32'h3ef5f1f8,32'h3efffbd9, 32'h3eee6a91,32'h3f03c1a1, 32'h3ee1de38,32'h3f0a07cd,// invsqrt(4.1621) = 0.4902 +32'h40094839,32'h3f2b4bf6,32'h3f3249d6, 32'h3f260d8e,32'h3f37883e, 32'h3f1d5035,32'h3f404597,// invsqrt(2.1450) = 0.6828 +32'h41130851,32'h3ea58503,32'h3eac4686, 32'h3ea073e2,32'h3eb157a8, 32'h3e9801fe,32'h3eb9c98c,// invsqrt(9.1895) = 0.3299 +32'h402b1b41,32'h3f196f37,32'h3f1fb273, 32'h3f14bcca,32'h3f2464e0, 32'h3f0ce8c0,32'h3f2c38ea,// invsqrt(2.6735) = 0.6116 +32'h3fc9fd9a,32'h3f47b66b,32'h3f4fdd36, 32'h3f419953,32'h3f55fa4f, 32'h3f3768d6,32'h3f602acc,// invsqrt(1.5781) = 0.7960 +32'h3f495bf5,32'h3f8d707a,32'h3f933660, 32'h3f891c0e,32'h3f978acc, 32'h3f81e4af,32'h3f9ec22b,// invsqrt(0.7866) = 1.1275 +32'h401ef1aa,32'h3f1f3258,32'h3f25b1ca, 32'h3f1a52c3,32'h3f2a915f, 32'h3f123376,32'h3f32b0ac,// invsqrt(2.4835) = 0.6346 +32'h3fa21d31,32'h3f5eed0e,32'h3f680668, 32'h3f581a0b,32'h3f6ed96b, 32'h3f4cba5c,32'h3f7a391b,// invsqrt(1.2665) = 0.8886 +32'h3f4145ed,32'h3f905e27,32'h3f9642a5, 32'h3f8bf2c7,32'h3f9aae05, 32'h3f849529,32'h3fa20ba3,// invsqrt(0.7550) = 1.1509 +32'h3f85a4f0,32'h3f75866a,32'h3f7f8be7, 32'h3f6e024d,32'h3f838802, 32'h3f617b72,32'h3f89cb70,// invsqrt(1.0441) = 0.9787 +32'h4062a627,32'h3f0550a5,32'h3f0ac1a7, 32'h3f013be4,32'h3f0ed668, 32'h3ef4dd44,32'h3f15a3aa,// invsqrt(3.5414) = 0.5314 +32'h3d76d1ae,32'h407f80df,32'h4084f74f, 32'h4077ae8e,32'h4088e077, 32'h406aa55e,32'h408f650f,// invsqrt(0.0603) = 4.0737 +32'h3fe181fe,32'h3f3d032c,32'h3f44ba28, 32'h3f3739ee,32'h3f4a8366, 32'h3f2d9533,32'h3f542821,// invsqrt(1.7618) = 0.7534 +32'h3fa1c1c3,32'h3f5f2c06,32'h3f6847f2, 32'h3f585716,32'h3f6f1ce2, 32'h3f4cf430,32'h3f7a7fc8,// invsqrt(1.2637) = 0.8896 +32'h40c15f8a,32'h3ecc1d32,32'h3ed471fa, 32'h3ec5dd9b,32'h3edab191, 32'h3ebb73a1,32'h3ee51b8b,// invsqrt(6.0429) = 0.4068 +32'h401dbb8c,32'h3f1fce8b,32'h3f26545d, 32'h3f1aea2e,32'h3f2b38ba, 32'h3f12c2e8,32'h3f336000,// invsqrt(2.4646) = 0.6370 +32'h4081edf5,32'h3ef9029b,32'h3f019641, 32'h3ef1632e,32'h3f0565f8, 32'h3ee4aece,32'h3f0bc028,// invsqrt(4.0603) = 0.4963 +32'h3f64bf92,32'h3f84b3ae,32'h3f8a1e48, 32'h3f80a3bb,32'h3f8e2e3b, 32'h3f73bcf7,32'h3f94f37a,// invsqrt(0.8935) = 1.0579 +32'h3f052f20,32'h3fade981,32'h3fb502b5, 32'h3fa8969a,32'h3fba559c, 32'h3f9fb718,32'h3fc3351e,// invsqrt(0.5203) = 1.3864 +32'h3fc9f7b1,32'h3f47b957,32'h3f4fe041, 32'h3f419c28,32'h3f55fd70, 32'h3f376b84,32'h3f602e14,// invsqrt(1.5779) = 0.7961 +32'h3f5a9b01,32'h3f87beda,32'h3f8d4941, 32'h3f83970d,32'h3f91710f, 32'h3f795419,32'h3f985e0f,// invsqrt(0.8539) = 1.0822 +32'h3fdcf7b4,32'h3f3ef1bf,32'h3f46bceb, 32'h3f39195e,32'h3f4c954c, 32'h3f2f5b66,32'h3f565344,// invsqrt(1.7263) = 0.7611 +32'h3eaddecc,32'h3fd741ed,32'h3fe00b25, 32'h3fd0ab02,32'h3fe6a210, 32'h3fc5af7b,32'h3ff19d97,// invsqrt(0.3396) = 1.7160 +32'h3f498a38,32'h3f8d603d,32'h3f932579, 32'h3f890c50,32'h3f977966, 32'h3f81d5c5,32'h3f9eaff1,// invsqrt(0.7873) = 1.1270 +32'h3efd8457,32'h3fb243fe,32'h3fb98ab0, 32'h3faccef9,32'h3fbeffb5, 32'h3fa3b69b,32'h3fc81813,// invsqrt(0.4952) = 1.4211 +32'h3f5a8f79,32'h3f87c26f,32'h3f8d4cfb, 32'h3f839a86,32'h3f9174e4, 32'h3f795aac,32'h3f986214,// invsqrt(0.8538) = 1.0823 +32'h3e0bc05b,32'h4029c6d3,32'h4030b4d1, 32'h40249454,32'h4035e750, 32'h401bead7,32'h403e90cd,// invsqrt(0.1365) = 2.7069 +32'h3f7e0fc2,32'h3f7bd5d4,32'h3f830ea0, 32'h3f742043,32'h3f86e968, 32'h3f6746fc,32'h3f8d560c,// invsqrt(0.9924) = 1.0038 +32'h3fc4354a,32'h3f4aa253,32'h3f52e7a5, 32'h3f446e56,32'h3f591ba2, 32'h3f3a17b0,32'h3f637248,// invsqrt(1.5329) = 0.8077 +32'h410f240c,32'h3ea7c12a,32'h3eae9a07, 32'h3ea29e84,32'h3eb3bcac, 32'h3e9a0f6f,32'h3ebc4bc1,// invsqrt(8.9463) = 0.3343 +32'h406be7d0,32'h3f02ac60,32'h3f0801c7, 32'h3efd58a4,32'h3f0c01d4, 32'h3ef00322,32'h3f12ac95,// invsqrt(3.6860) = 0.5209 +32'h4096cef7,32'h3ee72189,32'h3ef0909e, 32'h3ee00e38,32'h3ef7a3ee, 32'h3ed4435e,32'h3f01b764,// invsqrt(4.7128) = 0.4606 +32'h41154cc8,32'h3ea44205,32'h3eaaf659, 32'h3e9f3ac6,32'h3eaffd98, 32'h3e96d95e,32'h3eb85f01,// invsqrt(9.3312) = 0.3274 +32'h3ea09359,32'h3fdffdca,32'h3fe92246, 32'h3fd9226e,32'h3feffda2, 32'h3fcdb4d4,32'h3ffb6b3c,// invsqrt(0.3136) = 1.7856 +32'h400e89a6,32'h3f281bed,32'h3f2ef87e, 32'h3f22f67f,32'h3f341deb, 32'h3f1a62c9,32'h3f3cb1a1,// invsqrt(2.2272) = 0.6701 +32'h3f95c551,32'h3f67ee28,32'h3f716598, 32'h3f60d495,32'h3f787f2b, 32'h3f54ff4a,32'h3f822a3b,// invsqrt(1.1701) = 0.9245 +32'h3f93b163,32'h3f698e5c,32'h3f7316c8, 32'h3f62680b,32'h3f7a3d19, 32'h3f567d83,32'h3f8313d0,// invsqrt(1.1539) = 0.9309 +32'h3d00d305,32'h40b0d49b,32'h40b80c4d, 32'h40ab6ad5,32'h40bd7613, 32'h40a26535,32'h40c67bb3,// invsqrt(0.0315) = 5.6387 +32'h3f9dfcde,32'h3f61d182,32'h3f6b0914, 32'h3f5ae7d4,32'h3f71f2c2, 32'h3f4f625d,32'h3f7d7839,// invsqrt(1.2343) = 0.9001 +32'h3f79274f,32'h3f7e4db8,32'h3f845778, 32'h3f7684d0,32'h3f883bec, 32'h3f698b4c,32'h3f8eb8ae,// invsqrt(0.9733) = 1.0136 +32'h40658446,32'h3f047ac5,32'h3f09e30b, 32'h3f006c90,32'h3f0df140, 32'h3ef3546e,32'h3f14b399,// invsqrt(3.5862) = 0.5281 +32'h3f571ee7,32'h3f88d730,32'h3f8e6d09, 32'h3f84a6cf,32'h3f929d6b, 32'h3f7b5701,32'h3f9998ba,// invsqrt(0.8403) = 1.0909 +32'h3f797a10,32'h3f7e2387,32'h3f844182, 32'h3f765be9,32'h3f882552, 32'h3f69648c,32'h3f8ea100,// invsqrt(0.9745) = 1.0130 +32'h3f6c4191,32'h3f82938b,32'h3f87e7ef, 32'h3f7d2881,32'h3f8be73a, 32'h3f6fd587,32'h3f9290b6,// invsqrt(0.9229) = 1.0409 +32'h401dcaf6,32'h3f1fc6bd,32'h3f264c3d, 32'h3f1ae29d,32'h3f2b305d, 32'h3f12bbbd,32'h3f33573d,// invsqrt(2.4655) = 0.6369 +32'h3faf94c5,32'h3f5634cc,32'h3f5ef308, 32'h3f4fa61e,32'h3f6581b6, 32'h3f44b853,32'h3f706f81,// invsqrt(1.3717) = 0.8538 +32'h3f0864eb,32'h3fabda77,32'h3fb2de28, 32'h3fa697b1,32'h3fb820ed, 32'h3f9dd314,32'h3fc0e58a,// invsqrt(0.5328) = 1.3700 +32'h4109e070,32'h3eaaed4d,32'h3eb1e751, 32'h3ea5b1cb,32'h3eb722d3, 32'h3e9cf947,32'h3ebfdb57,// invsqrt(8.6173) = 0.3407 +32'h409a1e03,32'h3ee4a2ed,32'h3eedf7f1, 32'h3edda329,32'h3ef4f7b5, 32'h3ed1f8e4,32'h3f0050fd,// invsqrt(4.8162) = 0.4557 +32'h3d6e2eaf,32'h40820c1a,32'h40875af6, 32'h407c21e9,32'h408b561c, 32'h406edcc2,32'h4091f8af,// invsqrt(0.0581) = 4.1469 +32'h3e834de4,32'h3ff7b405,32'h4000e822, 32'h3ff01ed5,32'h4004b2b9, 32'h3fe37b87,32'h400b0461,// invsqrt(0.2565) = 1.9747 +32'h3f9d9b99,32'h3f621726,32'h3f6b5190, 32'h3f5b2b56,32'h3f723d60, 32'h3f4fa252,32'h3f7dc664,// invsqrt(1.2313) = 0.9012 +32'h41628548,32'h3e855a51,32'h3e8acbb8, 32'h3e814545,32'h3e8ee0c5, 32'h3e74ef09,32'h3e95ae86,// invsqrt(14.1575) = 0.2658 +32'h3f280607,32'h3f9ad5ed,32'h3fa127cd, 32'h3f961885,32'h3fa5e535, 32'h3f8e322d,32'h3fadcb8d,// invsqrt(0.6563) = 1.2343 +32'h3d118b96,32'h40a65cf4,32'h40ad2748, 32'h40a14536,32'h40b23f06, 32'h4098c84e,32'h40babbee,// invsqrt(0.0355) = 5.3049 +32'h3fe2dd22,32'h3f3c7258,32'h3f44236b, 32'h3f36ad89,32'h3f49e839, 32'h3f2d1031,32'h3f538591,// invsqrt(1.7724) = 0.7511 +32'h3f61b4fd,32'h3f8597cb,32'h3f8b0bb4, 32'h3f8180dd,32'h3f8f22a3, 32'h3f755ff3,32'h3f95f386,// invsqrt(0.8817) = 1.0650 +32'h3e83824b,32'h3ff782a6,32'h4000ce71, 32'h3fefeefa,32'h40049847, 32'h3fe34e30,32'h400ae8ac,// invsqrt(0.2569) = 1.9731 +32'h3e855d7e,32'h3ff5c825,32'h3fffd051, 32'h3fee4205,32'h4003ab39, 32'h3fe1b7cf,32'h4009f054,// invsqrt(0.2605) = 1.9594 +32'h3f433b2b,32'h3f8fa45b,32'h3f958145, 32'h3f8b3eac,32'h3f99e6f4, 32'h3f83ea88,32'h3fa13b18,// invsqrt(0.7626) = 1.1451 +32'h3e5a56cf,32'h4007d40c,32'h400d5f50, 32'h4003ab98,32'h401187c4, 32'h3ff97b06,32'h401875d9,// invsqrt(0.2132) = 2.1656 +32'h4049fd23,32'h3f0d3800,32'h3f12fb98, 32'h3f08e54f,32'h3f174e49, 32'h3f01b0d1,32'h3f1e82c7,// invsqrt(3.1561) = 0.5629 +32'h3ed3052e,32'h3fc3649b,32'h3fcb5e43, 32'h3fbd695d,32'h3fd15981, 32'h3fb3714a,32'h3fdb5194,// invsqrt(0.4121) = 1.5577 +32'h3d8a69ff,32'h407141f0,32'h407b1ad5, 32'h4069df44,32'h40813ec0, 32'h405d9025,32'h40876650,// invsqrt(0.0676) = 3.8466 +32'h3ebe481e,32'h3fcdc3f6,32'h3fd62a00, 32'h3fc7776e,32'h3fdc7688, 32'h3fbcf7e2,32'h3fe6f614,// invsqrt(0.3716) = 1.6403 +32'h3ebd6965,32'h3fce3ccd,32'h3fd6a7c5, 32'h3fc7ec92,32'h3fdcf800, 32'h3fbd66db,32'h3fe77db7,// invsqrt(0.3699) = 1.6441 +32'h3f0eef2e,32'h3fa7e02d,32'h3faeba4f, 32'h3fa2bc94,32'h3fb3dde8, 32'h3f9a2beb,32'h3fbc6e91,// invsqrt(0.5583) = 1.3383 +32'h419b3230,32'h3e63d723,32'h3e6d23d7, 32'h3e5cdd9d,32'h3e741d5d, 32'h3e513dbd,32'h3e7fbd3d,// invsqrt(19.3995) = 0.2270 +32'h3da441b8,32'h405d779c,32'h406681b8, 32'h4056b008,32'h406d494c, 32'h404b6366,32'h407895ee,// invsqrt(0.0802) = 3.5310 +32'h3f9d91f0,32'h3f621e14,32'h3f6b58c6, 32'h3f5b320e,32'h3f7244cc, 32'h3f4fa8af,32'h3f7dce2b,// invsqrt(1.2310) = 0.9013 +32'h3d8133fb,32'h4079b592,32'h4081f363, 32'h407210aa,32'h4085c5d7, 32'h40655328,32'h408c2498,// invsqrt(0.0631) = 3.9813 +32'h404c2c03,32'h3f0c7634,32'h3f1231e2, 32'h3f082971,32'h3f167ea5, 32'h3f00fed7,32'h3f1da93f,// invsqrt(3.1902) = 0.5599 +32'h3f5a45a8,32'h3f87d962,32'h3f8d64de, 32'h3f83b0c5,32'h3f918d7b, 32'h3f7984d3,32'h3f987bd6,// invsqrt(0.8526) = 1.0830 +32'h3fc42fed,32'h3f4aa518,32'h3f52ea87, 32'h3f447106,32'h3f591e9a, 32'h3f3a1a3b,32'h3f637565,// invsqrt(1.5327) = 0.8077 +32'h404db3a2,32'h3f0bf03f,32'h3f11a675, 32'h3f07a796,32'h3f15ef1e, 32'h3f0083d1,32'h3f1d12e3,// invsqrt(3.2141) = 0.5578 +32'h3efb89fd,32'h3fb2f711,32'h3fba4511, 32'h3fad7c90,32'h3fbfbf92, 32'h3fa45b0f,32'h3fc8e113,// invsqrt(0.4913) = 1.4267 +32'h3f9d6a51,32'h3f623a87,32'h3f6b7663, 32'h3f5b4da2,32'h3f726348, 32'h3f4fc2d0,32'h3f7dee1a,// invsqrt(1.2298) = 0.9017 +32'h3f645347,32'h3f84d323,32'h3f8a3f05, 32'h3f80c239,32'h3f8e4fef, 32'h3f73f6be,32'h3f9516c9,// invsqrt(0.8919) = 1.0589 +32'h428d3bea,32'h3deed63c,32'h3df895d6, 32'h3de78689,32'h3dffe589, 32'h3ddb5708,32'h3e060a85,// invsqrt(70.6170) = 0.1190 +32'h3eb82972,32'h3fd12809,32'h3fd9b181, 32'h3fcac0ee,32'h3fe0189c, 32'h3fc01518,32'h3feac472,// invsqrt(0.3597) = 1.6674 +32'h3f953ae9,32'h3f68599d,32'h3f71d56f, 32'h3f613cbf,32'h3f78f24d, 32'h3f5561f9,32'h3f82668a,// invsqrt(1.1659) = 0.9261 +32'h41c6cab1,32'h3e495021,32'h3e5187a5, 32'h3e43267e,32'h3e57b148, 32'h3e38e119,32'h3e61f6ad,// invsqrt(24.8490) = 0.2006 +32'h3fdec048,32'h3f3e2da9,32'h3f45f0d5, 32'h3f385b49,32'h3f4bc335, 32'h3f2ea752,32'h3f55772c,// invsqrt(1.7402) = 0.7580 +32'h3f9a422a,32'h3f648821,32'h3f6ddc0d, 32'h3f5d892f,32'h3f74daff, 32'h3f51e048,32'h3f8041f3,// invsqrt(1.2051) = 0.9109 +32'h403e8159,32'h3f1169ab,32'h3f175915, 32'h3f0cf61b,32'h3f1bcca5, 32'h3f058ad6,32'h3f2337ea,// invsqrt(2.9766) = 0.5796 +32'h3fb5f3bf,32'h3f526c32,32'h3f5b02e5, 32'h3f4bfb2a,32'h3f6173ec, 32'h3f413eca,32'h3f6c304c,// invsqrt(1.4215) = 0.8387 +32'h3e92f65d,32'h3fea22c9,32'h3ff3b145, 32'h3fe2f7ed,32'h3ffadc21, 32'h3fd705d3,32'h4003671d,// invsqrt(0.2870) = 1.8665 +32'h41811ab7,32'h3e79ce00,32'h3e82001a, 32'h3e722859,32'h3e85d2ee, 32'h3e656997,32'h3e8c324e,// invsqrt(16.1380) = 0.2489 +32'h404bdc2d,32'h3f0c91b2,32'h3f124e80, 32'h3f084418,32'h3f169c1a, 32'h3f011816,32'h3f1dc81c,// invsqrt(3.1853) = 0.5603 +32'h3fea5bf0,32'h3f3968a0,32'h3f40f9f4, 32'h3f33bba0,32'h3f46a6f4, 32'h3f2a45f6,32'h3f501c9e,// invsqrt(1.8309) = 0.7390 +32'h3ec247b6,32'h3fcba317,32'h3fd3f2e3, 32'h3fc5673d,32'h3fda2ebd, 32'h3fbb037d,32'h3fe4927d,// invsqrt(0.3795) = 1.6234 +32'h40af358f,32'h3ed66ef7,32'h3edf2f94, 32'h3ecfde82,32'h3ee5c00a, 32'h3ec4edbf,32'h3ef0b0cd,// invsqrt(5.4753) = 0.4274 +32'h3f27a55a,32'h3f9b028c,32'h3fa1563e, 32'h3f9643c6,32'h3fa61504, 32'h3f8e5b28,32'h3fadfda2,// invsqrt(0.6549) = 1.2357 +32'h3fd09a51,32'h3f44859b,32'h3f4c8b0f, 32'h3f3e8184,32'h3f528f26, 32'h3f347ab3,32'h3f5c95f7,// invsqrt(1.6297) = 0.7833 +32'h42de0000,32'h3dbe7ff3,32'h3dc6467b, 32'h3db8ab0e,32'h3dcc1b60, 32'h3daef2e5,32'h3dd5d389,// invsqrt(111.0000) = 0.0949 +32'h3ea52b39,32'h3fdcdad8,32'h3fe5de8e, 32'h3fd61810,32'h3feca156, 32'h3fcad36e,32'h3ff7e5f8,// invsqrt(0.3226) = 1.7606 +32'h3e6d7feb,32'h40023bea,32'h40078cba, 32'h3ffc7e9c,32'h400b8956, 32'h3fef3494,32'h40122e5a,// invsqrt(0.2319) = 2.0764 +32'h3f49789a,32'h3f8d666c,32'h3f932be8, 32'h3f89124e,32'h3f978006, 32'h3f81db73,32'h3f9eb6e1,// invsqrt(0.7870) = 1.1272 +32'h3e37d2f5,32'h40140821,32'h401a12e8, 32'h400f800a,32'h401e9afe, 32'h4007f290,32'h40262878,// invsqrt(0.1795) = 2.3602 +32'h3f4a29aa,32'h3f8d2872,32'h3f92eb66, 32'h3f88d63a,32'h3f973d9e, 32'h3f81a288,32'h3f9e7150,// invsqrt(0.7897) = 1.1253 +32'h404f0edb,32'h3f0b7ab8,32'h3f112c23, 32'h3f0735a8,32'h3f157134, 32'h3f0017e3,32'h3f1c8ef9,// invsqrt(3.2353) = 0.5560 +32'h3f348e7a,32'h3f955d7d,32'h3f9b7634, 32'h3f90caf5,32'h3fa008bd, 32'h3f892c10,32'h3fa7a7a2,// invsqrt(0.7053) = 1.1907 +32'h3e825b37,32'h3ff89a2b,32'h40015fe7, 32'h3ff0fdf0,32'h40052e05, 32'h3fe44ee4,32'h400b858b,// invsqrt(0.2546) = 1.9818 +32'h3fdbb0b2,32'h3f3f7fa6,32'h3f47509e, 32'h3f39a2ed,32'h3f4d2d57, 32'h3f2fddb8,32'h3f56f28c,// invsqrt(1.7163) = 0.7633 +32'h3d442818,32'h408f4d82,32'h409526e0, 32'h408aea7b,32'h409989e7, 32'h40839ac6,32'h40a0d99c,// invsqrt(0.0479) = 4.5696 +32'h4068b99e,32'h3f03902e,32'h3f08eee2, 32'h3eff124f,32'h3f0cf5e8, 32'h3ef1a58e,32'h3f13ac49,// invsqrt(3.6363) = 0.5244 +32'h3f873f54,32'h3f7410cc,32'h3f7e0709, 32'h3f6c981f,32'h3f82bfdb, 32'h3f602454,32'h3f88f9c1,// invsqrt(1.0566) = 0.9728 +32'h3cb6ff54,32'h40d1d222,32'h40da628c, 32'h40cb65d3,32'h40e0cedb, 32'h40c0b14e,32'h40eb8360,// invsqrt(0.0223) = 6.6907 +32'h3f185f9d,32'h3fa297c2,32'h3fa93ab0, 32'h3f9d9d90,32'h3fae34e2, 32'h3f9551e6,32'h3fb6808c,// invsqrt(0.5952) = 1.2962 +32'h3e5bc047,32'h40076427,32'h400ceadb, 32'h40033f21,32'h40110fe1, 32'h3ff8ad82,32'h4017f841,// invsqrt(0.2146) = 2.1587 +32'h3f242467,32'h3f9ca7d5,32'h3fa30cb9, 32'h3f97dc2a,32'h3fa7d864, 32'h3f8fde0d,32'h3fafd681,// invsqrt(0.6412) = 1.2488 +32'h3f860f3d,32'h3f7524ff,32'h3f7f2682, 32'h3f6da3de,32'h3f8353d2, 32'h3f6121fa,32'h3f8994c4,// invsqrt(1.0473) = 0.9771 +32'h3f5b1b50,32'h3f879715,32'h3f8d1fdd, 32'h3f837080,32'h3f914672, 32'h3f790b0d,32'h3f98316c,// invsqrt(0.8559) = 1.0809 +32'h3f9dd24b,32'h3f61eff5,32'h3f6b28c5, 32'h3f5b0558,32'h3f721362, 32'h3f4f7e54,32'h3f7d9a66,// invsqrt(1.2330) = 0.9006 +32'h3efdf257,32'h3fb21d5e,32'h3fb9627c, 32'h3faca988,32'h3fbed652, 32'h3fa39322,32'h3fc7ecb8,// invsqrt(0.4960) = 1.4199 +32'h3ec0a655,32'h3fcc7f38,32'h3fd4d800, 32'h3fc63ca1,32'h3fdb1a97, 32'h3fbbcda6,32'h3fe58992,// invsqrt(0.3763) = 1.6302 +32'h3e986b17,32'h3fe5e83a,32'h3fef4a85, 32'h3fdede81,32'h3ff6543d, 32'h3fd323a2,32'h4001078e,// invsqrt(0.2977) = 1.8328 +32'h3fba9e62,32'h3f4fc66c,32'h3f584176, 32'h3f496a25,32'h3f5e9dbd, 32'h3f3ed059,32'h3f693789,// invsqrt(1.4580) = 0.8282 +32'h3e1ff456,32'h401eb16b,32'h40252b99, 32'h4019d5c8,32'h402a073c, 32'h4011bd0f,32'h40321ff5,// invsqrt(0.1562) = 2.5302 +32'h40209746,32'h3f1e60d5,32'h3f24d7b9, 32'h3f1987aa,32'h3f29b0e4, 32'h3f11730d,32'h3f31c581,// invsqrt(2.5092) = 0.6313 +32'h408af700,32'h3ef0c76b,32'h3efa9b50, 32'h3ee9687f,32'h3f00fd1e, 32'h3edd1fa0,32'h3f07218d,// invsqrt(4.3427) = 0.4799 +32'h3e7bb009,32'h3ffd0528,32'h4003ac7a, 32'h3ff5464d,32'h40078be7, 32'h3fe85d8d,32'h400e0048,// invsqrt(0.2458) = 2.0171 +32'h3eb56392,32'h3fd2bfc1,32'h3fdb59de, 32'h3fcc4c2c,32'h3fe1cd74, 32'h3fc18b88,32'h3fec8e18,// invsqrt(0.3543) = 1.6801 +32'h3f2cea5e,32'h3f98a134,32'h3f9edc08, 32'h3f93f516,32'h3fa38826, 32'h3f8c2b8e,32'h3fab51ae,// invsqrt(0.6755) = 1.2168 +32'h3f8507c2,32'h3f76174c,32'h3f801159, 32'h3f6e8ebf,32'h3f83d59f, 32'h3f62007f,32'h3f8a1cbf,// invsqrt(1.0393) = 0.9809 +32'h3fb14e89,32'h3f55294b,32'h3f5ddc9d, 32'h3f4ea2ce,32'h3f64631a, 32'h3f43c2a8,32'h3f6f4340,// invsqrt(1.3852) = 0.8497 +32'h4144fb3c,32'h3e8f00a0,32'h3e94d6da, 32'h3e8a9ff4,32'h3e993786, 32'h3e83542a,32'h3ea08350,// invsqrt(12.3113) = 0.2850 +32'h3f396ad9,32'h3f9364f4,32'h3f996912, 32'h3f8ee1dc,32'h3f9dec2a, 32'h3f875cb6,32'h3fa57150,// invsqrt(0.7243) = 1.1750 +32'h3f8382b3,32'h3f778244,32'h3f80ce3e, 32'h3f6fee9b,32'h3f849812, 32'h3f634dd6,32'h3f8ae875,// invsqrt(1.0274) = 0.9866 +32'h3fa7a905,32'h3f5b3532,32'h3f6427b2, 32'h3f547f53,32'h3f6add91, 32'h3f495033,32'h3f760cb1,// invsqrt(1.3098) = 0.8738 +32'h3fdcc0ed,32'h3f3f096e,32'h3f46d592, 32'h3f393053,32'h3f4caead, 32'h3f2f7126,32'h3f566dda,// invsqrt(1.7246) = 0.7615 +32'h3f457c1b,32'h3f8ed1ef,32'h3f94a642, 32'h3f8a72b1,32'h3f990581, 32'h3f83294a,32'h3fa04ee8,// invsqrt(0.7714) = 1.1386 +32'h413eb523,32'h3e9155eb,32'h3e974487, 32'h3e8ce2f6,32'h3e9bb77c, 32'h3e8578b3,32'h3ea321bf,// invsqrt(11.9192) = 0.2897 +32'h3eba5e85,32'h3fcfea02,32'h3fd86680, 32'h3fc98ca4,32'h3fdec3de, 32'h3fbef107,32'h3fe95f7b,// invsqrt(0.3640) = 1.6575 +32'h3f864ccd,32'h3f74ecc9,32'h3f7eec01, 32'h3f6d6d60,32'h3f8335b5, 32'h3f60ee5b,32'h3f897538,// invsqrt(1.0492) = 0.9763 +32'h41f20b2a,32'h3e367116,32'h3e3de36a, 32'h3e30db56,32'h3e43792a, 32'h3e278c6d,32'h3e4cc813,// invsqrt(30.2555) = 0.1818 +32'h40e87725,32'h3eba2990,32'h3ec1c2c4, 32'h3eb476a8,32'h3ec775ac, 32'h3eaaf726,32'h3ed0f52e,// invsqrt(7.2645) = 0.3710 +32'h4011c5e2,32'h3f263bad,32'h3f2d04a4, 32'h3f2124f3,32'h3f321b5d, 32'h3f18a9be,32'h3f3a9692,// invsqrt(2.2777) = 0.6626 +32'h3ed6c084,32'h3fc1b018,32'h3fc997ef, 32'h3fbbc236,32'h3fcf85d0, 32'h3fb1e069,32'h3fd9679d,// invsqrt(0.4194) = 1.5441 +32'h40998070,32'h3ee51829,32'h3eee71f6, 32'h3ede14ce,32'h3ef57550, 32'h3ed2648e,32'h3f0092c8,// invsqrt(4.7969) = 0.4566 +32'h3fe4ddbd,32'h3f3b9ed7,32'h3f434749, 32'h3f35e082,32'h3f49059e, 32'h3f2c4df5,32'h3f52982b,// invsqrt(1.7880) = 0.7478 +32'h3f42d351,32'h3f8fca9f,32'h3f95a919, 32'h3f8b63c4,32'h3f9a0ff4, 32'h3f840dac,32'h3fa1660c,// invsqrt(0.7610) = 1.1463 +32'h40132a14,32'h3f257206,32'h3f2c32c2, 32'h3f206179,32'h3f31434f, 32'h3f17f08d,32'h3f39b43b,// invsqrt(2.2994) = 0.6595 +32'h3fbf2834,32'h3f4d4b38,32'h3f55ac54, 32'h3f470262,32'h3f5bf52a, 32'h3f3c88ff,32'h3f666e8d,// invsqrt(1.4934) = 0.8183 +32'h401798bd,32'h3f230246,32'h3f29a98c, 32'h3f1e04d1,32'h3f2ea701, 32'h3f15b3b8,32'h3f36f81a,// invsqrt(2.3687) = 0.6497 +32'h3fc7f4a0,32'h3f48b9ef,32'h3f50eb51, 32'h3f4294e5,32'h3f57105b, 32'h3f38572a,32'h3f614e16,// invsqrt(1.5622) = 0.8001 +32'h40670e4e,32'h3f04099e,32'h3f096d46, 32'h3efffdbf,32'h3f0d7804, 32'h3ef2849a,32'h3f143497,// invsqrt(3.6102) = 0.5263 +32'h3ea606d9,32'h3fdc4894,32'h3fe54651, 32'h3fd58a47,32'h3fec049f, 32'h3fca4d1b,32'h3ff741cb,// invsqrt(0.3243) = 1.7561 +32'h3f639cc2,32'h3f85085a,32'h3f8a7668, 32'h3f80f5cf,32'h3f8e88f3, 32'h3f74587b,32'h3f955284,// invsqrt(0.8891) = 1.0605 +32'h3f27ffdc,32'h3f9ad8c5,32'h3fa12ac2, 32'h3f961b46,32'h3fa5e840, 32'h3f8e34c9,32'h3fadcebd,// invsqrt(0.6562) = 1.2344 +32'h3f079006,32'h3fac6133,32'h3fb36a65, 32'h3fa71a4f,32'h3fb8b149, 32'h3f9e4ed1,32'h3fc17cc7,// invsqrt(0.5295) = 1.3742 +32'h4220abe3,32'h3e1e56ac,32'h3e24cd26, 32'h3e197dd1,32'h3e29a601, 32'h3e1169b8,32'h3e31ba1a,// invsqrt(40.1679) = 0.1578 +32'h3f7ccc54,32'h3f7c76ba,32'h3f83625b, 32'h3f74bc3c,32'h3f873f9a, 32'h3f67dabf,32'h3f8db058,// invsqrt(0.9875) = 1.0063 +32'h3fd61319,32'h3f41fe7b,32'h3f49e986, 32'h3f3c0e34,32'h3f4fd9ce, 32'h3f322867,32'h3f59bf9b,// invsqrt(1.6725) = 0.7733 +32'h3cd60f0a,32'h40c20052,32'h40c9eb70, 32'h40bc0ffc,32'h40cfdbc6, 32'h40b22a17,32'h40d9c1ab,// invsqrt(0.0261) = 6.1863 +32'h3f5c3fc5,32'h3f873cf2,32'h3f8cc20c, 32'h3f83191f,32'h3f90e5df, 32'h3f78657e,32'h3f97cc3f,// invsqrt(0.8603) = 1.0781 +32'h3fd56685,32'h3f424cdd,32'h3f4a3b1a, 32'h3f3c5a2e,32'h3f502dc8, 32'h3f327062,32'h3f5a1794,// invsqrt(1.6672) = 0.7745 +32'h4094525a,32'h3ee90f7e,32'h3ef292bc, 32'h3ee1ed0f,32'h3ef9b52b, 32'h3ed60900,32'h3f02cc9d,// invsqrt(4.6351) = 0.4645 +32'h3f873896,32'h3f7416e2,32'h3f7e0d5e, 32'h3f6c9e05,32'h3f82c31e, 32'h3f6029ea,32'h3f88fd2b,// invsqrt(1.0564) = 0.9729 +32'h3fd4ee74,32'h3f42839d,32'h3f4a7416, 32'h3f3c8f41,32'h3f506871, 32'h3f32a2aa,32'h3f5a5508,// invsqrt(1.6635) = 0.7753 +32'h3e45a8a6,32'h400ec1d7,32'h40149581, 32'h400a6317,32'h4018f441, 32'h40031a81,32'h40203cd7,// invsqrt(0.1930) = 2.2761 +32'h40e2613d,32'h3ebca5e2,32'h3ec45910, 32'h3eb6df80,32'h3eca1f72, 32'h3ead3f86,32'h3ed3bf6c,// invsqrt(7.0744) = 0.3760 +32'h40226b41,32'h3f1d7c05,32'h3f23e992, 32'h3f18a9da,32'h3f28bbbc, 32'h3f10a0ea,32'h3f30c4ac,// invsqrt(2.5378) = 0.6277 +32'h3d0a3eb4,32'h40aab2fd,32'h40b1aa9f, 32'h40a57944,32'h40b6e458, 32'h409cc3b9,32'h40bf99e3,// invsqrt(0.0338) = 5.4432 +32'h3e875a32,32'h3ff3f892,32'h3ffdedd2, 32'h3fec80a3,32'h4002b2e1, 32'h3fe00e13,32'h4008ec28,// invsqrt(0.2644) = 1.9449 +32'h3ed0bbfb,32'h3fc475c2,32'h3fcc7a90, 32'h3fbe7227,32'h3fd27e2b, 32'h3fb46c25,32'h3fdc842d,// invsqrt(0.4077) = 1.5662 +32'h3ea3e2a8,32'h3fddb7ce,32'h3fe6c488, 32'h3fd6ee42,32'h3fed8e14, 32'h3fcb9e5a,32'h3ff8ddfc,// invsqrt(0.3201) = 1.7675 +32'h3fa2b33b,32'h3f5e862d,32'h3f679b53, 32'h3f57b650,32'h3f6e6b30, 32'h3f4c5be0,32'h3f79c5a0,// invsqrt(1.2711) = 0.8870 +32'h3f1dfa1c,32'h3f9faee3,32'h3fa6336a, 32'h3f9acb7f,32'h3fab16cf, 32'h3f92a5d6,32'h3fb33c78,// invsqrt(0.6171) = 1.2730 +32'h40df16ad,32'h3ebe08d2,32'h3ec5ca7d, 32'h3eb83793,32'h3ecb9bbd, 32'h3eae857e,32'h3ed54dd2,// invsqrt(6.9715) = 0.3787 +32'h3f0e77e8,32'h3fa82664,32'h3faf0362, 32'h3fa300a4,32'h3fb42922, 32'h3f9a6c66,32'h3fbcbd60,// invsqrt(0.5565) = 1.3405 +32'h3f90076b,32'h3f6c8215,32'h3f76295a, 32'h3f6544a2,32'h3f7d66ce, 32'h3f59338c,32'h3f84bbf2,// invsqrt(1.1252) = 0.9427 +32'h3fa0b5d2,32'h3f5fe5c3,32'h3f690943, 32'h3f590b23,32'h3f6fe3e3, 32'h3f4d9ec3,32'h3f7b5043,// invsqrt(1.2555) = 0.8924 +32'h3c09a32f,32'h412b1352,32'h41320ee2, 32'h4125d6a6,32'h41374b8e, 32'h411d1c31,32'h41400603,// invsqrt(0.0084) = 10.9104 +32'h411f3ed0,32'h3e9f0bc4,32'h3ea589a2, 32'h3e9a2d5d,32'h3eaa6809, 32'h3e921008,32'h3eb2855f,// invsqrt(9.9528) = 0.3170 +32'h3fdbdcc8,32'h3f3f6c72,32'h3f473ca0, 32'h3f39904f,32'h3f4d18c3, 32'h3f2fcc15,32'h3f56dcfd,// invsqrt(1.7177) = 0.7630 +32'h3f54e297,32'h3f898ea6,32'h3f8f2bfb, 32'h3f8558a6,32'h3f9361fa, 32'h3f7ca7f6,32'h3f9a66a5,// invsqrt(0.8316) = 1.0966 +32'h3fbc53b9,32'h3f4ed49f,32'h3f5745ca, 32'h3f487fbe,32'h3f5d9aaa, 32'h3f3df248,32'h3f682820,// invsqrt(1.4713) = 0.8244 +32'h3ee5f4e4,32'h3fbb2cd4,32'h3fc2d09e, 32'h3fb571fd,32'h3fc88b75, 32'h3fabe540,32'h3fd21832,// invsqrt(0.4491) = 1.4921 +32'h3f64ac2e,32'h3f84b94f,32'h3f8a2423, 32'h3f80a930,32'h3f8e3442, 32'h3f73c74d,32'h3f94f9cc,// invsqrt(0.8933) = 1.0581 +32'h3f087ec2,32'h3fabca32,32'h3fb2cd39, 32'h3fa687ec,32'h3fb80f7e, 32'h3f9dc423,32'h3fc0d347,// invsqrt(0.5332) = 1.3695 +32'h40bb0ab1,32'h3ecf8a3b,32'h3ed802cf, 32'h3ec92fcb,32'h3ede5d3f, 32'h3ebe9911,32'h3ee8f3f9,// invsqrt(5.8451) = 0.4136 +32'h40922593,32'h3eeac9cd,32'h3ef45f19, 32'h3ee399d4,32'h3efb8f12, 32'h3ed79f34,32'h3f03c4d9,// invsqrt(4.5671) = 0.4679 +32'h411c1653,32'h3ea0a59c,32'h3ea73434, 32'h3e9bbaa9,32'h3eac1f27, 32'h3e93886a,32'h3eb45166,// invsqrt(9.7555) = 0.3202 +32'h3fb00851,32'h3f55ee73,32'h3f5ea9d1, 32'h3f4f61ed,32'h3f653657, 32'h3f4477b8,32'h3f70208c,// invsqrt(1.3753) = 0.8527 +32'h3f6d0952,32'h3f825c7b,32'h3f87ae9f, 32'h3f7cbdbf,32'h3f8bac3a, 32'h3f6f7064,32'h3f9252e8,// invsqrt(0.9259) = 1.0392 +32'h41d2b8ce,32'h3e438801,32'h3e4b831b, 32'h3e3d8bad,32'h3e517f6f, 32'h3e3391cd,32'h3e5b794f,// invsqrt(26.3402) = 0.1948 +32'h3ef8852a,32'h3fb40c7d,32'h3fbb65d1, 32'h3fae897f,32'h3fc0e8cf, 32'h3fa559d6,32'h3fca1878,// invsqrt(0.4854) = 1.4353 +32'h3f891881,32'h3f726a2e,32'h3f7c4f2b, 32'h3f6afe71,32'h3f81dd74, 32'h3f5ea035,32'h3f880c92,// invsqrt(1.0711) = 0.9663 +32'h3e6735ff,32'h4003fe48,32'h4009617a, 32'h3fffe7c5,32'h400d6bdf, 32'h3ff26fc8,32'h401427de,// invsqrt(0.2258) = 2.1045 +32'h3eef5463,32'h3fb77928,32'h3fbef644, 32'h3fb1db53,32'h3fc49419, 32'h3fa87ef1,32'h3fcdf07b,// invsqrt(0.4674) = 1.4626 +32'h3f0ec4e1,32'h3fa7f90a,32'h3faed42e, 32'h3fa2d4ae,32'h3fb3f88a, 32'h3f9a42c0,32'h3fbc8a78,// invsqrt(0.5577) = 1.3391 +32'h3fbc43ff,32'h3f4edd42,32'h3f574ec8, 32'h3f48881e,32'h3f5da3ec, 32'h3f3dfa38,32'h3f6831d2,// invsqrt(1.4708) = 0.8246 +32'h3f90658e,32'h3f6c34f1,32'h3f75d90f, 32'h3f64f9da,32'h3f7d1426, 32'h3f58ecb3,32'h3f8490a6,// invsqrt(1.1281) = 0.9415 +32'h3f848db9,32'h3f76887a,32'h3f804c3f, 32'h3f6efc76,32'h3f841241, 32'h3f626870,32'h3f8a5c44,// invsqrt(1.0356) = 0.9827 +32'h3f1cb384,32'h3fa054f5,32'h3fa6e042, 32'h3f9b6c7a,32'h3fabc8bc, 32'h3f933e58,32'h3fb3f6de,// invsqrt(0.6121) = 1.2782 +32'h3fac9e62,32'h3f580958,32'h3f60dab4, 32'h3f516c52,32'h3f6777ba, 32'h3f46669f,32'h3f727d6d,// invsqrt(1.3486) = 0.8611 +32'h3f821c03,32'h3f78d685,32'h3f817f50, 32'h3f713872,32'h3f854e5a, 32'h3f648651,32'h3f8ba76a,// invsqrt(1.0165) = 0.9919 +32'h3b0cafb5,32'h41a93629,32'h41b01e3f, 32'h41a40818,32'h41b54c50, 32'h419b65fc,32'h41bdee6c,// invsqrt(0.0021) = 21.5831 +32'h3ff9874b,32'h3f33af45,32'h3f3b04ca, 32'h3f2e2f20,32'h3f4084ee, 32'h3f25043a,32'h3f49afd5,// invsqrt(1.9494) = 0.7162 +32'h3faa6880,32'h3f596ee4,32'h3f624ed8, 32'h3f52c6ec,32'h3f68f6d0, 32'h3f47aefb,32'h3f740ec1,// invsqrt(1.3313) = 0.8667 +32'h3f25d19e,32'h3f9bdc92,32'h3fa2392a, 32'h3f971720,32'h3fa6fe9c, 32'h3f8f2361,32'h3faef25b,// invsqrt(0.6477) = 1.2425 +32'h3f8fbb9e,32'h3f6cc06a,32'h3f766a3a, 32'h3f65810e,32'h3f7da996, 32'h3f596cca,32'h3f84deed,// invsqrt(1.1229) = 0.9437 +32'h3f702b6c,32'h3f818214,32'h3f86cb4e, 32'h3f7b1650,32'h3f8ac23a, 32'h3f6ddf3f,32'h3f915dc3,// invsqrt(0.9382) = 1.0324 +32'h3fa03eb7,32'h3f6038e9,32'h3f695fcd, 32'h3f595bbd,32'h3f703cf9, 32'h3f4deb1f,32'h3f7bad97,// invsqrt(1.2519) = 0.8937 +32'h3cd2088c,32'h40c3d9fb,32'h40cbd86d, 32'h40bddb25,32'h40d1d743, 32'h40b3dd15,32'h40dbd553,// invsqrt(0.0256) = 6.2453 +32'h3e9a8311,32'h3fe4581c,32'h3fedaa13, 32'h3fdd5aa4,32'h3ff4a78c, 32'h3fd1b42f,32'h40002700,// invsqrt(0.3018) = 1.8203 +32'h3f3e3e0d,32'h3f918361,32'h3f9773d7, 32'h3f8d0f07,32'h3f9be831, 32'h3f85a273,32'h3fa354c5,// invsqrt(0.7431) = 1.1600 +32'h409fc5bf,32'h3ee08dbb,32'h3ee9b816, 32'h3ed9adf6,32'h3ef097da, 32'h3ece3904,32'h3efc0ccc,// invsqrt(4.9929) = 0.4475 +32'h3d836133,32'h4077a1d0,32'h4080dea9, 32'h40700d30,32'h4084a8f9, 32'h40636acf,32'h408afa29,// invsqrt(0.0642) = 3.9482 +32'h3f5b1ce4,32'h3f879698,32'h3f8d1f5b, 32'h3f837007,32'h3f9145ed, 32'h3f790a28,32'h3f9830e0,// invsqrt(0.8559) = 1.0809 +32'h3f4d859b,32'h3f8bffea,32'h3f91b6c4, 32'h3f87b6c6,32'h3f95ffe8, 32'h3f809235,32'h3f9d2479,// invsqrt(0.8028) = 1.1161 +32'h3fa30b14,32'h3f5e4a32,32'h3f675ce6, 32'h3f577c2b,32'h3f6e2aed, 32'h3f4c24cb,32'h3f79824d,// invsqrt(1.2738) = 0.8860 +32'h4002f8a3,32'h3f2f600a,32'h3f368888, 32'h3f2a01ac,32'h3f3be6e6, 32'h3f210f0e,32'h3f44d984,// invsqrt(2.0464) = 0.6990 +32'h3f8d234d,32'h3f6eeb0e,32'h3f78ab82, 32'h3f679ab8,32'h3f7ffbd8, 32'h3f5b6a27,32'h3f861634,// invsqrt(1.1026) = 0.9523 +32'h3f29fd1e,32'h3f99f023,32'h3fa038a2, 32'h3f9539c4,32'h3fa4ef02, 32'h3f8d5f26,32'h3facc9a0,// invsqrt(0.6640) = 1.2272 +32'h3e150588,32'h40246945,32'h402b1f33, 32'h401f60d3,32'h403027a5, 32'h4016fd69,32'h40388b0f,// invsqrt(0.1455) = 2.6214 +32'h3e6c0676,32'h4002a3e4,32'h4007f8f2, 32'h3ffd4832,32'h400bf8bd, 32'h3feff38d,32'h4012a30f,// invsqrt(0.2305) = 2.0829 +32'h3f0f22c5,32'h3fa7c1e9,32'h3fae9ace, 32'h3fa29f3e,32'h3fb3bd7a, 32'h3f9a1020,32'h3fbc4c98,// invsqrt(0.5591) = 1.3374 +32'h40ce6681,32'h3ec5914f,32'h3ecda1b1, 32'h3ebf8506,32'h3ed3adfa, 32'h3eb5708d,32'h3eddc273,// invsqrt(6.4500) = 0.3937 +32'h3fbb644a,32'h3f4f5897,32'h3f57cf25, 32'h3f48ffac,32'h3f5e2810, 32'h3f3e6b7b,32'h3f68bc41,// invsqrt(1.4640) = 0.8265 +32'h3f6abf08,32'h3f82fee0,32'h3f8857a6, 32'h3f7df899,32'h3f8c5a3a, 32'h3f709aac,32'h3f930930,// invsqrt(0.9170) = 1.0443 +32'h3e76d3ce,32'h3fff7fc5,32'h4004f6bd, 32'h3ff7ad7e,32'h4008dfe1, 32'h3feaa45d,32'h400f6472,// invsqrt(0.2410) = 2.0368 +32'h3c8348b3,32'h40f7b8eb,32'h4100eaaf, 32'h40f02395,32'h4104b559, 32'h40e38007,32'h410b0721,// invsqrt(0.0160) = 7.8993 +32'h3f2bf7c8,32'h3f990cb6,32'h3f9f4bec, 32'h3f945d4d,32'h3fa3fb55, 32'h3f8c8e49,32'h3fabca59,// invsqrt(0.6717) = 1.2201 +32'h40d86039,32'h3ec0f5af,32'h3ec8d5eb, 32'h3ebb0d83,32'h3ecebe17, 32'h3eb13538,32'h3ed89662,// invsqrt(6.7617) = 0.3846 +32'h3f7ea0a8,32'h3f7b8e22,32'h3f82e951, 32'h3f73dac4,32'h3f86c300, 32'h3f670525,32'h3f8d2dd0,// invsqrt(0.9946) = 1.0027 +32'h419bca63,32'h3e6367bd,32'h3e6cafe4, 32'h3e5c719f,32'h3e73a601, 32'h3e50d76e,32'h3e7f4032,// invsqrt(19.4738) = 0.2266 +32'h3f958e66,32'h3f6818ba,32'h3f7191e6, 32'h3f60fdd9,32'h3f78acc7, 32'h3f552662,32'h3f82421f,// invsqrt(1.1684) = 0.9251 +32'h3e855b50,32'h3ff5ca28,32'h3fffd268, 32'h3fee43f8,32'h4003ac4c, 32'h3fe1b9a7,32'h4009f174,// invsqrt(0.2605) = 1.9594 +32'h4019e46d,32'h3f21c9da,32'h3f286460, 32'h3f1cd5f5,32'h3f2d5845, 32'h3f1494cd,32'h3f35996d,// invsqrt(2.4046) = 0.6449 +32'h3f579da9,32'h3f88aef1,32'h3f8e4325, 32'h3f847fca,32'h3f92724c, 32'h3f7b0d14,32'h3f996b8c,// invsqrt(0.8422) = 1.0896 +32'h3f9f87d5,32'h3f60b94a,32'h3f69e56c, 32'h3f59d830,32'h3f70c686, 32'h3f4e6105,32'h3f7c3db1,// invsqrt(1.2463) = 0.8957 +32'h3e201da9,32'h401e9cef,32'h40251647, 32'h4019c1ed,32'h4029f149, 32'h4011aa3f,32'h403208f7,// invsqrt(0.1564) = 2.5289 +32'h3f9f94d5,32'h3f60b022,32'h3f69dbe5, 32'h3f59cf51,32'h3f70bcb7, 32'h3f4e589d,32'h3f7c336b,// invsqrt(1.2467) = 0.8956 +32'h3d789468,32'h407e98d1,32'h40847e8c, 32'h4076cd9b,32'h40886426, 32'h4069d042,32'h408ee2d3,// invsqrt(0.0607) = 4.0593 +32'h3eef0e42,32'h3fb7940f,32'h3fbf1244, 32'h3fb1f568,32'h3fc4b0ec, 32'h3fa897a6,32'h3fce0eae,// invsqrt(0.4669) = 1.4635 +32'h3faebeda,32'h3f56b7c0,32'h3f5f7b55, 32'h3f502511,32'h3f660e05, 32'h3f453097,32'h3f71027f,// invsqrt(1.3652) = 0.8559 +32'h3da52337,32'h405ce033,32'h4065e421, 32'h40561d42,32'h406ca712, 32'h404ad859,32'h4077ebfb,// invsqrt(0.0806) = 3.5216 +32'h3f13a314,32'h3fa52e2c,32'h3fabec23, 32'h3fa01fb2,32'h3fb0fa9c, 32'h3f97b23d,32'h3fb96811,// invsqrt(0.5767) = 1.3168 +32'h3faffdbc,32'h3f55f4e1,32'h3f5eb082, 32'h3f4f6829,32'h3f653d3b, 32'h3f447da0,32'h3f7027c4,// invsqrt(1.3749) = 0.8528 +32'h3fabcaec,32'h3f588e25,32'h3f6164ed, 32'h3f51ed0f,32'h3f680603, 32'h3f46e095,32'h3f73127d,// invsqrt(1.3421) = 0.8632 +32'h3eb7b5ff,32'h3fd169b7,32'h3fd9f5de, 32'h3fcb009a,32'h3fe05efc, 32'h3fc0516a,32'h3feb0e2c,// invsqrt(0.3588) = 1.6694 +32'h401cdd67,32'h3f203f8b,32'h3f26c9f9, 32'h3f1b57b8,32'h3f2bb1cc, 32'h3f132aaf,32'h3f33ded5,// invsqrt(2.4510) = 0.6387 +32'h40486113,32'h3f0dc8e9,32'h3f13926b, 32'h3f0971c8,32'h3f17e98c, 32'h3f0235e6,32'h3f1f256e,// invsqrt(3.1309) = 0.5651 +32'h3f4f469c,32'h3f8b67f5,32'h3f91189b, 32'h3f872378,32'h3f955d18, 32'h3f8006a7,32'h3f9c79e9,// invsqrt(0.8097) = 1.1113 +32'h41031662,32'h3eaf4c23,32'h3eb673d1, 32'h3ea9ee61,32'h3ebbd193, 32'h3ea0fcc7,32'h3ec4c32d,// invsqrt(8.1930) = 0.3494 +32'h3fabcded,32'h3f588c40,32'h3f6162f4, 32'h3f51eb39,32'h3f6803fb, 32'h3f46ded7,32'h3f73105d,// invsqrt(1.3422) = 0.8632 +32'h3f4a4b8a,32'h3f8d1ca0,32'h3f92df1a, 32'h3f88cac5,32'h3f9730f5, 32'h3f8197ad,32'h3f9e640d,// invsqrt(0.7902) = 1.1249 +32'h3faaf528,32'h3f59155f,32'h3f61f1ac, 32'h3f527025,32'h3f6896e5, 32'h3f475cc4,32'h3f73aa46,// invsqrt(1.3356) = 0.8653 +32'h3eec1cd8,32'h3fb8b80b,32'h3fc0422b, 32'h3fb31073,32'h3fc5e9c3, 32'h3fa9a3cc,32'h3fcf566b,// invsqrt(0.4612) = 1.4726 +32'h3ffbe0fa,32'h3f32d827,32'h3f3a24e5, 32'h3f2d5e99,32'h3f3f9e73, 32'h3f243eac,32'h3f48be60,// invsqrt(1.9678) = 0.7129 +32'h3eba4896,32'h3fcff63f,32'h3fd8733d, 32'h3fc99881,32'h3fded0fb, 32'h3fbefc45,32'h3fe96d37,// invsqrt(0.3638) = 1.6579 +32'h3fdbb06e,32'h3f3f7fc4,32'h3f4750bc, 32'h3f39a30a,32'h3f4d2d76, 32'h3f2fddd3,32'h3f56f2ad,// invsqrt(1.7163) = 0.7633 +32'h4407e5a6,32'h3d2c2add,32'h3d3331d6, 32'h3d26e5a1,32'h3d387711, 32'h3d1e1cea,32'h3d413fc8,// invsqrt(543.5883) = 0.0429 +32'h3fdb8e2b,32'h3f3f8eb4,32'h3f476048, 32'h3f39b184,32'h3f4d3d78, 32'h3f2feb8b,32'h3f570371,// invsqrt(1.7153) = 0.7635 +32'h4058604b,32'h3f08716a,32'h3f0e031a, 32'h3f044425,32'h3f12305f, 32'h3efa9c10,32'h3f19267c,// invsqrt(3.3809) = 0.5439 +32'h3f5df7c4,32'h3f86b6a6,32'h3f8c3644, 32'h3f8296ef,32'h3f9055fb, 32'h3f776ed3,32'h3f973581,// invsqrt(0.8671) = 1.0739 +32'h401da908,32'h3f1fd7ed,32'h3f265e21, 32'h3f1af347,32'h3f2b42c7, 32'h3f12cb86,32'h3f336a88,// invsqrt(2.4634) = 0.6371 +32'h3f866373,32'h3f74d825,32'h3f7ed684, 32'h3f6d595d,32'h3f832aa6, 32'h3f60db65,32'h3f8969a1,// invsqrt(1.0499) = 0.9759 +32'h3db01265,32'h4055e853,32'h405ea371, 32'h404f5bfd,32'h40652fc7, 32'h40447218,32'h407019ac,// invsqrt(0.0860) = 3.4105 +32'h3f17d6fd,32'h3fa2e0d8,32'h3fa986c2, 32'h3f9de469,32'h3fae8331, 32'h3f959505,32'h3fb6d295,// invsqrt(0.5931) = 1.2985 +32'h411b69e1,32'h3ea0fea4,32'h3ea790de, 32'h3e9c10f7,32'h3eac7e8b, 32'h3e93da2e,32'h3eb4b554,// invsqrt(9.7133) = 0.3209 +32'h3f29ef98,32'h3f99f643,32'h3fa03f02, 32'h3f953fb4,32'h3fa4f592, 32'h3f8d64c6,32'h3facd080,// invsqrt(0.6638) = 1.2274 +32'h3eba393b,32'h3fcffed2,32'h3fd87c29, 32'h3fc9a0d1,32'h3fdeda2b, 32'h3fbf0425,32'h3fe976d7,// invsqrt(0.3637) = 1.6581 +32'h3ef66136,32'h3fb4d446,32'h3fbc35c0, 32'h3faf4b29,32'h3fc1bedd, 32'h3fa61150,32'h3fcaf8b7,// invsqrt(0.4812) = 1.4416 +32'h3fc9a761,32'h3f47e119,32'h3f5009a1, 32'h3f41c2b2,32'h3f562808, 32'h3f379007,32'h3f605ab3,// invsqrt(1.5754) = 0.7967 +32'h3f85ec8b,32'h3f7544be,32'h3f7f478c, 32'h3f6dc2a3,32'h3f8364d3, 32'h3f613f21,32'h3f89a694,// invsqrt(1.0463) = 0.9776 +32'h3e414477,32'h40105eb2,32'h40164337, 32'h400bf34f,32'h401aae9b, 32'h400495a9,32'h40220c41,// invsqrt(0.1887) = 2.3018 +32'h3ea0147e,32'h3fe05679,32'h3fe97e93, 32'h3fd97866,32'h3ff05ca6, 32'h3fce0645,32'h3ffbcec7,// invsqrt(0.3127) = 1.7884 +32'h3fab2dfb,32'h3f58f154,32'h3f61cc28, 32'h3f524d34,32'h3f687048, 32'h3f473bab,32'h3f7381d1,// invsqrt(1.3373) = 0.8647 +32'h41778f1e,32'h3e7f1f0a,32'h3e84c465, 32'h3e774fb8,32'h3e88ac0e, 32'h3e6a4b86,32'h3e8f2e27,// invsqrt(15.4724) = 0.2542 +32'h3f45c992,32'h3f8eb5f5,32'h3f948923, 32'h3f8a5792,32'h3f98e786, 32'h3f830f98,32'h3fa02f80,// invsqrt(0.7726) = 1.1377 +32'h3fa0d199,32'h3f5fd26c,32'h3f68f522, 32'h3f58f863,32'h3f6fcf2b, 32'h3f4d8d00,32'h3f7b3a8e,// invsqrt(1.2564) = 0.8921 +32'h3f276e00,32'h3f9b1c29,32'h3fa170e7, 32'h3f965c9b,32'h3fa63075, 32'h3f8e72ae,32'h3fae1a62,// invsqrt(0.6540) = 1.2365 +32'h3f643fb5,32'h3f84d8d4,32'h3f8a44f2, 32'h3f80c7be,32'h3f8e5608, 32'h3f740132,32'h3f951d2d,// invsqrt(0.8916) = 1.0590 +32'h4150037e,32'h3e8b289b,32'h3e90d6ac, 32'h3e86e60f,32'h3e951939, 32'h3e7f98f4,32'h3e9c32ce,// invsqrt(13.0009) = 0.2773 +32'h3eeaccef,32'h3fb93bfe,32'h3fc0cb80, 32'h3fb3905c,32'h3fc67722, 32'h3faa1cf9,32'h3fcfea85,// invsqrt(0.4586) = 1.4767 +32'h400903c1,32'h3f2b76bd,32'h3f32765d, 32'h3f263706,32'h3f37b614, 32'h3f1d777f,32'h3f40759b,// invsqrt(2.1409) = 0.6834 +32'h3fa0087d,32'h3f605ee3,32'h3f698755, 32'h3f59808e,32'h3f7065aa, 32'h3f4e0e00,32'h3f7bd838,// invsqrt(1.2503) = 0.8943 +32'h3ff7901c,32'h3f346584,32'h3f3bc27a, 32'h3f2edfcc,32'h3f414832, 32'h3f25ab99,32'h3f4a7c65,// invsqrt(1.9341) = 0.7191 +32'h3eb2d38b,32'h3fd440f3,32'h3fdceac9, 32'h3fcdc193,32'h3fe36a29, 32'h3fc2ed48,32'h3fee3e74,// invsqrt(0.3493) = 1.6921 +32'h3fb20532,32'h3f54bbd3,32'h3f5d6aad, 32'h3f4e38b0,32'h3f63edd0, 32'h3f435e20,32'h3f6ec860,// invsqrt(1.3908) = 0.8479 +32'h3e9cdce8,32'h3fe2a069,32'h3febe06d, 32'h3fdbb066,32'h3ff2d070, 32'h3fd02060,32'h3ffe6076,// invsqrt(0.3064) = 1.8067 +32'h3f626fb7,32'h3f8560ab,32'h3f8ad253, 32'h3f814b6c,32'h3f8ee792, 32'h3f74fab1,32'h3f95b5a5,// invsqrt(0.8845) = 1.0633 +32'h3f741f2e,32'h3f80749d,32'h3f85b2d7, 32'h3f790be1,32'h3f89a183, 32'h3f6bf04f,32'h3f902f4d,// invsqrt(0.9536) = 1.0240 +32'h40e46af4,32'h3ebbcdf6,32'h3ec37854, 32'h3eb60e30,32'h3ec9381a, 32'h3eac793b,32'h3ed2cd0f,// invsqrt(7.1381) = 0.3743 +32'h3f660b4d,32'h3f8453de,32'h3f89ba8e, 32'h3f8046da,32'h3f8dc792, 32'h3f730cfb,32'h3f9487ef,// invsqrt(0.8986) = 1.0549 +32'h3fbd2d61,32'h3f4e5d81,32'h3f56c9cf, 32'h3f480c46,32'h3f5d1b0a, 32'h3f3d84e4,32'h3f67a26c,// invsqrt(1.4779) = 0.8226 +32'h40175f28,32'h3f232144,32'h3f29c9ce, 32'h3f1e22dc,32'h3f2ec836, 32'h3f15d02e,32'h3f371ae4,// invsqrt(2.3652) = 0.6502 +32'h3db81991,32'h4051310e,32'h4059bae4, 32'h404ac9ad,32'h40602245, 32'h40401d60,32'h406ace92,// invsqrt(0.0899) = 3.3353 +32'h3f72b538,32'h3f80d442,32'h3f861664, 32'h3f79c551,32'h3f8a07fe, 32'h3f6c9ffc,32'h3f909aa8,// invsqrt(0.9481) = 1.0270 +32'h3f98d974,32'h3f65952a,32'h3f6ef412, 32'h3f5e8dfc,32'h3f75fb40, 32'h3f52d75b,32'h3f80d8f0,// invsqrt(1.1941) = 0.9151 +32'h3da4678e,32'h405d5e1f,32'h4066672f, 32'h40569752,32'h406d2dfc, 32'h404b4bfd,32'h40787951,// invsqrt(0.0803) = 3.5295 +32'h3ecc6766,32'h3fc687b7,32'h3fcea227, 32'h3fc073e3,32'h3fd4b5fb, 32'h3fb652d7,32'h3fded707,// invsqrt(0.3992) = 1.5827 +32'h3f1e42a2,32'h3f9f8a49,32'h3fa60d51, 32'h3f9aa803,32'h3faaef97, 32'h3f928438,32'h3fb31362,// invsqrt(0.6182) = 1.2718 +32'h3f89e95c,32'h3f71b25a,32'h3f7b8fd6, 32'h3f6a4c3d,32'h3f817af9, 32'h3f5df762,32'h3f87a567,// invsqrt(1.0774) = 0.9634 +32'h3e1c2e8a,32'h40209927,32'h4027273e, 32'h401bae97,32'h402c11cf, 32'h40137cfb,32'h4034436b,// invsqrt(0.1525) = 2.5606 +32'h3e1208b0,32'h402615a3,32'h402cdd0c, 32'h40210013,32'h4031f29b, 32'h401886ce,32'h403a6be0,// invsqrt(0.1426) = 2.6480 +32'h3db9cc11,32'h40503be4,32'h4058bbb9, 32'h4049dc04,32'h405f1b98, 32'h403f3c39,32'h4069bb63,// invsqrt(0.0907) = 3.3201 +32'h3f7aebd1,32'h3f7d6802,32'h3f83dfed, 32'h3f75a622,32'h3f87c0dd, 32'h3f68b856,32'h3f8e37c3,// invsqrt(0.9802) = 1.0101 +32'h3f6c7f5f,32'h3f82827a,32'h3f87d62c, 32'h3f7d076a,32'h3f8bd4f1, 32'h3f6fb62f,32'h3f927d8e,// invsqrt(0.9238) = 1.0404 +32'h410e98e7,32'h3ea812ef,32'h3eaeef22, 32'h3ea2edc8,32'h3eb41448, 32'h3e9a5a87,32'h3ebca789,// invsqrt(8.9123) = 0.3350 +32'h3f64bbe9,32'h3f84b4be,32'h3f8a1f62, 32'h3f80a4c2,32'h3f8e2f5e, 32'h3f73beea,32'h3f94f4ab,// invsqrt(0.8935) = 1.0579 +32'h3f8785c4,32'h3f73d157,32'h3f7dc4fd, 32'h3f6c5a9b,32'h3f829ddc, 32'h3f5fea0c,32'h3f88d624,// invsqrt(1.0588) = 0.9719 +32'h3ed2c946,32'h3fc3805d,32'h3fcb7b27, 32'h3fbd8445,32'h3fd1773f, 32'h3fb38ac8,32'h3fdb70bc,// invsqrt(0.4117) = 1.5585 +32'h3f4a78df,32'h3f8d0cd3,32'h3f92cea7, 32'h3f88bb74,32'h3f972006, 32'h3f81892a,32'h3f9e5250,// invsqrt(0.7909) = 1.1244 +32'h3cd6dad8,32'h40c1a439,32'h40c98b95, 32'h40bbb6b5,32'h40cf7919, 32'h40b1d583,32'h40d95a4b,// invsqrt(0.0262) = 6.1748 +32'h3eb41b1b,32'h3fd37f97,32'h3fdc2189, 32'h3fcd0622,32'h3fe29afe, 32'h3fc23bb5,32'h3fed656b,// invsqrt(0.3518) = 1.6861 +32'h3fa9169b,32'h3f5a47b8,32'h3f633086, 32'h3f53991d,32'h3f69df21, 32'h3f48761c,32'h3f750222,// invsqrt(1.3210) = 0.8701 +32'h3f56f459,32'h3f88e4bb,32'h3f8e7b21, 32'h3f84b3ef,32'h3f92abed, 32'h3f7b6fe0,32'h3f99a7ec,// invsqrt(0.8397) = 1.0913 +32'h3f4a05fb,32'h3f8d34e9,32'h3f92f860, 32'h3f88e24f,32'h3f974af9, 32'h3f81adfa,32'h3f9e7f4e,// invsqrt(0.7892) = 1.1257 +32'h40f317f7,32'h3eb60c1c,32'h3ebd7a52, 32'h3eb07974,32'h3ec30cfa, 32'h3ea72fb2,32'h3ecc56bd,// invsqrt(7.5967) = 0.3628 +32'h3e36595e,32'h4014a115,32'h401ab21b, 32'h40101450,32'h401f3ee0, 32'h40087f09,32'h4026d427,// invsqrt(0.1781) = 2.3697 +32'h3f3a74a1,32'h3f92fbc1,32'h3f98fb95, 32'h3f8e7be2,32'h3f9d7b74, 32'h3f86fc1a,32'h3fa4fb3c,// invsqrt(0.7283) = 1.1717 +32'h3dbfbd19,32'h404cfb72,32'h4055594d, 32'h4046b50e,32'h405b9fb2, 32'h403c3fbd,32'h40661503,// invsqrt(0.0936) = 3.2682 +32'h3f8d3a96,32'h3f6ed75b,32'h3f789701, 32'h3f67879f,32'h3f7fe6bd, 32'h3f5b5810,32'h3f860b26,// invsqrt(1.1034) = 0.9520 +32'h3f44bcf0,32'h3f8f1742,32'h3f94ee6a, 32'h3f8ab5e5,32'h3f994fc7, 32'h3f8368f4,32'h3fa09cb8,// invsqrt(0.7685) = 1.1407 +32'h3f9e0030,32'h3f61cf22,32'h3f6b069c, 32'h3f5ae587,32'h3f71f037, 32'h3f4f602f,32'h3f7d758f,// invsqrt(1.2344) = 0.9001 +32'h3f20277c,32'h3f9e9812,32'h3fa51137, 32'h3f99bd35,32'h3fa9ec13, 32'h3f91a5c7,32'h3fb20381,// invsqrt(0.6256) = 1.2643 +32'h41c93588,32'h3e48199d,32'h3e504475, 32'h3e41f97c,32'h3e566496, 32'h3e37c3ee,32'h3e609a24,// invsqrt(25.1511) = 0.1994 +32'h3f989e78,32'h3f65c184,32'h3f6f223a, 32'h3f5eb8fa,32'h3f762ac4, 32'h3f530016,32'h3f80f1d4,// invsqrt(1.1923) = 0.9158 +32'h3fa88c89,32'h3f5aa10d,32'h3f638d81, 32'h3f53efb7,32'h3f6a3ed7, 32'h3f48c826,32'h3f756668,// invsqrt(1.3168) = 0.8714 +32'h3ffb1406,32'h3f332117,32'h3f3a70ce, 32'h3f2da54c,32'h3f3fec98, 32'h3f2481a7,32'h3f49103d,// invsqrt(1.9615) = 0.7140 +32'h3ea7b303,32'h3fdb2eaa,32'h3fe420e6, 32'h3fd478fe,32'h3fead692, 32'h3fc94a34,32'h3ff6055c,// invsqrt(0.3275) = 1.7473 +32'h3fece931,32'h3f386850,32'h3f3fef2e, 32'h3f32c329,32'h3f459455, 32'h3f295a92,32'h3f4efcec,// invsqrt(1.8509) = 0.7350 +32'h40b7e902,32'h3ed14caa,32'h3ed9d7a2, 32'h3ecae471,32'h3ee03fdb, 32'h3ec036bc,32'h3eeaed90,// invsqrt(5.7472) = 0.4171 +32'h3e533f64,32'h400a16de,32'h400fb9c2, 32'h4005dcb2,32'h4013f3ee, 32'h3ffda22a,32'h401aff8b,// invsqrt(0.2063) = 2.2017 +32'h3edcdd27,32'h3fbefd38,32'h3fc6c8dd, 32'h3fb9247e,32'h3fcca198, 32'h3faf65f0,32'h3fd66026,// invsqrt(0.4314) = 1.5226 +32'h40bc1c62,32'h3ecef309,32'h3ed76571, 32'h3ec89d3a,32'h3eddbb40, 32'h3ebe0e37,32'h3ee84a43,// invsqrt(5.8785) = 0.4124 +32'h3e276312,32'h401b2139,32'h4021762c, 32'h40166184,32'h402635e2, 32'h400e7754,32'h402e2012,// invsqrt(0.1635) = 2.4734 +32'h404e4655,32'h3f0bbe73,32'h3f1172a2, 32'h3f077751,32'h3f15b9c5, 32'h3f005617,32'h3f1cdaff,// invsqrt(3.2230) = 0.5570 +32'h3ff18908,32'h3f36a235,32'h3f3e168b, 32'h3f310af5,32'h3f43adcb, 32'h3f27b98a,32'h3f4cff36,// invsqrt(1.8870) = 0.7280 +32'h3cd2a910,32'h40c38f4f,32'h40cb8ab5, 32'h40bd92c2,32'h40d18742, 32'h40b39882,32'h40db8182,// invsqrt(0.0257) = 6.2360 +32'h3ebbfd83,32'h3fcf0406,32'h3fd77721, 32'h3fc8adb3,32'h3fddcd75, 32'h3fbe1dd2,32'h3fe85d56,// invsqrt(0.3672) = 1.6503 +32'h3f11afa3,32'h3fa6485d,32'h3fad11d9, 32'h3fa13140,32'h3fb228f6, 32'h3f98b565,32'h3fbaa4d1,// invsqrt(0.5691) = 1.3256 +32'h3d986683,32'h4065ebae,32'h406f4e1d, 32'h405ee1da,32'h407657f0, 32'h405326ce,32'h4081097e,// invsqrt(0.0744) = 3.6658 +32'h404ae199,32'h3f0ce867,32'h3f12a8be, 32'h3f089824,32'h3f16f900, 32'h3f0167b7,32'h3f1e296d,// invsqrt(3.1700) = 0.5617 +32'h3e9b2f56,32'h3fe3d93b,32'h3fed2604, 32'h3fdcdfa5,32'h3ff41f9b, 32'h3fd13faa,32'h3fffbf96,// invsqrt(0.3031) = 1.8164 +32'h3fa86156,32'h3f5abd17,32'h3f63aaaf, 32'h3f540ae4,32'h3f6a5ce2, 32'h3f48e1e6,32'h3f7585e0,// invsqrt(1.3155) = 0.8719 +32'h423571b8,32'h3e14ffd7,32'h3e1b14bc, 32'h3e10702d,32'h3e1fa467, 32'h3e08d60f,32'h3e273e85,// invsqrt(45.3611) = 0.1485 +32'h3d0eb334,32'h40a80371,32'h40aedf03, 32'h40a2dec4,32'h40b403b0, 32'h409a4c4e,32'h40bc9626,// invsqrt(0.0348) = 5.3576 +32'h41803ca5,32'h3e7aa5ee,32'h3e82707a, 32'h3e72f9ab,32'h3e86469b, 32'h3e662fe6,32'h3e8cab7e,// invsqrt(16.0296) = 0.2498 +32'h3f29699c,32'h3f9a3319,32'h3fa07e53, 32'h3f957aad,32'h3fa536bf, 32'h3f8d9ca4,32'h3fad14c8,// invsqrt(0.6618) = 1.2293 +32'h3ebf158e,32'h3fcd553c,32'h3fd5b6c2, 32'h3fc70c19,32'h3fdbffe5, 32'h3fbc9232,32'h3fe679cc,// invsqrt(0.3732) = 1.6369 +32'h40703700,32'h3f017ef5,32'h3f06c80f, 32'h3efb1044,32'h3f0abee2, 32'h3eedd984,32'h3f115a42,// invsqrt(3.7534) = 0.5162 +32'h3fd6b85a,32'h3f41b3c6,32'h3f499bc4, 32'h3f3bc5c8,32'h3f4f89c2, 32'h3f31e3cb,32'h3f596bbf,// invsqrt(1.6775) = 0.7721 +32'h3ec83112,32'h3fc89b9f,32'h3fd0cbc5, 32'h3fc27783,32'h3fd6efe1, 32'h3fb83b53,32'h3fe12c11,// invsqrt(0.3910) = 1.5992 +32'h40fa8923,32'h3eb352b6,32'h3ebaa474, 32'h3eadd567,32'h3ec021c3, 32'h3ea4af39,32'h3ec947f1,// invsqrt(7.8292) = 0.3574 +32'h3fcb963f,32'h3f46ed97,32'h3f4f0c30, 32'h3f40d6a5,32'h3f552323, 32'h3f36b067,32'h3f5f4961,// invsqrt(1.5905) = 0.7929 +32'h40a304e1,32'h3ede4e6c,32'h3ee7614c, 32'h3ed78044,32'h3eee2f74, 32'h3ecc28ac,32'h3ef9870c,// invsqrt(5.0943) = 0.4431 +32'h3f29fddd,32'h3f99efcd,32'h3fa03849, 32'h3f953971,32'h3fa4eea5, 32'h3f8d5ed7,32'h3facc93f,// invsqrt(0.6640) = 1.2272 +32'h3f7a31b7,32'h3f7dc630,32'h3f8410ef, 32'h3f76016c,32'h3f87f350, 32'h3f690ed2,32'h3f8e6c9d,// invsqrt(0.9773) = 1.0115 +32'h3f49ecff,32'h3f8d3da5,32'h3f930177, 32'h3f88eac7,32'h3f975455, 32'h3f81b600,32'h3f9e891c,// invsqrt(0.7888) = 1.1260 +32'h3e6e259c,32'h40020e94,32'h40075d8a, 32'h3ffc26b6,32'h400b58c3, 32'h3feee14e,32'h4011fb77,// invsqrt(0.2326) = 2.0736 +32'h4094adee,32'h3ee8c7ac,32'h3ef247fc, 32'h3ee1a770,32'h3ef96838, 32'h3ed5c70c,32'h3f02a44e,// invsqrt(4.6462) = 0.4639 +32'h4132a66b,32'h3e9628fa,32'h3e9c49fe, 32'h3e919036,32'h3ea0e2c2, 32'h3e89e6f0,32'h3ea88c08,// invsqrt(11.1656) = 0.2993 +32'h3fd4a69d,32'h3f42a475,32'h3f4a9645, 32'h3f3caf18,32'h3f508ba2, 32'h3f32c0d4,32'h3f5a79e6,// invsqrt(1.6613) = 0.7758 +32'h40054841,32'h3f2dd91b,32'h3f34f1a4, 32'h3f2886b5,32'h3f3a440b, 32'h3f1fa80a,32'h3f4322b6,// invsqrt(2.0825) = 0.6930 +32'h3f8c35df,32'h3f6fb503,32'h3f797db5, 32'h3f685e7e,32'h3f806a1d, 32'h3f5c23a0,32'h3f86878c,// invsqrt(1.0954) = 0.9555 +32'h3fe8ad74,32'h3f3a13d5,32'h3f41ac27, 32'h3f346198,32'h3f475e64, 32'h3f2ae331,32'h3f50dccb,// invsqrt(1.8178) = 0.7417 +32'h3f74f5c6,32'h3f803c4c,32'h3f85783a, 32'h3f789eb2,32'h3f89652d, 32'h3f6b88df,32'h3f8ff016,// invsqrt(0.9569) = 1.0223 +32'h40b4e314,32'h3ed30a8e,32'h3edba7b9, 32'h3ecc94af,32'h3ee21d99, 32'h3ec1d03a,32'h3eece20e,// invsqrt(5.6527) = 0.4206 +32'h3f96ea18,32'h3f670cc1,32'h3f707afd, 32'h3f5ffa14,32'h3f778daa, 32'h3f543049,32'h3f81abbb,// invsqrt(1.1790) = 0.9210 +32'h3ec7d62c,32'h3fc8c939,32'h3fd0fb3c, 32'h3fc2a3b8,32'h3fd720be, 32'h3fb86535,32'h3fe15f41,// invsqrt(0.3903) = 1.6007 +32'h3fd43447,32'h3f42d8de,32'h3f4accd2, 32'h3f3ce1e7,32'h3f50c3c9, 32'h3f32f0f6,32'h3f5ab4ba,// invsqrt(1.6578) = 0.7767 +32'h3f43b8fe,32'h3f8f7628,32'h3f95512e, 32'h3f8b11e2,32'h3f99b574, 32'h3f83c01a,32'h3fa1073c,// invsqrt(0.7645) = 1.1437 +32'h3c9852d2,32'h40e5fa8a,32'h40ef5d94, 32'h40def041,32'h40f667dd, 32'h40d33474,32'h410111d5,// invsqrt(0.0186) = 7.3335 +32'h424282ce,32'h3e0fe85e,32'h3e15c80e, 32'h3e0b809a,32'h3e1a2fd2, 32'h3e0428fd,32'h3e21876f,// invsqrt(48.6277) = 0.1434 +32'h3ff01d30,32'h3f372c60,32'h3f3ea65a, 32'h3f3190e5,32'h3f4441d5, 32'h3f28386d,32'h3f4d9a4d,// invsqrt(1.8759) = 0.7301 +32'h40b58251,32'h3ed2ade7,32'h3edb4749, 32'h3ecc3add,32'h3ee1ba53, 32'h3ec17b22,32'h3eec7a0e,// invsqrt(5.6722) = 0.4199 +32'h3d2b9bbd,32'h409935bc,32'h409f769f, 32'h40948511,32'h40a42749, 32'h408cb3f5,32'h40abf865,// invsqrt(0.0419) = 4.8855 +32'h3f7df93d,32'h3f7be0fe,32'h3f83146f, 32'h3f742b15,32'h3f86ef63, 32'h3f67513d,32'h3f8d5c50,// invsqrt(0.9921) = 1.0040 +32'h3e8bbe92,32'h3ff01b3f,32'h3ff9e81d, 32'h3fe8c198,32'h4000a0e2, 32'h3fdc8183,32'h4006c0ec,// invsqrt(0.2729) = 1.9141 +32'h40be758f,32'h3ecdab69,32'h3ed61072, 32'h3ec75fa1,32'h3edc5c39, 32'h3ebce155,32'h3ee6da85,// invsqrt(5.9519) = 0.4099 +32'h3f137a6f,32'h3fa544ed,32'h3fac03d2, 32'h3fa035c1,32'h3fb112fd, 32'h3f97c722,32'h3fb9819c,// invsqrt(0.5761) = 1.3175 +32'h3ed93abd,32'h3fc09489,32'h3fc870cd, 32'h3fbaaf56,32'h3fce5600, 32'h3fb0dc00,32'h3fd82956,// invsqrt(0.4243) = 1.5352 +32'h3f3d0509,32'h3f91fbab,32'h3f97f10b, 32'h3f8d83a3,32'h3f9c6913, 32'h3f8610ec,32'h3fa3dbca,// invsqrt(0.7384) = 1.1638 +32'h3f5dfb43,32'h3f86b596,32'h3f8c352a, 32'h3f8295e8,32'h3f9054d8, 32'h3f776ce0,32'h3f973450,// invsqrt(0.8671) = 1.0739 +32'h3f670e5d,32'h3f84099a,32'h3f896d42, 32'h3f7ffdb7,32'h3f8d7800, 32'h3f728493,32'h3f943493,// invsqrt(0.9026) = 1.0526 +32'h3f9d44e5,32'h3f625570,32'h3f6b9264, 32'h3f5b67b8,32'h3f72801c, 32'h3f4fdb86,32'h3f7e0c4e,// invsqrt(1.2287) = 0.9022 +32'h3e9f86b1,32'h3fe0ba17,32'h3fe9e642, 32'h3fd9d8f8,32'h3ff0c762, 32'h3fce61c2,32'h3ffc3e98,// invsqrt(0.3116) = 1.7915 +32'h40a6fdbd,32'h3edba581,32'h3ee49c95, 32'h3ed4ec31,32'h3eeb55e5, 32'h3ec9b757,32'h3ef68abf,// invsqrt(5.2185) = 0.4378 +32'h4072239f,32'h3f00faf8,32'h3f063eae, 32'h3efa105e,32'h3f0a3177, 32'h3eece715,32'h3f10c61b,// invsqrt(3.7834) = 0.5141 +32'h3fdec931,32'h3f3e29db,32'h3f45ecdf, 32'h3f385798,32'h3f4bbf22, 32'h3f2ea3d4,32'h3f5572e6,// invsqrt(1.7405) = 0.7580 +32'h3c30f8fe,32'h4116deba,32'h411d072a, 32'h41124066,32'h4121a57e, 32'h410a8dda,32'h4129580a,// invsqrt(0.0108) = 9.6218 +32'h3f2a7c9c,32'h3f99b68a,32'h3f9ffcae, 32'h3f9501ee,32'h3fa4b14a, 32'h3f8d2a40,32'h3fac88f8,// invsqrt(0.6660) = 1.2254 +32'h40481a88,32'h3f0de1e5,32'h3f13ac6c, 32'h3f098a01,32'h3f180451, 32'h3f024cd8,32'h3f1f417a,// invsqrt(3.1266) = 0.5655 +32'h3fe83e4d,32'h3f3a4057,32'h3f41da79, 32'h3f348cbc,32'h3f478e14, 32'h3f2b0c11,32'h3f510ebf,// invsqrt(1.8144) = 0.7424 +32'h3fb524b0,32'h3f52e453,32'h3f5b7fed, 32'h3f4c6f9e,32'h3f61f4a2, 32'h3f41ad1d,32'h3f6cb723,// invsqrt(1.4152) = 0.8406 +32'h40287ce1,32'h3f1a9f47,32'h3f20eeec, 32'h3f15e38c,32'h3f25aaa8, 32'h3f0dfffe,32'h3f2d8e36,// invsqrt(2.6326) = 0.6163 +32'h400d3d3a,32'h3f28e14e,32'h3f2fc5ee, 32'h3f23b5d6,32'h3f34f166, 32'h3f1b180e,32'h3f3d8f2e,// invsqrt(2.2069) = 0.6732 +32'h3f23762d,32'h3f9cfb3b,32'h3fa36387, 32'h3f982d03,32'h3fa831bf, 32'h3f902aa4,32'h3fb0341e,// invsqrt(0.6385) = 1.2514 +32'h3faf4ec4,32'h3f565f8c,32'h3f5f1f88, 32'h3f4fcf90,32'h3f65af84, 32'h3f44df96,32'h3f709f7e,// invsqrt(1.3696) = 0.8545 +32'h4059d221,32'h3f07fd64,32'h3f0d8a58, 32'h3f03d3ac,32'h3f11b410, 32'h3ef9c6f6,32'h3f18a441,// invsqrt(3.4035) = 0.5421 +32'h41686a1d,32'h3e83a6ad,32'h3e89064b, 32'h3e7f3dec,32'h3e8d0e02, 32'h3e71cedf,32'h3e93c588,// invsqrt(14.5259) = 0.2624 +32'h3f74b7f7,32'h3f804c7d,32'h3f858915, 32'h3f78be18,32'h3f897686, 32'h3f6ba69d,32'h3f900244,// invsqrt(0.9559) = 1.0228 +32'h40710392,32'h3f0147f4,32'h3f068ecf, 32'h3efaa5a1,32'h3f0a83f4, 32'h3eed747d,32'h3f111c85,// invsqrt(3.7658) = 0.5153 +32'h3fec34e4,32'h3f38aea4,32'h3f403862, 32'h3f330756,32'h3f45dfb0, 32'h3f299b29,32'h3f4f4bdd,// invsqrt(1.8454) = 0.7361 +32'h3e45851d,32'h400eceae,32'h4014a2de, 32'h400a6f89,32'h40190203, 32'h4003264c,32'h40204b40,// invsqrt(0.1929) = 2.2769 +32'h40035305,32'h3f2f23a6,32'h3f3649ac, 32'h3f29c721,32'h3f3ba631, 32'h3f20d798,32'h3f4495ba,// invsqrt(2.0519) = 0.6981 +32'h4007f997,32'h3f2c1e3c,32'h3f3324b2, 32'h3f26d964,32'h3f38698a, 32'h3f1e1152,32'h3f41319d,// invsqrt(2.1246) = 0.6861 +32'h4066d657,32'h3f04199f,32'h3f097dee, 32'h3f000e62,32'h3f0d892a, 32'h3ef2a1fe,32'h3f14468d,// invsqrt(3.6068) = 0.5265 +32'h3fbc1412,32'h3f4ef79c,32'h3f576a34, 32'h3f48a1a9,32'h3f5dc027, 32'h3f3e126a,32'h3f684f66,// invsqrt(1.4694) = 0.8250 +32'h3f77b4c3,32'h3f7f0ba6,32'h3f84ba4f, 32'h3f773ced,32'h3f88a1ab, 32'h3f6a39b8,32'h3f8f2346,// invsqrt(0.9676) = 1.0166 +32'h3ef1d3c6,32'h3fb685fa,32'h3fbdf928, 32'h3fb0ef97,32'h3fc38f8b, 32'h3fa79f9c,32'h3fccdf86,// invsqrt(0.4723) = 1.4551 +32'h4039af9a,32'h3f1349a7,32'h3f194ca9, 32'h3f0ec766,32'h3f1dceea, 32'h3f0743a4,32'h3f2552ac,// invsqrt(2.9013) = 0.5871 +32'h3f61a613,32'h3f859c35,32'h3f8b104d, 32'h3f818524,32'h3f8f275e, 32'h3f75680f,32'h3f95f87b,// invsqrt(0.8814) = 1.0651 +32'h3f44704d,32'h3f8f3329,32'h3f950b73, 32'h3f8ad0f0,32'h3f996dac, 32'h3f838293,32'h3fa0bc09,// invsqrt(0.7673) = 1.1416 +32'h3e0474a5,32'h402e63c2,32'h403581f4, 32'h40290d1d,32'h403ad899, 32'h4020275f,32'h4043be57,// invsqrt(0.1294) = 2.7804 +32'h40911e04,32'h3eeb9ea1,32'h3ef53c9e, 32'h3ee46825,32'h3efc731b, 32'h3ed862aa,32'h3f043c4b,// invsqrt(4.5349) = 0.4696 +32'h3f644025,32'h3f84d8b4,32'h3f8a44d0, 32'h3f80c79f,32'h3f8e55e5, 32'h3f7400f7,32'h3f951d09,// invsqrt(0.8916) = 1.0590 +32'h40348ae1,32'h3f155efa,32'h3f1b77c0, 32'h3f10cc65,32'h3f200a55, 32'h3f092d6d,32'h3f27a94d,// invsqrt(2.8210) = 0.5954 +32'h3fbf7e51,32'h3f4d1d0a,32'h3f557c44, 32'h3f46d59f,32'h3f5bc3af, 32'h3f3c5e96,32'h3f663ab8,// invsqrt(1.4960) = 0.8176 +32'h40364b3b,32'h3f14a6d8,32'h3f1ab81b, 32'h3f1019e7,32'h3f1f450d, 32'h3f088454,32'h3f26daa0,// invsqrt(2.8483) = 0.5925 +32'h3f826a89,32'h3f788b90,32'h3f81584e, 32'h3f70efc8,32'h3f852632, 32'h3f64417a,32'h3f8b7d59,// invsqrt(1.0189) = 0.9907 +32'h3f344a95,32'h3f95799a,32'h3f9b9377, 32'h3f90e635,32'h3fa026dd, 32'h3f8945e2,32'h3fa7c730,// invsqrt(0.7043) = 1.1916 +32'h3fb36e35,32'h3f53e565,32'h3f5c8b7e, 32'h3f4d68d2,32'h3f630810, 32'h3f429932,32'h3f6dd7b0,// invsqrt(1.4018) = 0.8446 +32'h3fb4213e,32'h3f537bfd,32'h3f5c1dc8, 32'h3f4d02a4,32'h3f629720, 32'h3f423865,32'h3f6d615f,// invsqrt(1.4073) = 0.8430 +32'h3fb3b02e,32'h3f53be7b,32'h3f5c62fd, 32'h3f4d4319,32'h3f62de5f, 32'h3f427576,32'h3f6dac02,// invsqrt(1.4038) = 0.8440 +32'h3f8b53e4,32'h3f70771a,32'h3f7a47b7, 32'h3f691aa3,32'h3f80d217, 32'h3f5cd5de,32'h3f86f479,// invsqrt(1.0885) = 0.9585 +32'h3f024734,32'h3fafd74f,32'h3fb704ab, 32'h3faa754a,32'h3fbc66b0, 32'h3fa17c97,32'h3fc55f63,// invsqrt(0.5089) = 1.4018 +32'h3f1b350b,32'h3fa11a08,32'h3fa7ad62, 32'h3f9c2b86,32'h3fac9be4, 32'h3f93f356,32'h3fb4d414,// invsqrt(0.6063) = 1.2843 +32'h3f27e392,32'h3f9ae5d0,32'h3fa13856, 32'h3f9627ec,32'h3fa5f63a, 32'h3f8e40c4,32'h3faddd62,// invsqrt(0.6558) = 1.2348 +32'h3f89c1c9,32'h3f71d50f,32'h3f7bb3f5, 32'h3f6a6de2,32'h3f818d91, 32'h3f5e1742,32'h3f87b8e1,// invsqrt(1.0762) = 0.9639 +32'h3fe87a67,32'h3f3a2842,32'h3f41c16a, 32'h3f347565,32'h3f477447, 32'h3f2af5f4,32'h3f50f3b8,// invsqrt(1.8162) = 0.7420 +32'h3f882bf6,32'h3f733c60,32'h3f7d29f0, 32'h3f6bca33,32'h3f824e0f, 32'h3f5f613e,32'h3f888289,// invsqrt(1.0638) = 0.9695 +32'h3f987469,32'h3f65e133,32'h3f6f4335, 32'h3f5ed7b1,32'h3f764cb7, 32'h3f531d2f,32'h3f81039d,// invsqrt(1.1911) = 0.9163 +32'h404ede74,32'h3f0b8b09,32'h3f113d1e, 32'h3f074578,32'h3f1582ae, 32'h3f0026de,32'h3f1ca148,// invsqrt(3.2323) = 0.5562 +32'h3f2c7be1,32'h3f98d20f,32'h3f9f0ee1, 32'h3f942472,32'h3fa3bc7e, 32'h3f8c586c,32'h3fab8884,// invsqrt(0.6738) = 1.2183 +32'h3f905791,32'h3f6c4063,32'h3f75e4f9, 32'h3f6504f2,32'h3f7d206a, 32'h3f58f736,32'h3f849713,// invsqrt(1.1277) = 0.9417 +32'h41966666,32'h3e6771d4,32'h3e70e430, 32'h3e605c0f,32'h3e77f9f5, 32'h3e548d1b,32'h3e81e474,// invsqrt(18.8000) = 0.2306 +32'h3e989a93,32'h3fe5c472,32'h3fef2548, 32'h3fdebbd2,32'h3ff62de8, 32'h3fd302c7,32'h4000f37a,// invsqrt(0.2981) = 1.8317 +32'h4008d082,32'h3f2b96d7,32'h3f3297c5, 32'h3f265624,32'h3f37d878, 32'h3f1d94f9,32'h3f4099a3,// invsqrt(2.1377) = 0.6839 +32'h3ffa66db,32'h3f335efc,32'h3f3ab13b, 32'h3f2de14e,32'h3f402eea, 32'h3f24ba80,32'h3f4955b8,// invsqrt(1.9563) = 0.7150 +32'h3e424841,32'h400ffe0c,32'h4015de9e, 32'h400b959e,32'h401a470c, 32'h40043ce6,32'h40219fc4,// invsqrt(0.1897) = 2.2958 +32'h40009f01,32'h3f30f859,32'h3f383181, 32'h3f2b8d7b,32'h3f3d9c5f, 32'h3f228608,32'h3f46a3d2,// invsqrt(2.0097) = 0.7054 +32'h3f13a92c,32'h3fa52ac3,32'h3fabe897, 32'h3fa01c64,32'h3fb0f6f6, 32'h3f97af1c,32'h3fb9643e,// invsqrt(0.5768) = 1.3167 +32'h408e3c8c,32'h3eedfe64,32'h3ef7b52e, 32'h3ee6b54c,32'h3efefe46, 32'h3eda90ce,32'h3f059162,// invsqrt(4.4449) = 0.4743 +32'h41a39e61,32'h3e5de60c,32'h3e66f4aa, 32'h3e571b16,32'h3e6dbfa0, 32'h3e4bc8d2,32'h3e7911e4,// invsqrt(20.4523) = 0.2211 +32'h3f74278d,32'h3f807269,32'h3f85b08d, 32'h3f79079d,32'h3f899f28, 32'h3f6bec44,32'h3f902cd4,// invsqrt(0.9537) = 1.0240 +32'h3fbb0c35,32'h3f4f8964,32'h3f5801f0, 32'h3f492efb,32'h3f5e5c59, 32'h3f3e984c,32'h3f68f308,// invsqrt(1.4613) = 0.8272 +32'h3f323e66,32'h3f9654c4,32'h3f9c7792, 32'h3f91baa9,32'h3fa111ad, 32'h3f8a0f27,32'h3fa8bd2f,// invsqrt(0.6963) = 1.1984 +32'h3f82235e,32'h3f78cf7d,32'h3f817ba7, 32'h3f7131a0,32'h3f854a95, 32'h3f647fdb,32'h3f8ba378,// invsqrt(1.0167) = 0.9918 +32'h3f008a0a,32'h3fb106c7,32'h3fb84085, 32'h3fab9b77,32'h3fbdabd5, 32'h3fa29349,32'h3fc6b403,// invsqrt(0.5021) = 1.4112 +32'h400e43e9,32'h3f28451c,32'h3f2f235c, 32'h3f231e6c,32'h3f344a0c, 32'h3f1a889c,32'h3f3cdfdc,// invsqrt(2.2229) = 0.6707 +32'h3f830856,32'h3f77f5ba,32'h3f810a54, 32'h3f705e88,32'h3f84d5ed, 32'h3f63b7df,32'h3f8b2941,// invsqrt(1.0237) = 0.9884 +32'h3e8793a3,32'h3ff3c4de,32'h3ffdb801, 32'h3fec4e83,32'h4002972d, 32'h3fdfde97,32'h4008cf23,// invsqrt(0.2648) = 1.9433 +32'h406b0eaf,32'h3f02e8ac,32'h3f08408a, 32'h3efdcd8d,32'h3f0c4270, 32'h3ef071e4,32'h3f12f044,// invsqrt(3.6728) = 0.5218 +32'h3f2420db,32'h3f9ca986,32'h3fa30e7c, 32'h3f97ddce,32'h3fa7da34, 32'h3f8fdf9a,32'h3fafd868,// invsqrt(0.6411) = 1.2489 +32'h3f32cca3,32'h3f9618ec,32'h3f9c394a, 32'h3f9180a6,32'h3fa0d190, 32'h3f89d832,32'h3fa87a04,// invsqrt(0.6984) = 1.1966 +32'h3f04321a,32'h3fae8fa1,32'h3fb5af9d, 32'h3fa937a4,32'h3fbb079a, 32'h3fa04fa9,32'h3fc3ef95,// invsqrt(0.5164) = 1.3916 +32'h3f8366d1,32'h3f779c85,32'h3f80dbe7, 32'h3f70080e,32'h3f84a623, 32'h3f6365f3,32'h3f8af731,// invsqrt(1.0266) = 0.9870 +32'h3fc43013,32'h3f4aa505,32'h3f52ea73, 32'h3f4470f3,32'h3f591e85, 32'h3f3a1a29,32'h3f63754f,// invsqrt(1.5327) = 0.8077 +32'h3fcf2230,32'h3f4537b9,32'h3f4d4472, 32'h3f3f2e2e,32'h3f534dfc, 32'h3f351e46,32'h3f5d5de4,// invsqrt(1.6182) = 0.7861 +32'h412934c1,32'h3e9a4b2d,32'h3ea09763, 32'h3e959204,32'h3ea5508c, 32'h3e8db2c1,32'h3ead2fcf,// invsqrt(10.5754) = 0.3075 +32'h3f531e3e,32'h3f8a21b5,32'h3f8fc50b, 32'h3f85e735,32'h3f93ff8b, 32'h3f7db613,32'h3f9b0bb6,// invsqrt(0.8247) = 1.1012 +32'h3f617b1c,32'h3f85a8f0,32'h3f8b1d8c, 32'h3f81917b,32'h3f8f3501, 32'h3f757f70,32'h3f9606c4,// invsqrt(0.8808) = 1.0655 +32'h3f91f704,32'h3f6aef3c,32'h3f74860f, 32'h3f63be1d,32'h3f7bb72d, 32'h3f57c194,32'h3f83d9db,// invsqrt(1.1404) = 0.9364 +32'h3eec3bb9,32'h3fb8abf8,32'h3fc0359a, 32'h3fb304bf,32'h3fc5dcd3, 32'h3fa998b5,32'h3fcf48dd,// invsqrt(0.4614) = 1.4722 +32'h3e8fe479,32'h3fec9ecc,32'h3ff6473c, 32'h3fe56077,32'h3ffd8591, 32'h3fd94dea,32'h4004cc0f,// invsqrt(0.2810) = 1.8863 +32'h3f421989,32'h3f900f5f,32'h3f95f0a7, 32'h3f8ba669,32'h3f9a599d, 32'h3f844cd0,32'h3fa1b336,// invsqrt(0.7582) = 1.1484 +32'h3e97ea61,32'h3fe6498a,32'h3fefafce, 32'h3fdf3cd7,32'h3ff6bc81, 32'h3fd37d01,32'h40013e2b,// invsqrt(0.2967) = 1.8358 +32'h3efed5cd,32'h3fb1cdce,32'h3fb90fad, 32'h3fac5c68,32'h3fbe8114, 32'h3fa34a11,32'h3fc7936b,// invsqrt(0.4977) = 1.4174 +32'h3d076fbe,32'h40ac75bd,32'h40b37fc5, 32'h40a72e37,32'h40b8c74b, 32'h409e61ae,32'h40c193d4,// invsqrt(0.0331) = 5.4994 +32'h4172c5c7,32'h3e80cfdd,32'h3e8611d1, 32'h3e79bccc,32'h3e8a0348, 32'h3e6c97e9,32'h3e9095b9,// invsqrt(15.1733) = 0.2567 +32'h4008b145,32'h3f2baa71,32'h3f32ac2d, 32'h3f266925,32'h3f37ed79, 32'h3f1da6fa,32'h3f40afa4,// invsqrt(2.1358) = 0.6843 +32'h408f2a40,32'h3eed387e,32'h3ef6e735, 32'h3ee5f576,32'h3efe2a3e, 32'h3ed9db11,32'h3f052252,// invsqrt(4.4739) = 0.4728 +32'h3e68d710,32'h400387dc,32'h4008e639, 32'h3fff022e,32'h400cecff, 32'h3ff19647,32'h4013a2f2,// invsqrt(0.2274) = 2.0971 +32'h3ec7056d,32'h3fc9326a,32'h3fd168b8, 32'h3fc309b0,32'h3fd79172, 32'h3fb8c5cf,32'h3fe1d553,// invsqrt(0.3887) = 1.6039 +32'h3f15f1eb,32'h3fa3e779,32'h3faa981b, 32'h3f9ee300,32'h3faf9c94, 32'h3f968636,32'h3fb7f95e,// invsqrt(0.5857) = 1.3066 +32'h400e0cb1,32'h3f2865cd,32'h3f2f4563, 32'h3f233e1d,32'h3f346d13, 32'h3f1aa6a2,32'h3f3d048e,// invsqrt(2.2195) = 0.6712 +32'h3f5063f6,32'h3f8b0862,32'h3f90b522, 32'h3f86c6d2,32'h3f94f6b2, 32'h3f7f5dc4,32'h3f9c0ea2,// invsqrt(0.8140) = 1.1084 +32'h400c7aca,32'h3f295605,32'h3f303f69, 32'h3f2426fb,32'h3f356e73, 32'h3f1b833e,32'h3f3e1230,// invsqrt(2.1950) = 0.6750 +32'h3ff63656,32'h3f34e404,32'h3f3c4624, 32'h3f2f5a6d,32'h3f41cfbb, 32'h3f261fc5,32'h3f4b0a63,// invsqrt(1.9235) = 0.7210 +32'h3f1633ef,32'h3fa3c370,32'h3faa729a, 32'h3f9ec012,32'h3faf75f8, 32'h3f96651e,32'h3fb7d0ec,// invsqrt(0.5867) = 1.3055 +32'h3f97c0e3,32'h3f666904,32'h3f6fd091, 32'h3f5f5b5a,32'h3f76de3a, 32'h3f5399e9,32'h3f814fd6,// invsqrt(1.1856) = 0.9184 +32'h3ea26fef,32'h3fdeb440,32'h3fe7cb48, 32'h3fd7e2fa,32'h3fee9c8e, 32'h3fcc8630,32'h3ff9f958,// invsqrt(0.3173) = 1.7754 +32'h3f0fa323,32'h3fa776e3,32'h3fae4cb8, 32'h3fa25683,32'h3fb36d17, 32'h3f99cb38,32'h3fbbf862,// invsqrt(0.5611) = 1.3350 +32'h3e7ce9d5,32'h3ffc6800,32'h40035ab2, 32'h3ff4adf6,32'h400737b7, 32'h3fe7cd3a,32'h400da815,// invsqrt(0.2470) = 2.0122 +32'h402053e2,32'h3f1e821b,32'h3f24fa5b, 32'h3f19a7eb,32'h3f29d48b, 32'h3f11919c,32'h3f31eadb,// invsqrt(2.5051) = 0.6318 +32'h3f63baad,32'h3f84ff9c,32'h3f8a6d4f, 32'h3f80ed56,32'h3f8e7f96, 32'h3f74486e,32'h3f9548b5,// invsqrt(0.8896) = 1.0603 +32'h3f8d0677,32'h3f6f037a,32'h3f78c4ec, 32'h3f67b264,32'h3f800b01, 32'h3f5b8094,32'h3f8623e9,// invsqrt(1.1018) = 0.9527 +32'h424cc818,32'h3e0c40a2,32'h3e11fa21, 32'h3e07f584,32'h3e164540, 32'h3e00cda5,32'h3e1d6d1f,// invsqrt(51.1954) = 0.1398 +32'h3f9bdec9,32'h3f6358db,32'h3f6ca067, 32'h3f5c6333,32'h3f73960f, 32'h3f50c9c4,32'h3f7f2f7e,// invsqrt(1.2177) = 0.9062 +32'h3f29a29e,32'h3f9a192e,32'h3fa0635a, 32'h3f95618d,32'h3fa51afb, 32'h3f8d84d7,32'h3facf7b1,// invsqrt(0.6626) = 1.2285 +32'h3fdcb076,32'h3f3f108e,32'h3f46dcfc, 32'h3f39373b,32'h3f4cb64f, 32'h3f2f77b1,32'h3f5675d9,// invsqrt(1.7241) = 0.7616 +32'h3fe02c2f,32'h3f3d930e,32'h3f454fea, 32'h3f37c569,32'h3f4b1d8f, 32'h3f2e1956,32'h3f54c9a2,// invsqrt(1.7513) = 0.7556 +32'h3ff20776,32'h3f36727b,32'h3f3de4df, 32'h3f30dcb1,32'h3f437aa9, 32'h3f278db5,32'h3f4cc9a5,// invsqrt(1.8909) = 0.7272 +32'h412ca17b,32'h3e98c16a,32'h3e9efd8d, 32'h3e94144e,32'h3ea3aaa8, 32'h3e8c4922,32'h3eab75d4,// invsqrt(10.7894) = 0.3044 +32'h3e467f14,32'h400e74a6,32'h4014452a, 32'h400a1843,32'h4018a18d, 32'h4002d39e,32'h401fe632,// invsqrt(0.1938) = 2.2713 +32'h3f6e585c,32'h3f8200bb,32'h3f874f20, 32'h3f7c0bdc,32'h3f8b49ec, 32'h3f6ec7de,32'h3f91ebeb,// invsqrt(0.9310) = 1.0364 +32'h4047d9aa,32'h3f0df8ea,32'h3f13c462, 32'h3f09a051,32'h3f181cfb, 32'h3f0261fc,32'h3f1f5b50,// invsqrt(3.1227) = 0.5659 +32'h3f96fd11,32'h3f66fe3d,32'h3f706be1, 32'h3f5fec01,32'h3f777e1d, 32'h3f5422f4,32'h3f81a395,// invsqrt(1.1796) = 0.9207 +32'h3e7ba911,32'h3ffd08a8,32'h4003ae4e, 32'h3ff549b4,32'h40078dc8, 32'h3fe860c5,32'h400e0240,// invsqrt(0.2458) = 2.0172 +32'h3f879d9f,32'h3f73bbe4,32'h3f7daeaa, 32'h3f6c45d0,32'h3f82925f, 32'h3f5fd65a,32'h3f88ca1a,// invsqrt(1.0595) = 0.9715 +32'h3f4f5a9a,32'h3f8b613c,32'h3f91119c, 32'h3f871cf3,32'h3f9555e5, 32'h3f80007b,32'h3f9c725d,// invsqrt(0.8100) = 1.1111 +32'h3f17c2cf,32'h3fa2ebac,32'h3fa99206, 32'h3f9deee8,32'h3fae8eca, 32'h3f959ef6,32'h3fb6debc,// invsqrt(0.5928) = 1.2988 +32'h3d56b313,32'h4088f989,32'h408e90c8, 32'h4084c819,32'h4092c237, 32'h407b9615,32'h4099bf46,// invsqrt(0.0524) = 4.3678 +32'h3f898b9e,32'h3f7204a9,32'h3f7be581, 32'h3f6a9c07,32'h3f81a711, 32'h3f5e42f9,32'h3f87d398,// invsqrt(1.0746) = 0.9647 +32'h3e03abc6,32'h402ee895,32'h40360c33, 32'h40298ddf,32'h403b66e9, 32'h4020a15a,32'h4044536e,// invsqrt(0.1286) = 2.7887 +32'h3f3281b2,32'h3f96386b,32'h3f9c5a11, 32'h3f919f2e,32'h3fa0f34e, 32'h3f89f51e,32'h3fa89d5e,// invsqrt(0.6973) = 1.1975 +32'h3ff355ee,32'h3f35f4ed,32'h3f3d6230, 32'h3f3062fa,32'h3f42f422, 32'h3f271a66,32'h3f4c3cb6,// invsqrt(1.9011) = 0.7253 +32'h3ff526dc,32'h3f354810,32'h3f3cae45, 32'h3f2fbb69,32'h3f423aed, 32'h3f267ba7,32'h3f4b7aaf,// invsqrt(1.9152) = 0.7226 +32'h3e4ff8f8,32'h400b2c21,32'h4010da57, 32'h4006e979,32'h40151cff, 32'h3fff9f6c,32'h401c36c2,// invsqrt(0.2031) = 2.2189 +32'h3ef6e062,32'h3fb4a5ad,32'h3fbc0541, 32'h3faf1dfe,32'h3fc18cf0, 32'h3fa5e685,32'h3fcac469,// invsqrt(0.4822) = 1.4401 +32'h3f093a2c,32'h3fab54ba,32'h3fb252f6, 32'h3fa6160d,32'h3fb791a3, 32'h3f9d5842,32'h3fc04f6e,// invsqrt(0.5360) = 1.3658 +32'h426a53f3,32'h3e031ccb,32'h3e0876c9, 32'h3dfe3299,32'h3e0c7a47, 32'h3df0d19f,32'h3e132ac5,// invsqrt(58.5820) = 0.1307 +32'h3f2c8154,32'h3f98cfa5,32'h3f9f0c5d, 32'h3f94221b,32'h3fa3b9e7, 32'h3f8c5634,32'h3fab85ce,// invsqrt(0.6738) = 1.2182 +32'h3e99982c,32'h3fe50675,32'h3fee5f89, 32'h3fde03a5,32'h3ff56259, 32'h3fd2544c,32'h400088d9,// invsqrt(0.3000) = 1.8258 +32'h3e185ea2,32'h40229848,32'h40293b3c, 32'h401d9e12,32'h402e3572, 32'h40155262,32'h40368122,// invsqrt(0.1488) = 2.5924 +32'h3f833fdf,32'h3f77c13f,32'h3f80ef04, 32'h3f702ba9,32'h3f84b9d0, 32'h3f6387ad,32'h3f8b0bcd,// invsqrt(1.0254) = 0.9875 +32'h40688766,32'h3f039e62,32'h3f08fdaa, 32'h3eff2dd8,32'h3f0d0520, 32'h3ef1bfa4,32'h3f13bc3a,// invsqrt(3.6333) = 0.5246 +32'h3fdea44c,32'h3f3e399c,32'h3f45fd45, 32'h3f3866df,32'h3f4bd003, 32'h3f2eb24c,32'h3f558496,// invsqrt(1.7394) = 0.7582 +32'h3f0aaa26,32'h3faa70ce,32'h3fb165bc, 32'h3fa5391b,32'h3fb69d6f, 32'h3f9c86f1,32'h3fbf4f99,// invsqrt(0.5417) = 1.3587 +32'h3e141525,32'h4024ee81,32'h402ba9df, 32'h401fe1fa,32'h4030b666, 32'h401777c5,32'h4039209b,// invsqrt(0.1446) = 2.6297 +32'h3d688681,32'h40839ea3,32'h4088fded, 32'h407f2e56,32'h408d0565, 32'h4071c01b,32'h4093bc82,// invsqrt(0.0568) = 4.1971 +32'h3f51d7be,32'h3f8a8d02,32'h3f9034b8, 32'h3f864f38,32'h3f947282, 32'h3f7e7b27,32'h3f9b8426,// invsqrt(0.8197) = 1.1045 +32'h400b75f9,32'h3f29f413,32'h3f30e3ea, 32'h3f24c032,32'h3f3617cc, 32'h3f1c1466,32'h3f3ec399,// invsqrt(2.1791) = 0.6774 +32'h3f84d333,32'h3f7647f7,32'h3f802aad, 32'h3f6ebded,32'h3f83efb2, 32'h3f622d32,32'h3f8a3810,// invsqrt(1.0377) = 0.9817 +32'h3cabd7d2,32'h40d88604,32'h40e15c78, 32'h40d1e52e,32'h40e7fd4e, 32'h40c6d91e,32'h40f3095e,// invsqrt(0.0210) = 6.9044 +32'h3de22797,32'h403cbdec,32'h40447214, 32'h4036f6cd,32'h404a3933, 32'h402d559a,32'h4053da66,// invsqrt(0.1104) = 3.0093 +32'h415b34d6,32'h3e878f30,32'h3e8d17a5, 32'h3e8368d9,32'h3e913dfd, 32'h3e78fc8d,32'h3e98288f,// invsqrt(13.7004) = 0.2702 +32'h3e4d083a,32'h400c2ab1,32'h4011e34b, 32'h4007e03e,32'h40162dbe, 32'h4000b97e,32'h401d547e,// invsqrt(0.2002) = 2.2348 +32'h406930bd,32'h3f036e8f,32'h3f08cbe4, 32'h3efed121,32'h3f0cd1e3, 32'h3ef167cf,32'h3f13868d,// invsqrt(3.6436) = 0.5239 +32'h3f1d1a2b,32'h3fa0208a,32'h3fa6a9b4, 32'h3f9b39aa,32'h3fab9094, 32'h3f930e36,32'h3fb3bc09,// invsqrt(0.6137) = 1.2765 +32'h40007103,32'h3f311805,32'h3f385279, 32'h3f2bac2f,32'h3f3dbe4f, 32'h3f22a31f,32'h3f46c75f,// invsqrt(2.0069) = 0.7059 +32'h3f848af9,32'h3f768b09,32'h3f804d94, 32'h3f6efef1,32'h3f8413a0, 32'h3f626aca,32'h3f8a5db4,// invsqrt(1.0355) = 0.9827 +32'h3fdacddc,32'h3f3fe2d0,32'h3f47b7d4, 32'h3f3a030e,32'h3f4d9796, 32'h3f3038ca,32'h3f5761da,// invsqrt(1.7094) = 0.7649 +32'h405ec587,32'h3f067861,32'h3f0bf574, 32'h3f025a92,32'h3f101342, 32'h3ef6fc72,32'h3f16ef9b,// invsqrt(3.4808) = 0.5360 +32'h4112ea1f,32'h3ea59605,32'h3eac5839, 32'h3ea0845d,32'h3eb169e1, 32'h3e98119c,32'h3eb9dca2,// invsqrt(9.1822) = 0.3300 +32'h3e2f04be,32'h4017b5be,32'h401de6f5, 32'h401310d6,32'h40228bde, 32'h400b5351,32'h402a4963,// invsqrt(0.1709) = 2.4188 +32'h3f846fe5,32'h3f76a43c,32'h3f805ab1, 32'h3f6f175e,32'h3f842120, 32'h3f6281ee,32'h3f8a6bd8,// invsqrt(1.0347) = 0.9831 +32'h3efd3510,32'h3fb25fe4,32'h3fb9a7b9, 32'h3facea04,32'h3fbf1d98, 32'h3fa3d039,32'h3fc83763,// invsqrt(0.4945) = 1.4220 +32'h3f396e9b,32'h3f936375,32'h3f996785, 32'h3f8ee06a,32'h3f9dea90, 32'h3f875b57,32'h3fa56fa3,// invsqrt(0.7243) = 1.1750 +32'h3dcce27b,32'h40464c0c,32'h404e640c, 32'h40403a0b,32'h4054760d, 32'h40361c0b,32'h405e940d,// invsqrt(0.1000) = 3.1616 +32'h410a59a9,32'h3eaaa25b,32'h3eb1994f, 32'h3ea56924,32'h3eb6d286, 32'h3e9cb473,32'h3ebf8737,// invsqrt(8.6469) = 0.3401 +32'h3fe2befd,32'h3f3c7ede,32'h3f443074, 32'h3f36b9ad,32'h3f49f5a5, 32'h3f2d1bb2,32'h3f5393a0,// invsqrt(1.7715) = 0.7513 +32'h4156343f,32'h3e892210,32'h3e8ebaf6, 32'h3e84ef63,32'h3e92eda3, 32'h3e7be085,32'h3e99ecc3,// invsqrt(13.3878) = 0.2733 +32'h3f77e0da,32'h3f7ef4f6,32'h3f84ae81, 32'h3f7726ef,32'h3f889584, 32'h3f6a24e3,32'h3f8f168b,// invsqrt(0.9683) = 1.0163 +32'h3f6c81d9,32'h3f8281cb,32'h3f87d575, 32'h3f7d0616,32'h3f8bd435, 32'h3f6fb4ed,32'h3f927cca,// invsqrt(0.9239) = 1.0404 +32'h3fb24091,32'h3f549862,32'h3f5d45ca, 32'h3f4e1655,32'h3f63c7d7, 32'h3f433d94,32'h3f6ea098,// invsqrt(1.3926) = 0.8474 +32'h40b4b880,32'h3ed32369,32'h3edbc197, 32'h3eccacc6,32'h3ee2383a, 32'h3ec1e70d,32'h3eecfdf3,// invsqrt(5.6475) = 0.4208 +32'h3f8aacc5,32'h3f7107d3,32'h3f7ade59, 32'h3f69a6ee,32'h3f811f9f, 32'h3f5d5ac7,32'h3f8745b2,// invsqrt(1.0834) = 0.9607 +32'h3f7fd1d3,32'h3f7af7eb,32'h3f829b24, 32'h3f734926,32'h3f867287, 32'h3f667b31,32'h3f8cd982,// invsqrt(0.9993) = 1.0004 +32'h402791a7,32'h3f1b0ba8,32'h3f215fb9, 32'h3f164c9a,32'h3f261ec6, 32'h3f0e6385,32'h3f2e07db,// invsqrt(2.6183) = 0.6180 +32'h3f0d15ad,32'h3fa8f8f8,32'h3fafde8f, 32'h3fa3ccc6,32'h3fb50ac0, 32'h3f9b2dc9,32'h3fbda9bd,// invsqrt(0.5511) = 1.3470 +32'h3f9a5c18,32'h3f6474ee,32'h3f6dc812, 32'h3f5d7693,32'h3f74c66d, 32'h3f51cea6,32'h3f80372d,// invsqrt(1.2059) = 0.9106 +32'h40a3b746,32'h3eddd52c,32'h3ee6e319, 32'h3ed70abb,32'h3eedad8b, 32'h3ecbb953,32'h3ef8fef3,// invsqrt(5.1161) = 0.4421 +32'h3fff7d6a,32'h3f319372,32'h3f38d2ee, 32'h3f2c23d4,32'h3f3e428c, 32'h3f231478,32'h3f4751e8,// invsqrt(1.9960) = 0.7078 +32'h407ab97e,32'h3efd816f,32'h3f03ed28, 32'h3ef5bec8,32'h3f07ce7c, 32'h3ee8cfb0,32'h3f0e4608,// invsqrt(3.9176) = 0.5052 +32'h3fdfe82a,32'h3f3dafd7,32'h3f456de0, 32'h3f37e151,32'h3f4b3c67, 32'h3f2e33c6,32'h3f54e9f2,// invsqrt(1.7493) = 0.7561 +32'h3de4398d,32'h403be248,32'h40438d7a, 32'h403621e3,32'h40494ddf, 32'h402c8be4,32'h4052e3de,// invsqrt(0.1114) = 2.9956 +32'h3f800000,32'h3f7ae148,32'h3f828f5c, 32'h3f733333,32'h3f866666, 32'h3f666666,32'h3f8ccccd,// invsqrt(1.0000) = 1.0000 +32'h4116a0aa,32'h3ea3884a,32'h3eaa350a, 32'h3e9e86bb,32'h3eaf3699, 32'h3e962ecc,32'h3eb78e88,// invsqrt(9.4142) = 0.3259 +32'h3f961278,32'h3f67b283,32'h3f712783, 32'h3f609ac3,32'h3f783f43, 32'h3f54c883,32'h3f8208c2,// invsqrt(1.1724) = 0.9235 +32'h409a899f,32'h3ee45345,32'h3eeda509, 32'h3edd55f2,32'h3ef4a25c, 32'h3ed1afbd,32'h3f002449,// invsqrt(4.8293) = 0.4550 +32'h405a1e34,32'h3f07e5aa,32'h3f0d71a7, 32'h3f03bcad,32'h3f119aa5, 32'h3ef99b63,32'h3f1889a0,// invsqrt(3.4081) = 0.5417 +32'h40a0bd51,32'h3edfe08a,32'h3ee903d4, 32'h3ed90613,32'h3eefde4b, 32'h3ecd99f7,32'h3efb4a67,// invsqrt(5.0231) = 0.4462 +32'h401b8bb5,32'h3f20ed21,32'h3f277ea5, 32'h3f1bfffe,32'h3f2c6bc8, 32'h3f13ca19,32'h3f34a1ad,// invsqrt(2.4304) = 0.6414 +32'h3cfb4d75,32'h40b30c9d,32'h40ba5b7f, 32'h40ad9174,32'h40bfd6a8, 32'h40a46ed9,32'h40c8f943,// invsqrt(0.0307) = 5.7095 +32'h3e88d442,32'h3ff2a69b,32'h3ffc8e0f, 32'h3feb3904,32'h4001fdd3, 32'h3fded7b3,32'h40082e7c,// invsqrt(0.2672) = 1.9344 +32'h3fee5686,32'h3f37dac4,32'h3f3f5bdc, 32'h3f3239f2,32'h3f44fcae, 32'h3f28d895,32'h3f4e5e0b,// invsqrt(1.8620) = 0.7328 +32'h3f415498,32'h3f9058ad,32'h3f963cf2, 32'h3f8bed78,32'h3f9aa826, 32'h3f849021,32'h3fa2057d,// invsqrt(0.7552) = 1.1507 +32'h40caf27b,32'h3ec73dca,32'h3ecf5fa8, 32'h3ec12463,32'h3ed5790f, 32'h3eb6fa0d,32'h3edfa365,// invsqrt(6.3421) = 0.3971 +32'h3f14ec7d,32'h3fa47717,32'h3fab2d95, 32'h3f9f6e38,32'h3fb03674, 32'h3f970a1a,32'h3fb89a92,// invsqrt(0.5817) = 1.3111 +32'h3fdebf8f,32'h3f3e2df8,32'h3f45f126, 32'h3f385b95,32'h3f4bc389, 32'h3f2ea79a,32'h3f557784,// invsqrt(1.7402) = 0.7580 +32'h3f0a58e8,32'h3faaa2d2,32'h3fb199ca, 32'h3fa56997,32'h3fb6d305, 32'h3f9cb4e0,32'h3fbf87bc,// invsqrt(0.5404) = 1.3603 +32'h40cce023,32'h3ec64d2e,32'h3ece653b, 32'h3ec03b26,32'h3ed47744, 32'h3eb61d16,32'h3ede9554,// invsqrt(6.4024) = 0.3952 +32'h4034122d,32'h3f159102,32'h3f1babd3, 32'h3f10fce5,32'h3f203fef, 32'h3f095b5f,32'h3f27e175,// invsqrt(2.8136) = 0.5962 +32'h3f070a77,32'h3facb65d,32'h3fb3c307, 32'h3fa76cdc,32'h3fb90c88, 32'h3f9e9d07,32'h3fc1dc5d,// invsqrt(0.5275) = 1.3769 +32'h3ed02f21,32'h3fc4b82c,32'h3fccbfb1, 32'h3fbeb289,32'h3fd2c555, 32'h3fb4a924,32'h3fdcceba,// invsqrt(0.4066) = 1.5682 +32'h3ea4fe9e,32'h3fdcf8b1,32'h3fe5fd9e, 32'h3fd634ff,32'h3fecc14f, 32'h3fcaeed6,32'h3ff80778,// invsqrt(0.3223) = 1.7616 +32'h3cb470c6,32'h40d34d5c,32'h40dbed40, 32'h40ccd570,32'h40e2652c, 32'h40c20d93,32'h40ed2d09,// invsqrt(0.0220) = 6.7380 +32'h3cde67f6,32'h40be5368,32'h40c6181e, 32'h40b87fe0,32'h40cbeba6, 32'h40aec9fc,32'h40d5a18a,// invsqrt(0.0271) = 6.0691 +32'h3f2a293e,32'h3f99dc2d,32'h3fa023db, 32'h3f95266a,32'h3fa4d99e, 32'h3f8d4cd0,32'h3facb338,// invsqrt(0.6647) = 1.2266 +32'h3f0d1783,32'h3fa8f7de,32'h3fafdd6a, 32'h3fa3cbb5,32'h3fb50993, 32'h3f9b2cc7,32'h3fbda881,// invsqrt(0.5511) = 1.3470 +32'h40e4fbf0,32'h3ebb9278,32'h3ec33a68, 32'h3eb5d484,32'h3ec8f85c, 32'h3eac4298,32'h3ed28a48,// invsqrt(7.1558) = 0.3738 +32'h401d4eea,32'h3f2005b0,32'h3f268dc1, 32'h3f1b1fa2,32'h3f2b73ce, 32'h3f12f58c,32'h3f339de4,// invsqrt(2.4579) = 0.6378 +32'h41175302,32'h3ea327d0,32'h3ea9d09e, 32'h3e9e2934,32'h3eaecf3a, 32'h3e95d632,32'h3eb7223d,// invsqrt(9.4578) = 0.3252 +32'h40ea847a,32'h3eb95899,32'h3ec0e947, 32'h3eb3ac17,32'h3ec695c9, 32'h3eaa373e,32'h3ed00aa2,// invsqrt(7.3287) = 0.3694 +32'h3ef1c474,32'h3fb68bc2,32'h3fbdff2e, 32'h3fb0f532,32'h3fc395be, 32'h3fa7a4ec,32'h3fcce604,// invsqrt(0.4722) = 1.4552 +32'h390d54f1,32'h42a8d322,32'h42afb72e, 32'h42a3a819,32'h42b4e237, 32'h429b0b0a,32'h42bd7f46,// invsqrt(0.0001) = 86.1351 +32'h3f8a392d,32'h3f716c87,32'h3f7b4729, 32'h3f6a088d,32'h3f815591, 32'h3f5db742,32'h3f877e37,// invsqrt(1.0799) = 0.9623 +32'h3e468432,32'h400e72d0,32'h40144342, 32'h400a167c,32'h40189f96, 32'h4002d1ee,32'h401fe424,// invsqrt(0.1939) = 2.2712 +32'h3fc4b344,32'h3f4a6165,32'h3f52a411, 32'h3f442f65,32'h3f58d611, 32'h3f39dc0f,32'h3f632967,// invsqrt(1.5367) = 0.8067 +32'h3f006bf7,32'h3fb11b80,32'h3fb85618, 32'h3fabaf8f,32'h3fbdc209, 32'h3fa2a651,32'h3fc6cb47,// invsqrt(0.5016) = 1.4119 +32'h3fad69b6,32'h3f578a8c,32'h3f6056bb, 32'h3f50f168,32'h3f66efde, 32'h3f45f22c,32'h3f71ef1a,// invsqrt(1.3548) = 0.8591 +32'h3eae0701,32'h3fd7290d,32'h3fdff142, 32'h3fd092e6,32'h3fe6876a, 32'h3fc598a4,32'h3ff181ac,// invsqrt(0.3399) = 1.7152 +32'h3e156b07,32'h40243164,32'h402ae50a, 32'h401f2aa7,32'h402febc7, 32'h4016ca18,32'h40384c56,// invsqrt(0.1459) = 2.6179 +32'h3f93c0ca,32'h3f69822f,32'h3f730a1d, 32'h3f625c3e,32'h3f7a300e, 32'h3f567255,32'h3f830cfb,// invsqrt(1.1543) = 0.9308 +32'h3e642f20,32'h4004dda8,32'h400a49f8, 32'h4000cc6c,32'h400e5b34, 32'h3ff40a10,32'h40152298,// invsqrt(0.2228) = 2.1184 +32'h3f9f82bf,32'h3f60bcdf,32'h3f69e927, 32'h3f59dba9,32'h3f70ca5d, 32'h3f4e6450,32'h3f7c41b7,// invsqrt(1.2462) = 0.8958 +32'h4178705e,32'h3e7eab48,32'h3e848828, 32'h3e76df82,32'h3e886e0b, 32'h3e69e138,32'h3e8eed30,// invsqrt(15.5274) = 0.2538 +32'h3fac2619,32'h3f5854c4,32'h3f612935, 32'h3f51b570,32'h3f67c88a, 32'h3f46abe4,32'h3f72d216,// invsqrt(1.3449) = 0.8623 +32'h400fea1d,32'h3f274d92,32'h3f2e21b7, 32'h3f222e75,32'h3f3340d3, 32'h3f19a547,32'h3f3bca01,// invsqrt(2.2487) = 0.6669 +32'h3f5b4921,32'h3f8788eb,32'h3f8d111e, 32'h3f8362c4,32'h3f913744, 32'h3f78f107,32'h3f982184,// invsqrt(0.8566) = 1.0805 +32'h3f72a6da,32'h3f80d812,32'h3f861a5c, 32'h3f79ccb5,32'h3f8a0c13, 32'h3f6ca6fd,32'h3f909ef0,// invsqrt(0.9479) = 1.0271 +32'h3fac505a,32'h3f583a3d,32'h3f610d98, 32'h3f519bb8,32'h3f67ac1c, 32'h3f469386,32'h3f72b44e,// invsqrt(1.3462) = 0.8619 +32'h3f07d2bf,32'h3fac36d7,32'h3fb33e4d, 32'h3fa6f13e,32'h3fb883e6, 32'h3f9e27ea,32'h3fc14d3a,// invsqrt(0.5306) = 1.3729 +32'h4031bf61,32'h3f168a71,32'h3f1caf71, 32'h3f11eeb2,32'h3f214b30, 32'h3f0a4072,32'h3f28f970,// invsqrt(2.7773) = 0.6001 +32'h40596e03,32'h3f081caf,32'h3f0daaeb, 32'h3f03f203,32'h3f11d597, 32'h3efa0071,32'h3f18c762,// invsqrt(3.3973) = 0.5425 +32'h3fd7a1a3,32'h3f414ae2,32'h3f492e98, 32'h3f3b601a,32'h3f4f1960, 32'h3f318377,32'h3f58f603,// invsqrt(1.6846) = 0.7705 +32'h3f627c0e,32'h3f855d09,32'h3f8ace8b, 32'h3f8147e6,32'h3f8ee3ae, 32'h3f74f405,32'h3f95b191,// invsqrt(0.8847) = 1.0632 +32'h3f553f01,32'h3f8970d4,32'h3f8f0cf2, 32'h3f853bbe,32'h3f934208, 32'h3f7c7132,32'h3f9a452d,// invsqrt(0.8330) = 1.0957 +32'h3f720709,32'h3f810295,32'h3f86469b, 32'h3f7a1f21,32'h3f8a39a0, 32'h3f6cf512,32'h3f90cea7,// invsqrt(0.9454) = 1.0285 +32'h3f41a3ef,32'h3f903b17,32'h3f961e28, 32'h3f8bd0cb,32'h3f9a8875, 32'h3f8474f6,32'h3fa1e44a,// invsqrt(0.7564) = 1.1498 +32'h3f91d790,32'h3f6b0890,32'h3f74a06c, 32'h3f63d6ab,32'h3f7bd251, 32'h3f57d8d8,32'h3f83e812,// invsqrt(1.1394) = 0.9368 +32'h3ebd9816,32'h3fce2366,32'h3fd68d55, 32'h3fc7d3f2,32'h3fdcdcc8, 32'h3fbd4f87,32'h3fe76133,// invsqrt(0.3703) = 1.6433 +32'h3fda3b35,32'h3f40233f,32'h3f47fae3, 32'h3f3a4183,32'h3f4ddc9f, 32'h3f3073f6,32'h3f57aa2c,// invsqrt(1.7049) = 0.7659 +32'h3e0f1caf,32'h4027c57b,32'h402e9e85, 32'h4022a2b3,32'h4033c14d, 32'h401a1366,32'h403c509a,// invsqrt(0.1398) = 2.6749 +32'h40a86764,32'h3edab928,32'h3ee3a698, 32'h3ed40715,32'h3eea58ab, 32'h3ec8de4a,32'h3ef58176,// invsqrt(5.2626) = 0.4359 +32'h40077829,32'h3f2c7061,32'h3f337a31, 32'h3f272905,32'h3f38c18d, 32'h3f1e5cc2,32'h3f418dd0,// invsqrt(2.1167) = 0.6873 +32'h3f22e8ba,32'h3f9d3f53,32'h3fa3aa67, 32'h3f986f05,32'h3fa87ab5, 32'h3f90692d,32'h3fb0808d,// invsqrt(0.6364) = 1.2536 +32'h3e2b8351,32'h401940a4,32'h401f81f9, 32'h40148fa4,32'h402432f8, 32'h400cbdf9,32'h402c04a3,// invsqrt(0.1675) = 2.4434 +32'h3f7cfb1c,32'h3f7c5f61,32'h3f835635, 32'h3f74a59a,32'h3f873319, 32'h3f67c54f,32'h3f8da33e,// invsqrt(0.9882) = 1.0059 +32'h408315ce,32'h3ef7e8fd,32'h3f0103b3, 32'h3ef0522f,32'h3f04cf1a, 32'h3ee3ac2c,32'h3f0b221b,// invsqrt(4.0964) = 0.4941 +32'h3f350f7f,32'h3f95283c,32'h3f9b3ec6, 32'h3f909754,32'h3f9fcfae, 32'h3f88fb27,32'h3fa76bdb,// invsqrt(0.7073) = 1.1891 +32'h400bb921,32'h3f29cb36,32'h3f30b963, 32'h3f249896,32'h3f35ec04, 32'h3f1beedf,32'h3f3e95bb,// invsqrt(2.1832) = 0.6768 +32'h3fa8c00f,32'h3f5a7faa,32'h3f636ac1, 32'h3f53cf5a,32'h3f6a1b12, 32'h3f48a97d,32'h3f7540ef,// invsqrt(1.3184) = 0.8709 +32'h3f724eb1,32'h3f80ef81,32'h3f8632bf, 32'h3f79fa23,32'h3f8a252e, 32'h3f6cd206,32'h3f90b93d,// invsqrt(0.9465) = 1.0279 +32'h3fa0a70c,32'h3f5ff00e,32'h3f6913fa, 32'h3f59151d,32'h3f6feeeb, 32'h3f4da837,32'h3f7b5bd1,// invsqrt(1.2551) = 0.8926 +32'h3d9fbbb1,32'h406094cc,32'h4069bf72, 32'h4059b4d1,32'h40709f6d, 32'h404e3f82,32'h407c14bc,// invsqrt(0.0780) = 3.5807 +32'h3eb16e1f,32'h3fd51651,32'h3fddc8dc, 32'h3fce9068,32'h3fe44ec4, 32'h3fc3b13a,32'h3fef2df2,// invsqrt(0.3465) = 1.6987 +32'h40f7badd,32'h3eb455f2,32'h3ebbb246, 32'h3eaed0b4,32'h3ec13784, 32'h3ea59d4c,32'h3eca6aec,// invsqrt(7.7416) = 0.3594 +32'h3f3bd422,32'h3f9271f8,32'h3f986c2c, 32'h3f8df651,32'h3f9ce7d3, 32'h3f867d90,32'h3fa46094,// invsqrt(0.7337) = 1.1675 +32'h3f5abc5d,32'h3f87b480,32'h3f8d3e7a, 32'h3f838d04,32'h3f9165f6, 32'h3f794114,32'h3f985270,// invsqrt(0.8544) = 1.0818 +32'h40be916b,32'h3ecd9c60,32'h3ed600cc, 32'h3ec7510e,32'h3edc4c1e, 32'h3ebcd387,32'h3ee6c9a5,// invsqrt(5.9553) = 0.4098 +32'h3c794dd1,32'h40fe3a13,32'h41044d3e, 32'h40f671c4,32'h41083166, 32'h40e97941,32'h410eada8,// invsqrt(0.0152) = 8.1067 +32'h3f831c51,32'h3f77e2d5,32'h3f81007f, 32'h3f704c37,32'h3f84cbce, 32'h3f63a685,32'h3f8b1ea7,// invsqrt(1.0243) = 0.9881 +32'h3de9013d,32'h4039f25d,32'h40418951, 32'h40344126,32'h40473a88, 32'h402ac475,32'h4050b739,// invsqrt(0.1138) = 2.9647 +32'h3ef0c7da,32'h3fb6eb6a,32'h3fbe62bd, 32'h3fb151ed,32'h3fc3fc3b, 32'h3fa7fcc5,32'h3fcd5163,// invsqrt(0.4703) = 1.4582 +32'h3e4e0f1a,32'h400bd12c,32'h4011861f, 32'h40078977,32'h4015cdd5, 32'h40006749,32'h401cf003,// invsqrt(0.2012) = 2.2292 +32'h3f9ec0d9,32'h3f6145f4,32'h3f6a77d4, 32'h3f5a608c,32'h3f715d3c, 32'h3f4ee234,32'h3f7cdb94,// invsqrt(1.2403) = 0.8979 +32'h3f2a447f,32'h3f99cfdc,32'h3fa01709, 32'h3f951a79,32'h3fa4cc6b, 32'h3f8d4180,32'h3faca564,// invsqrt(0.6651) = 1.2262 +32'h3f5d7ef6,32'h3f86db5d,32'h3f8c5c7b, 32'h3f82ba87,32'h3f907d51, 32'h3f77b242,32'h3f975eb7,// invsqrt(0.8652) = 1.0751 +32'h3f44be29,32'h3f8f16d0,32'h3f94edf2, 32'h3f8ab576,32'h3f994f4c, 32'h3f83688a,32'h3fa09c38,// invsqrt(0.7685) = 1.1407 +32'h3f2e79a1,32'h3f97f22d,32'h3f9e25db, 32'h3f934b6a,32'h3fa2cc9e, 32'h3f8b8ad0,32'h3faa8d38,// invsqrt(0.6815) = 1.2113 +32'h40ae8145,32'h3ed6dda0,32'h3edfa2c0, 32'h3ed049c7,32'h3ee63699, 32'h3ec5535e,32'h3ef12d02,// invsqrt(5.4533) = 0.4282 +32'h40af33c1,32'h3ed67012,32'h3edf30ba, 32'h3ecfdf94,32'h3ee5c138, 32'h3ec4eec2,32'h3ef0b20a,// invsqrt(5.4751) = 0.4274 +32'h40e3829a,32'h3ebc2dc4,32'h3ec3dc0a, 32'h3eb66b0f,32'h3ec99ebf, 32'h3eacd136,32'h3ed33898,// invsqrt(7.1097) = 0.3750 +32'h3f4beb52,32'h3f8c8c7a,32'h3f924912, 32'h3f883f09,32'h3f969683, 32'h3f81134c,32'h3f9dc240,// invsqrt(0.7966) = 1.1204 +32'h3e921d80,32'h3fead049,32'h3ff465d9, 32'h3fe3a01d,32'h3ffb9605, 32'h3fd7a529,32'h4003c87d,// invsqrt(0.2854) = 1.8719 +32'h3f51c081,32'h3f8a94ae,32'h3f903cb6, 32'h3f8656a9,32'h3f947abb, 32'h3f7e8940,32'h3f9b8cc4,// invsqrt(0.8193) = 1.1048 +32'h418ecd2a,32'h3e6d85c3,32'h3e7737a1, 32'h3e66405c,32'h3e7e7d08, 32'h3e5a2207,32'h3e854daf,// invsqrt(17.8502) = 0.2367 +32'h3f8d1cc1,32'h3f6ef099,32'h3f78b147, 32'h3f67a017,32'h3f8000e4, 32'h3f5b6f3e,32'h3f861951,// invsqrt(1.1024) = 0.9524 +32'h3e5a68fa,32'h4007ce65,32'h400d596f, 32'h4003a61e,32'h401181b6, 32'h3ff970a5,32'h40186f81,// invsqrt(0.2133) = 2.1653 +32'h3e58d5a4,32'h40084c79,32'h400ddca8, 32'h40042057,32'h401208cb, 32'h3ffa5838,32'h4018fd06,// invsqrt(0.2118) = 2.1731 +32'h3f44a0d1,32'h3f8f217d,32'h3f94f90f, 32'h3f8abfcf,32'h3f995abd, 32'h3f837259,32'h3fa0a833,// invsqrt(0.7681) = 1.1410 +32'h3f4c06b8,32'h3f8c830a,32'h3f923f3e, 32'h3f8835e2,32'h3f968c66, 32'h3f810aa0,32'h3f9db7a8,// invsqrt(0.7970) = 1.1202 +32'h413f63d7,32'h3e911387,32'h3e96ff6d, 32'h3e8ca29a,32'h3e9b705a, 32'h3e853bbb,32'h3ea2d739,// invsqrt(11.9619) = 0.2891 +32'h3f35d761,32'h3f94d62b,32'h3f9ae95c, 32'h3f9047c7,32'h3f9f77c1, 32'h3f88afca,32'h3fa70fbe,// invsqrt(0.7103) = 1.1865 +32'h3e8f3cb5,32'h3fed2935,32'h3ff6d74d, 32'h3fe5e6a4,32'h3ffe19de, 32'h3fd9cd07,32'h400519bd,// invsqrt(0.2798) = 1.8906 +32'h3f54ad4b,32'h3f899fe1,32'h3f8f3deb, 32'h3f85695a,32'h3f937472, 32'h3f7cc79e,32'h3f9a79fd,// invsqrt(0.8308) = 1.0971 +32'h3e8d53bb,32'h3feec21b,32'h3ff880e3, 32'h3fe77305,32'h3fffcff9, 32'h3fdb448c,32'h4005ff39,// invsqrt(0.2760) = 1.9034 +32'h3f11bae8,32'h3fa641ef,32'h3fad0b28, 32'h3fa12b05,32'h3fb22213, 32'h3f98af7e,32'h3fba9d9a,// invsqrt(0.5693) = 1.3254 +32'h3fcecf8b,32'h3f455f1d,32'h3f4d6d71, 32'h3f3f545d,32'h3f537831, 32'h3f354273,32'h3f5d8a1b,// invsqrt(1.6157) = 0.7867 +32'h3f82e86b,32'h3f7813f3,32'h3f811a0e, 32'h3f707bd4,32'h3f84e61e, 32'h3f63d3a1,32'h3f8b3a38,// invsqrt(1.0227) = 0.9888 +32'h3e80a199,32'h3ffa437f,32'h40023d3f, 32'h3ff29a3f,32'h400611df, 32'h3fe5d57f,32'h400c743f,// invsqrt(0.2512) = 1.9951 +32'h403864fc,32'h3f13cd77,32'h3f19d5da, 32'h3f0f472d,32'h3f1e5c25, 32'h3f07bcb2,32'h3f25e6a0,// invsqrt(2.8812) = 0.5891 +32'h3d3aeb9d,32'h4092ccf2,32'h4098cadc, 32'h408e4e82,32'h409d494c, 32'h4086d11d,32'h40a4c6b1,// invsqrt(0.0456) = 4.6811 +32'h3e3219c9,32'h40166437,32'h401c87a7, 32'h4011c9a3,32'h4021223b, 32'h400a1d57,32'h4028ce87,// invsqrt(0.1739) = 2.3978 +32'h3de44497,32'h403bddbd,32'h404388bf, 32'h40361d7b,32'h40494901, 32'h402c87b8,32'h4052dec4,// invsqrt(0.1115) = 2.9953 +32'h3ebc6a48,32'h3fcec83d,32'h3fd738e7, 32'h3fc873be,32'h3fdd8d66, 32'h3fbde6ea,32'h3fe81a3a,// invsqrt(0.3680) = 1.6485 +32'h3f695e85,32'h3f8361ab,32'h3f88be78, 32'h3f7eb820,32'h3f8cc412, 32'h3f71501f,32'h3f937813,// invsqrt(0.9116) = 1.0474 +32'h3f322f60,32'h3f965b1a,32'h3f9c7e2b, 32'h3f91c0ce,32'h3fa11878, 32'h3f8a14f9,32'h3fa8c44d,// invsqrt(0.6960) = 1.1986 +32'h3e50eda6,32'h400ada8a,32'h4010856c, 32'h40069a62,32'h4014c594, 32'h3fff0991,32'h401bdb2e,// invsqrt(0.2040) = 2.2139 +32'h3fe62aa9,32'h3f3b16f5,32'h3f42b9db, 32'h3f355cc9,32'h3f487407, 32'h3f2bd12a,32'h3f51ffa6,// invsqrt(1.7982) = 0.7457 +32'h3f79e2ef,32'h3f7dee2d,32'h3f8425bf, 32'h3f762832,32'h3f8808bd, 32'h3f69338d,32'h3f8e830f,// invsqrt(0.9761) = 1.0122 +32'h3f38a710,32'h3f93b303,32'h3f99ba51, 32'h3f8f2d88,32'h3f9e3fcc, 32'h3f87a466,32'h3fa5c8ee,// invsqrt(0.7213) = 1.1774 +32'h3ee1946d,32'h3fbcfb72,32'h3fc4b21e, 32'h3fb73271,32'h3fca7b1f, 32'h3fad8e1a,32'h3fd41f76,// invsqrt(0.4406) = 1.5066 +32'h4035efd5,32'h3f14cc2a,32'h3f1adef3, 32'h3f103e15,32'h3f1f6d09, 32'h3f08a69a,32'h3f270484,// invsqrt(2.8428) = 0.5931 +32'h3e9313bc,32'h3fea0b67,32'h3ff398ed, 32'h3fe2e142,32'h3ffac312, 32'h3fd6f059,32'h400359fe,// invsqrt(0.2873) = 1.8658 +32'h3f9bbb77,32'h3f6372a2,32'h3f6cbb3a, 32'h3f5c7c2f,32'h3f73b1ad, 32'h3f50e170,32'h3f7f4c6c,// invsqrt(1.2167) = 0.9066 +32'h3f02463a,32'h3fafd7f7,32'h3fb7055a, 32'h3faa75ed,32'h3fbc6765, 32'h3fa17d32,32'h3fc56020,// invsqrt(0.5089) = 1.4018 +32'h3edca2ac,32'h3fbf1687,32'h3fc6e333, 32'h3fb93d05,32'h3fccbcb5, 32'h3faf7d2d,32'h3fd67c8d,// invsqrt(0.4309) = 1.5233 +32'h3f984fc9,32'h3f65fcd4,32'h3f6f5ff7, 32'h3f5ef27a,32'h3f766a52, 32'h3f53368f,32'h3f81131e,// invsqrt(1.1899) = 0.9167 +32'h3ee6788e,32'h3fbaf755,32'h3fc298ef, 32'h3fb53e20,32'h3fc85224, 32'h3fabb41f,32'h3fd1dc25,// invsqrt(0.4501) = 1.4905 +32'h3f599433,32'h3f8810bd,32'h3f8d9e7b, 32'h3f83e66e,32'h3f91c8ca, 32'h3f79ea7f,32'h3f98b9f8,// invsqrt(0.8499) = 1.0847 +32'h3fd53456,32'h3f4263b9,32'h3f4a52e5, 32'h3f3c7058,32'h3f504646, 32'h3f328561,32'h3f5a313d,// invsqrt(1.6657) = 0.7748 +32'h3f56e388,32'h3f88ea16,32'h3f8e80b4, 32'h3f84b920,32'h3f92b1aa, 32'h3f7b79b6,32'h3f99adef,// invsqrt(0.8394) = 1.0915 +32'h3fcffc8e,32'h3f44d016,32'h3f4cd894, 32'h3f3ec9b7,32'h3f52def3, 32'h3f34bf19,32'h3f5ce991,// invsqrt(1.6249) = 0.7845 +32'h40442843,32'h3f0f4d72,32'h3f1526d0, 32'h3f0aea6c,32'h3f1989d6, 32'h3f039ab7,32'h3f20d98b,// invsqrt(3.0650) = 0.5712 +32'h408ae5d5,32'h3ef0d64c,32'h3efaaacc, 32'h3ee976eb,32'h3f010516, 32'h3edd2d4b,32'h3f0729e7,// invsqrt(4.3406) = 0.4800 +32'h40072210,32'h3f2ca748,32'h3f33b355, 32'h3f275e3d,32'h3f38fc5f, 32'h3f1e8f2d,32'h3f41cb6f,// invsqrt(2.1115) = 0.6882 +32'h3f97d384,32'h3f665ae0,32'h3f6fc1da, 32'h3f5f4da5,32'h3f76cf15, 32'h3f538ced,32'h3f8147e6,// invsqrt(1.1861) = 0.9182 +32'h3f38ed6c,32'h3f9396e7,32'h3f999d10, 32'h3f8f1249,32'h3f9e21af, 32'h3f878a96,32'h3fa5a962,// invsqrt(0.7224) = 1.1766 +32'h3f024e33,32'h3fafd296,32'h3fb6ffc1, 32'h3faa70b7,32'h3fbc61a1, 32'h3fa17841,32'h3fc55a17,// invsqrt(0.5090) = 1.4016 +32'h3e6dd3b8,32'h400224f6,32'h400774d6, 32'h3ffc521b,32'h400b70be, 32'h3fef0a6b,32'h40121497,// invsqrt(0.2323) = 2.0750 +32'h3f86368c,32'h3f750116,32'h3f7f0122, 32'h3f6d810e,32'h3f834095, 32'h3f610100,32'h3f89809c,// invsqrt(1.0485) = 0.9766 +32'h422a2901,32'h3e19dc48,32'h3e2023f8, 32'h3e152685,32'h3e24d9bb, 32'h3e0d4cea,32'h3e2cb356,// invsqrt(42.5400) = 0.1533 +32'h403e1be6,32'h3f119072,32'h3f178172, 32'h3f0d1bb3,32'h3f1bf631, 32'h3f05ae73,32'h3f236371,// invsqrt(2.9705) = 0.5802 +32'h400b3158,32'h3f2a1df4,32'h3f310f80, 32'h3f24e8ca,32'h3f3644aa, 32'h3f1c3adb,32'h3f3ef299,// invsqrt(2.1749) = 0.6781 +32'h3fe66494,32'h3f3aff70,32'h3f42a160, 32'h3f3545fc,32'h3f485ad4, 32'h3f2bbb91,32'h3f51e53f,// invsqrt(1.7999) = 0.7454 +32'h3ececb97,32'h3fc56100,32'h3fcd6f68, 32'h3fbf5631,32'h3fd37a37, 32'h3fb5442f,32'h3fdd8c39,// invsqrt(0.4039) = 1.5735 +32'h404d5ca2,32'h3f0c0de0,32'h3f11c54c, 32'h3f07c44e,32'h3f160ede, 32'h3f009f07,32'h3f1d3425,// invsqrt(3.2088) = 0.5583 +32'h3e28a187,32'h401a8e79,32'h4020dd6e, 32'h4015d340,32'h402598a6, 32'h400df08e,32'h402d7b58,// invsqrt(0.1647) = 2.4642 +32'h3e1149cc,32'h4026829a,32'h402d4e76, 32'h402169b4,32'h4032675c, 32'h4018eae1,32'h403ae62f,// invsqrt(0.1419) = 2.6548 +32'h3f81b88d,32'h3f7935d8,32'h3f81b0ec, 32'h3f7194da,32'h3f85816b, 32'h3f64dddc,32'h3f8bdcea,// invsqrt(1.0134) = 0.9933 +32'h3fbce4ee,32'h3f4e8510,32'h3f56f2fc, 32'h3f48329f,32'h3f5d456d, 32'h3f3da939,32'h3f67ced3,// invsqrt(1.4757) = 0.8232 +32'h3f98b3e0,32'h3f65b168,32'h3f6f1177, 32'h3f5ea95e,32'h3f761982, 32'h3f52f14b,32'h3f80e8ca,// invsqrt(1.1930) = 0.9155 +32'h3f1d1f76,32'h3fa01dd8,32'h3fa6a6e6, 32'h3f9b370d,32'h3fab8db1, 32'h3f930bbc,32'h3fb3b902,// invsqrt(0.6138) = 1.2764 +32'h3f15c695,32'h3fa3ff2d,32'h3faab0c7, 32'h3f9ef9fa,32'h3fafb5fa, 32'h3f969bfb,32'h3fb813f9,// invsqrt(0.5851) = 1.3074 +32'h3eaa8bf5,32'h3fd95848,32'h3fe23750, 32'h3fd2b102,32'h3fe8de96, 32'h3fc79a38,32'h3ff3f560,// invsqrt(0.3331) = 1.7327 +32'h3f0c239d,32'h3fa98aa8,32'h3fb07632, 32'h3fa45a01,32'h3fb5a6d9, 32'h3f9bb395,32'h3fbe4d45,// invsqrt(0.5474) = 1.3516 +32'h3f97e1c9,32'h3f66500e,32'h3f6fb696, 32'h3f5f4328,32'h3f76c37c, 32'h3f5382fd,32'h3f8141d4,// invsqrt(1.1866) = 0.9180 +32'h3fb10249,32'h3f55572f,32'h3f5e0c60, 32'h3f4ecf4b,32'h3f649445, 32'h3f43ecce,32'h3f6f76c2,// invsqrt(1.3829) = 0.8504 +32'h4026c9e4,32'h3f1b6865,32'h3f21c03f, 32'h3f16a681,32'h3f268223, 32'h3f0eb8b0,32'h3f2e6ff4,// invsqrt(2.6061) = 0.6195 +32'h3ed542c5,32'h3fc25d25,32'h3fca4c0d, 32'h3fbc69f8,32'h3fd03f3a, 32'h3fb27f56,32'h3fda29dc,// invsqrt(0.4165) = 1.5495 +32'h3f4e956d,32'h3f8ba3b0,32'h3f9156c7, 32'h3f875d5f,32'h3f959d19, 32'h3f803d83,32'h3f9cbcf5,// invsqrt(0.8070) = 1.1132 +32'h3f1dbaf8,32'h3f9fced6,32'h3fa654ab, 32'h3f9aea77,32'h3fab390b, 32'h3f92c32e,32'h3fb36054,// invsqrt(0.6161) = 1.2740 +32'h40135ed0,32'h3f255469,32'h3f2c13f0, 32'h3f2044c4,32'h3f312396, 32'h3f17d55c,32'h3f3992fe,// invsqrt(2.3027) = 0.6590 +32'h405a88f3,32'h3f07c476,32'h3f0d4f17, 32'h3f039c7c,32'h3f117710, 32'h3ef95e64,32'h3f18645a,// invsqrt(3.4146) = 0.5412 +32'h3f668549,32'h3f8430d6,32'h3f899618, 32'h3f8024e4,32'h3f8da20a, 32'h3f72cca3,32'h3f94609c,// invsqrt(0.9005) = 1.0538 +32'h3ee9de87,32'h3fb99a50,32'h3fc12dac, 32'h3fb3ebcb,32'h3fc6dc31, 32'h3faa7398,32'h3fd05464,// invsqrt(0.4568) = 1.4796 +32'h3e349166,32'h40155c48,32'h401b74f2, 32'h4010c9c8,32'h40200772, 32'h40092af4,32'h4027a646,// invsqrt(0.1763) = 2.3814 +32'h40212b3b,32'h3f1e1812,32'h3f248bfe, 32'h3f194121,32'h3f2962ef, 32'h3f11303a,32'h3f3173d6,// invsqrt(2.5183) = 0.6302 +32'h3f0ced9e,32'h3fa910fa,32'h3faff78c, 32'h3fa3e40c,32'h3fb5247a, 32'h3f9b43d6,32'h3fbdc4b0,// invsqrt(0.5505) = 1.3478 +32'h3f664db2,32'h3f8440c9,32'h3f89a6b2, 32'h3f80345b,32'h3f8db321, 32'h3f72e9f0,32'h3f947284,// invsqrt(0.8996) = 1.0543 +32'h4238ade3,32'h3e13b048,32'h3e19b77a, 32'h3e0f2ae3,32'h3e1e3cdf, 32'h3e07a1e4,32'h3e25c5de,// invsqrt(46.1698) = 0.1472 +32'h3f3f1f11,32'h3f912d9f,32'h3f971a95, 32'h3f8cbbe6,32'h3f9b8c4e, 32'h3f8553b1,32'h3fa2f483,// invsqrt(0.7466) = 1.1574 +32'h3e33d71a,32'h4015a990,32'h401bc562, 32'h401114b3,32'h40205a3f, 32'h400971ed,32'h4027fd05,// invsqrt(0.1756) = 2.3862 +32'h3e168f68,32'h402391a9,32'h402a3ecb, 32'h401e8fd1,32'h402f40a3, 32'h40163767,32'h4037990d,// invsqrt(0.1470) = 2.6079 +32'h3e9f2289,32'h3fe100c4,32'h3fea2fd2, 32'h3fda1d7a,32'h3ff1131c, 32'h3fcea2aa,32'h3ffc8dec,// invsqrt(0.3108) = 1.7937 +32'h40bdb73e,32'h3ece1278,32'h3ed67bb6, 32'h3ec7c389,32'h3edccaa5, 32'h3ebd3ffb,32'h3ee74e33,// invsqrt(5.9286) = 0.4107 +32'h3f077d1e,32'h3fac6d3a,32'h3fb376e8, 32'h3fa725f7,32'h3fb8be2b, 32'h3f9e59dc,32'h3fc18a46,// invsqrt(0.5293) = 1.3746 +32'h3faf6b73,32'h3f564e05,32'h3f5f0d49, 32'h3f4fbe92,32'h3f659cbc, 32'h3f44cf7d,32'h3f708bd1,// invsqrt(1.3705) = 0.8542 +32'h40171bb1,32'h3f2345aa,32'h3f29efb1, 32'h3f1e4625,32'h3f2eef37, 32'h3f15f19d,32'h3f3743bf,// invsqrt(2.3611) = 0.6508 +32'h401230bf,32'h3f25fee0,32'h3f2cc55c, 32'h3f20ea03,32'h3f31da39, 32'h3f1871e8,32'h3f3a5254,// invsqrt(2.2842) = 0.6617 +32'h3cac8e49,32'h40d8136b,32'h40e0e531, 32'h40d17617,32'h40e78285, 32'h40c66fe0,32'h40f288bc,// invsqrt(0.0211) = 6.8902 +32'h45212000,32'h3c9e1d94,32'h3ca491ba, 32'h3c994678,32'h3ca968d6, 32'h3c91354a,32'h3cb17a05,// invsqrt(2578.0000) = 0.0197 +32'h3e0dfb9e,32'h40286fed,32'h402f4fed, 32'h402347ee,32'h403477ec, 32'h401aafef,32'h403d0feb,// invsqrt(0.1387) = 2.6855 +32'h41ac4267,32'h3e5842fe,32'h3e6116b4, 32'h3e51a435,32'h3e67b57d, 32'h3e469b90,32'h3e72be22,// invsqrt(21.5324) = 0.2155 +32'h40244c7b,32'h3f1c94b8,32'h3f22f8d5, 32'h3f17c9a3,32'h3f27c3eb, 32'h3f0fcc80,32'h3f2fc10e,// invsqrt(2.5672) = 0.6241 +32'h3f28a13c,32'h3f9a8e9b,32'h3fa0dd92, 32'h3f95d363,32'h3fa598cb, 32'h3f8df0ae,32'h3fad7b80,// invsqrt(0.6587) = 1.2321 +32'h3f760c99,32'h3f7fe71e,32'h3f852c85, 32'h3f7811ad,32'h3f89173e, 32'h3f6b0345,32'h3f8f9e71,// invsqrt(0.9611) = 1.0200 +32'h3fb90b66,32'h3f50a82f,32'h3f592c70, 32'h3f4a44ff,32'h3f5f8fa1, 32'h3f3f9fae,32'h3f6a34f2,// invsqrt(1.4457) = 0.8317 +32'h4122e23c,32'h3e9d4275,32'h3ea3ada9, 32'h3e98720e,32'h3ea87e10, 32'h3e906c0e,32'h3eb08411,// invsqrt(10.1802) = 0.3134 +32'h3fad2a2f,32'h3f57b211,32'h3f607fde, 32'h3f5117b8,32'h3f671a38, 32'h3f461679,32'h3f721b77,// invsqrt(1.3528) = 0.8598 +32'h3f77a297,32'h3f7f1502,32'h3f84bf2d, 32'h3f7745ff,32'h3f88a6af, 32'h3f6a4250,32'h3f8f2886,// invsqrt(0.9673) = 1.0167 +32'h3fb0a6c5,32'h3f558e6b,32'h3f5e45dd, 32'h3f4f04d5,32'h3f64cf73, 32'h3f441f87,32'h3f6fb4c1,// invsqrt(1.3801) = 0.8512 +32'h3e1ebde9,32'h401f4c4a,32'h4025ccca, 32'h401a6bea,32'h402aad2a, 32'h40124b49,32'h4032cdcb,// invsqrt(0.1550) = 2.5398 +32'h3fed9415,32'h3f3825f1,32'h3f3faa1b, 32'h3f3282d2,32'h3f454d3a, 32'h3f291d9f,32'h3f4eb26d,// invsqrt(1.8561) = 0.7340 +32'h3f2deeb0,32'h3f982ed2,32'h3f9e64fa, 32'h3f938634,32'h3fa30d98, 32'h3f8bc282,32'h3faad14a,// invsqrt(0.6794) = 1.2132 +32'h3f3badc0,32'h3f9280f1,32'h3f987bc1, 32'h3f8e04d5,32'h3f9cf7dd, 32'h3f868b50,32'h3fa47162,// invsqrt(0.7331) = 1.1679 +32'h3f2a297c,32'h3f99dc11,32'h3fa023be, 32'h3f95264f,32'h3fa4d97f, 32'h3f8d4cb6,32'h3facb318,// invsqrt(0.6647) = 1.2266 +32'h3f015b6d,32'h3fb07746,32'h3fb7ab2a, 32'h3fab105c,32'h3fbd1214, 32'h3fa20f7f,32'h3fc612f1,// invsqrt(0.5053) = 1.4068 +32'h400c7cb7,32'h3f2954dc,32'h3f303e33, 32'h3f2425da,32'h3f356d34, 32'h3f1b822d,32'h3f3e10e1,// invsqrt(2.1951) = 0.6750 +32'h3feeb26f,32'h3f37b75b,32'h3f3f3701, 32'h3f32179f,32'h3f44d6bd, 32'h3f28b810,32'h3f4e364c,// invsqrt(1.8648) = 0.7323 +32'h3f579119,32'h3f88b2ed,32'h3f8e474a, 32'h3f8483a6,32'h3f927690, 32'h3f7b1464,32'h3f997004,// invsqrt(0.8421) = 1.0898 +32'h3f1839f6,32'h3fa2abdc,32'h3fa94f9c, 32'h3f9db10c,32'h3fae4a6c, 32'h3f95645c,32'h3fb6971c,// invsqrt(0.5946) = 1.2968 +32'h3fd5d662,32'h3f421a04,32'h3f4a062e, 32'h3f3c28e5,32'h3f4ff74d, 32'h3f3241b0,32'h3f59de82,// invsqrt(1.6706) = 0.7737 +32'h3e071d9d,32'h402caa1f,32'h4033b64b, 32'h402760ff,32'h4038ff6b, 32'h401e91c9,32'h4041cea1,// invsqrt(0.1319) = 2.7529 +32'h40a08e19,32'h3ee00174,32'h3ee92615, 32'h3ed925fa,32'h3ef0018e, 32'h3ecdb830,32'h3efb6f58,// invsqrt(5.0173) = 0.4464 +32'h3fe5a3c2,32'h3f3b4de1,32'h3f42f305, 32'h3f359207,32'h3f48aedf, 32'h3f2c039b,32'h3f523d4b,// invsqrt(1.7941) = 0.7466 +32'h3fb9c1a9,32'h3f5041b9,32'h3f58c1cb, 32'h3f49e1ac,32'h3f5f21d8, 32'h3f3f4195,32'h3f69c1ef,// invsqrt(1.4512) = 0.8301 +32'h3ef98854,32'h3fb3aee5,32'h3fbb0467, 32'h3fae2ec4,32'h3fc08488, 32'h3fa503e2,32'h3fc9af6a,// invsqrt(0.4874) = 1.4324 +32'h3f1df064,32'h3f9fb3cd,32'h3fa63887, 32'h3f9ad042,32'h3fab1c12, 32'h3f92aa59,32'h3fb341fb,// invsqrt(0.6169) = 1.2731 +32'h3fdf7d6d,32'h3f3ddd1e,32'h3f459d00, 32'h3f380d35,32'h3f4b6ce9, 32'h3f2e5d5a,32'h3f551cc4,// invsqrt(1.7460) = 0.7568 +32'h400ed68a,32'h3f27eea7,32'h3f2ec960, 32'h3f22ca9d,32'h3f33ed6b, 32'h3f1a3937,32'h3f3c7ed1,// invsqrt(2.2318) = 0.6694 +32'h3ead9232,32'h3fd77167,32'h3fe03c90, 32'h3fd0d909,32'h3fe6d4ef, 32'h3fc5db16,32'h3ff1d2e2,// invsqrt(0.3390) = 1.7175 +32'h3ed67d10,32'h3fc1ce8a,32'h3fc9b7a0, 32'h3fbbdfba,32'h3fcfa670, 32'h3fb1fc60,32'h3fd989ca,// invsqrt(0.4189) = 1.5450 +32'h3ff99615,32'h3f33a9f2,32'h3f3aff40, 32'h3f2e29f8,32'h3f407f3a, 32'h3f24ff56,32'h3f49a9dc,// invsqrt(1.9499) = 0.7161 +32'h3fd99f6b,32'h3f4067f8,32'h3f48426a, 32'h3f3a8422,32'h3f4e2640, 32'h3f30b312,32'h3f57f750,// invsqrt(1.7002) = 0.7669 +32'h3f7a000b,32'h3f7ddf64,32'h3f841e0d, 32'h3f7619dd,32'h3f8800d2, 32'h3f6925fa,32'h3f8e7ac3,// invsqrt(0.9766) = 1.0119 +32'h3f9220d2,32'h3f6acd9e,32'h3f746312, 32'h3f639d87,32'h3f7b9329, 32'h3f57a2b6,32'h3f83c6fd,// invsqrt(1.1416) = 0.9359 +32'h40407c07,32'h3f10a9ca,32'h3f16915f, 32'h3f0c3c19,32'h3f1aff0f, 32'h3f04da9f,32'h3f226089,// invsqrt(3.0076) = 0.5766 +32'h3ffb7d67,32'h3f32fb8b,32'h3f3a49bb, 32'h3f2d80e8,32'h3f3fc45e, 32'h3f245f2c,32'h3f48e61a,// invsqrt(1.9648) = 0.7134 +32'h3f4e0279,32'h3f8bd576,32'h3f918a94, 32'h3f878d9e,32'h3f95d26c, 32'h3f806b38,32'h3f9cf4d2,// invsqrt(0.8047) = 1.1147 +32'h401e956a,32'h3f1f609f,32'h3f25e1f4, 32'h3f1a7fa0,32'h3f2ac2f4, 32'h3f125df6,32'h3f32e49e,// invsqrt(2.4779) = 0.6353 +32'h4052af94,32'h3f0a45f7,32'h3f0feac8, 32'h3f060a5b,32'h3f142665, 32'h3efdf8ad,32'h3f1b346a,// invsqrt(3.2920) = 0.5512 +32'h3ff2041e,32'h3f3673be,32'h3f3de62e, 32'h3f30ddea,32'h3f437c02, 32'h3f278edd,32'h3f4ccb0f,// invsqrt(1.8908) = 0.7272 +32'h41c86ea1,32'h3e487cce,32'h3e50abb2, 32'h3e4259a3,32'h3e56cedd, 32'h3e381f06,32'h3e61097a,// invsqrt(25.0540) = 0.1998 +32'h3e80c9ed,32'h3ffa1c4d,32'h400228da, 32'h3ff27441,32'h4005fce1, 32'h3fe5b181,32'h400c5e41,// invsqrt(0.2515) = 1.9939 +32'h3f1f0462,32'h3f9f28f9,32'h3fa5a809, 32'h3f9a49ae,32'h3faa8754, 32'h3f922ada,32'h3fb2a628,// invsqrt(0.6212) = 1.2688 +32'h3f2d6a9f,32'h3f9868b9,32'h3f9ea13e, 32'h3f93be56,32'h3fa34ba2, 32'h3f8bf7b0,32'h3fab1248,// invsqrt(0.6774) = 1.2150 +32'h404929da,32'h3f0d8216,32'h3f1348b4, 32'h3f092d20,32'h3f179daa, 32'h3f01f4db,32'h3f1ed5ef,// invsqrt(3.1432) = 0.5640 +32'h3f7d2e09,32'h3f7c45fe,32'h3f8348ff, 32'h3f748cfe,32'h3f87257f, 32'h3f67adfe,32'h3f8d94ff,// invsqrt(0.9890) = 1.0056 +32'h3f90ab60,32'h3f6bfbea,32'h3f759db5, 32'h3f64c292,32'h3f7cd70e, 32'h3f58b855,32'h3f8470a6,// invsqrt(1.1302) = 0.9406 +32'h3f939d23,32'h3f699e60,32'h3f732774, 32'h3f627792,32'h3f7a4e42, 32'h3f568c39,32'h3f831cce,// invsqrt(1.1532) = 0.9312 +32'h3ed5b45b,32'h3fc22977,32'h3fca1643, 32'h3fbc37df,32'h3fd007db, 32'h3fb24fe0,32'h3fd9efda,// invsqrt(0.4174) = 1.5478 +32'h3c8213f4,32'h40f8de3a,32'h41018353, 32'h40f13fea,32'h4105527b, 32'h40e48d65,32'h410babbe,// invsqrt(0.0159) = 7.9358 +32'h3fca3f60,32'h3f4795ef,32'h3f4fbb67, 32'h3f4179d6,32'h3f55d780, 32'h3f374b00,32'h3f600656,// invsqrt(1.5801) = 0.7955 +32'h3f36e760,32'h3f946757,32'h3f9a7602, 32'h3f8fdc58,32'h3f9f0102, 32'h3f884a02,32'h3fa69358,// invsqrt(0.7145) = 1.1831 +32'h41a05dca,32'h3e60232f,32'h3e694930, 32'h3e5946ad,32'h3e7025b1, 32'h3e4dd72a,32'h3e7b9534,// invsqrt(20.0458) = 0.2234 +32'h3f81980e,32'h3f795515,32'h3f81c12d, 32'h3f71b322,32'h3f859227, 32'h3f64fa8c,32'h3f8bee72,// invsqrt(1.0125) = 0.9938 +32'h3f1a000a,32'h3fa1bb58,32'h3fa85546, 32'h3f9cc7e5,32'h3fad48b9, 32'h3f94877a,32'h3fb58924,// invsqrt(0.6016) = 1.2893 +32'h3f02d041,32'h3faf7b1a,32'h3fb6a4b2, 32'h3faa1be7,32'h3fbc03e5, 32'h3fa127e9,32'h3fc4f7e3,// invsqrt(0.5110) = 1.3989 +32'h3ea5dc34,32'h3fdc64e4,32'h3fe563c8, 32'h3fd5a5b8,32'h3fec22f4, 32'h3fca671a,32'h3ff76192,// invsqrt(0.3239) = 1.7570 +32'h3fc3f141,32'h3f4ac57e,32'h3f530c40, 32'h3f44906d,32'h3f594151, 32'h3f3a37fc,32'h3f6399c2,// invsqrt(1.5308) = 0.8082 +32'h3e1201de,32'h40261984,32'h402ce116, 32'h402103d6,32'h4031f6c4, 32'h40188a5f,32'h403a703b,// invsqrt(0.1426) = 2.6483 +32'h3f82ed68,32'h3f780f39,32'h3f811798, 32'h3f70773f,32'h3f84e395, 32'h3f63cf49,32'h3f8b3790,// invsqrt(1.0229) = 0.9888 +32'h4271d0cb,32'h3e01110d,32'h3e0655aa, 32'h3dfa3b2d,32'h3e0a4920, 32'h3ded0fa4,32'h3e10dee4,// invsqrt(60.4539) = 0.1286 +32'h3fe4c9bc,32'h3f3ba70b,32'h3f434fd1, 32'h3f35e875,32'h3f490e67, 32'h3f2c557d,32'h3f52a15f,// invsqrt(1.7874) = 0.7480 +32'h3f970dde,32'h3f66f164,32'h3f705e82, 32'h3f5fdf8d,32'h3f777059, 32'h3f541728,32'h3f819c5f,// invsqrt(1.1801) = 0.9205 +32'h3f3881ee,32'h3f93c1df,32'h3f99c9c9, 32'h3f8f3bf0,32'h3f9e4fb8, 32'h3f87b20c,32'h3fa5d99c,// invsqrt(0.7207) = 1.1779 +32'h3f903cfe,32'h3f6c5625,32'h3f75fb9f, 32'h3f651a0a,32'h3f7d37ba, 32'h3f590b32,32'h3f84a349,// invsqrt(1.1269) = 0.9420 +32'h3f8d7c8c,32'h3f6e9fa8,32'h3f785d08, 32'h3f6751a0,32'h3f7fab10, 32'h3f5b24e9,32'h3f85ebe4,// invsqrt(1.1054) = 0.9511 +32'h40159b67,32'h3f2416d6,32'h3f2ac966, 32'h3f1f10e9,32'h3f2fcf53, 32'h3f16b1b5,32'h3f382e87,// invsqrt(2.3376) = 0.6541 +32'h3f6ab59a,32'h3f830182,32'h3f885a62, 32'h3f7dfdb2,32'h3f8c5d0b, 32'h3f709f80,32'h3f930c24,// invsqrt(0.9168) = 1.0444 +32'h3fb1911c,32'h3f550151,32'h3f5db301, 32'h3f4e7c0d,32'h3f643845, 32'h3f439df2,32'h3f6f1660,// invsqrt(1.3872) = 0.8490 +32'h3f26ee48,32'h3f9b5774,32'h3fa1ae9e, 32'h3f969615,32'h3fa66ffd, 32'h3f8ea922,32'h3fae5cf0,// invsqrt(0.6521) = 1.2384 +32'h3ec52953,32'h3fca24c5,32'h3fd264f7, 32'h3fc3f4a0,32'h3fd8951c, 32'h3fb9a461,32'h3fe2e55b,// invsqrt(0.3851) = 1.6115 +32'h40986902,32'h3ee5e9cc,32'h3eef4c28, 32'h3edee007,32'h3ef655ed, 32'h3ed32514,32'h3f010870,// invsqrt(4.7628) = 0.4582 +32'h41f9cbfb,32'h3e33968f,32'h3e3aeb12, 32'h3e2e172c,32'h3e406a74, 32'h3e24ed88,32'h3e499418,// invsqrt(31.2246) = 0.1790 +32'h407da838,32'h3efc0935,32'h3f03295d, 32'h3ef45212,32'h3f0704ef, 32'h3ee7762c,32'h3f0d72e2,// invsqrt(3.9634) = 0.5023 +32'h41ee5847,32'h3e37da17,32'h3e3f5b27, 32'h3e32394a,32'h3e44fbf4, 32'h3e28d7f6,32'h3e4e5d49,// invsqrt(29.7931) = 0.1832 +32'h3f245dc8,32'h3f9c8c7b,32'h3fa2f041, 32'h3f97c1a6,32'h3fa7bb16, 32'h3f8fc4ee,32'h3fafb7ce,// invsqrt(0.6421) = 1.2480 +32'h40e0edd9,32'h3ebd4160,32'h3ec4fae6, 32'h3eb7763b,32'h3ecac60b, 32'h3eadce53,32'h3ed46df3,// invsqrt(7.0290) = 0.3772 +32'h3fcb92d6,32'h3f46ef42,32'h3f4f0dec, 32'h3f40d843,32'h3f5524eb, 32'h3f36b1ee,32'h3f5f4b40,// invsqrt(1.5904) = 0.7929 +32'h414f391b,32'h3e8b6c7f,32'h3e911d56, 32'h3e8727df,32'h3e9561f7, 32'h3e800ad4,32'h3e9c7f02,// invsqrt(12.9514) = 0.2779 +32'h4080038b,32'h3efaddcf,32'h3f028d8e, 32'h3ef32fd6,32'h3f06648a, 32'h3ee66336,32'h3f0ccada,// invsqrt(4.0004) = 0.5000 +32'h3ec9f8af,32'h3fc7b8da,32'h3fcfdfbe, 32'h3fc19baf,32'h3fd5fce9, 32'h3fb76b11,32'h3fe02d87,// invsqrt(0.3945) = 1.5922 +32'h403c011e,32'h3f126072,32'h3f1859ee, 32'h3f0de554,32'h3f1cd50c, 32'h3f066d78,32'h3f244ce8,// invsqrt(2.9376) = 0.5835 +32'h3faf01d7,32'h3f568ea4,32'h3f5f508c, 32'h3f4ffd37,32'h3f65e1f9, 32'h3f450ad6,32'h3f70d45a,// invsqrt(1.3672) = 0.8552 +32'h3fd32108,32'h3f4357b7,32'h3f4b50d9, 32'h3f3d5cde,32'h3f514bb2, 32'h3f336574,32'h3f5b431c,// invsqrt(1.6494) = 0.7786 +32'h3f7fb46c,32'h3f7b0658,32'h3f82a2a6, 32'h3f735721,32'h3f867a41, 32'h3f668870,32'h3f8ce19a,// invsqrt(0.9988) = 1.0006 +32'h3f92cf91,32'h3f6a41b7,32'h3f73d175, 32'h3f6315e8,32'h3f7afd44, 32'h3f57223a,32'h3f837879,// invsqrt(1.1470) = 0.9337 +32'h4118155d,32'h3ea2bf6e,32'h3ea963fa, 32'h3e9dc405,32'h3eae5f63, 32'h3e957655,32'h3eb6ad13,// invsqrt(9.5052) = 0.3244 +32'h3df6c184,32'h4034b0f9,32'h403c1103, 32'h402f28f1,32'h4041990b, 32'h4025f0e5,32'h404ad117,// invsqrt(0.1205) = 2.8809 +32'h3ed376a9,32'h3fc33026,32'h3fcb27aa, 32'h3fbd3683,32'h3fd1214d, 32'h3fb3411e,32'h3fdb16b2,// invsqrt(0.4130) = 1.5560 +32'h40063d7b,32'h3f2d3a08,32'h3f344c13, 32'h3f27ec80,32'h3f39999c, 32'h3f1f15f3,32'h3f427029,// invsqrt(2.0975) = 0.6905 +32'h3c7093a4,32'h41016604,32'h4106ae19, 32'h40fadfe7,32'h410aa428, 32'h40edabb3,32'h41113e43,// invsqrt(0.0147) = 8.2525 +32'h40f0bb3f,32'h3eb6f034,32'h3ebe67b8, 32'h3eb15690,32'h3ec4015c, 32'h3ea8012a,32'h3ecd56c2,// invsqrt(7.5229) = 0.3646 +32'h3e281bc9,32'h401acbe8,32'h40211d5f, 32'h40160ece,32'h4025da78, 32'h400e28f9,32'h402dc04d,// invsqrt(0.1642) = 2.4681 +32'h3f4539e3,32'h3f8ee9e7,32'h3f94bf35, 32'h3f8a89ed,32'h3f991f2f, 32'h3f833f4d,32'h3fa069cf,// invsqrt(0.7704) = 1.1393 +32'h3fc49e36,32'h3f4a6c3b,32'h3f52af57, 32'h3f4439e5,32'h3f58e1ad, 32'h3f39e602,32'h3f633590,// invsqrt(1.5361) = 0.8069 +32'h40537df4,32'h3f0a0270,32'h3f0fa480, 32'h3f05c8e5,32'h3f13de0b, 32'h3efd7ca5,32'h3f1ae89e,// invsqrt(3.3046) = 0.5501 +32'h3ecf39d5,32'h3fc52c78,32'h3fcd38bc, 32'h3fbf2346,32'h3fd341ee, 32'h3fb513f1,32'h3fdd5143,// invsqrt(0.4047) = 1.5719 +32'h3e954cc5,32'h3fe84bb7,32'h3ff1c6f8, 32'h3fe12f47,32'h3ff8e369, 32'h3fd55536,32'h40025ebd,// invsqrt(0.2916) = 1.8518 +32'h401d35cd,32'h3f201277,32'h3f269b0f, 32'h3f1b2c06,32'h3f2b8180, 32'h3f130149,32'h3f33ac3d,// invsqrt(2.4564) = 0.6380 +32'h3f7501ac,32'h3f80392f,32'h3f8574fd, 32'h3f7898aa,32'h3f8961d7, 32'h3f6b8328,32'h3f8fec98,// invsqrt(0.9571) = 1.0222 +32'h3f03e244,32'h3faec46f,32'h3fb5e693, 32'h3fa96ad4,32'h3fbb402e, 32'h3fa08027,32'h3fc42adb,// invsqrt(0.5152) = 1.3932 +32'h3f4ac169,32'h3f8cf395,32'h3f92b462, 32'h3f88a2fc,32'h3f9704fc, 32'h3f8171fc,32'h3f9e35fc,// invsqrt(0.7920) = 1.1237 +32'h3f7695f7,32'h3f7f9fcd,32'h3f850768, 32'h3f77cc8a,32'h3f88f109, 32'h3f6ac1c6,32'h3f8f766b,// invsqrt(0.9632) = 1.0189 +32'h3dbf49f2,32'h404d391c,32'h4055997b, 32'h4046f0d4,32'h405be1c2, 32'h403c785d,32'h40665a39,// invsqrt(0.0934) = 3.2721 +32'h3e994356,32'h3fe545cf,32'h3feea179, 32'h3fde410f,32'h3ff5a639, 32'h3fd28e7a,32'h4000ac67,// invsqrt(0.2993) = 1.8277 +32'h400b08c7,32'h3f2a36c3,32'h3f312953, 32'h3f2500d7,32'h3f365f3f, 32'h3f1c51a4,32'h3f3f0e73,// invsqrt(2.1724) = 0.6785 +32'h400850bb,32'h3f2be730,32'h3f32eb66, 32'h3f26a407,32'h3f382e8f, 32'h3f1ddec4,32'h3f40f3d3,// invsqrt(2.1299) = 0.6852 +32'h3f0d0e36,32'h3fa8fd70,32'h3fafe336, 32'h3fa3d11c,32'h3fb50f8a, 32'h3f9b31e4,32'h3fbdaec2,// invsqrt(0.5510) = 1.3472 +32'h3f6adf68,32'h3f82f5d8,32'h3f884e3f, 32'h3f7de717,32'h3f8c508d, 32'h3f708a16,32'h3f92ff0d,// invsqrt(0.9175) = 1.0440 +32'h3ecbcc56,32'h3fc6d32f,32'h3fcef0b4, 32'h3fc0bd0c,32'h3fd506d8, 32'h3fb69827,32'h3fdf2bbd,// invsqrt(0.3980) = 1.5850 +32'h3f245303,32'h3f9c919c,32'h3fa2f598, 32'h3f97c69f,32'h3fa7c095, 32'h3f8fc9a4,32'h3fafbd90,// invsqrt(0.6419) = 1.2482 +32'h3f390ddf,32'h3f9389f6,32'h3f998f98, 32'h3f8f05bd,32'h3f9e13d1, 32'h3f877eb3,32'h3fa59adb,// invsqrt(0.7229) = 1.1762 +32'h3e508d63,32'h400afa92,32'h4010a6c2, 32'h4006b96e,32'h4014e7e6, 32'h3fff4465,32'h401bff21,// invsqrt(0.2037) = 2.2159 +32'h3f7bb994,32'h3f7d005c,32'h3f83a9fc, 32'h3f7541a8,32'h3f878956, 32'h3f685926,32'h3f8dfd97,// invsqrt(0.9833) = 1.0085 +32'h3f7c26a2,32'h3f7cc9a0,32'h3f838d80, 32'h3f750c99,32'h3f876c04, 32'h3f6826e2,32'h3f8ddedf,// invsqrt(0.9850) = 1.0076 +32'h420ef04c,32'h3e27df85,32'h3e2eb99f, 32'h3e22bbf1,32'h3e33dd33, 32'h3e1a2b50,32'h3e3c6dd4,// invsqrt(35.7347) = 0.1673 +32'h40230719,32'h3f1d30ad,32'h3f239b27, 32'h3f1860d2,32'h3f286b02, 32'h3f105bb9,32'h3f30701b,// invsqrt(2.5473) = 0.6266 +32'h3de375f6,32'h403c32fe,32'h4043e17c, 32'h40367020,32'h4049a45a, 32'h402cd604,32'h40533e76,// invsqrt(0.1111) = 3.0006 +32'h3f3e8ab6,32'h3f916618,32'h3f97555d, 32'h3f8cf2a5,32'h3f9bc8d1, 32'h3f85878f,32'h3fa333e7,// invsqrt(0.7443) = 1.1591 +32'h3f1866b1,32'h3fa293fb,32'h3fa936c2, 32'h3f9d99e7,32'h3fae30d7, 32'h3f954e6f,32'h3fb67c4f,// invsqrt(0.5953) = 1.2961 +32'h41e3e61f,32'h3e3c04a9,32'h3e43b143, 32'h3e364336,32'h3e4972b6, 32'h3e2cab77,32'h3e530a75,// invsqrt(28.4874) = 0.1874 +32'h4025aed0,32'h3f1becf0,32'h3f224a33, 32'h3f1726fd,32'h3f271025, 32'h3f0f3269,32'h3f2f04b9,// invsqrt(2.5888) = 0.6215 +32'h40f12639,32'h3eb6c79c,32'h3ebe3d78, 32'h3eb12f36,32'h3ec3d5de, 32'h3ea7dbe3,32'h3ecd2931,// invsqrt(7.5359) = 0.3643 +32'h3fccf1a9,32'h3f4644b4,32'h3f4e5c68, 32'h3f4032ed,32'h3f546e2f, 32'h3f36154d,32'h3f5e8bcf,// invsqrt(1.6011) = 0.7903 +32'h3fcfb03a,32'h3f44f43d,32'h3f4cfe35, 32'h3f3eecc3,32'h3f5305af, 32'h3f34e04d,32'h3f5d1225,// invsqrt(1.6226) = 0.7851 +32'h3f8b3d58,32'h3f708a91,32'h3f7a5bfa, 32'h3f692d82,32'h3f80dc84, 32'h3f5ce7be,32'h3f86ff66,// invsqrt(1.0878) = 0.9588 +32'h3f04a39c,32'h3fae44e0,32'h3fb561d0, 32'h3fa8ef2d,32'h3fbab783, 32'h3fa00b02,32'h3fc39bae,// invsqrt(0.5181) = 1.3893 +32'h3eaea021,32'h3fd6caa3,32'h3fdf8efd, 32'h3fd0375f,32'h3fe62241, 32'h3fc541ee,32'h3ff117b2,// invsqrt(0.3411) = 1.7123 +32'h3d1041e4,32'h40a71aa4,32'h40adecb5, 32'h40a1fd17,32'h40b30a41, 32'h40997681,32'h40bb90d7,// invsqrt(0.0352) = 5.3286 +32'h40036c66,32'h3f2f12bc,32'h3f363812, 32'h3f29b6bb,32'h3f3b9413, 32'h3f20c810,32'h3f4482be,// invsqrt(2.0535) = 0.6978 +32'h3f42f3b9,32'h3f8fbeab,32'h3f959ca7, 32'h3f8b582d,32'h3f9a0325, 32'h3f8402b2,32'h3fa158a0,// invsqrt(0.7615) = 1.1459 +32'h3fb59245,32'h3f52a4a6,32'h3f5b3da7, 32'h3f4c31e4,32'h3f61b068, 32'h3f4172a2,32'h3f6c6faa,// invsqrt(1.4185) = 0.8396 +32'h4082bb31,32'h3ef83ed9,32'h3f013061, 32'h3ef0a569,32'h3f04fd18, 32'h3ee3fb05,32'h3f0b524a,// invsqrt(4.0854) = 0.4947 +32'h3f861bef,32'h3f751965,32'h3f7f1a6e, 32'h3f6d989d,32'h3f834d9a, 32'h3f611752,32'h3f898e40,// invsqrt(1.0477) = 0.9770 +32'h3f6b044e,32'h3f82eb90,32'h3f88438c, 32'h3f7dd327,32'h3f8c4588, 32'h3f707733,32'h3f92f383,// invsqrt(0.9180) = 1.0437 +32'h40fc8168,32'h3eb29f4d,32'h3eb9e9b9, 32'h3ead277c,32'h3ebf618a, 32'h3ea40a76,32'h3ec87e90,// invsqrt(7.8908) = 0.3560 +32'h3f2fe47b,32'h3f975522,32'h3f9d8268, 32'h3f92b32e,32'h3fa2245c, 32'h3f8afa98,32'h3fa9dcf2,// invsqrt(0.6871) = 1.2064 +32'h3f08f5a3,32'h3fab7f93,32'h3fb27f8f, 32'h3fa63f97,32'h3fb7bf8b, 32'h3f9d7f9c,32'h3fc07f86,// invsqrt(0.5350) = 1.3672 +32'h3fe96460,32'h3f39cadb,32'h3f416033, 32'h3f341ada,32'h3f471034, 32'h3f2aa02d,32'h3f508ae1,// invsqrt(1.8234) = 0.7406 +32'h3efb67bb,32'h3fb30342,32'h3fba51c2, 32'h3fad8862,32'h3fbfcca2, 32'h3fa46642,32'h3fc8eec2,// invsqrt(0.4910) = 1.4271 +32'h40866444,32'h3ef4d766,32'h3efed5be, 32'h3eed58a4,32'h3f032a40, 32'h3ee0dab7,32'h3f096937,// invsqrt(4.1997) = 0.4880 +32'h3f873f7f,32'h3f7410a6,32'h3f7e06e0, 32'h3f6c97f9,32'h3f82bfc6, 32'h3f602430,32'h3f88f9ab,// invsqrt(1.0566) = 0.9728 +32'h3f857c7e,32'h3f75ab9a,32'h3f7fb29b, 32'h3f6e2659,32'h3f839bee, 32'h3f619d97,32'h3f89e04e,// invsqrt(1.0429) = 0.9792 +32'h3f0498c7,32'h3fae4bfe,32'h3fb56938, 32'h3fa8f613,32'h3fbabf23, 32'h3fa0118c,32'h3fc3a3ab,// invsqrt(0.5180) = 1.3895 +32'h3f202c18,32'h3f9e95ca,32'h3fa50ed7, 32'h3f99baff,32'h3fa9e9a1, 32'h3f91a3ae,32'h3fb200f2,// invsqrt(0.6257) = 1.2642 +32'h3fa958bd,32'h3f5a1d15,32'h3f630425, 32'h3f536fc8,32'h3f69b172, 32'h3f484ef4,32'h3f74d246,// invsqrt(1.3230) = 0.8694 +32'h4088fc9f,32'h3ef282d9,32'h3efc68d7, 32'h3eeb165a,32'h3f01eaab, 32'h3edeb6dc,32'h3f081a6a,// invsqrt(4.2808) = 0.4833 +32'h3f5db9fb,32'h3f86c969,32'h3f8c49cb, 32'h3f82a91f,32'h3f906a15, 32'h3f779148,32'h3f974a90,// invsqrt(0.8661) = 1.0745 +32'h3f419116,32'h3f90421d,32'h3f962577, 32'h3f8bd79a,32'h3f9a8ffa, 32'h3f847b69,32'h3fa1ec2b,// invsqrt(0.7561) = 1.1500 +32'h3daddfb3,32'h4057415e,32'h40600a90, 32'h4050aa77,32'h4066a177, 32'h4045aef8,32'h40719cf6,// invsqrt(0.0849) = 3.4320 +32'h40210558,32'h3f1e2aaa,32'h3f249f58, 32'h3f195327,32'h3f2976db, 32'h3f11414e,32'h3f3188b4,// invsqrt(2.5160) = 0.6304 +32'h3fd73962,32'h3f4179ad,32'h3f495f4b, 32'h3f3b8d76,32'h3f4f4b82, 32'h3f31ae70,32'h3f592a88,// invsqrt(1.6814) = 0.7712 +32'h3f4b2702,32'h3f8cd052,32'h3f928fae, 32'h3f8880cd,32'h3f96df33, 32'h3f81519a,32'h3f9e0e66,// invsqrt(0.7936) = 1.1226 +32'h3e1b9ff9,32'h4020e2a6,32'h402773bc, 32'h401bf5d5,32'h402c608d, 32'h4013c079,32'h403495e9,// invsqrt(0.1520) = 2.5651 +32'h4034c97e,32'h3f15451a,32'h3f1b5cd2, 32'h3f10b350,32'h3f1fee9c, 32'h3f0915aa,32'h3f278c42,// invsqrt(2.8248) = 0.5950 +32'h3fd751c3,32'h3f416eb9,32'h3f4953e5, 32'h3f3b82d8,32'h3f4f3fc6, 32'h3f31a461,32'h3f591e3d,// invsqrt(1.6822) = 0.7710 +32'h3f816fba,32'h3f797bea,32'h3f81d562, 32'h3f71d8c6,32'h3f85a6f4, 32'h3f651e35,32'h3f8c043d,// invsqrt(1.0112) = 0.9944 +32'h3fa80ba1,32'h3f5af4d8,32'h3f63e4b6, 32'h3f5440f0,32'h3f6a989e, 32'h3f49151a,32'h3f75c475,// invsqrt(1.3129) = 0.8728 +32'h3f91d666,32'h3f6b0980,32'h3f74a166, 32'h3f63d794,32'h3f7bd352, 32'h3f57d9b4,32'h3f83e899,// invsqrt(1.1394) = 0.9369 +32'h3f092780,32'h3fab6064,32'h3fb25f19, 32'h3fa6215b,32'h3fb79e21, 32'h3f9d62f8,32'h3fc05c84,// invsqrt(0.5358) = 1.3662 +32'h3e1606da,32'h4023dc09,32'h402a8c33, 32'h401ed7e9,32'h402f9053, 32'h40167bb5,32'h4037ec87,// invsqrt(0.1465) = 2.6126 +32'h3fc72bc2,32'h3f491f0d,32'h3f515491, 32'h3f42f6eb,32'h3f577cb3, 32'h3f38b407,32'h3f61bf97,// invsqrt(1.5560) = 0.8017 +32'h4001eab8,32'h3f3015da,32'h3f3745c4, 32'h3f2ab1eb,32'h3f3ca9b3, 32'h3f21b607,32'h3f45a597,// invsqrt(2.0300) = 0.7019 +32'h3facf7cc,32'h3f57d17a,32'h3f60a08e, 32'h3f51362a,32'h3f673bde, 32'h3f463350,32'h3f723eb8,// invsqrt(1.3513) = 0.8602 +32'h426ba95b,32'h3e02bdb0,32'h3e0813cc, 32'h3dfd7a36,32'h3e0c1461, 32'h3df022f0,32'h3e12c004,// invsqrt(58.9154) = 0.1303 +32'h41995fd0,32'h3e653085,32'h3e6e8b51, 32'h3e5e2c6c,32'h3e758f6a, 32'h3e527aed,32'h3e80a074,// invsqrt(19.1718) = 0.2284 +32'h401d1631,32'h3f202291,32'h3f26abd1, 32'h3f1b3ba2,32'h3f2b92c0, 32'h3f131012,32'h3f33be50,// invsqrt(2.4545) = 0.6383 +32'h3fc2933b,32'h3f4b7b8f,32'h3f53c9be, 32'h3f4540ea,32'h3f5a0462, 32'h3f3adf2f,32'h3f64661d,// invsqrt(1.5201) = 0.8111 +32'h3e18d824,32'h4022579a,32'h4028f7ea, 32'h401d5f5f,32'h402df025, 32'h401516fb,32'h40363889,// invsqrt(0.1493) = 2.5884 +32'h3fe9cbcd,32'h3f39a1be,32'h3f413568, 32'h3f33f2ff,32'h3f46e427, 32'h3f2a7a6b,32'h3f505cbb,// invsqrt(1.8265) = 0.7399 +32'h3f9e6313,32'h3f61889a,32'h3f6abd32, 32'h3f5aa127,32'h3f71a4a5, 32'h3f4f1f69,32'h3f7d2663,// invsqrt(1.2374) = 0.8990 +32'h3f12c71a,32'h3fa5a9c5,32'h3fac6cc7, 32'h3fa09782,32'h3fb17f0a, 32'h3f9823bf,32'h3fb9f2cd,// invsqrt(0.5734) = 1.3207 +32'h3f047f6d,32'h3fae5caa,32'h3fb57a92, 32'h3fa9063c,32'h3fbad100, 32'h3fa020db,32'h3fc3b661,// invsqrt(0.5176) = 1.3900 +32'h3e8f6be1,32'h3fed0231,32'h3ff6aeb1, 32'h3fe5c0d2,32'h3ffdf010, 32'h3fd9a932,32'h400503d8,// invsqrt(0.2801) = 1.8894 +32'h3f0758f9,32'h3fac843e,32'h3fb38ede, 32'h3fa73c47,32'h3fb8d6d5, 32'h3f9e6f00,32'h3fc1a41c,// invsqrt(0.5287) = 1.3753 +32'h3f51b376,32'h3f8a98fd,32'h3f904131, 32'h3f865ad6,32'h3f947f58, 32'h3f7e9129,32'h3f9b9199,// invsqrt(0.8191) = 1.1049 +32'h3f8276eb,32'h3f787fc5,32'h3f81522a, 32'h3f70e459,32'h3f851fe0, 32'h3f6436a5,32'h3f8b76ba,// invsqrt(1.0193) = 0.9905 +32'h3e0cab1d,32'h402938ec,32'h40302120, 32'h40240ac6,32'h40354f46, 32'h401b6885,32'h403df187,// invsqrt(0.1374) = 2.6981 +32'h3f930c5b,32'h3f6a1146,32'h3f739f0a, 32'h3f62e6f3,32'h3f7ac95d, 32'h3f56f5be,32'h3f835d49,// invsqrt(1.1488) = 0.9330 +32'h3f31cd2b,32'h3f96849b,32'h3f9ca95d, 32'h3f91e909,32'h3fa144ef, 32'h3f8a3b16,32'h3fa8f2e2,// invsqrt(0.6945) = 1.1999 +32'h3b55363d,32'h418973a7,32'h418f0fe3, 32'h41853e7b,32'h4193450f, 32'h417c7663,32'h419a4859,// invsqrt(0.0033) = 17.5321 +32'h3f8378c7,32'h3f778b9b,32'h3f80d31a, 32'h3f6ff7a8,32'h3f849d13, 32'h3f63566a,32'h3f8aedb2,// invsqrt(1.0271) = 0.9867 +32'h3b40b164,32'h419095c0,32'h41967c84, 32'h418c28ad,32'h419ae997, 32'h4184c838,32'h41a24a0c,// invsqrt(0.0029) = 18.4420 +32'h3fa3a9af,32'h3f5dde62,32'h3f66ecaf, 32'h3f5713a8,32'h3f6db768, 32'h3f4bc1c7,32'h3f790949,// invsqrt(1.2786) = 0.8844 +32'h40ab0d3b,32'h3ed90617,32'h3ee1e1c5, 32'h3ed26155,32'h3ee88687, 32'h3ec74ebd,32'h3ef3991f,// invsqrt(5.3454) = 0.4325 +32'h3f3c8c5f,32'h3f922a5a,32'h3f9821a2, 32'h3f8db0e4,32'h3f9c9b18, 32'h3f863bcb,32'h3fa41031,// invsqrt(0.7365) = 1.1652 +32'h3fd881b8,32'h3f40e6c1,32'h3f48c661, 32'h3f3aff0a,32'h3f4eae18, 32'h3f312782,32'h3f5885a0,// invsqrt(1.6915) = 0.7689 +32'h4007360c,32'h3f2c9a85,32'h3f33a60d, 32'h3f2751df,32'h3f38eeb3, 32'h3f1e8375,32'h3f41bd1d,// invsqrt(2.1127) = 0.6880 +32'h3f9a3f0e,32'h3f648a6e,32'h3f6dde72, 32'h3f5d8b6a,32'h3f74dd76, 32'h3f51e265,32'h3f80433e,// invsqrt(1.2050) = 0.9110 +32'h3f16a180,32'h3fa387d6,32'h3faa3490, 32'h3f9e864a,32'h3faf361c, 32'h3f962e61,32'h3fb78e05,// invsqrt(0.5884) = 1.3037 +32'h3fd200ee,32'h3f43dd88,32'h3f4bdc20, 32'h3f3dde96,32'h3f51db12, 32'h3f33e058,32'h3f5bd950,// invsqrt(1.6407) = 0.7807 +32'h4040e2fd,32'h3f108328,32'h3f16692a, 32'h3f0c16a7,32'h3f1ad5ab, 32'h3f04b725,32'h3f22352d,// invsqrt(3.0139) = 0.5760 +32'h3f3308df,32'h3f95ffaa,32'h3f9c1f00, 32'h3f91682a,32'h3fa0b680, 32'h3f89c100,32'h3fa85daa,// invsqrt(0.6994) = 1.1958 +32'h3fb0b487,32'h3f55861b,32'h3f5e3d36, 32'h3f4efcc6,32'h3f64c68a, 32'h3f4417e4,32'h3f6fab6c,// invsqrt(1.3805) = 0.8511 +32'h3db2b66d,32'h4054523d,32'h405cfcc7, 32'h404dd255,32'h40637caf, 32'h4042fd28,32'h406e51dc,// invsqrt(0.0873) = 3.3852 +32'h3f503a6f,32'h3f8b163e,32'h3f90c38f, 32'h3f86d442,32'h3f95058c, 32'h3f7f7739,32'h3f9c1e31,// invsqrt(0.8134) = 1.1088 +32'h3e0636b8,32'h402d3e65,32'h4034509d, 32'h4027f0bb,32'h40399e47, 32'h401f19f4,32'h4042750e,// invsqrt(0.1311) = 2.7622 +32'h3e37abb5,32'h401417f1,32'h401a235e, 32'h400f8f5f,32'h401eabef, 32'h40080116,32'h40263a38,// invsqrt(0.1794) = 2.3612 +32'h416ca75d,32'h3e827773,32'h3e87cab1, 32'h3e7cf209,32'h3e8bc920, 32'h3e6fa1ed,32'h3e92712d,// invsqrt(14.7909) = 0.2600 +32'h3fd01e24,32'h3f44c034,32'h3f4cc80c, 32'h3f3eba52,32'h3f52cdee, 32'h3f34b083,32'h3f5cd7bd,// invsqrt(1.6259) = 0.7842 +32'h405ef76e,32'h3f066953,32'h3f0be5ca, 32'h3f024bfb,32'h3f100323, 32'h3ef6e0ce,32'h3f16deb7,// invsqrt(3.4839) = 0.5358 +32'h3e4ab18a,32'h400cf91a,32'h4012ba20, 32'h4008a855,32'h40170ae5, 32'h4001770d,32'h401e3c2d,// invsqrt(0.1979) = 2.2477 +32'h3e472235,32'h400e3a41,32'h40140863, 32'h4009dfa8,32'h401862fc, 32'h40029dfd,32'h401fa4a7,// invsqrt(0.1945) = 2.2677 +32'h4089e084,32'h3ef1ba1a,32'h3efb97e6, 32'h3eea53c0,32'h3f017f20, 32'h3eddfe80,32'h3f07a9c0,// invsqrt(4.3087) = 0.4818 +32'h3f951aa2,32'h3f6872c2,32'h3f71ef9b, 32'h3f615520,32'h3f790d3e, 32'h3f557911,32'h3f8274a7,// invsqrt(1.1649) = 0.9265 +32'h3ecbb9c9,32'h3fc6dc3d,32'h3fcefa20, 32'h3fc0c5d2,32'h3fd5108a, 32'h3fb6a076,32'h3fdf35e6,// invsqrt(0.3979) = 1.5853 +32'h3e3f3ba8,32'h401122c4,32'h40170f4a, 32'h400cb160,32'h401b80ae, 32'h400549ba,32'h4022e854,// invsqrt(0.1868) = 2.3140 +32'h428d54dd,32'h3deec126,32'h3df87fe4, 32'h3de77218,32'h3dffcef2, 32'h3ddb43ab,32'h3e05feb0,// invsqrt(70.6657) = 0.1190 +32'h3ea3a7eb,32'h3fdddf94,32'h3fe6edee, 32'h3fd714d1,32'h3fedb8b1, 32'h3fcbc2e1,32'h3ff90aa1,// invsqrt(0.3196) = 1.7688 +32'h3fbc4bf5,32'h3f4ed8e3,32'h3f574a3a, 32'h3f4883e0,32'h3f5d9f3c, 32'h3f3df633,32'h3f682ce9,// invsqrt(1.4711) = 0.8245 +32'h3f5bf876,32'h3f8752dc,32'h3f8cd8da, 32'h3f832e5d,32'h3f90fd59, 32'h3f788dbd,32'h3f97e4d7,// invsqrt(0.8593) = 1.0788 +32'h3f4ef3cb,32'h3f8b83d7,32'h3f9135a1, 32'h3f873e7f,32'h3f957af9, 32'h3f802043,32'h3f9c9935,// invsqrt(0.8084) = 1.1122 +32'h3f2a1e6b,32'h3f99e112,32'h3fa028f3, 32'h3f952b28,32'h3fa4dedc, 32'h3f8d514f,32'h3facb8b5,// invsqrt(0.6645) = 1.2267 +32'h3e9ff071,32'h3fe06fc0,32'h3fe998e2, 32'h3fd990e7,32'h3ff077bb, 32'h3fce1d7c,32'h3ffbeb26,// invsqrt(0.3124) = 1.7892 +32'h40a95e89,32'h3eda1959,32'h3ee30043, 32'h3ed36c2a,32'h3ee9ad72, 32'h3ec84b86,32'h3ef4ce16,// invsqrt(5.2928) = 0.4347 +32'h3c7d7e4c,32'h40fc1e0b,32'h41033435, 32'h40f46644,32'h41071018, 32'h40e7894e,32'h410d7e93,// invsqrt(0.0155) = 8.0395 +32'h3ffd89f6,32'h3f324204,32'h3f3988a0, 32'h3f2ccd0e,32'h3f3efd96, 32'h3f23b4ca,32'h3f4815da,// invsqrt(1.9808) = 0.7105 +32'h3f63ca17,32'h3f84fb1c,32'h3f8a68a0, 32'h3f80e8f9,32'h3f8e7ac3, 32'h3f744029,32'h3f9543a7,// invsqrt(0.8898) = 1.0601 +32'h40290c2c,32'h3f1a5db1,32'h3f20aaa9, 32'h3f15a3f8,32'h3f256462, 32'h3f0dc3c2,32'h3f2d4498,// invsqrt(2.6414) = 0.6153 +32'h3f02db91,32'h3faf7384,32'h3fb69ccd, 32'h3faa148c,32'h3fbbfbc4, 32'h3fa120f1,32'h3fc4ef5f,// invsqrt(0.5112) = 1.3987 +32'h40575865,32'h3f08c4eb,32'h3f0e5a05, 32'h3f049518,32'h3f1289d8, 32'h3efb3572,32'h3f198437,// invsqrt(3.3648) = 0.5452 +32'h400c1298,32'h3f2994f5,32'h3f3080ea, 32'h3f2463fd,32'h3f35b1e1, 32'h3f1bbd0a,32'h3f3e58d4,// invsqrt(2.1886) = 0.6759 +32'h3ee4c2a9,32'h3fbba9f2,32'h3fc352d7, 32'h3fb5eb45,32'h3fc91183, 32'h3fac5827,32'h3fd2a4a1,// invsqrt(0.4468) = 1.4960 +32'h3f492cf1,32'h3f8d8100,32'h3f934792, 32'h3f892c12,32'h3f979c80, 32'h3f81f3db,32'h3f9ed4b7,// invsqrt(0.7858) = 1.1281 +32'h3fb4ed8e,32'h3f530472,32'h3f5ba15c, 32'h3f4c8ec2,32'h3f62170c, 32'h3f41ca9d,32'h3f6cdb31,// invsqrt(1.4135) = 0.8411 +32'h4063f6cd,32'h3f04ee11,32'h3f0a5b0d, 32'h3f00dc55,32'h3f0e6cc9, 32'h3ef42835,32'h3f153504,// invsqrt(3.5619) = 0.5299 +32'h3f0df0bc,32'h3fa87662,32'h3faf56a4, 32'h3fa34e30,32'h3fb47ed6, 32'h3f9ab5dc,32'h3fbd172a,// invsqrt(0.5545) = 1.3430 +32'h400982af,32'h3f2b2788,32'h3f3223ec, 32'h3f25ea3e,32'h3f376136, 32'h3f1d2ec1,32'h3f401cb3,// invsqrt(2.1486) = 0.6822 +32'h405cb2f7,32'h3f0719a2,32'h3f0c9d4a, 32'h3f02f6e3,32'h3f10c009, 32'h3ef824a1,32'h3f17a49b,// invsqrt(3.4484) = 0.5385 +32'h3de24864,32'h403cb03d,32'h404463d7, 32'h4036e98a,32'h404a2a8a, 32'h402d4909,32'h4053cb0b,// invsqrt(0.1105) = 3.0084 +32'h3f9c5614,32'h3f63020d,32'h3f6c460e, 32'h3f5c0f0d,32'h3f73390f, 32'h3f507a0d,32'h3f7ece0f,// invsqrt(1.2214) = 0.9048 +32'h3faa0225,32'h3f59b04e,32'h3f6292ee, 32'h3f530656,32'h3f693ce6, 32'h3f47eb0e,32'h3f74582e,// invsqrt(1.3282) = 0.8677 +32'h3f86584b,32'h3f74e24f,32'h3f7ee119, 32'h3f6d6338,32'h3f833018, 32'h3f60e4bc,32'h3f896f56,// invsqrt(1.0496) = 0.9761 +32'h3f5363b4,32'h3f8a0b01,32'h3f8fad6a, 32'h3f85d133,32'h3f93e739, 32'h3f7d8c61,32'h3f9af23b,// invsqrt(0.8257) = 1.1005 +32'h3f42b222,32'h3f8fd6df,32'h3f95b5d9, 32'h3f8b6fa4,32'h3f9a1d14, 32'h3f8418ec,32'h3fa173cc,// invsqrt(0.7605) = 1.1467 +32'h3ed80a68,32'h3fc11bfe,32'h3fc8fdca, 32'h3fbb32a5,32'h3fcee723, 32'h3fb15867,32'h3fd8c161,// invsqrt(0.4220) = 1.5395 +32'h4094c416,32'h3ee8b656,32'h3ef235f0, 32'h3ee196a1,32'h3ef955a5, 32'h3ed5b720,32'h3f029a93,// invsqrt(4.6489) = 0.4638 +32'h3f53d7f9,32'h3f89e51a,32'h3f8f85f7, 32'h3f85ac75,32'h3f93be9d, 32'h3f7d46c3,32'h3f9ac7b0,// invsqrt(0.8275) = 1.0993 +32'h3fbc11b7,32'h3f4ef8e7,32'h3f576b8e, 32'h3f48a2eb,32'h3f5dc18b, 32'h3f3e139c,32'h3f6850db,// invsqrt(1.4693) = 0.8250 +32'h3f7a7d0c,32'h3f7da004,32'h3f83fd12, 32'h3f75dc6d,32'h3f87dede, 32'h3f68ebc5,32'h3f8e5731,// invsqrt(0.9785) = 1.0109 +32'h3eab25c7,32'h3fd8f687,32'h3fe1d191, 32'h3fd2523f,32'h3fe875d9, 32'h3fc74071,32'h3ff387a7,// invsqrt(0.3343) = 1.7296 +32'h3f594436,32'h3f8829c6,32'h3f8db88a, 32'h3f83feb3,32'h3f91e39d, 32'h3f7a187b,32'h3f98d612,// invsqrt(0.8487) = 1.0855 +32'h3ecf5b19,32'h3fc51ca7,32'h3fcd2845, 32'h3fbf13f0,32'h3fd330fc, 32'h3fb5056a,32'h3fdd3f82,// invsqrt(0.4050) = 1.5714 +32'h3ffe1234,32'h3f321232,32'h3f3956dc, 32'h3f2c9eb3,32'h3f3eca5b, 32'h3f2388e0,32'h3f47e02e,// invsqrt(1.9849) = 0.7098 +32'h3f5039b1,32'h3f8b167e,32'h3f90c3d2, 32'h3f86d480,32'h3f9505d0, 32'h3f7f77ae,32'h3f9c1e79,// invsqrt(0.8134) = 1.1088 +32'h3ebdedee,32'h3fcdf4cb,32'h3fd65cd3, 32'h3fc7a6c5,32'h3fdcaad9, 32'h3fbd24ba,32'h3fe72ce4,// invsqrt(0.3710) = 1.6419 +32'h3e64d7fe,32'h4004ac99,32'h400a16e9, 32'h40009cde,32'h400e26a4, 32'h3ff3aff5,32'h4014eb88,// invsqrt(0.2235) = 2.1153 +32'h3fab14f8,32'h3f59012f,32'h3f61dca9, 32'h3f525c93,32'h3f688145, 32'h3f474a3b,32'h3f73939d,// invsqrt(1.3366) = 0.8650 +32'h3f86baed,32'h3f74889c,32'h3f7e83bc, 32'h3f6d0c43,32'h3f83000a, 32'h3f60925b,32'h3f893cff,// invsqrt(1.0526) = 0.9747 +32'h3bf3ef38,32'h4135bbb8,32'h413d26a6, 32'h41302b86,32'h4142b6d8, 32'h4126e5de,32'h414bfc81,// invsqrt(0.0074) = 11.5901 +32'h3d28674f,32'h409aa92e,32'h40a0f93a, 32'h4095ed25,32'h40a5b543, 32'h408e0915,32'h40ad9953,// invsqrt(0.0411) = 4.9318 +32'h4000ea09,32'h3f30c4d1,32'h3f37fbdf, 32'h3f2b5b87,32'h3f3d6529, 32'h3f2256b6,32'h3f4669fa,// invsqrt(2.0143) = 0.7046 +32'h3ea8a286,32'h3fda92cc,32'h3fe37eaa, 32'h3fd3e1e5,32'h3fea2f91, 32'h3fc8bb0f,32'h3ff55667,// invsqrt(0.3294) = 1.7425 +32'h3f988a41,32'h3f65d0bc,32'h3f6f3212, 32'h3f5ec7bb,32'h3f763b13, 32'h3f530e10,32'h3f80fa5f,// invsqrt(1.1917) = 0.9160 +32'h3ecb834b,32'h3fc6f6da,32'h3fcf15d4, 32'h3fc0df9f,32'h3fd52d0f, 32'h3fb6b8e8,32'h3fdf53c6,// invsqrt(0.3975) = 1.5861 +32'h3f5dd544,32'h3f86c11f,32'h3f8c412b, 32'h3f82a116,32'h3f906134, 32'h3f77820f,32'h3f974142,// invsqrt(0.8665) = 1.0743 +32'h3f4977eb,32'h3f8d66a9,32'h3f932c28, 32'h3f891289,32'h3f978047, 32'h3f81dbaa,32'h3f9eb726,// invsqrt(0.7870) = 1.1272 +32'h3f2ebdb0,32'h3f97d493,32'h3f9e070c, 32'h3f932eb9,32'h3fa2ace7, 32'h3f8b6fa2,32'h3faa6bfe,// invsqrt(0.6826) = 1.2104 +32'h3f2c72a6,32'h3f98d626,32'h3f9f1322, 32'h3f942869,32'h3fa3c0df, 32'h3f8c5c2d,32'h3fab8d1b,// invsqrt(0.6736) = 1.2184 +32'h3f4eca4a,32'h3f8b91d6,32'h3f914432, 32'h3f874c11,32'h3f9589f7, 32'h3f802d1d,32'h3f9ca8eb,// invsqrt(0.8078) = 1.1126 +32'h3fa57528,32'h3f5ca97b,32'h3f65ab2d, 32'h3f55e836,32'h3f6c6c72, 32'h3f4aa618,32'h3f77ae90,// invsqrt(1.2926) = 0.8796 +32'h3eb64ea0,32'h3fd237b9,32'h3fdacc48, 32'h3fcbc84d,32'h3fe13bb3, 32'h3fc10e9a,32'h3febf566,// invsqrt(0.3561) = 1.6758 +32'h3e79e9ae,32'h3ffdeac0,32'h400423f6, 32'h3ff624de,32'h400806e7, 32'h3fe93067,32'h400e8122,// invsqrt(0.2441) = 2.0242 +32'h3e4ddcc6,32'h400be243,32'h401197e7, 32'h40079a07,32'h4015e023, 32'h400076f9,32'h401d0331,// invsqrt(0.2010) = 2.2303 +32'h3ef2a503,32'h3fb63736,32'h3fbda72e, 32'h3fb0a33c,32'h3fc33b28, 32'h3fa75747,32'h3fcc871d,// invsqrt(0.4739) = 1.4526 +32'h3fca7795,32'h3f477a39,32'h3f4f9e8f, 32'h3f415ef9,32'h3f55b9cf, 32'h3f37318d,32'h3f5fe73b,// invsqrt(1.5818) = 0.7951 +32'h3e1fff72,32'h401eabe9,32'h402525dd, 32'h4019d071,32'h402a0155, 32'h4011b800,32'h403219c7,// invsqrt(0.1562) = 2.5298 +32'h3f7dd7b4,32'h3f7bf1a1,32'h3f831d18, 32'h3f743b36,32'h3f86f84d, 32'h3f676084,32'h3f8d65a6,// invsqrt(0.9916) = 1.0042 +32'h3ed041fe,32'h3fc4af43,32'h3fccb66b, 32'h3fbea9e6,32'h3fd2bbc8, 32'h3fb4a0f5,32'h3fdcc4b9,// invsqrt(0.4068) = 1.5680 +32'h40dc8775,32'h3ebf2251,32'h3ec6ef79, 32'h3eb94873,32'h3eccc957, 32'h3eaf8801,32'h3ed689c9,// invsqrt(6.8915) = 0.3809 +32'h3ed5016c,32'h3fc27af3,32'h3fca6b12, 32'h3fbc86dc,32'h3fd05f2a, 32'h3fb29ab6,32'h3fda4b50,// invsqrt(0.4160) = 1.5504 +32'h3fd98700,32'h3f4072c4,32'h3f484da8, 32'h3f3a8e9a,32'h3f4e31d2, 32'h3f30bcfd,32'h3f58036f,// invsqrt(1.6994) = 0.7671 +32'h3fa33a24,32'h3f5e2a24,32'h3f673b88, 32'h3f575d18,32'h3f6e0894, 32'h3f4c075a,32'h3f795e52,// invsqrt(1.2752) = 0.8855 +32'h3f501d6e,32'h3f8b1fef,32'h3f90cda5, 32'h3f86dda6,32'h3f950fee, 32'h3f7f8905,32'h3f9c2911,// invsqrt(0.8129) = 1.1091 +32'h3eb9e9b8,32'h3fd02b48,32'h3fd8aa6f, 32'h3fc9cbea,32'h3fdf09cc, 32'h3fbf2cf8,32'h3fe9a8be,// invsqrt(0.3631) = 1.6595 +32'h3f2209d0,32'h3f9dab57,32'h3fa41ad3, 32'h3f98d7ba,32'h3fa8ee70, 32'h3f90cc60,32'h3fb0f9ca,// invsqrt(0.6330) = 1.2569 +32'h3f5b8156,32'h3f87778f,32'h3f8cff0d, 32'h3f8351f0,32'h3f9124ac, 32'h3f78d126,32'h3f980e09,// invsqrt(0.8574) = 1.0799 +32'h3fc26194,32'h3f4b958a,32'h3f53e4ca, 32'h3f455a1b,32'h3f5a2039, 32'h3f3af70c,32'h3f648348,// invsqrt(1.5186) = 0.8115 +32'h405f8053,32'h3f064023,32'h3f0bbaeb, 32'h3f02240d,32'h3f0fd701, 32'h3ef69526,32'h3f16b07b,// invsqrt(3.4922) = 0.5351 +32'h3f34ab1a,32'h3f9551a8,32'h3f9b69e3, 32'h3f90bf7b,32'h3f9ffc0f, 32'h3f892131,32'h3fa79a59,// invsqrt(0.7057) = 1.1904 +32'h3ea648e7,32'h3fdc1ccf,32'h3fe518c3, 32'h3fd55fd9,32'h3febd5b9, 32'h3fca24e8,32'h3ff710aa,// invsqrt(0.3248) = 1.7547 +32'h3f701dba,32'h3f8185c6,32'h3f86cf26, 32'h3f7b1d7a,32'h3f8ac62f, 32'h3f6de608,32'h3f9161e8,// invsqrt(0.9380) = 1.0325 +32'h3ef660ba,32'h3fb4d473,32'h3fbc35f0, 32'h3faf4b56,32'h3fc1bf0e, 32'h3fa6117a,32'h3fcaf8ea,// invsqrt(0.4812) = 1.4416 +32'h3f4f2f5e,32'h3f8b6fc6,32'h3f9120be, 32'h3f872b0c,32'h3f956578, 32'h3f800dd5,32'h3f9c82af,// invsqrt(0.8093) = 1.1116 +32'h3ea886cf,32'h3fdaa4c4,32'h3fe3915e, 32'h3fd3f350,32'h3fea42d2, 32'h3fc8cb8f,32'h3ff56a93,// invsqrt(0.3292) = 1.7430 +32'h3ede0a82,32'h3fbe7b71,32'h3fc641c9, 32'h3fb8a6af,32'h3fcc168b, 32'h3faeeec0,32'h3fd5ce7a,// invsqrt(0.4337) = 1.5185 +32'h3e82dc4b,32'h3ff81f71,32'h40012009, 32'h3ff086f8,32'h4004ec46, 32'h3fe3de2f,32'h400b40ab,// invsqrt(0.2556) = 1.9780 +32'h3e89a285,32'h3ff1f085,32'h3ffbd08b, 32'h3fea8881,32'h40019c47, 32'h3fde307a,32'h4007c84b,// invsqrt(0.2688) = 1.9287 +32'h3f3e45f1,32'h3f91805d,32'h3f9770b4, 32'h3f8d0c1b,32'h3f9be4f5, 32'h3f859fae,32'h3fa35162,// invsqrt(0.7433) = 1.1599 +32'h4108a977,32'h3eabaf58,32'h3eb2b146, 32'h3ea66de5,32'h3eb7f2b9, 32'h3e9dab7a,32'h3ec0b524,// invsqrt(8.5414) = 0.3422 +32'h4047fbbc,32'h3f0decd1,32'h3f13b7ca, 32'h3f099497,32'h3f181005, 32'h3f0256e0,32'h3f1f4dbc,// invsqrt(3.1247) = 0.5657 +32'h3f2eb694,32'h3f97d7aa,32'h3f9e0a44, 32'h3f9331b7,32'h3fa2b037, 32'h3f8b7278,32'h3faa6f76,// invsqrt(0.6825) = 1.2105 +32'h3f9aa901,32'h3f643c19,32'h3f6d8ceb, 32'h3f5d3f7c,32'h3f748988, 32'h3f519a75,32'h3f801748,// invsqrt(1.2083) = 0.9097 +32'h3f0163f5,32'h3fb07175,32'h3fb7a51b, 32'h3fab0ab8,32'h3fbd0bd8, 32'h3fa20a27,32'h3fc60c69,// invsqrt(0.5054) = 1.4066 +32'h3f9cfbc5,32'h3f628a21,32'h3f6bc93c, 32'h3f5b9acc,32'h3f72b890, 32'h3f500be9,32'h3f7e4773,// invsqrt(1.2264) = 0.9030 +32'h3fc8b4ce,32'h3f4859be,32'h3f508734, 32'h3f4237a6,32'h3f56a94c, 32'h3f37fed3,32'h3f60e21f,// invsqrt(1.5680) = 0.7986 +32'h3fe01993,32'h3f3d9aed,32'h3f45581b, 32'h3f37cd0a,32'h3f4b25fe, 32'h3f2e2090,32'h3f54d278,// invsqrt(1.7508) = 0.7558 +32'h3ff3af01,32'h3f35d3a8,32'h3f3d3f90, 32'h3f3042bb,32'h3f42d07d, 32'h3f26fbd9,32'h3f4c175f,// invsqrt(1.9038) = 0.7248 +32'h3f16dc4f,32'h3fa367f3,32'h3faa1361, 32'h3f9e6762,32'h3faf13f2, 32'h3f961119,32'h3fb76a3b,// invsqrt(0.5893) = 1.3027 +32'h3f3d9f7c,32'h3f91c02b,32'h3f97b31d, 32'h3f8d49f5,32'h3f9c2953, 32'h3f85da47,32'h3fa39901,// invsqrt(0.7407) = 1.1619 +32'h3f6f467d,32'h3f81bffa,32'h3f870bbb, 32'h3f7b8e51,32'h3f8b048b, 32'h3f6e50ef,32'h3f91a33d,// invsqrt(0.9347) = 1.0344 +32'h3ed1f81e,32'h3fc3e1a4,32'h3fcbe066, 32'h3fbde292,32'h3fd1df78, 32'h3fb3e41e,32'h3fdbddec,// invsqrt(0.4101) = 1.5616 +32'h3f7348f5,32'h3f80ad1e,32'h3f85eda8, 32'h3f79796f,32'h3f89de0e, 32'h3f6c5819,32'h3f906eba,// invsqrt(0.9503) = 1.0258 +32'h41b1dd0b,32'h3e54d3d5,32'h3e5d83a9, 32'h3e4e4ff5,32'h3e640789, 32'h3e43742c,32'h3e6ee352,// invsqrt(22.2329) = 0.2121 +32'h3e425a11,32'h400ff772,32'h4015d7c0, 32'h400b8f38,32'h401a3ffa, 32'h400436d6,32'h4021985c,// invsqrt(0.1898) = 2.2954 +32'h3fec4021,32'h3f38aa3f,32'h3f4033cf, 32'h3f330313,32'h3f45dafb, 32'h3f299720,32'h3f4f46ee,// invsqrt(1.8457) = 0.7361 +32'h3f656ba5,32'h3f8481e1,32'h3f89ea72, 32'h3f807374,32'h3f8df8de, 32'h3f73617d,32'h3f94bb94,// invsqrt(0.8962) = 1.0563 +32'h3f93f30d,32'h3f695a82,32'h3f72e0d0, 32'h3f6235c7,32'h3f7a058b, 32'h3f564de5,32'h3f82f6b7,// invsqrt(1.1559) = 0.9301 +32'h3ffc1ea7,32'h3f32c245,32'h3f3a0e1f, 32'h3f2d4963,32'h3f3f8701, 32'h3f242a93,32'h3f48a5d1,// invsqrt(1.9697) = 0.7125 +32'h3ef2dfb6,32'h3fb62130,32'h3fbd9042, 32'h3fb08de3,32'h3fc3238f, 32'h3fa7430d,32'h3fcc6e65,// invsqrt(0.4744) = 1.4519 +32'h3fbb874f,32'h3f4f453a,32'h3f57bafe, 32'h3f48ece7,32'h3f5e1351, 32'h3f3e59b3,32'h3f68a685,// invsqrt(1.4651) = 0.8262 +32'h40b68728,32'h3ed21728,32'h3edaaa64, 32'h3ecba8bc,32'h3ee118d0, 32'h3ec0f0b2,32'h3eebd0da,// invsqrt(5.7040) = 0.4187 +32'h3ff85a2a,32'h3f341c13,32'h3f3b7609, 32'h3f2e989a,32'h3f40f982, 32'h3f256826,32'h3f4a29f6,// invsqrt(1.9403) = 0.7179 +32'h3daa8283,32'h40595e4d,32'h40623d95, 32'h4052b6d8,32'h4068e50a, 32'h40479fbf,32'h4073fc23,// invsqrt(0.0833) = 3.4657 +32'h3fcf321b,32'h3f453025,32'h3f4d3c8f, 32'h3f3f26d6,32'h3f5345de, 32'h3f351751,32'h3f5d5563,// invsqrt(1.6187) = 0.7860 +32'h405c86f7,32'h3f07271c,32'h3f0cab51, 32'h3f0303f3,32'h3f10ce79, 32'h3ef83d61,32'h3f17b3bb,// invsqrt(3.4457) = 0.5387 +32'h3f7f4eb9,32'h3f7b3853,32'h3f82bca9, 32'h3f738794,32'h3f869508, 32'h3f66b657,32'h3f8cfda7,// invsqrt(0.9973) = 1.0014 +32'h3eb02f82,32'h3fd5d6a6,32'h3fde910b, 32'h3fcf4adb,32'h3fe51cd7, 32'h3fc461dd,32'h3ff005d5,// invsqrt(0.3441) = 1.7047 +32'h3f5bc4cd,32'h3f8762c3,32'h3f8ce967, 32'h3f833dc7,32'h3f910e63, 32'h3f78aaf3,32'h3f97f6b1,// invsqrt(0.8585) = 1.0793 +32'h41bffe7c,32'h3e4cd888,32'h3e5534f6, 32'h3e469335,32'h3e5b7a49, 32'h3e3c1fac,32'h3e65edd2,// invsqrt(23.9993) = 0.2041 +32'h40178c13,32'h3f230915,32'h3f29b0a3, 32'h3f1e0b6b,32'h3f2eae4d, 32'h3f15b9f9,32'h3f36ffbf,// invsqrt(2.3679) = 0.6499 +32'h3f3610b4,32'h3f94bebb,32'h3f9ad0f7, 32'h3f90310e,32'h3f9f5ea4, 32'h3f889a43,32'h3fa6f56f,// invsqrt(0.7112) = 1.1858 +32'h3faee51f,32'h3f56a041,32'h3f5f62e1, 32'h3f500e4a,32'h3f65f4d8, 32'h3f451b02,32'h3f70e820,// invsqrt(1.3664) = 0.8555 +32'h3f206ccb,32'h3f9e75cc,32'h3fa4ed8b, 32'h3f999bfc,32'h3fa9c75a, 32'h3f91864d,32'h3fb1dd09,// invsqrt(0.6267) = 1.2632 +32'h3f21de04,32'h3f9dc0ab,32'h3fa43105, 32'h3f98ec67,32'h3fa90549, 32'h3f90dff6,32'h3fb111ba,// invsqrt(0.6323) = 1.2576 +32'h418e857b,32'h3e6dc177,32'h3e7775c5, 32'h3e667a3c,32'h3e7ebd00, 32'h3e5a58db,32'h3e856f30,// invsqrt(17.8152) = 0.2369 +32'h3e789621,32'h3ffe97ef,32'h40047e16, 32'h3ff6ccc0,32'h400863ae, 32'h3fe9cf73,32'h400ee254,// invsqrt(0.2428) = 2.0296 +32'h407e4cb7,32'h3efbb7a3,32'h3f02feea, 32'h3ef402ff,32'h3f06d93c, 32'h3ee72b43,32'h3f0d451b,// invsqrt(3.9734) = 0.5017 +32'h3fd464a4,32'h3f42c2ae,32'h3f4ab5ba, 32'h3f3ccc65,32'h3f50ac03, 32'h3f32dc95,32'h3f5a9bd3,// invsqrt(1.6593) = 0.7763 +32'h3f6663e6,32'h3f843a6a,32'h3f89a010, 32'h3f802e2d,32'h3f8dac4d, 32'h3f72de3b,32'h3f946b5d,// invsqrt(0.9000) = 1.0541 +32'h3e3bc987,32'h4012761b,32'h4018707a, 32'h400dfa53,32'h401cec41, 32'h4006815c,32'h40246538,// invsqrt(0.1834) = 2.3352 +32'h409928f6,32'h3ee5598c,32'h3eeeb604, 32'h3ede5431,32'h3ef5bb5f, 32'h3ed2a09a,32'h3f00b77b,// invsqrt(4.7863) = 0.4571 +32'h40bc8051,32'h3ecebc26,32'h3ed72c52, 32'h3ec86806,32'h3edd8072, 32'h3ebddbd0,32'h3ee80ca8,// invsqrt(5.8907) = 0.4120 +32'h400b23d3,32'h3f2a2637,32'h3f31181a, 32'h3f24f0cd,32'h3f364d85, 32'h3f1c4272,32'h3f3efbe0,// invsqrt(2.1741) = 0.6782 +32'h3f7c45c5,32'h3f7cba06,32'h3f838561, 32'h3f74fd79,32'h3f8763a8, 32'h3f68188d,32'h3f8dd61d,// invsqrt(0.9854) = 1.0074 +32'h3fc4df54,32'h3f4a4abe,32'h3f528c7d, 32'h3f441970,32'h3f58bdcc, 32'h3f39c741,32'h3f630ffb,// invsqrt(1.5381) = 0.8063 +32'h3f1d5bd8,32'h3f9fff1c,32'h3fa686e9, 32'h3f9b1943,32'h3fab6cc3, 32'h3f92ef83,32'h3fb39683,// invsqrt(0.6147) = 1.2755 +32'h3fe1d2f1,32'h3f3ce148,32'h3f4496e2, 32'h3f371914,32'h3f4a5f16, 32'h3f2d7613,32'h3f540217,// invsqrt(1.7642) = 0.7529 +32'h407c739e,32'h3efca312,32'h3f03796f, 32'h3ef4e738,32'h3f07575c, 32'h3ee80379,32'h3f0dc93c,// invsqrt(3.9446) = 0.5035 +32'h3fec540d,32'h3f38a277,32'h3f402bb5, 32'h3f32fb88,32'h3f45d2a4, 32'h3f298ffa,32'h3f4f3e32,// invsqrt(1.8463) = 0.7359 +32'h3fce08f3,32'h3f45be25,32'h3f4dd05b, 32'h3f3fb07d,32'h3f53de03, 32'h3f3599ba,32'h3f5df4c6,// invsqrt(1.6096) = 0.7882 +32'h40166334,32'h3f23a9b1,32'h3f2a57cd, 32'h3f1ea71c,32'h3f2f5a62, 32'h3f164d79,32'h3f37b405,// invsqrt(2.3498) = 0.6524 +32'h3f42dbc3,32'h3f8fc781,32'h3f95a5da, 32'h3f8b60bf,32'h3f9a0c9d, 32'h3f840ad0,32'h3fa1628c,// invsqrt(0.7612) = 1.1462 +32'h4008b59e,32'h3f2ba7b6,32'h3f32a955, 32'h3f26667f,32'h3f37ea8d, 32'h3f1da479,32'h3f40ac93,// invsqrt(2.1361) = 0.6842 +32'h413e5319,32'h3e917b55,32'h3e976b77, 32'h3e8d073a,32'h3e9bdf92, 32'h3e859b0f,32'h3ea34bbd,// invsqrt(11.8953) = 0.2899 +32'h3dd707ed,32'h40418fec,32'h40497672, 32'h403ba306,32'h404f6358, 32'h4031c2de,32'h40594381,// invsqrt(0.1050) = 3.0861 +32'h40fd6e1e,32'h3eb24bce,32'h3eb992d2, 32'h3eacd68c,32'h3ebf0814, 32'h3ea3bdc8,32'h3ec820d8,// invsqrt(7.9197) = 0.3553 +32'h412053d9,32'h3e9e821f,32'h3ea4fa5f, 32'h3e99a7ef,32'h3ea9d48f, 32'h3e91919f,32'h3eb1eadf,// invsqrt(10.0205) = 0.3159 +32'h3e185756,32'h40229c2d,32'h40293f49, 32'h401da1d8,32'h402e399e, 32'h401555f5,32'h40368581,// invsqrt(0.1488) = 2.5926 +32'h3f5b468f,32'h3f8789b6,32'h3f8d11f2, 32'h3f836389,32'h3f91381f, 32'h3f78f27e,32'h3f982269,// invsqrt(0.8565) = 1.0805 +32'h3ef2d377,32'h3fb625c8,32'h3fbd950a, 32'h3fb09257,32'h3fc3287b, 32'h3fa74745,32'h3fcc738d,// invsqrt(0.4743) = 1.4521 +32'h3f86f542,32'h3f7453bd,32'h3f7e4cb5, 32'h3f6cd903,32'h3f82e3b8, 32'h3f6061cd,32'h3f891f53,// invsqrt(1.0544) = 0.9739 +32'h3f0d2060,32'h3fa8f290,32'h3fafd7e4, 32'h3fa3c691,32'h3fb503e3, 32'h3f9b27e7,32'h3fbda28d,// invsqrt(0.5513) = 1.3468 +32'h3e90ab71,32'h3febfbdc,32'h3ff59da6, 32'h3fe4c284,32'h3ffcd6fe, 32'h3fd8b847,32'h4004709d,// invsqrt(0.2826) = 1.8812 +32'h3fef9159,32'h3f3761ce,32'h3f3eddf6, 32'h3f31c4b0,32'h3f447b14, 32'h3f28697f,32'h3f4dd645,// invsqrt(1.8716) = 0.7310 +32'h40645e6c,32'h3f04cfe5,32'h3f0a3ba5, 32'h3f00bf15,32'h3f0e4c75, 32'h3ef3f0c9,32'h3f151326,// invsqrt(3.5683) = 0.5294 +32'h3e2922fc,32'h401a5347,32'h40209fd2, 32'h401599e0,32'h4025593a, 32'h400dba32,32'h402d38e8,// invsqrt(0.1652) = 2.4605 +32'h3fc88559,32'h3f487172,32'h3f509fe0, 32'h3f424ea1,32'h3f56c2b1, 32'h3f381498,32'h3f60fcba,// invsqrt(1.5666) = 0.7990 +32'h3fa61436,32'h3f5c3fb7,32'h3f653d18, 32'h3f5581b0,32'h3f6bfb20, 32'h3f4a44f7,32'h3f7737d9,// invsqrt(1.2975) = 0.8779 +32'h40e35acb,32'h3ebc3e3d,32'h3ec3ed2f, 32'h3eb67b06,32'h3ec9b066, 32'h3eace057,32'h3ed34b15,// invsqrt(7.1048) = 0.3752 +32'h3f8aae7b,32'h3f710657,32'h3f7adccd, 32'h3f69a57e,32'h3f811ed3, 32'h3f5d596a,32'h3f8744dd,// invsqrt(1.0834) = 0.9607 +32'h41a1750e,32'h3e5f6103,32'h3e687f19, 32'h3e588a74,32'h3e6f55a8, 32'h3e4d24d9,32'h3e7abb43,// invsqrt(20.1822) = 0.2226 +32'h3da02cb8,32'h40604581,32'h40696ce9, 32'h405967f2,32'h40704a78, 32'h404df6b0,32'h407bbbba,// invsqrt(0.0782) = 3.5758 +32'h3fc575aa,32'h3f49fdae,32'h3f523c47, 32'h3f43ceba,32'h3f586b3a, 32'h3f39807b,32'h3f62b979,// invsqrt(1.5427) = 0.8051 +32'h3cdfe338,32'h40bdb1f0,32'h40c5700e, 32'h40b7e359,32'h40cb3ea5, 32'h40ae35b2,32'h40d4ec4c,// invsqrt(0.0273) = 6.0489 +32'h4024af8f,32'h3f1c6598,32'h3f22c7c8, 32'h3f179bf4,32'h3f27916c, 32'h3f0fa138,32'h3f2f8c28,// invsqrt(2.5732) = 0.6234 +32'h40069633,32'h3f2d00e6,32'h3f34109c, 32'h3f27b51e,32'h3f395c64, 32'h3f1ee17a,32'h3f423008,// invsqrt(2.1029) = 0.6896 +32'h3e301c8d,32'h40173d09,32'h401d6953, 32'h40129bd2,32'h40220a8a, 32'h400ae476,32'h4029c1e6,// invsqrt(0.1720) = 2.4113 +32'h3f095227,32'h3fab45c4,32'h3fb24364, 32'h3fa6078d,32'h3fb7819b, 32'h3f9d4a85,32'h3fc03ea3,// invsqrt(0.5364) = 1.3654 +32'h3c88bc5e,32'h40f2bbcd,32'h40fca41f, 32'h40eb4d90,32'h4102092e, 32'h40deeb2a,32'h41083a61,// invsqrt(0.0167) = 7.7402 +32'h3f0c7692,32'h3fa95890,32'h3fb0420e, 32'h3fa42971,32'h3fb5712d, 32'h3f9b8594,32'h3fbe150a,// invsqrt(0.5487) = 1.3500 +32'h3e7da680,32'h3ffc0a0f,32'h400329ce, 32'h3ff452e5,32'h40070564, 32'h3fe776f4,32'h400d735c,// invsqrt(0.2477) = 2.0092 +32'h3fcbf7d0,32'h3f46bdfe,32'h3f4edaa5, 32'h3f40a880,32'h3f54f022, 32'h3f3684af,32'h3f5f13f3,// invsqrt(1.5935) = 0.7922 +32'h4044dcc6,32'h3f0f0baf,32'h3f14e25e, 32'h3f0aaaad,32'h3f194361, 32'h3f035e53,32'h3f208fbb,// invsqrt(3.0760) = 0.5702 +32'h3e40cd9f,32'h40108b2a,32'h40167180, 32'h400c1e6a,32'h401ade40, 32'h4004be80,32'h40223e2a,// invsqrt(0.1883) = 2.3046 +32'h40053695,32'h3f2de4a3,32'h3f34fda5, 32'h3f2891e2,32'h3f3a5066, 32'h3f1fb2a0,32'h3f432fa8,// invsqrt(2.0815) = 0.6931 +32'h4068c14c,32'h3f038e03,32'h3f08ec9f, 32'h3eff0e1a,32'h3f0cf395, 32'h3ef1a192,32'h3f13a9d9,// invsqrt(3.6368) = 0.5244 +32'h3fcfd0ee,32'h3f44e4bd,32'h3f4cee13, 32'h3f3eddbc,32'h3f52f514, 32'h3f34d211,32'h3f5d00bf,// invsqrt(1.6236) = 0.7848 +32'h3ed1f94c,32'h3fc3e117,32'h3fcbdfd5, 32'h3fbde20a,32'h3fd1dee2, 32'h3fb3e39d,32'h3fdbdd4f,// invsqrt(0.4101) = 1.5615 +32'h40d2bf9b,32'h3ec384d9,32'h3ecb7fd2, 32'h3ebd889e,32'h3ed17c0c, 32'h3eb38ee6,32'h3edb75c4,// invsqrt(6.5859) = 0.3897 +32'h3f75a6df,32'h3f800e0a,32'h3f854815, 32'h3f784505,32'h3f89339e, 32'h3f6b33ea,32'h3f8fbc2b,// invsqrt(0.9596) = 1.0208 +32'h3f2f2597,32'h3f97a784,32'h3f9dd826, 32'h3f93030a,32'h3fa27ca0, 32'h3f8b4640,32'h3faa396a,// invsqrt(0.6842) = 1.2090 +32'h403997a0,32'h3f13532b,32'h3f195690, 32'h3f0ed09f,32'h3f1dd91b, 32'h3f074c60,32'h3f255d5a,// invsqrt(2.8999) = 0.5872 +32'h3fbee631,32'h3f4d6eb3,32'h3f55d143, 32'h3f4724c8,32'h3f5c1b2e, 32'h3f3ca995,32'h3f669661,// invsqrt(1.4914) = 0.8188 +32'h413c7347,32'h3e923415,32'h3e982bc3, 32'h3e8dba53,32'h3e9ca585, 32'h3e8644bb,32'h3ea41b1d,// invsqrt(11.7781) = 0.2914 +32'h3f156bb7,32'h3fa43103,32'h3faae4a5, 32'h3f9f2a49,32'h3fafeb5f, 32'h3f96c9bf,32'h3fb84be9,// invsqrt(0.5837) = 1.3089 +32'h41229d91,32'h3e9d63a6,32'h3ea3d034, 32'h3e98923b,32'h3ea8a19f, 32'h3e908a88,32'h3eb0a952,// invsqrt(10.1635) = 0.3137 +32'h3f10a2b1,32'h3fa6e2af,32'h3fadb277, 32'h3fa1c6d8,32'h3fb2ce4e, 32'h3f99431e,32'h3fbb5208,// invsqrt(0.5650) = 1.3304 +32'h3e57b4d2,32'h4008a79b,32'h400e3b81, 32'h400478ad,32'h40126a6f, 32'h3ffaff99,32'h4019634f,// invsqrt(0.2107) = 2.1788 +32'h3f9a36af,32'h3f6490a2,32'h3f6de4e8, 32'h3f5d916e,32'h3f74e41c, 32'h3f51e818,32'h3f8046b9,// invsqrt(1.2048) = 0.9111 +32'h3f1df1d2,32'h3f9fb314,32'h3fa637c6, 32'h3f9acf8e,32'h3fab1b4c, 32'h3f92a9af,32'h3fb3412b,// invsqrt(0.6170) = 1.2731 +32'h3fa4896c,32'h3f5d4755,32'h3f664f77, 32'h3f56813a,32'h3f6d1592, 32'h3f4b370f,32'h3f785fbd,// invsqrt(1.2854) = 0.8820 +32'h40fbc33e,32'h3eb2e2b6,32'h3eba2fe2, 32'h3ead68d5,32'h3ebfa9c3, 32'h3ea4485e,32'h3ec8ca3a,// invsqrt(7.8676) = 0.3565 +32'h4010f50f,32'h3f26b33e,32'h3f2d8117, 32'h3f2198dc,32'h3f329b7a, 32'h3f19178d,32'h3f3b1cc9,// invsqrt(2.2650) = 0.6645 +32'h400f9f71,32'h3f27790a,32'h3f2e4ef6, 32'h3f22589a,32'h3f336f66, 32'h3f19cd33,32'h3f3bfacd,// invsqrt(2.2441) = 0.6675 +32'h41653aab,32'h3e849008,32'h3e89f92c, 32'h3e80812c,32'h3e8e0808, 32'h3e737b7c,32'h3e94cb76,// invsqrt(14.3268) = 0.2642 +32'h40ac9551,32'h3ed80f04,32'h3ee0e09c, 32'h3ed171d2,32'h3ee77dce, 32'h3ec66bd5,32'h3ef283cb,// invsqrt(5.3932) = 0.4306 +32'h3edb2e99,32'h3fbfb873,32'h3fc78bbb, 32'h3fb9d9fc,32'h3fcd6a32, 32'h3fb011e2,32'h3fd7324d,// invsqrt(0.4281) = 1.5284 +32'h4062b598,32'h3f054c1b,32'h3f0abced, 32'h3f01377d,32'h3f0ed18b, 32'h3ef4d4ee,32'h3f159e91,// invsqrt(3.5423) = 0.5313 +32'h3f269021,32'h3f9b8355,32'h3fa1dc49, 32'h3f96c09e,32'h3fa69f00, 32'h3f8ed16e,32'h3fae8e30,// invsqrt(0.6506) = 1.2397 +32'h3f77c335,32'h3f7f0437,32'h3f84b670, 32'h3f7735b8,32'h3f889db0, 32'h3f6a32e4,32'h3f8f1f1a,// invsqrt(0.9678) = 1.0165 +32'h3f6dc14d,32'h3f822a01,32'h3f877a15, 32'h3f7c5be2,32'h3f8b7625, 32'h3f6f13ad,32'h3f921a3f,// invsqrt(0.9287) = 1.0377 +32'h3ed827ac,32'h3fc10eeb,32'h3fc8f02e, 32'h3fbb25f8,32'h3fced920, 32'h3fb14c64,32'h3fd8b2b4,// invsqrt(0.4222) = 1.5390 +32'h3ea3a659,32'h3fdde0a5,32'h3fe6ef09, 32'h3fd715d9,32'h3fedb9d5, 32'h3fcbc3db,32'h3ff90bd3,// invsqrt(0.3196) = 1.7688 +32'h3f41ed01,32'h3f901fe8,32'h3f9601dd, 32'h3f8bb671,32'h3f9a6b55, 32'h3f845c00,32'h3fa1c5c6,// invsqrt(0.7575) = 1.1490 +32'h3f8307d7,32'h3f77f633,32'h3f810a93, 32'h3f705efd,32'h3f84d62e, 32'h3f63b84e,32'h3f8b2985,// invsqrt(1.0237) = 0.9884 +32'h4016f5ae,32'h3f235a37,32'h3f2a0515, 32'h3f1e5a11,32'h3f2f053b, 32'h3f16047c,32'h3f375ad0,// invsqrt(2.3587) = 0.6511 +32'h3eb51230,32'h3fd2ef19,32'h3fdb8b24, 32'h3fcc7a10,32'h3fe2002c, 32'h3fc1b701,32'h3fecc33b,// invsqrt(0.3537) = 1.6816 +32'h3fa6c1ad,32'h3f5bcd0c,32'h3f64c5be, 32'h3f551286,32'h3f6b8044, 32'h3f49dba8,32'h3f76b722,// invsqrt(1.3028) = 0.8761 +32'h3fdf599f,32'h3f3dec55,32'h3f45acd6, 32'h3f381bf5,32'h3f4b7d37, 32'h3f2e6b54,32'h3f552dd8,// invsqrt(1.7449) = 0.7570 +32'h3f64da49,32'h3f84abef,32'h3f8a1637, 32'h3f809c38,32'h3f8e25ee, 32'h3f73aebc,32'h3f94eac8,// invsqrt(0.8940) = 1.0576 +32'h401d25c2,32'h3f201aa3,32'h3f26a38f, 32'h3f1b33f1,32'h3f2b8a41, 32'h3f1308ca,32'h3f33b568,// invsqrt(2.4554) = 0.6382 +32'h3f947052,32'h3f68f7f6,32'h3f727a3e, 32'h3f61d63f,32'h3f799bf5, 32'h3f55f364,32'h3f82bf68,// invsqrt(1.1597) = 0.9286 +32'h3e343c29,32'h40157f95,32'h401b99b0, 32'h4010ec01,32'h40202d45, 32'h40094b60,32'h4027cde6,// invsqrt(0.1760) = 2.3836 +32'h3f1e2e18,32'h3f9f94a4,32'h3fa61818, 32'h3f9ab20c,32'h3faafab0, 32'h3f928dbb,32'h3fb31f01,// invsqrt(0.6179) = 1.2722 +32'h3fc685e2,32'h3f497301,32'h3f51abf2, 32'h3f43484e,32'h3f57d6a6, 32'h3f390121,32'h3f621dd3,// invsqrt(1.5510) = 0.8030 +32'h3f20313e,32'h3f9e933d,32'h3fa50c30, 32'h3f99b887,32'h3fa9e6e7, 32'h3f91a158,32'h3fb1fe16,// invsqrt(0.6258) = 1.2642 +32'h3e3da6a3,32'h4011bd6c,32'h4017b042, 32'h400d474c,32'h401c2662, 32'h4005d7c2,32'h402395ec,// invsqrt(0.1852) = 2.3237 +32'h40152ee0,32'h3f24527b,32'h3f2b077b, 32'h3f1f4abb,32'h3f300f3b, 32'h3f16e87c,32'h3f38717b,// invsqrt(2.3310) = 0.6550 +32'h3ef1ef9c,32'h3fb67b7a,32'h3fbdee3b, 32'h3fb0e569,32'h3fc3844b, 32'h3fa795f7,32'h3fccd3bd,// invsqrt(0.4725) = 1.4547 +32'h3e976315,32'h3fe6b05b,32'h3ff01ad1, 32'h3fdfa082,32'h3ff72aaa, 32'h3fd3db6d,32'h400177df,// invsqrt(0.2957) = 1.8390 +32'h3fa119a4,32'h3f5fa05b,32'h3f68c105, 32'h3f58c7da,32'h3f6f9986, 32'h3f4d5f05,32'h3f7b025b,// invsqrt(1.2586) = 0.8914 +32'h40ac98c8,32'h3ed80cd9,32'h3ee0de5b, 32'h3ed16fb9,32'h3ee77b7b, 32'h3ec669d7,32'h3ef2815d,// invsqrt(5.3937) = 0.4306 +32'h3e71408e,32'h4001379c,32'h40067dcc, 32'h3ffa85f0,32'h400a7270, 32'h3fed5677,32'h40110a2c,// invsqrt(0.2356) = 2.0602 +32'h40ba4e2f,32'h3ecff320,32'h3ed86ffc, 32'h3ec9957a,32'h3edecda2, 32'h3ebef966,32'h3ee969b6,// invsqrt(5.8220) = 0.4144 +32'h3f919192,32'h3f6b410a,32'h3f74db34, 32'h3f640d6a,32'h3f7c0ed4, 32'h3f580cb6,32'h3f8407c4,// invsqrt(1.1373) = 0.9377 +32'h3ecd593d,32'h3fc612ad,32'h3fce2856, 32'h3fc0026e,32'h3fd43894, 32'h3fb5e75a,32'h3fde53a8,// invsqrt(0.4011) = 1.5790 +32'h3ee31eaa,32'h3fbc5726,32'h3fc4071e, 32'h3fb6932d,32'h3fc9cb17, 32'h3facf738,32'h3fd3670c,// invsqrt(0.4436) = 1.5014 +32'h431cf9c2,32'h3da03111,32'h3da6bae8, 32'h3d9b49b0,32'h3daba24a, 32'h3d931d64,32'h3db3ce96,// invsqrt(156.9756) = 0.0798 +32'h3f4bbb63,32'h3f8c9d02,32'h3f925a46, 32'h3f884f0f,32'h3f96a839, 32'h3f81227a,32'h3f9dd4ce,// invsqrt(0.7958) = 1.1210 +32'h3e9b8c6f,32'h3fe39502,32'h3fecdf02, 32'h3fdc9d82,32'h3ff3d682, 32'h3fd10102,32'h3fff7302,// invsqrt(0.3038) = 1.8143 +32'h3f49d152,32'h3f8d4754,32'h3f930b8c, 32'h3f88f42a,32'h3f975eb6, 32'h3f81bee5,32'h3f9e93fb,// invsqrt(0.7884) = 1.1263 +32'h3fe902e1,32'h3f39f1b6,32'h3f4188a3, 32'h3f344083,32'h3f4739d5, 32'h3f2ac3db,32'h3f50b67d,// invsqrt(1.8204) = 0.7412 +32'h3f95d667,32'h3f67e0ef,32'h3f7157d4, 32'h3f60c7c3,32'h3f7870ff, 32'h3f54f324,32'h3f8222cf,// invsqrt(1.1706) = 0.9243 +32'h3fb12fa6,32'h3f553bde,32'h3f5deff2, 32'h3f4eb4d0,32'h3f647700, 32'h3f43d3b7,32'h3f6f5819,// invsqrt(1.3843) = 0.8499 +32'h3fd3324b,32'h3f434fbb,32'h3f4b4889, 32'h3f3d5520,32'h3f514324, 32'h3f335e1f,32'h3f5b3a25,// invsqrt(1.6500) = 0.7785 +32'h40274f1e,32'h3f1b2a79,32'h3f217fcd, 32'h3f166a7b,32'h3f263fcb, 32'h3f0e7fd3,32'h3f2e2a73,// invsqrt(2.6142) = 0.6185 +32'h3fbe48f0,32'h3f4dc385,32'h3f56298a, 32'h3f477700,32'h3f5c760e, 32'h3f3cf77a,32'h3f66f595,// invsqrt(1.4866) = 0.8202 +32'h3e82d6fd,32'h3ff82479,32'h400122a7, 32'h3ff08bd9,32'h4004eef8, 32'h3fe3e2cd,32'h400b437d,// invsqrt(0.2555) = 1.9782 +32'h3fb7b6c5,32'h3f516946,32'h3f59f568, 32'h3f4b002c,32'h3f605e82, 32'h3f405102,32'h3f6b0dad,// invsqrt(1.4353) = 0.8347 +32'h4046968a,32'h3f0e6c3c,32'h3f143c68, 32'h3f0a101b,32'h3f189889, 32'h3f02cbe3,32'h3f1fdcc1,// invsqrt(3.1029) = 0.5677 +32'h3f6a61e9,32'h3f8318e3,32'h3f8872b9, 32'h3f7e2b07,32'h3f8c7618, 32'h3f70ca73,32'h3f932663,// invsqrt(0.9156) = 1.0451 +32'h413902e5,32'h3e938e56,32'h3e999426, 32'h3e8f09fb,32'h3e9e1881, 32'h3e8782b8,32'h3ea59fc4,// invsqrt(11.5632) = 0.2941 +32'h41955a39,32'h3e684141,32'h3e71bc15, 32'h3e612522,32'h3e78d834, 32'h3e554b9a,32'h3e8258de,// invsqrt(18.6691) = 0.2314 +32'h3ea84d75,32'h3fdaca02,32'h3fe3b821, 32'h3fd4176a,32'h3fea6ab8, 32'h3fc8edc2,32'h3ff59460,// invsqrt(0.3287) = 1.7442 +32'h3f999a36,32'h3f6504f0,32'h3f6e5df4, 32'h3f5e022c,32'h3f7560b8, 32'h3f5252e7,32'h3f8087ff,// invsqrt(1.2000) = 0.9129 +32'h3f5f0819,32'h3f86644e,32'h3f8be08f, 32'h3f82471c,32'h3f8ffdc0, 32'h3f76d793,32'h3f96d913,// invsqrt(0.8712) = 1.0714 +32'h3ff1b428,32'h3f3691ea,32'h3f3e0595, 32'h3f30fb29,32'h3f439c55, 32'h3f27aa92,32'h3f4cecec,// invsqrt(1.8883) = 0.7277 +32'h3e9122d1,32'h3feb9abc,32'h3ff53890, 32'h3fe4645e,32'h3ffc6eee, 32'h3fd85f15,32'h40043a1b,// invsqrt(0.2835) = 1.8782 +32'h3e78fb82,32'h3ffe6415,32'h4004631b, 32'h3ff69a7e,32'h400847e7, 32'h3fe99fd5,32'h400ec53b,// invsqrt(0.2431) = 2.0280 +32'h4171a5c6,32'h3e811c89,32'h3e86619f, 32'h3e7a5173,32'h3e8a556f, 32'h3e6d24be,32'h3e90ebc9,// invsqrt(15.1030) = 0.2573 +32'h3f538a7d,32'h3f89fe59,32'h3f8fa03d, 32'h3f85c4ee,32'h3f93d9a8, 32'h3f7d7521,32'h3f9ae406,// invsqrt(0.8263) = 1.1001 +32'h3e3e45b1,32'h40118075,32'h401770cd, 32'h400d0c33,32'h401be50f, 32'h40059fc4,32'h4023517e,// invsqrt(0.1858) = 2.3199 +32'h3f301dbb,32'h3f973c88,32'h3f9d68cc, 32'h3f929b55,32'h3fa209ff, 32'h3f8ae3ff,32'h3fa9c155,// invsqrt(0.6880) = 1.2056 +32'h3eaabc34,32'h3fd93991,32'h3fe21758, 32'h3fd2933b,32'h3fe8bdad, 32'h3fc77e02,32'h3ff3d2e6,// invsqrt(0.3335) = 1.7317 +32'h40693062,32'h3f036ea9,32'h3f08cbff, 32'h3efed153,32'h3f0cd1ff, 32'h3ef167fe,32'h3f1386a9,// invsqrt(3.6436) = 0.5239 +32'h3d57d49c,32'h40889d8a,32'h408e3108, 32'h40846eec,32'h40925fa6, 32'h407aed1d,32'h40995804,// invsqrt(0.0527) = 4.3564 +32'h3fb128b5,32'h3f55400c,32'h3f5df44b, 32'h3f4eb8dc,32'h3f647b7a, 32'h3f43d78d,32'h3f6f5cc9,// invsqrt(1.3841) = 0.8500 +32'h3f2949fd,32'h3f9a417f,32'h3fa08d50, 32'h3f9588a3,32'h3fa5462d, 32'h3f8da9de,32'h3fad24f2,// invsqrt(0.6613) = 1.2297 +32'h3daa18f1,32'h4059a1b7,32'h406283bf, 32'h4052f832,32'h40692d44, 32'h4047dda8,32'h407447ce,// invsqrt(0.0831) = 3.4699 +32'h40d236c3,32'h3ec3c472,32'h3ecbc204, 32'h3ebdc645,32'h3ed1c031, 32'h3eb3c94f,32'h3edbbd27,// invsqrt(6.5692) = 0.3902 +32'h3f042e7a,32'h3fae9206,32'h3fb5b21b, 32'h3fa939f6,32'h3fbb0a2a, 32'h3fa051db,32'h3fc3f245,// invsqrt(0.5163) = 1.3917 +32'h3f874dbc,32'h3f7403ce,32'h3f7df982, 32'h3f6c8b86,32'h3f82b8e5, 32'h3f601864,32'h3f88f276,// invsqrt(1.0571) = 0.9726 +32'h40bf8bb9,32'h3ecd15dc,32'h3ed574ca, 32'h3ec6cea8,32'h3edbbbfe, 32'h3ebc57fe,32'h3ee632a8,// invsqrt(5.9858) = 0.4087 +32'h4006a48d,32'h3f2cf7ae,32'h3f340704, 32'h3f27ac2e,32'h3f395284, 32'h3f1ed903,32'h3f4225af,// invsqrt(2.1038) = 0.6894 +32'h3ec105a5,32'h3fcc4cb4,32'h3fd4a36e, 32'h3fc60baa,32'h3fdae478, 32'h3fbb9f42,32'h3fe550e0,// invsqrt(0.3770) = 1.6287 +32'h3f91e557,32'h3f6afd76,32'h3f7494de, 32'h3f63cbe8,32'h3f7bc66c, 32'h3f57cea6,32'h3f83e1d7,// invsqrt(1.1398) = 0.9367 +32'h4010ea14,32'h3f26b98f,32'h3f2d87a9, 32'h3f219efb,32'h3f32a23d, 32'h3f191d59,32'h3f3b23df,// invsqrt(2.2643) = 0.6646 +32'h4246684c,32'h3e0e7cd4,32'h3e144dad, 32'h3e0a2030,32'h3e18aa50, 32'h3e02db20,32'h3e1fef60,// invsqrt(49.6019) = 0.1420 +32'h3d6a8bca,32'h40830d2e,32'h40886689, 32'h407e1455,32'h408c698d, 32'h4070b4f2,32'h4093193f,// invsqrt(0.0573) = 4.1789 +32'h4027c6e0,32'h3f1af30e,32'h3f21461e, 32'h3f1634c2,32'h3f26046a, 32'h3f0e4ced,32'h3f2dec3f,// invsqrt(2.6215) = 0.6176 +32'h403fa123,32'h3f10fc51,32'h3f16e745, 32'h3f0c8c1a,32'h3f1b577c, 32'h3f05266a,32'h3f22bd2c,// invsqrt(2.9942) = 0.5779 +32'h3e7b4543,32'h3ffd3ae4,32'h4003c872, 32'h3ff57a65,32'h4007a8b1, 32'h3fe88ee7,32'h400e1e71,// invsqrt(0.2454) = 2.0187 +32'h3f1b09f6,32'h3fa13069,32'h3fa7c4ab, 32'h3f9c4136,32'h3facb3de, 32'h3f9407e3,32'h3fb4ed31,// invsqrt(0.6056) = 1.2850 +32'h3fd2a10a,32'h3f439308,32'h3f4b8e96, 32'h3f3d965e,32'h3f518b40, 32'h3f339bee,32'h3f5b85b1,// invsqrt(1.6455) = 0.7796 +32'h3f072733,32'h3faca400,32'h3fb3afeb, 32'h3fa75b0f,32'h3fb8f8db, 32'h3f9e8c29,32'h3fc1c7c1,// invsqrt(0.5279) = 1.3763 +32'h3f4aa211,32'h3f8cfe7c,32'h3f92bfba, 32'h3f88ad8d,32'h3f9710a9, 32'h3f817bff,32'h3f9e4237,// invsqrt(0.7915) = 1.1240 +32'h3f2390a1,32'h3f9cee89,32'h3fa3564f, 32'h3f9820b3,32'h3fa82425, 32'h3f901efb,32'h3fb025dd,// invsqrt(0.6389) = 1.2511 +32'h40140de0,32'h3f24f28e,32'h3f2bae16, 32'h3f1fe5e8,32'h3f30babc, 32'h3f177b7d,32'h3f392527,// invsqrt(2.3133) = 0.6575 +32'h3e9f2ca8,32'h3fe0f99d,32'h3fea285f, 32'h3fda168b,32'h3ff10b71, 32'h3fce9c18,32'h3ffc85e4,// invsqrt(0.3109) = 1.7935 +32'h3f221720,32'h3f9da4de,32'h3fa41416, 32'h3f98d174,32'h3fa8e780, 32'h3f90c66e,32'h3fb0f286,// invsqrt(0.6332) = 1.2567 +32'h3fb01449,32'h3f55e72d,32'h3f5ea23f, 32'h3f4f5ae0,32'h3f652e8c, 32'h3f44710a,32'h3f701862,// invsqrt(1.3756) = 0.8526 +32'h4066e243,32'h3f041635,32'h3f097a61, 32'h3f000b14,32'h3f0d8582, 32'h3ef29bba,32'h3f1442b9,// invsqrt(3.6076) = 0.5265 +32'h3fb79807,32'h3f517ace,32'h3f5a07a8, 32'h3f4b112b,32'h3f60714b, 32'h3f40611c,32'h3f6b215b,// invsqrt(1.4343) = 0.8350 +32'h3fc57e28,32'h3f49f956,32'h3f5237c2, 32'h3f43ca85,32'h3f586693, 32'h3f397c7e,32'h3f62b49a,// invsqrt(1.5429) = 0.8051 +32'h3e2fa8a7,32'h40176ee6,32'h401d9d38, 32'h4012cc28,32'h40223ff6, 32'h400b1241,32'h4029f9dd,// invsqrt(0.1715) = 2.4144 +32'h3ed7f553,32'h3fc1256b,32'h3fc90799, 32'h3fbb3bc8,32'h3fcef13c, 32'h3fb1610f,32'h3fd8cbf5,// invsqrt(0.4218) = 1.5397 +32'h3f39250f,32'h3f9380b9,32'h3f9985fa, 32'h3f8efcc8,32'h3f9e09ea, 32'h3f877636,32'h3fa5907c,// invsqrt(0.7232) = 1.1759 +32'h3fbbc892,32'h3f4f2133,32'h3f57957e, 32'h3f48c9fa,32'h3f5decb6, 32'h3f3e389c,32'h3f687e14,// invsqrt(1.4671) = 0.8256 +32'h3fc87d11,32'h3f487596,32'h3f50a42e, 32'h3f4252a4,32'h3f56c720, 32'h3f381865,32'h3f61015f,// invsqrt(1.5663) = 0.7990 +32'h3dea7b2d,32'h40395c46,32'h4040ed1a, 32'h4033afa7,32'h404699b9, 32'h402a3a9e,32'h40500ec2,// invsqrt(0.1145) = 2.9554 +32'h3f5f9cfd,32'h3f863788,32'h3f8bb1f6, 32'h3f821bb5,32'h3f8fcdc9, 32'h3f768558,32'h3f96a6d2,// invsqrt(0.8735) = 1.0700 +32'h3ead709c,32'h3fd78642,32'h3fe05245, 32'h3fd0ed41,32'h3fe6eb47, 32'h3fc5ee3d,32'h3ff1ea4b,// invsqrt(0.3387) = 1.7181 +32'h40c100af,32'h3ecc4f54,32'h3ed4a628, 32'h3ec60e34,32'h3edae748, 32'h3ebba1ab,32'h3ee553d1,// invsqrt(6.0313) = 0.4072 +32'h3f905c15,32'h3f6c3cb1,32'h3f75e120, 32'h3f65015c,32'h3f7d1c74, 32'h3f58f3d1,32'h3f849500,// invsqrt(1.1278) = 0.9416 +32'h3f0f3847,32'h3fa7b550,32'h3fae8db2, 32'h3fa29307,32'h3fb3affb, 32'h3f9a048e,32'h3fbc3e74,// invsqrt(0.5595) = 1.3370 +32'h3f113468,32'h3fa68ede,32'h3fad5b3a, 32'h3fa17598,32'h3fb27480, 32'h3f98f624,32'h3fbaf3f4,// invsqrt(0.5672) = 1.3278 +32'h413ec602,32'h3e914f7e,32'h3e973dd6, 32'h3e8cdcbb,32'h3e9bb099, 32'h3e8572cc,32'h3ea31a88,// invsqrt(11.9233) = 0.2896 +32'h40439f55,32'h3f0f7f90,32'h3f155afa, 32'h3f0b1b02,32'h3f19bf88, 32'h3f03c8be,32'h3f2111cc,// invsqrt(3.0566) = 0.5720 +32'h3f50e926,32'h3f8adc09,32'h3f9086fa, 32'h3f869bd5,32'h3f94c72f, 32'h3f7f0c50,32'h3f9bdcdc,// invsqrt(0.8161) = 1.1070 +32'h3f7c09c3,32'h3f7cd81a,32'h3f839509, 32'h3f751aa2,32'h3f8773c5, 32'h3f68342d,32'h3f8de6ff,// invsqrt(0.9845) = 1.0078 +32'h4294a7c0,32'h3de8cc83,32'h3df24d05, 32'h3de1ac21,32'h3df96d67, 32'h3dd5cb7d,32'h3e02a705,// invsqrt(74.3276) = 0.1160 +32'h4006a512,32'h3f2cf758,32'h3f3406aa, 32'h3f27abdb,32'h3f395227, 32'h3f1ed8b4,32'h3f42254e,// invsqrt(2.1038) = 0.6894 +32'h3fba21ea,32'h3f500bd9,32'h3f5889b8, 32'h3f49ad71,32'h3f5ee81f, 32'h3f3f101a,32'h3f698576,// invsqrt(1.4542) = 0.8293 +32'h3ebf8f10,32'h3fcd1412,32'h3fd572ee, 32'h3fc6cced,32'h3fdbba13, 32'h3fbc565a,32'h3fe630a6,// invsqrt(0.3741) = 1.6349 +32'h3ed1ff3f,32'h3fc3de51,32'h3fcbdcf1, 32'h3fbddf59,32'h3fd1dbe9, 32'h3fb3e111,32'h3fdbda31,// invsqrt(0.4102) = 1.5615 +32'h3e894ba9,32'h3ff23d01,32'h3ffc2025, 32'h3fead2a5,32'h4001c540, 32'h3fde76b8,32'h4007f337,// invsqrt(0.2682) = 1.9311 +32'h3ea418c1,32'h3fdd933f,32'h3fe69e7b, 32'h3fd6cad2,32'h3fed66e8, 32'h3fcb7cc7,32'h3ff8b4f3,// invsqrt(0.3205) = 1.7664 +32'h405ca7aa,32'h3f071d18,32'h3f0ca0e4, 32'h3f02fa3e,32'h3f10c3be, 32'h3ef82afc,32'h3f17a87e,// invsqrt(3.4477) = 0.5386 +32'h40159ede,32'h3f2414f0,32'h3f2ac76c, 32'h3f1f0f12,32'h3f2fcd4a, 32'h3f16aff6,32'h3f382c66,// invsqrt(2.3378) = 0.6540 +32'h3f40d18d,32'h3f9089b1,32'h3f966ff7, 32'h3f8c1cfd,32'h3f9adcab, 32'h3f84bd25,32'h3fa23c83,// invsqrt(0.7532) = 1.1522 +32'h3f115bec,32'h3fa67838,32'h3fad43a8, 32'h3fa15fa4,32'h3fb25c3c, 32'h3f98e158,32'h3fbada88,// invsqrt(0.5678) = 1.3271 +32'h3e1576b8,32'h40242af8,32'h402ade5a, 32'h401f246d,32'h402fe4e5, 32'h4016c432,32'h40384520,// invsqrt(0.1460) = 2.6175 +32'h3f6e5a52,32'h3f820032,32'h3f874e92, 32'h3f7c0ad4,32'h3f8b495a, 32'h3f6ec6e4,32'h3f91eb52,// invsqrt(0.9311) = 1.0364 +32'h3f1f4c18,32'h3f9f0522,32'h3fa582bb, 32'h3f9a26f0,32'h3faa60ee, 32'h3f9209f1,32'h3fb27ded,// invsqrt(0.6223) = 1.2677 +32'h3e4610c0,32'h400e9c4e,32'h40146e70, 32'h400a3eb4,32'h4018cc0a, 32'h4002f809,32'h402012b5,// invsqrt(0.1934) = 2.2738 +32'h40b2ade3,32'h3ed4574f,32'h3edd020f, 32'h3ecdd740,32'h3ee3821e, 32'h3ec301d1,32'h3eee578d,// invsqrt(5.5837) = 0.4232 +32'h3e5ef660,32'h400669a5,32'h400be61f, 32'h40024c4a,32'h4010037a, 32'h3ff6e164,32'h4016df12,// invsqrt(0.2177) = 2.1431 +32'h3ef58678,32'h3fb524c1,32'h3fbc8985, 32'h3faf992e,32'h3fc21518, 32'h3fa65b39,32'h3fcb530d,// invsqrt(0.4795) = 1.4441 +32'h3f4f01df,32'h3f8b7f18,32'h3f9130b0, 32'h3f8739e5,32'h3f9575e3, 32'h3f801be7,32'h3f9c93e1,// invsqrt(0.8086) = 1.1121 +32'h4088cde9,32'h3ef2ac3c,32'h3efc93eb, 32'h3eeb3e79,32'h3f0200d7, 32'h3ededcdf,32'h3f0831a5,// invsqrt(4.2751) = 0.4836 +32'h3f529edb,32'h3f8a4b74,32'h3f8ff07e, 32'h3f860fad,32'h3f942c45, 32'h3f7e02c0,32'h3f9b3a92,// invsqrt(0.8227) = 1.1025 +32'h40048c39,32'h3f2e543f,32'h3f3571cf, 32'h3f28fe13,32'h3f3ac7fb, 32'h3f201920,32'h3f43acee,// invsqrt(2.0711) = 0.6949 +32'h3fa9a274,32'h3f59edac,32'h3f62d2cd, 32'h3f5341d3,32'h3f697ea5, 32'h3f482369,32'h3f749d0f,// invsqrt(1.3253) = 0.8687 +32'h3f87cf0e,32'h3f738f84,32'h3f7d807a, 32'h3f6c1acc,32'h3f827a99, 32'h3f5fad99,32'h3f88b133,// invsqrt(1.0610) = 0.9708 +32'h40682314,32'h3f03bad0,32'h3f091b40, 32'h3eff64f6,32'h3f0d2395, 32'h3ef1f3db,32'h3f13dc22,// invsqrt(3.6271) = 0.5251 +32'h3f970594,32'h3f66f7ba,32'h3f70651a, 32'h3f5fe5b2,32'h3f777722, 32'h3f541cf9,32'h3f819fee,// invsqrt(1.1799) = 0.9206 +32'h3fe1337a,32'h3f3d241c,32'h3f44dc70, 32'h3f3759dc,32'h3f4aa6b0, 32'h3f2db372,32'h3f544d1a,// invsqrt(1.7594) = 0.7539 +32'h3edc644a,32'h3fbf3190,32'h3fc6ff57, 32'h3fb9573a,32'h3fccd9ac, 32'h3faf9601,32'h3fd69ae5,// invsqrt(0.4305) = 1.5242 +32'h40a0f660,32'h3edfb8d9,32'h3ee8da83, 32'h3ed8df98,32'h3eefb3c4, 32'h3ecd7583,32'h3efb1dd9,// invsqrt(5.0301) = 0.4459 +32'h3e8c3c05,32'h3fefafc2,32'h3ff9783c, 32'h3fe85965,32'h4000674c, 32'h3fdc1ecc,32'h40068499,// invsqrt(0.2739) = 1.9108 +32'h3e43d621,32'h400f6b7c,32'h40154613, 32'h400b078a,32'h4019aa04, 32'h4003b64d,32'h4020fb41,// invsqrt(0.1912) = 2.2867 +32'h3fcd97bd,32'h3f45f48f,32'h3f4e08fd, 32'h3f3fe53c,32'h3f541850, 32'h3f35cbb2,32'h3f5e31da,// invsqrt(1.6062) = 0.7890 +32'h3feaf085,32'h3f392df6,32'h3f40bce6, 32'h3f3382c2,32'h3f46681a, 32'h3f2a1016,32'h3f4fdac6,// invsqrt(1.8355) = 0.7381 +32'h3f93d078,32'h3f6975cc,32'h3f72fd38, 32'h3f62503c,32'h3f7a22c8, 32'h3f5666f5,32'h3f830608,// invsqrt(1.1548) = 0.9306 +32'h3f9494b0,32'h3f68db71,32'h3f725c90, 32'h3f61ba9b,32'h3f797d67, 32'h3f55d934,32'h3f82af67,// invsqrt(1.1608) = 0.9282 +32'h3fcd2b06,32'h3f4628fa,32'h3f4e3f8c, 32'h3f40180c,32'h3f54507a, 32'h3f35fbd6,32'h3f5e6cb0,// invsqrt(1.6029) = 0.7899 +32'h3faba11b,32'h3f58a885,32'h3f618061, 32'h3f5206a0,32'h3f682246, 32'h3f46f8ce,32'h3f733018,// invsqrt(1.3409) = 0.8636 +32'h4017087e,32'h3f23500b,32'h3f29fa7e, 32'h3f1e5034,32'h3f2efa54, 32'h3f15fb24,32'h3f374f64,// invsqrt(2.3599) = 0.6510 +32'h42220e89,32'h3e1da90b,32'h3e24186f, 32'h3e18d580,32'h3e28ebfa, 32'h3e10ca44,32'h3e30f736,// invsqrt(40.5142) = 0.1571 +32'h3f2250af,32'h3f9d88e8,32'h3fa3f6fc, 32'h3f98b659,32'h3fa8c98b, 32'h3f90acc0,32'h3fb0d324,// invsqrt(0.6340) = 1.2559 +32'h3fa438d8,32'h3f5d7d98,32'h3f6687f2, 32'h3f56b5d5,32'h3f6d4fb5, 32'h3f4b68e4,32'h3f789ca6,// invsqrt(1.2830) = 0.8829 +32'h3f164694,32'h3fa3b947,32'h3faa6805, 32'h3f9eb637,32'h3faf6b15, 32'h3f965bc9,32'h3fb7c583,// invsqrt(0.5870) = 1.3052 +32'h3e35de52,32'h4014d354,32'h401ae668, 32'h40104506,32'h401f74b6, 32'h4008ad2e,32'h40270c8e,// invsqrt(0.1776) = 2.3729 +32'h404398af,32'h3f0f8201,32'h3f155d83, 32'h3f0b1d5f,32'h3f19c225, 32'h3f03cafb,32'h3f211489,// invsqrt(3.0562) = 0.5720 +32'h3f6510e3,32'h3f849c1e,32'h3f8a05c2, 32'h3f808ce4,32'h3f8e14fc, 32'h3f7391b0,32'h3f94d908,// invsqrt(0.8948) = 1.0572 +32'h3f6be5a2,32'h3f82acfa,32'h3f880268, 32'h3f7d59d0,32'h3f8c027a, 32'h3f70043f,32'h3f92ad43,// invsqrt(0.9215) = 1.0417 +32'h3f0f96fd,32'h3fa77df8,32'h3fae5416, 32'h3fa25d60,32'h3fb374ae, 32'h3f99d1ba,32'h3fbc0055,// invsqrt(0.5609) = 1.3352 +32'h3f879675,32'h3f73c255,32'h3f7db55d, 32'h3f6c4c0e,32'h3f8295d2, 32'h3f5fdc43,32'h3f88cdb7,// invsqrt(1.0593) = 0.9716 +32'h41448f75,32'h3e8f27cf,32'h3e94ffa3, 32'h3e8ac5f0,32'h3e996182, 32'h3e837826,32'h3ea0af4c,// invsqrt(12.2850) = 0.2853 +32'h3f700e44,32'h3f8189f1,32'h3f86d37d, 32'h3f7b258f,32'h3f8acaa7, 32'h3f6dedb0,32'h3f916696,// invsqrt(0.9377) = 1.0327 +32'h409894f6,32'h3ee5c8ac,32'h3eef29ae, 32'h3edebfeb,32'h3ef6326f, 32'h3ed306a8,32'h3f00f5d9,// invsqrt(4.7682) = 0.4580 +32'h3f498669,32'h3f8d6193,32'h3f9326dd, 32'h3f890d9c,32'h3f977ad4, 32'h3f81d6ff,32'h3f9eb171,// invsqrt(0.7872) = 1.1271 +32'h3f4c1dad,32'h3f8c7b23,32'h3f923705, 32'h3f882e39,32'h3f9683ef, 32'h3f81035f,32'h3f9daec9,// invsqrt(0.7973) = 1.1199 +32'h3fb6d4b1,32'h3f51ea97,32'h3f5a7c01, 32'h3f4b7d88,32'h3f60e910, 32'h3f40c7c4,32'h3f6b9ed4,// invsqrt(1.4284) = 0.8367 +32'h3fc0e526,32'h3f4c5de9,32'h3f54b555, 32'h3f461c57,32'h3f5af6e7, 32'h3f3baf0f,32'h3f65642f,// invsqrt(1.5070) = 0.8146 +32'h3fa9a2d5,32'h3f59ed6d,32'h3f62d28c, 32'h3f534197,32'h3f697e63, 32'h3f482330,32'h3f749cca,// invsqrt(1.3253) = 0.8687 +32'h3f9baf69,32'h3f637b70,32'h3f6cc464, 32'h3f5c84b8,32'h3f73bb1c, 32'h3f50e986,32'h3f7f564e,// invsqrt(1.2163) = 0.9067 +32'h401e8ce9,32'h3f1f64e6,32'h3f25e667, 32'h3f1a83c4,32'h3f2ac788, 32'h3f1261e2,32'h3f32e96a,// invsqrt(2.4774) = 0.6353 +32'h3faf8297,32'h3f563fe3,32'h3f5efe94, 32'h3f4fb0df,32'h3f658d99, 32'h3f44c283,32'h3f707bf5,// invsqrt(1.3712) = 0.8540 +32'h3c48f0c3,32'h410d962f,32'h41135d9f, 32'h4109409b,32'h4117b333, 32'h41020750,32'h411eec7e,// invsqrt(0.0123) = 9.0298 +32'h41067965,32'h3ead136d,32'h3eb423e5, 32'h3ea7c714,32'h3eb9703e, 32'h3e9ef27e,32'h3ec244d4,// invsqrt(8.4046) = 0.3449 +32'h3fb29840,32'h3f54642c,32'h3f5d0f72, 32'h3f4de3b8,32'h3f638fe6, 32'h3f430da1,32'h3f6e65fd,// invsqrt(1.3953) = 0.8466 +32'h3f542531,32'h3f89cbff,32'h3f8f6bd6, 32'h3f85941f,32'h3f93a3b7, 32'h3f7d18a7,32'h3f9aab82,// invsqrt(0.8287) = 1.0985 +32'h41572dfa,32'h3e88d265,32'h3e8e680b, 32'h3e84a228,32'h3e929848, 32'h3e7b4e32,32'h3e999357,// invsqrt(13.4487) = 0.2727 +32'h40c1b897,32'h3ecbee42,32'h3ed44121, 32'h3ec5b01c,32'h3eda7f48, 32'h3ebb4887,32'h3ee4e6dd,// invsqrt(6.0538) = 0.4064 +32'h3cb02449,32'h40d5dd76,32'h40de9822, 32'h40cf5175,32'h40e52423, 32'h40c4681e,32'h40f00d7a,// invsqrt(0.0215) = 6.8197 +32'h41a2fb35,32'h3e5e5504,32'h3e676829, 32'h3e5786a9,32'h3e6e3685, 32'h3e4c2ebb,32'h3e798e73,// invsqrt(20.3727) = 0.2216 +32'h4060c807,32'h3f05de23,32'h3f0b54eb, 32'h3f01c50d,32'h3f0f6e01, 32'h3ef5e126,32'h3f16427b,// invsqrt(3.5122) = 0.5336 +32'h3fc1abbd,32'h3f4bf507,32'h3f54482b, 32'h3f45b6ab,32'h3f5a8687, 32'h3f3b4ebd,32'h3f64ee75,// invsqrt(1.5131) = 0.8130 +32'h3fe9f13d,32'h3f3992e3,32'h3f4125f1, 32'h3f33e498,32'h3f46d43c, 32'h3f2a6cc6,32'h3f504c0e,// invsqrt(1.8277) = 0.7397 +32'h3e57eec4,32'h40089544,32'h400e286b, 32'h400466e6,32'h401256c8, 32'h3ffadde9,32'h40194eb9,// invsqrt(0.2109) = 2.1777 +32'h3f5cb36b,32'h3f87197f,32'h3f8c9d26, 32'h3f82f6c1,32'h3f90bfe3, 32'h3f782460,32'h3f97a474,// invsqrt(0.8621) = 1.0770 +32'h3f76ba8f,32'h3f7f8cd7,32'h3f84fd8a, 32'h3f77ba2a,32'h3f88e6e1, 32'h3f6ab05d,32'h3f8f6bc7,// invsqrt(0.9638) = 1.0186 +32'h40f8cc86,32'h3eb3f2aa,32'h3ebb4aef, 32'h3eae7075,32'h3ec0cd23, 32'h3ea5421e,32'h3ec9fb7a,// invsqrt(7.7750) = 0.3586 +32'h3e8d1975,32'h3feef364,32'h3ff8b42e, 32'h3fe7a2cc,32'h40000263, 32'h3fdb71ce,32'h40061ae2,// invsqrt(0.2756) = 1.9049 +32'h3f778e3c,32'h3f7f1f7e,32'h3f84c4a3, 32'h3f77502a,32'h3f88ac4d, 32'h3f6a4bf2,32'h3f8f2e69,// invsqrt(0.9670) = 1.0169 +32'h3fb58e9f,32'h3f52a6c3,32'h3f5b3fdb, 32'h3f4c33f1,32'h3f61b2ad, 32'h3f417494,32'h3f6c720a,// invsqrt(1.4184) = 0.8397 +32'h3c29e7e6,32'h4119f9c0,32'h412042a3, 32'h41154315,32'h4124f94d, 32'h410d67f9,32'h412cd469,// invsqrt(0.0104) = 9.8199 +32'h3fb9c20a,32'h3f504182,32'h3f58c192, 32'h3f49e176,32'h3f5f219e, 32'h3f3f4163,32'h3f69c1b1,// invsqrt(1.4512) = 0.8301 +32'h3de5204d,32'h403b8395,32'h40432ae9, 32'h4035c615,32'h4048e869, 32'h402c34ec,32'h40527992,// invsqrt(0.1119) = 2.9897 +32'h3f7df5ca,32'h3f7be2b4,32'h3f831554, 32'h3f742cbf,32'h3f86f04f, 32'h3f6752d0,32'h3f8d5d46,// invsqrt(0.9920) = 1.0040 +32'h3d1ea21f,32'h409f5a3d,32'h40a5db4f, 32'h409a796f,32'h40aabc1d, 32'h40925819,32'h40b2dd73,// invsqrt(0.0387) = 5.0814 +32'h3f7b70b7,32'h3f7d2501,32'h3f83bd0e, 32'h3f75652d,32'h3f879cf7, 32'h3f687acd,32'h3f8e1228,// invsqrt(0.9822) = 1.0090 +32'h3ef570fa,32'h3fb52caf,32'h3fbc91c6, 32'h3fafa0de,32'h3fc21d98, 32'h3fa66282,32'h3fcb5bf4,// invsqrt(0.4794) = 1.4443 +32'h4192a99e,32'h3e6a6004,32'h3e73f0fe, 32'h3e633347,32'h3e7b1dbb, 32'h3e573e0e,32'h3e83897a,// invsqrt(18.3328) = 0.2336 +32'h3e294c73,32'h401a4060,32'h40208c26, 32'h4015878c,32'h402544fa, 32'h400da8d6,32'h402d23b0,// invsqrt(0.1653) = 2.4594 +32'h3b053d67,32'h41ade030,32'h41b4f902, 32'h41a88d91,32'h41ba4ba1, 32'h419fae8a,32'h41c32aa8,// invsqrt(0.0020) = 22.1780 +32'h3f4c9b79,32'h3f8c4fed,32'h3f920a0b, 32'h3f880456,32'h3f9655a2, 32'h3f80dbb0,32'h3f9d7e48,// invsqrt(0.7992) = 1.1186 +32'h4063f123,32'h3f04efb8,32'h3f0a5cc4, 32'h3f00ddee,32'h3f0e6e8e, 32'h3ef42b3c,32'h3f1536de,// invsqrt(3.5616) = 0.5299 +32'h3ec7042b,32'h3fc9330d,32'h3fd16961, 32'h3fc30a4e,32'h3fd79220, 32'h3fb8c665,32'h3fe1d609,// invsqrt(0.3887) = 1.6039 +32'h3dd127a1,32'h4044432d,32'h404c45eb, 32'h403e411f,32'h405247f9, 32'h40343db1,32'h405c4b67,// invsqrt(0.1021) = 3.1292 +32'h3fc0eff5,32'h3f4c582f,32'h3f54af60, 32'h3f4616cb,32'h3f5af0c5, 32'h3f3ba9ce,32'h3f655dc2,// invsqrt(1.5073) = 0.8145 +32'h3f808862,32'h3f7a5c0a,32'h3f824a06, 32'h3f72b20a,32'h3f861f06, 32'h3f65ec0a,32'h3f8c8206,// invsqrt(1.0042) = 0.9979 +32'h406ecb50,32'h3f01e16c,32'h3f072e8b, 32'h3efbcf2c,32'h3f0b2862, 32'h3eee8e5f,32'h3f11c8c8,// invsqrt(3.7312) = 0.5177 +32'h3f35e8aa,32'h3f94cf19,32'h3f9ae1ff, 32'h3f9040eb,32'h3f9f702d, 32'h3f88a94b,32'h3fa707cd,// invsqrt(0.7106) = 1.1863 +32'h3f5d9072,32'h3f86d60b,32'h3f8c56f1, 32'h3f82b55e,32'h3f90779e, 32'h3f77a87c,32'h3f9758be,// invsqrt(0.8655) = 1.0749 +32'h3f4e474c,32'h3f8bbe20,32'h3f91724b, 32'h3f8776ff,32'h3f95b96b, 32'h3f8055c9,32'h3f9cdaa1,// invsqrt(0.8058) = 1.1140 +32'h3f90ff11,32'h3f6bb7c6,32'h3f7556c8, 32'h3f648083,32'h3f7c8e0b, 32'h3f5879c0,32'h3f844a67,// invsqrt(1.1328) = 0.9396 +32'h3f218d0f,32'h3f9de82d,32'h3fa45a24, 32'h3f9912b3,32'h3fa92f9d, 32'h3f91043e,32'h3fb13e12,// invsqrt(0.6311) = 1.2588 +32'h3e25dfa8,32'h401bd5f9,32'h4022324d, 32'h401710bb,32'h4026f78b, 32'h400f1d53,32'h402eeaf3,// invsqrt(0.1620) = 2.4846 +32'h4027f331,32'h3f1ade9c,32'h3f2130d6, 32'h3f1620f0,32'h3f25ee82, 32'h3f0e3a26,32'h3f2dd54c,// invsqrt(2.6242) = 0.6173 +32'h3f0e9a5a,32'h3fa81214,32'h3faeee3e, 32'h3fa2ecf4,32'h3fb4135e, 32'h3f9a59be,32'h3fbca694,// invsqrt(0.5570) = 1.3398 +32'h3f34c106,32'h3f954899,32'h3f9b6076, 32'h3f90b6b4,32'h3f9ff25c, 32'h3f8918e1,32'h3fa7902f,// invsqrt(0.7061) = 1.1901 +32'h3f137629,32'h3fa54752,32'h3fac0650, 32'h3fa03813,32'h3fb1158f, 32'h3f97c956,32'h3fb9844c,// invsqrt(0.5760) = 1.3176 +32'h3f325515,32'h3f964b34,32'h3f9c6d9e, 32'h3f91b164,32'h3fa1076e, 32'h3f8a065e,32'h3fa8b274,// invsqrt(0.6966) = 1.1981 +32'h3f19f730,32'h3fa1bffe,32'h3fa85a1e, 32'h3f9ccc67,32'h3fad4db5, 32'h3f948bc0,32'h3fb58e5c,// invsqrt(0.6014) = 1.2895 +32'h3e7fa093,32'h3ffb1017,32'h4002a7b8, 32'h3ff36094,32'h40067f7a, 32'h3fe69164,32'h400ce712,// invsqrt(0.2496) = 2.0015 +32'h3fb09da3,32'h3f5593f0,32'h3f5e4b9c, 32'h3f4f0a2f,32'h3f64d55d, 32'h3f442499,32'h3f6fbaf3,// invsqrt(1.3798) = 0.8513 +32'h3fa8ccbb,32'h3f5a7777,32'h3f636237, 32'h3f53c766,32'h3f6a1248, 32'h3f48a1f5,32'h3f7537b9,// invsqrt(1.3187) = 0.8708 +32'h3d808503,32'h407a5f53,32'h40824bbb, 32'h4072b539,32'h408620c8, 32'h4065ef0d,32'h408c83dd,// invsqrt(0.0628) = 3.9919 +32'h3fe71464,32'h3f3ab83f,32'h3f425747, 32'h3f3500f9,32'h3f480e8d, 32'h3f2b7a30,32'h3f519557,// invsqrt(1.8053) = 0.7443 +32'h3f707ce6,32'h3f816c22,32'h3f86b476, 32'h3f7aebc4,32'h3f8aaab6, 32'h3f6db6ef,32'h3f914520,// invsqrt(0.9394) = 1.0317 +32'h3fa35a0d,32'h3f5e1470,32'h3f6724f2, 32'h3f57480f,32'h3f6df153, 32'h3f4bf36c,32'h3f7945f6,// invsqrt(1.2762) = 0.8852 +32'h3f52c0c3,32'h3f8a4054,32'h3f8fe4ea, 32'h3f8604e4,32'h3f94205a, 32'h3f7dee51,32'h3f9b2e15,// invsqrt(0.8233) = 1.1021 +32'h3fe8d82e,32'h3f3a02c1,32'h3f419a61, 32'h3f34510a,32'h3f474c18, 32'h3f2ad382,32'h3f50c9a0,// invsqrt(1.8191) = 0.7414 +32'h403e0a67,32'h3f119726,32'h3f17886b, 32'h3f0d2231,32'h3f1bfd5f, 32'h3f05b49a,32'h3f236af6,// invsqrt(2.9694) = 0.5803 +32'h3d1802a0,32'h40a2c976,32'h40a96e6b, 32'h409dcdbe,32'h40ae6a22, 32'h40957f8b,32'h40b6b855,// invsqrt(0.0371) = 5.1909 +32'h3f88c0c1,32'h3f72b7e8,32'h3f7ca011, 32'h3f6b49ca,32'h3f820718, 32'h3f5ee797,32'h3f883832,// invsqrt(1.0684) = 0.9675 +32'h3f82c871,32'h3f783245,32'h3f8129d6, 32'h3f709938,32'h3f84f65c, 32'h3f63ef79,32'h3f8b4b3c,// invsqrt(1.0217) = 0.9893 +32'h409d5101,32'h3ee24cba,32'h3eeb8954, 32'h3edb5f47,32'h3ef276c7, 32'h3ecfd386,32'h3efe0288,// invsqrt(4.9161) = 0.4510 +32'h3e88ae1d,32'h3ff2c875,32'h3ffcb14b, 32'h3feb59d5,32'h40020ff6, 32'h3fdef6ca,32'h4008417b,// invsqrt(0.2670) = 1.9355 +32'h3e1da1b2,32'h401fdba5,32'h40266200, 32'h401af6e2,32'h402b46c4, 32'h4012cef1,32'h40336eb5,// invsqrt(0.1539) = 2.5488 +32'h3cd0ff4f,32'h40c4561a,32'h40cc599e, 32'h40be5377,32'h40d25c41, 32'h40b44f13,32'h40dc60a5,// invsqrt(0.0255) = 6.2607 +32'h411657b7,32'h3ea3aff2,32'h3eaa5e50, 32'h3e9ead2c,32'h3eaf6116, 32'h3e965337,32'h3eb7bb0b,// invsqrt(9.3964) = 0.3262 +32'h406dba3d,32'h3f022bf0,32'h3f077c19, 32'h3efc5fa1,32'h3f0b7837, 32'h3eef173a,32'h3f121c6b,// invsqrt(3.7145) = 0.5189 +32'h3fa2f07c,32'h3f5e5c55,32'h3f676fc7, 32'h3f578dc0,32'h3f6e3e5c, 32'h3f4c3573,32'h3f7996a9,// invsqrt(1.2730) = 0.8863 +32'h3f52b1df,32'h3f8a4537,32'h3f8fe9ff, 32'h3f8609a0,32'h3f942596, 32'h3f7df74a,32'h3f9b3391,// invsqrt(0.8230) = 1.1023 +32'h3e818deb,32'h3ff95ed6,32'h4001c640, 32'h3ff1bc96,32'h40059760, 32'h3fe50380,32'h400bf3eb,// invsqrt(0.2530) = 1.9880 +32'h3f2d0712,32'h3f98948b,32'h3f9eced9, 32'h3f93e8d0,32'h3fa37a94, 32'h3f8c1fed,32'h3fab4377,// invsqrt(0.6759) = 1.2164 +32'h3ffb34b2,32'h3f331570,32'h3f3a64ae, 32'h3f2d9a01,32'h3f3fe01d, 32'h3f2476f4,32'h3f49032a,// invsqrt(1.9625) = 0.7138 +32'h3f1d1988,32'h3fa020dd,32'h3fa6aa0b, 32'h3f9b39fb,32'h3fab90ed, 32'h3f930e82,32'h3fb3bc66,// invsqrt(0.6137) = 1.2765 +32'h3fa6cc24,32'h3f5bc626,32'h3f64be90, 32'h3f550bd6,32'h3f6b78e0, 32'h3f49d552,32'h3f76af64,// invsqrt(1.3031) = 0.8760 +32'h3f63de56,32'h3f84f534,32'h3f8a627a, 32'h3f80e33f,32'h3f8e746f, 32'h3f743550,32'h3f953d06,// invsqrt(0.8901) = 1.0599 +32'h41731b3b,32'h3e80b938,32'h3e85fa3f, 32'h3e7990e3,32'h3e89eb04, 32'h3e6c6e51,32'h3e907c4e,// invsqrt(15.1941) = 0.2565 +32'h4010e5c8,32'h3f26bc08,32'h3f2d8a3c, 32'h3f21a160,32'h3f32a4e4, 32'h3f191f9f,32'h3f3b26a5,// invsqrt(2.2640) = 0.6646 +32'h3f82f8d2,32'h3f78046a,32'h3f8111f8, 32'h3f706cc4,32'h3f84ddcb, 32'h3f63c55c,32'h3f8b317f,// invsqrt(1.0232) = 0.9886 +32'h401b7ec4,32'h3f20f3d3,32'h3f27859d, 32'h3f1c067c,32'h3f2c72f4, 32'h3f13d03f,32'h3f34a931,// invsqrt(2.4296) = 0.6416 +32'h3fa06d34,32'h3f60186a,32'h3f693dfb, 32'h3f593c3c,32'h3f701a28, 32'h3f4dcd47,32'h3f7b891d,// invsqrt(1.2533) = 0.8932 +32'h3d9b703d,32'h4063a9a5,32'h406cf47d, 32'h405cb183,32'h4073ec9f, 32'h405113f6,32'h407f8a2c,// invsqrt(0.0759) = 3.6298 +32'h3fe9642b,32'h3f39caf0,32'h3f416048, 32'h3f341aee,32'h3f47104a, 32'h3f2aa040,32'h3f508af8,// invsqrt(1.8234) = 0.7406 +32'h3f407e71,32'h3f90a8e1,32'h3f96906d, 32'h3f8c3b38,32'h3f9afe16, 32'h3f84d9ca,32'h3fa25f84,// invsqrt(0.7519) = 1.1532 +32'h3f3e4929,32'h3f917f21,32'h3f976f6c, 32'h3f8d0aea,32'h3f9be3a4, 32'h3f859e8d,32'h3fa35001,// invsqrt(0.7433) = 1.1599 +32'h41cd4fd2,32'h3e461738,32'h3e4e2d10, 32'h3e4006d5,32'h3e543d73, 32'h3e35eb87,32'h3e5e58c1,// invsqrt(25.6640) = 0.1974 +32'h3fa20761,32'h3f5efc0f,32'h3f681605, 32'h3f582896,32'h3f6ee97e, 32'h3f4cc823,32'h3f7a49f1,// invsqrt(1.2659) = 0.8888 +32'h3e764ab3,32'h3fffc6d9,32'h40051bba, 32'h3ff7f264,32'h400905f4, 32'h3feae5a2,32'h400f8c55,// invsqrt(0.2405) = 2.0390 +32'h3d180c85,32'h40a2c42a,32'h40a968e7, 32'h409dc89b,32'h40ae6475, 32'h40957aae,32'h40b6b262,// invsqrt(0.0371) = 5.1903 +32'h40237e5b,32'h3f1cf74e,32'h3f235f70, 32'h3f182934,32'h3f282d8a, 32'h3f102709,32'h3f302fb5,// invsqrt(2.5546) = 0.6257 +32'h402bef5a,32'h3f191076,32'h3f1f4fd4, 32'h3f1460f0,32'h3f23ff5a, 32'h3f0c91bb,32'h3f2bce8f,// invsqrt(2.6865) = 0.6101 +32'h3f9eb4c6,32'h3f614e85,32'h3f6a80bf, 32'h3f5a68da,32'h3f71666a, 32'h3f4eea12,32'h3f7ce532,// invsqrt(1.2399) = 0.8981 +32'h3ea0cfa8,32'h3fdfd3c6,32'h3fe8f68a, 32'h3fd8f9b3,32'h3fefd09d, 32'h3fcd8e3e,32'h3ffb3c12,// invsqrt(0.3141) = 1.7843 +32'h3fdc5507,32'h3f3f382f,32'h3f47063b, 32'h3f395da6,32'h3f4ce0c4, 32'h3f2f9c16,32'h3f56a254,// invsqrt(1.7213) = 0.7622 +32'h3f540180,32'h3f89d798,32'h3f8f77e8, 32'h3f859f5d,32'h3f93b023, 32'h3f7d2df3,32'h3f9ab886,// invsqrt(0.8281) = 1.0989 +32'h3f73c2e0,32'h3f808cec,32'h3f85cc25, 32'h3f793b04,32'h3f89bb90, 32'h3f6c1cf7,32'h3f904a97,// invsqrt(0.9522) = 1.0248 +32'h3ea5d8c0,32'h3fdc672f,32'h3fe5662c, 32'h3fd5a7f2,32'h3fec256a, 32'h3fca6936,32'h3ff76426,// invsqrt(0.3239) = 1.7570 +32'h3fcd5727,32'h3f4613ae,32'h3f4e2962, 32'h3f400368,32'h3f5439a8, 32'h3f35e847,32'h3f5e54c9,// invsqrt(1.6042) = 0.7895 +32'h3f1d41ec,32'h3fa00c4c,32'h3fa694a2, 32'h3f9b260b,32'h3fab7ae3, 32'h3f92fb9e,32'h3fb3a550,// invsqrt(0.6143) = 1.2759 +32'h3daf066f,32'h40568bd4,32'h405f4d9e, 32'h404ffa7d,32'h4065def5, 32'h40450840,32'h4070d132,// invsqrt(0.0855) = 3.4207 +32'h3e43cd7a,32'h400f6ea7,32'h4015495f, 32'h400b0a9c,32'h4019ad6a, 32'h4003b936,32'h4020fed0,// invsqrt(0.1912) = 2.2869 +32'h3f0648c4,32'h3fad32c1,32'h3fb4447f, 32'h3fa7e572,32'h3fb991ce, 32'h3f9f0f43,32'h3fc267fd,// invsqrt(0.5245) = 1.3807 +32'h40541570,32'h3f09d11e,32'h3f0f7129, 32'h3f059914,32'h3f13a932, 32'h3efd220c,32'h3f1ab140,// invsqrt(3.3138) = 0.5493 +32'h402329d0,32'h3f1d1ff3,32'h3f2389bf, 32'h3f18509b,32'h3f285917, 32'h3f104c5d,32'h3f305d55,// invsqrt(2.5494) = 0.6263 +32'h406b7bb9,32'h3f02ca5a,32'h3f0820fa, 32'h3efd92c3,32'h3f0c21f2, 32'h3ef03a32,32'h3f12ce3b,// invsqrt(3.6794) = 0.5213 +32'h3f80f0e5,32'h3f79f67f,32'h3f82152d, 32'h3f724f9b,32'h3f85e8a0, 32'h3f658ec8,32'h3f8c4909,// invsqrt(1.0074) = 0.9963 +32'h3facb81c,32'h3f57f940,32'h3f60c9f5, 32'h3f515cba,32'h3f67667c, 32'h3f4657d8,32'h3f726b5e,// invsqrt(1.3494) = 0.8609 +32'h3fc0fbfd,32'h3f4c51d0,32'h3f54a8bf, 32'h3f46109e,32'h3f5ae9f2, 32'h3f3ba3f4,32'h3f65569c,// invsqrt(1.5077) = 0.8144 +32'h3f169929,32'h3fa38c5d,32'h3faa3947, 32'h3f9e8aae,32'h3faf3af6, 32'h3f96328a,32'h3fb7931a,// invsqrt(0.5883) = 1.3038 +32'h3eddcede,32'h3fbe950b,32'h3fc65c6f, 32'h3fb8bf80,32'h3fcc31fa, 32'h3faf0644,32'h3fd5eb36,// invsqrt(0.4332) = 1.5193 +32'h3eb4da08,32'h3fd30fd6,32'h3fdbad37, 32'h3fcc99cc,32'h3fe22340, 32'h3fc1d512,32'h3fece7fa,// invsqrt(0.3532) = 1.6826 +32'h3fdab00b,32'h3f3fefe4,32'h3f47c570, 32'h3f3a0fbb,32'h3f4da599, 32'h3f3044cc,32'h3f577088,// invsqrt(1.7085) = 0.7651 +32'h3f217a5d,32'h3f9df150,32'h3fa463a7, 32'h3f991b8f,32'h3fa93969, 32'h3f910ca3,32'h3fb14855,// invsqrt(0.6308) = 1.2591 +32'h405b6e2e,32'h3f077d79,32'h3f0d0535, 32'h3f0357ac,32'h3f112b02, 32'h3ef8dc03,32'h3f1814ac,// invsqrt(3.4286) = 0.5401 +32'h413c7f03,32'h3e922f88,32'h3e982706, 32'h3e8db5ea,32'h3e9ca0a4, 32'h3e86408d,32'h3ea41601,// invsqrt(11.7810) = 0.2913 +32'h3ec38d6f,32'h3fcaf938,32'h3fd34216, 32'h3fc4c292,32'h3fd978bc, 32'h3fba677d,32'h3fe3d3d1,// invsqrt(0.3819) = 1.6181 +32'h3f508782,32'h3f8afc88,32'h3f90a8cc, 32'h3f86bb55,32'h3f94e9ff, 32'h3f7f47ff,32'h3f9c0155,// invsqrt(0.8146) = 1.1080 +32'h3f318bd5,32'h3f96a04a,32'h3f9cc62e, 32'h3f9203df,32'h3fa16299, 32'h3f8a5483,32'h3fa911f5,// invsqrt(0.6935) = 1.2008 +32'h3f9cd85b,32'h3f62a3b3,32'h3f6be3d9, 32'h3f5bb396,32'h3f72d3f6, 32'h3f502365,32'h3f7e6427,// invsqrt(1.2254) = 0.9034 +32'h407ceffd,32'h3efc64ed,32'h3f035918, 32'h3ef4aafa,32'h3f073611, 32'h3ee7ca66,32'h3f0da65b,// invsqrt(3.9521) = 0.5030 +32'h3e36f61c,32'h4014615d,32'h401a6fc9, 32'h400fd68c,32'h401efa9a, 32'h40084484,32'h40268ca2,// invsqrt(0.1787) = 2.3658 +32'h3f29885b,32'h3f9a251d,32'h3fa06fc5, 32'h3f956d1f,32'h3fa527c3, 32'h3f8d8fcc,32'h3fad0516,// invsqrt(0.6622) = 1.2288 +32'h3fde916a,32'h3f3e41ae,32'h3f4605aa, 32'h3f386eb0,32'h3f4bd8a8, 32'h3f2eb9b4,32'h3f558da4,// invsqrt(1.7388) = 0.7584 +32'h3f99f10c,32'h3f64c44f,32'h3f6e1ab0, 32'h3f5dc385,32'h3f751b79, 32'h3f52178c,32'h3f8063b9,// invsqrt(1.2027) = 0.9119 +32'h3e946275,32'h3fe902d8,32'h3ff28592, 32'h3fe1e0cc,32'h3ff9a79e, 32'h3fd5fd63,32'h4002c584,// invsqrt(0.2898) = 1.8576 +32'h3e9eda40,32'h3fe133f0,32'h3fea6514, 32'h3fda4f15,32'h3ff149ef, 32'h3fced1a8,32'h3ffcc75c,// invsqrt(0.3103) = 1.7953 +32'h400569c5,32'h3f2dc344,32'h3f34dae8, 32'h3f287188,32'h3f3a2ca4, 32'h3f1f93fa,32'h3f430a32,// invsqrt(2.0846) = 0.6926 +32'h3ebed169,32'h3fcd79e3,32'h3fd5dce7, 32'h3fc72fa0,32'h3fdc272a, 32'h3fbcb3db,32'h3fe6a2ef,// invsqrt(0.3727) = 1.6380 +32'h3f3388dd,32'h3f95ca29,32'h3f9be74f, 32'h3f91344c,32'h3fa07d2c, 32'h3f898fdc,32'h3fa8219c,// invsqrt(0.7013) = 1.1941 +32'h3f6be43d,32'h3f82ad5d,32'h3f8802cf, 32'h3f7d5a90,32'h3f8c02e4, 32'h3f7004f4,32'h3f92adb2,// invsqrt(0.9215) = 1.0418 +32'h3f2537a0,32'h3f9c2524,32'h3fa284b2, 32'h3f975d79,32'h3fa74c5d, 32'h3f8f6607,32'h3faf43cf,// invsqrt(0.6454) = 1.2448 +32'h3f1ab1aa,32'h3fa15e63,32'h3fa7f487, 32'h3f9c6dc9,32'h3face521, 32'h3f94321c,32'h3fb520ce,// invsqrt(0.6043) = 1.2864 +32'h3f3d927d,32'h3f91c52a,32'h3f97b850, 32'h3f8d4ecd,32'h3f9c2ead, 32'h3f85dedd,32'h3fa39e9d,// invsqrt(0.7405) = 1.1621 +32'h3dcf3c79,32'h40452b36,32'h404d376d, 32'h403f220e,32'h40534096, 32'h403512ca,32'h405d4fda,// invsqrt(0.1012) = 3.1436 +32'h3fa5edae,32'h3f5c5948,32'h3f6557b4, 32'h3f559a78,32'h3f6c1684, 32'h3f4a5c71,32'h3f77548b,// invsqrt(1.2963) = 0.8783 +32'h41b923d4,32'h3e509a6b,32'h3e591e1b, 32'h3e4a37a6,32'h3e5f80e0, 32'h3e3f9309,32'h3e6a257d,// invsqrt(23.1425) = 0.2079 +32'h3f585ee3,32'h3f8871dc,32'h3f8e0391, 32'h3f844493,32'h3f9230d9, 32'h3f7a9ce1,32'h3f9926fb,// invsqrt(0.8452) = 1.0877 +32'h40068f91,32'h3f2d052a,32'h3f34150c, 32'h3f27b940,32'h3f3960f6, 32'h3f1ee565,32'h3f4234d1,// invsqrt(2.1025) = 0.6897 +32'h3f6fb128,32'h3f81a318,32'h3f86edab, 32'h3f7b5652,32'h3f8ae599, 32'h3f6e1be2,32'h3f9182d1,// invsqrt(0.9363) = 1.0335 +32'h3f153755,32'h3fa44dd3,32'h3fab02a2, 32'h3f9f4637,32'h3fb00a3d, 32'h3f96e434,32'h3fb86c40,// invsqrt(0.5829) = 1.3098 +32'h3fe67ee5,32'h3f3af4c3,32'h3f429643, 32'h3f353ba3,32'h3f484f63, 32'h3f2bb1c3,32'h3f51d943,// invsqrt(1.8007) = 0.7452 +32'h403bac16,32'h3f128197,32'h3f187c6f, 32'h3f0e0576,32'h3f1cf890, 32'h3f068be9,32'h3f24721d,// invsqrt(2.9324) = 0.5840 +32'h3f4f21f8,32'h3f8b7449,32'h3f912570, 32'h3f872f6b,32'h3f956a4d, 32'h3f8011f9,32'h3f9c87bf,// invsqrt(0.8091) = 1.1117 +32'h3ed07084,32'h3fc4994f,32'h3fcc9f91, 32'h3fbe949e,32'h3fd2a442, 32'h3fb48ccb,32'h3fdcac15,// invsqrt(0.4071) = 1.5673 +32'h41aaffb8,32'h3e590eaa,32'h3e61eab1, 32'h3e5269a5,32'h3e688fb7, 32'h3e47569d,32'h3e73a2bf,// invsqrt(21.3749) = 0.2163 +32'h3fe274d7,32'h3f3c9db8,32'h3f445090, 32'h3f36d795,32'h3f4a16b3, 32'h3f2d3807,32'h3f53b641,// invsqrt(1.7692) = 0.7518 +32'h3f1dba91,32'h3f9fcf0a,32'h3fa654e1, 32'h3f9aeaaa,32'h3fab3942, 32'h3f92c35d,32'h3fb3608f,// invsqrt(0.6161) = 1.2740 +32'h3fa81213,32'h3f5af0a5,32'h3f63e059, 32'h3f543cdf,32'h3f6a941f, 32'h3f49113f,32'h3f75bfbf,// invsqrt(1.3131) = 0.8727 +32'h3f7548db,32'h3f802693,32'h3f85619e, 32'h3f787494,32'h3f894de6, 32'h3f6b60f8,32'h3f8fd7b4,// invsqrt(0.9581) = 1.0216 +32'h3f29c1e3,32'h3f9a0afc,32'h3fa05494, 32'h3f9553cb,32'h3fa50bc5, 32'h3f8d77ce,32'h3face7c2,// invsqrt(0.6631) = 1.2280 +32'h3f0222c3,32'h3fafefec,32'h3fb71e49, 32'h3faa8d26,32'h3fbc810e, 32'h3fa19331,32'h3fc57b03,// invsqrt(0.5083) = 1.4026 +32'h3ee1f5fb,32'h3fbcd2a3,32'h3fc487a4, 32'h3fb70ae1,32'h3fca4f65, 32'h3fad68a0,32'h3fd3f1a7,// invsqrt(0.4413) = 1.5053 +32'h3fd1bab1,32'h3f43fe51,32'h3f4bfe40, 32'h3f3dfe5f,32'h3f51fe33, 32'h3f33fe75,32'h3f5bfe1d,// invsqrt(1.6385) = 0.7812 +32'h3f666526,32'h3f843a0e,32'h3f899fb0, 32'h3f802dd4,32'h3f8dabea, 32'h3f72dd91,32'h3f946af5,// invsqrt(0.9000) = 1.0541 +32'h3f895339,32'h3f723655,32'h3f7c1934, 32'h3f6acc2e,32'h3f81c1ae, 32'h3f5e7098,32'h3f87ef79,// invsqrt(1.0729) = 0.9655 +32'h3f334596,32'h3f95e642,32'h3f9c048e, 32'h3f914f89,32'h3fa09b47, 32'h3f89a9aa,32'h3fa84126,// invsqrt(0.7003) = 1.1950 +32'h4264da63,32'h3e04abe8,32'h3e0a1630, 32'h3e009c32,32'h3e0e25e6, 32'h3df3aeaf,32'h3e14eac0,// invsqrt(57.2133) = 0.1322 +32'h3ce1f868,32'h40bcd19f,32'h40c48695, 32'h40b709e6,32'h40ca4e4e, 32'h40ad67b1,32'h40d3f083,// invsqrt(0.0276) = 6.0210 +32'h405a3da5,32'h3f07dbe0,32'h3f0d6776, 32'h3f03b32f,32'h3f119027, 32'h3ef98967,32'h3f187ea2,// invsqrt(3.4100) = 0.5415 +32'h3f8fca62,32'h3f6cb442,32'h3f765d94, 32'h3f657546,32'h3f7d9c90, 32'h3f5961a0,32'h3f84d81b,// invsqrt(1.1234) = 0.9435 +32'h3fc256b7,32'h3f4b9b3b,32'h3f53eab5, 32'h3f455f9f,32'h3f5a2651, 32'h3f3afc46,32'h3f6489aa,// invsqrt(1.5183) = 0.8116 +32'h400221a6,32'h3f2ff0ac,32'h3f371f12, 32'h3f2a8de1,32'h3f3c81dd, 32'h3f2193e2,32'h3f457bdc,// invsqrt(2.0333) = 0.7013 +32'h40589639,32'h3f08606c,32'h3f0df16c, 32'h3f0433ad,32'h3f121e2b, 32'h3efa7cdc,32'h3f19136a,// invsqrt(3.3842) = 0.5436 +32'h3f1735ba,32'h3fa3379b,32'h3fa9e10f, 32'h3f9e3884,32'h3faee026, 32'h3f95e4b3,32'h3fb733f7,// invsqrt(0.5907) = 1.3012 +32'h40c7b084,32'h3ec8dc27,32'h3ed10eef, 32'h3ec2b611,32'h3ed73505, 32'h3eb87697,32'h3ee1747f,// invsqrt(6.2403) = 0.4003 +32'h41170b4b,32'h3ea34e87,32'h3ea9f8eb, 32'h3e9e4ebd,32'h3eaef8b5, 32'h3e95f9c0,32'h3eb74db2,// invsqrt(9.4403) = 0.3255 +32'h402419dd,32'h3f1cacdd,32'h3f2311f5, 32'h3f17e10a,32'h3f27ddc8, 32'h3f0fe2ab,32'h3f2fdc27,// invsqrt(2.5641) = 0.6245 +32'h3e214586,32'h401e0b2e,32'h40247e94, 32'h401934a3,32'h4029551f, 32'h40112464,32'h4031655e,// invsqrt(0.1575) = 2.5198 +32'h3f78f979,32'h3f7e651f,32'h3f8463a5, 32'h3f769b7e,32'h3f884875, 32'h3f69a0c8,32'h3f8ec5d0,// invsqrt(0.9726) = 1.0140 +32'h3fa62dab,32'h3f5c2ed8,32'h3f652b88, 32'h3f557154,32'h3f6be90c, 32'h3f4a3578,32'h3f7724e8,// invsqrt(1.2983) = 0.8776 +32'h3e9c83f1,32'h3fe2e0c8,32'h3fec236d, 32'h3fdbeecd,32'h3ff31569, 32'h3fd05b7f,32'h3ffea8b7,// invsqrt(0.3057) = 1.8087 +32'h3f374fbe,32'h3f943d12,32'h3f9a4a04, 32'h3f8fb35e,32'h3f9ed3b8, 32'h3f882330,32'h3fa663e6,// invsqrt(0.7161) = 1.1817 +32'h3fcd279b,32'h3f462aa1,32'h3f4e4145, 32'h3f4019a7,32'h3f54523f, 32'h3f35fd5b,32'h3f5e6e8b,// invsqrt(1.6028) = 0.7899 +32'h3f94cfe5,32'h3f68ad1a,32'h3f722c54, 32'h3f618dae,32'h3f794bc0, 32'h3f55aea5,32'h3f829565,// invsqrt(1.1626) = 0.9274 +32'h3ff72242,32'h3f348d98,32'h3f3bec30, 32'h3f2f06a5,32'h3f417323, 32'h3f25d067,32'h3f4aa961,// invsqrt(1.9307) = 0.7197 +32'h3f647220,32'h3f84ca2b,32'h3f8a35af, 32'h3f80b988,32'h3f8e4652, 32'h3f73e644,32'h3f950cb8,// invsqrt(0.8924) = 1.0586 +32'h3f2ef0c3,32'h3f97be68,32'h3f9deffa, 32'h3f93193b,32'h3fa29527, 32'h3f8b5b46,32'h3faa531c,// invsqrt(0.6834) = 1.2097 +32'h3ef41af8,32'h3fb5ab6e,32'h3fbd15b2, 32'h3fb01bbc,32'h3fc2a564, 32'h3fa6d6e8,32'h3fcbea38,// invsqrt(0.4768) = 1.4483 +32'h3fda90d8,32'h3f3ffd97,32'h3f47d3b2, 32'h3f3a1d02,32'h3f4db446, 32'h3f305160,32'h3f577fe8,// invsqrt(1.7075) = 0.7653 +32'h3fbdc1d8,32'h3f4e0cb6,32'h3f5675b8, 32'h3f47bdf4,32'h3f5cc47a, 32'h3f3d3ab2,32'h3f6747bd,// invsqrt(1.4825) = 0.8213 +32'h403d8f4f,32'h3f11c663,32'h3f17b997, 32'h3f0d4ffd,32'h3f1c2ffd, 32'h3f05dffd,32'h3f239ffd,// invsqrt(2.9619) = 0.5811 +32'h3f0f6191,32'h3fa79d29,32'h3fae748d, 32'h3fa27b9d,32'h3fb39619, 32'h3f99ee5f,32'h3fbc2357,// invsqrt(0.5601) = 1.3362 +32'h3f0ef24f,32'h3fa7de57,32'h3faeb865, 32'h3fa2bacc,32'h3fb3dbf0, 32'h3f9a2a3b,32'h3fbc6c81,// invsqrt(0.5584) = 1.3382 +32'h3ff5743d,32'h3f352b7b,32'h3f3c9085, 32'h3f2f9fb3,32'h3f421c4d, 32'h3f266166,32'h3f4b5a9a,// invsqrt(1.9176) = 0.7221 +32'h3ed84435,32'h3fc1022e,32'h3fc8e2ec, 32'h3fbb19a0,32'h3fcecb7a, 32'h3fb140b2,32'h3fd8a468,// invsqrt(0.4224) = 1.5387 +32'h3fbbdc4d,32'h3f4f1652,32'h3f578a2c, 32'h3f48bf6f,32'h3f5de10f, 32'h3f3e2e9f,32'h3f6871df,// invsqrt(1.4677) = 0.8254 +32'h3e7a3fb3,32'h3ffdbf18,32'h40040d3e, 32'h3ff5fa8d,32'h4007ef84, 32'h3fe9084f,32'h400e68a2,// invsqrt(0.2444) = 2.0229 +32'h3f8f8139,32'h3f6cf091,32'h3f769c58, 32'h3f65afbb,32'h3f7ddd2d, 32'h3f599902,32'h3f84f9f3,// invsqrt(1.1211) = 0.9444 +32'h3f8df07b,32'h3f6e3e21,32'h3f77f785, 32'h3f66f315,32'h3f7f4291, 32'h3f5acb58,32'h3f85b527,// invsqrt(1.1089) = 0.9496 +32'h3ebc97d0,32'h3fceaf45,32'h3fd71eea, 32'h3fc85b8a,32'h3fdd72a6, 32'h3fbdcffc,32'h3fe7fe34,// invsqrt(0.3683) = 1.6477 +32'h3f0ae3c2,32'h3faa4d71,32'h3fb140ed, 32'h3fa516d3,32'h3fb6778b, 32'h3f9c6677,32'h3fbf27e7,// invsqrt(0.5425) = 1.3576 +32'h40829c26,32'h3ef85c57,32'h3f013fba, 32'h3ef0c201,32'h3f050ce6, 32'h3ee4161c,32'h3f0b62d8,// invsqrt(4.0816) = 0.4950 +32'h3ecf8b0d,32'h3fc505e0,32'h3fcd1090, 32'h3fbefddc,32'h3fd31894, 32'h3fb4f07f,32'h3fdd25f1,// invsqrt(0.4054) = 1.5707 +32'h3fb901c9,32'h3f50ad9b,32'h3f593215, 32'h3f4a4a40,32'h3f5f9570, 32'h3f3fa4a9,32'h3f6a3b07,// invsqrt(1.4454) = 0.8318 +32'h3f1fa738,32'h3f9ed7ba,32'h3fa55378, 32'h3f99faeb,32'h3faa3047, 32'h3f91e03d,32'h3fb24af5,// invsqrt(0.6236) = 1.2663 +32'h4023112d,32'h3f1d2bd1,32'h3f239619, 32'h3f185c1c,32'h3f2865ce, 32'h3f105743,32'h3f306aa7,// invsqrt(2.5479) = 0.6265 +32'h3f0ade8e,32'h3faa50a2,32'h3fb14440, 32'h3fa519eb,32'h3fb67af7, 32'h3f9c6966,32'h3fbf2b7c,// invsqrt(0.5425) = 1.3577 +32'h3fd74ddf,32'h3f417078,32'h3f4955b6, 32'h3f3b8489,32'h3f4f41a5, 32'h3f31a5fb,32'h3f592033,// invsqrt(1.6821) = 0.7710 +32'h3fa5c910,32'h3f5c719d,32'h3f657107, 32'h3f55b20e,32'h3f6c3096, 32'h3f4a72ca,32'h3f776fda,// invsqrt(1.2952) = 0.8787 +32'h3f952d89,32'h3f686408,32'h3f71e046, 32'h3f6146d8,32'h3f78fd76, 32'h3f556b8a,32'h3f826c62,// invsqrt(1.1655) = 0.9263 +32'h3f7dfe45,32'h3f7bde7f,32'h3f831323, 32'h3f7428aa,32'h3f86ee0d, 32'h3f674ef2,32'h3f8d5ae9,// invsqrt(0.9922) = 1.0039 +32'h3fee1a50,32'h3f37f202,32'h3f3f740c, 32'h3f32507a,32'h3f451594, 32'h3f28eded,32'h3f4e7821,// invsqrt(1.8602) = 0.7332 +32'h3f55590b,32'h3f896871,32'h3f8f0437, 32'h3f85339d,32'h3f93390b, 32'h3f7c61ca,32'h3f9a3bc3,// invsqrt(0.8334) = 1.0954 +32'h405321cb,32'h3f0a208c,32'h3f0fc3d6, 32'h3f05e615,32'h3f13fe4d, 32'h3efdb3f2,32'h3f1b0a69,// invsqrt(3.2989) = 0.5506 +32'h40b34e42,32'h3ed3f845,32'h3edc9f23, 32'h3ecd7b1e,32'h3ee31c4a, 32'h3ec2aa88,32'h3eedece0,// invsqrt(5.6033) = 0.4225 +32'h3d0c8610,32'h40a94f3a,32'h40b03856, 32'h40a42064,32'h40b5672c, 32'h409b7d01,32'h40be0a8f,// invsqrt(0.0343) = 5.3989 +32'h4074e806,32'h3f003fe6,32'h3f057bfa, 32'h3ef8a5ae,32'h3f096909, 32'h3eeb8f7d,32'h3f0ff422,// invsqrt(3.8267) = 0.5112 +32'h3f5033df,32'h3f8b1870,32'h3f90c5d7, 32'h3f86d661,32'h3f9507e5, 32'h3f7f7b3f,32'h3f9c20a6,// invsqrt(0.8133) = 1.1089 +32'h3f317788,32'h3f96a8e7,32'h3f9ccf25, 32'h3f920c39,32'h3fa16bd3, 32'h3f8a5c6c,32'h3fa91ba0,// invsqrt(0.6932) = 1.2011 +32'h3f80b247,32'h3f7a3347,32'h3f8234cf, 32'h3f728a86,32'h3f86092f, 32'h3f65c69a,32'h3f8c6b25,// invsqrt(1.0054) = 0.9973 +32'h4034a68b,32'h3f15538a,32'h3f1b6bd8, 32'h3f10c14f,32'h3f1ffe13, 32'h3f0922ec,32'h3f279c76,// invsqrt(2.8227) = 0.5952 +32'h3f03e603,32'h3faec1f4,32'h3fb5e3fe, 32'h3fa9686d,32'h3fbb3d85, 32'h3fa07de0,32'h3fc42812,// invsqrt(0.5152) = 1.3932 +32'h3eea8920,32'h3fb956c3,32'h3fc0e75d, 32'h3fb3aa4f,32'h3fc693d1, 32'h3faa358e,32'h3fd00892,// invsqrt(0.4581) = 1.4775 +32'h3f985c30,32'h3f65f378,32'h3f6f5638, 32'h3f5ee967,32'h3f766049, 32'h3f532df6,32'h3f810ddd,// invsqrt(1.1903) = 0.9166 +32'h3f838c48,32'h3f777940,32'h3f80c98d, 32'h3f6fe5de,32'h3f84933e, 32'h3f63458f,32'h3f8ae366,// invsqrt(1.0277) = 0.9864 +32'h3fac0745,32'h3f586826,32'h3f613d60, 32'h3f51c839,32'h3f67dd4d, 32'h3f46bdb0,32'h3f72e7d7,// invsqrt(1.3440) = 0.8626 +32'h3f0d68b1,32'h3fa8c757,32'h3fafaae8, 32'h3fa39cab,32'h3fb4d595, 32'h3f9b0036,32'h3fbd720a,// invsqrt(0.5524) = 1.3455 +32'h3eb90972,32'h3fd0a949,32'h3fd92d95, 32'h3fca4610,32'h3fdf90ce, 32'h3fbfa0b1,32'h3fea362d,// invsqrt(0.3614) = 1.6634 +32'h3eb3dc98,32'h3fd3a455,32'h3fdc47c6, 32'h3fcd29c0,32'h3fe2c25a, 32'h3fc25d72,32'h3fed8ea8,// invsqrt(0.3513) = 1.6872 +32'h3ec1e649,32'h3fcbd639,32'h3fd4281c, 32'h3fc598cf,32'h3fda6587, 32'h3fbb3274,32'h3fe4cbe3,// invsqrt(0.3787) = 1.6250 +32'h3ebde5fe,32'h3fcdf919,32'h3fd6614e, 32'h3fc7aaf0,32'h3fdcaf76, 32'h3fbd28ae,32'h3fe731b8,// invsqrt(0.3709) = 1.6420 +32'h3ea6b754,32'h3fdbd3de,32'h3fe4ccd7, 32'h3fd51922,32'h3feb8792, 32'h3fc9e1eb,32'h3ff6bec9,// invsqrt(0.3256) = 1.7525 +32'h3f2c5188,32'h3f98e4d5,32'h3f9f226b, 32'h3f9436a5,32'h3fa3d09b, 32'h3f8c69aa,32'h3fab9d96,// invsqrt(0.6731) = 1.2189 +32'h3fcf0ccf,32'h3f4541e7,32'h3f4d4f0b, 32'h3f3f380d,32'h3f5358e5, 32'h3f3527a0,32'h3f5d6952,// invsqrt(1.6176) = 0.7863 +32'h4022de52,32'h3f1d4459,32'h3f23afa1, 32'h3f1873e4,32'h3f288016, 32'h3f106dca,32'h3f308630,// invsqrt(2.5448) = 0.6269 +32'h3fbc3b8a,32'h3f4ee1e8,32'h3f57539e, 32'h3f488ca0,32'h3f5da8e6, 32'h3f3dfe7c,32'h3f68370a,// invsqrt(1.4706) = 0.8246 +32'h407f83e1,32'h3efb1e30,32'h3f02af0e, 32'h3ef36e3e,32'h3f068707, 32'h3ee69e55,32'h3f0ceefb,// invsqrt(3.9924) = 0.5005 +32'h3eb74794,32'h3fd1a8c2,32'h3fda377c, 32'h3fcb3db7,32'h3fe0a287, 32'h3fc08b4f,32'h3feb54ef,// invsqrt(0.3580) = 1.6714 +32'h3e258e47,32'h401bfc41,32'h40225a25, 32'h401735d7,32'h4027208f, 32'h400f407b,32'h402f15eb,// invsqrt(0.1617) = 2.4870 +32'h3f156aa5,32'h3fa4319a,32'h3faae542, 32'h3f9f2adc,32'h3fafec00, 32'h3f96ca49,32'h3fb84c93,// invsqrt(0.5837) = 1.3089 +32'h3f690efa,32'h3f837814,32'h3f88d5cc, 32'h3f7ee395,32'h3f8cdc16, 32'h3f71794a,32'h3f93913b,// invsqrt(0.9104) = 1.0481 +32'h3f8a2546,32'h3f717deb,32'h3f7b5943, 32'h3f6a1969,32'h3f815ee2, 32'h3f5dc73b,32'h3f8787f9,// invsqrt(1.0793) = 0.9626 +32'h3f4195c7,32'h3f90405d,32'h3f9623a5, 32'h3f8bd5e7,32'h3f9a8e1b, 32'h3f8479ce,32'h3fa1ea34,// invsqrt(0.7562) = 1.1500 +32'h3f8db40e,32'h3f6e70e7,32'h3f782c5f, 32'h3f67244e,32'h3f7f78f8, 32'h3f5af9f9,32'h3f85d1a6,// invsqrt(1.1071) = 0.9504 +32'h3ead937d,32'h3fd7709a,32'h3fe03bba, 32'h3fd0d842,32'h3fe6d412, 32'h3fc5da59,32'h3ff1d1fb,// invsqrt(0.3390) = 1.7175 +32'h40fa9584,32'h3eb34e48,32'h3eba9fd8, 32'h3eadd11c,32'h3ec01d04, 32'h3ea4ab28,32'h3ec942f8,// invsqrt(7.8308) = 0.3574 +32'h3f87ccc7,32'h3f73918f,32'h3f7d829b, 32'h3f6c1cc7,32'h3f827bb1, 32'h3f5faf79,32'h3f88b258,// invsqrt(1.0609) = 0.9709 +32'h3f9c3692,32'h3f6318f1,32'h3f6c5de1, 32'h3f5c253d,32'h3f735195, 32'h3f508f12,32'h3f7ee7c0,// invsqrt(1.2204) = 0.9052 +32'h3f39aac4,32'h3f934b92,32'h3f994ea8, 32'h3f8ec942,32'h3f9dd0f8, 32'h3f874567,32'h3fa554d3,// invsqrt(0.7253) = 1.1742 +32'h4145938b,32'h3e8ec976,32'h3e949d71, 32'h3e8a6a7b,32'h3e98fc6d, 32'h3e832182,32'h3ea04566,// invsqrt(12.3485) = 0.2846 +32'h3e8ec596,32'h3fed8c10,32'h3ff73e30, 32'h3fe64678,32'h3ffe83c8, 32'h3fda27d0,32'h40055138,// invsqrt(0.2789) = 1.8937 +32'h3f5a902a,32'h3f87c238,32'h3f8d4cc2, 32'h3f839a50,32'h3f9174aa, 32'h3f795a47,32'h3f9861d6,// invsqrt(0.8538) = 1.0823 +32'h40c43ca6,32'h3eca9e86,32'h3ed2e3b0, 32'h3ec46aa6,32'h3ed91790, 32'h3eba1432,32'h3ee36e04,// invsqrt(6.1324) = 0.4038 +32'h3fdefc94,32'h3f3e13f1,32'h3f45d60f, 32'h3f38425a,32'h3f4ba7a6, 32'h3f2e8fb3,32'h3f555a4d,// invsqrt(1.7421) = 0.7576 +32'h3f37799a,32'h3f942c28,32'h3f9a3868, 32'h3f8fa2f8,32'h3f9ec198, 32'h3f8813a7,32'h3fa650e9,// invsqrt(0.7167) = 1.1812 +32'h3f23dbec,32'h3f9cca77,32'h3fa330c5, 32'h3f97fdbc,32'h3fa7fd80, 32'h3f8ffddb,32'h3faffd61,// invsqrt(0.6401) = 1.2499 +32'h3f20b4c4,32'h3f9e524c,32'h3fa4c898, 32'h3f997993,32'h3fa9a151, 32'h3f9165b3,32'h3fb1b531,// invsqrt(0.6278) = 1.2621 +32'h3e6d5b79,32'h400245e9,32'h40079722, 32'h3ffc91ff,32'h400b940d, 32'h3fef46f1,32'h40123993,// invsqrt(0.2318) = 2.0771 +32'h400bd75c,32'h3f29b8db,32'h3f30a647, 32'h3f2486ca,32'h3f35d858, 32'h3f1bde02,32'h3f3e8120,// invsqrt(2.1850) = 0.6765 +32'h3e9e6925,32'h3fe18448,32'h3feab8b3, 32'h3fda9cf7,32'h3ff1a003, 32'h3fcf1b70,32'h3ffd218a,// invsqrt(0.3094) = 1.7978 +32'h3fcd1b72,32'h3f463081,32'h3f4e4761, 32'h3f401f58,32'h3f54588a, 32'h3f3602bf,32'h3f5e7523,// invsqrt(1.6024) = 0.7900 +32'h403924be,32'h3f1380d9,32'h3f19861b, 32'h3f0efce7,32'h3f1e0a0d, 32'h3f077654,32'h3f2590a0,// invsqrt(2.8929) = 0.5879 +32'h40089bde,32'h3f2bb7e3,32'h3f32ba2b, 32'h3f26762d,32'h3f37fbe1, 32'h3f1db353,32'h3f40bebb,// invsqrt(2.1345) = 0.6845 +32'h407db393,32'h3efc0391,32'h3f03266d, 32'h3ef44c9a,32'h3f0701e9, 32'h3ee770fd,32'h3f0d6fb7,// invsqrt(3.9641) = 0.5023 +32'h3fc84cfc,32'h3f488da4,32'h3f50bd38, 32'h3f4269f5,32'h3f56e0e7, 32'h3f382e7d,32'h3f611c5f,// invsqrt(1.5648) = 0.7994 +32'h40387233,32'h3f13c82c,32'h3f19d058, 32'h3f0f420c,32'h3f1e5678, 32'h3f07b7d5,32'h3f25e0af,// invsqrt(2.8820) = 0.5891 +32'h3e9ee8dd,32'h3fe12995,32'h3fea5a4d, 32'h3fda450b,32'h3ff13ed7, 32'h3fcec826,32'h3ffcbbbc,// invsqrt(0.3104) = 1.7950 +32'h4193ff37,32'h3e6950eb,32'h3e72d6d5, 32'h3e622c7b,32'h3e79fb45, 32'h3e564516,32'h3e82f155,// invsqrt(18.4996) = 0.2325 +32'h3bf0398e,32'h4137218f,32'h413e9b17, 32'h41318668,32'h4144363e, 32'h41282e7e,32'h414d8e28,// invsqrt(0.0073) = 11.6793 +32'h3f3bd07b,32'h3f927365,32'h3f986da7, 32'h3f8df7b2,32'h3f9ce95a, 32'h3f867edf,32'h3fa4622d,// invsqrt(0.7336) = 1.1675 +32'h3e13ef39,32'h402503a3,32'h402bbfde, 32'h401ff677,32'h4030cd0b, 32'h40178b2e,32'h40393854,// invsqrt(0.1445) = 2.6310 +32'h424a7ef1,32'h3e0d0ab6,32'h3e12cc74, 32'h3e08b967,32'h3e171dc3, 32'h3e018739,32'h3e1e4ff1,// invsqrt(50.6240) = 0.1405 +32'h3fae66c2,32'h3f56edf4,32'h3f5fb3c0, 32'h3f50599c,32'h3f664818, 32'h3f45625e,32'h3f713f56,// invsqrt(1.3625) = 0.8567 +32'h3f58a98e,32'h3f885a57,32'h3f8deb16, 32'h3f842dc6,32'h3f9217a6, 32'h3f7a71ae,32'h3f990c95,// invsqrt(0.8463) = 1.0870 +32'h40be2687,32'h3ecdd622,32'h3ed63cea, 32'h3ec7890c,32'h3edc8a00, 32'h3ebd0892,32'h3ee70a7a,// invsqrt(5.9422) = 0.4102 +32'h4005a812,32'h3f2d9ac0,32'h3f34b0bd, 32'h3f284a41,32'h3f3a013b, 32'h3f1f6ec5,32'h3f42dcb7,// invsqrt(2.0884) = 0.6920 +32'h3fc6a5e5,32'h3f4962c5,32'h3f519b0c, 32'h3f433891,32'h3f57c541, 32'h3f38f238,32'h3f620b9a,// invsqrt(1.5519) = 0.8027 +32'h40b9d0f2,32'h3ed03928,32'h3ed8b8e0, 32'h3ec9d95d,32'h3edf18ab, 32'h3ebf39b7,32'h3ee9b851,// invsqrt(5.8068) = 0.4150 +32'h3fbe6e92,32'h3f4daf2f,32'h3f56145f, 32'h3f47634a,32'h3f5c6044, 32'h3f3ce4cd,32'h3f66dec1,// invsqrt(1.4877) = 0.8199 +32'h41c44800,32'h3e4a98aa,32'h3e52dd97, 32'h3e4464f9,32'h3e591149, 32'h3e3a0ed1,32'h3e636771,// invsqrt(24.5352) = 0.2019 +32'h3f5f815e,32'h3f863fd3,32'h3f8bba97, 32'h3f8223bf,32'h3f8fd6ab, 32'h3f769493,32'h3f96b021,// invsqrt(0.8731) = 1.0702 +32'h3effcb6c,32'h3fb1785c,32'h3fb8b6be, 32'h3fac0993,32'h3fbe2587, 32'h3fa2fb98,32'h3fc73382,// invsqrt(0.4996) = 1.4148 +32'h3fe415a4,32'h3f3bf112,32'h3f439cde, 32'h3f363038,32'h3f495db8, 32'h3f2c9979,32'h3f52f477,// invsqrt(1.7819) = 0.7491 +32'h3f2df2b8,32'h3f982d0e,32'h3f9e6324, 32'h3f93847e,32'h3fa30bb4, 32'h3f8bc0e3,32'h3faacf4f,// invsqrt(0.6795) = 1.2131 +32'h3f06d8cb,32'h3facd629,32'h3fb3e421, 32'h3fa78bb0,32'h3fb92e9a, 32'h3f9eba3b,32'h3fc2000f,// invsqrt(0.5267) = 1.3778 +32'h40225a78,32'h3f1d8428,32'h3f23f20b, 32'h3f18b1bf,32'h3f28c475, 32'h3f10a864,32'h3f30cdd0,// invsqrt(2.5368) = 0.6279 +32'h3f4370b3,32'h3f8f90ae,32'h3f956cca, 32'h3f8b2b99,32'h3f99d1df, 32'h3f83d876,32'h3fa12502,// invsqrt(0.7634) = 1.1445 +32'h4029f6e8,32'h3f19f2f3,32'h3f203b8f, 32'h3f153c7e,32'h3f24f204, 32'h3f0d61ba,32'h3f2cccc8,// invsqrt(2.6557) = 0.6136 +32'h3e9fc154,32'h3fe090d6,32'h3fe9bb52, 32'h3fd9b0f9,32'h3ff09b2f, 32'h3fce3bdf,32'h3ffc1049,// invsqrt(0.3120) = 1.7902 +32'h4026952d,32'h3f1b80fa,32'h3f21d9d6, 32'h3f16be56,32'h3f269c7a, 32'h3f0ecf44,32'h3f2e8b8c,// invsqrt(2.6029) = 0.6198 +32'h3f7c775f,32'h3f7ca131,32'h3f837875, 32'h3f74e567,32'h3f87565b, 32'h3f6801c0,32'h3f8dc82e,// invsqrt(0.9862) = 1.0070 +32'h410cf9ad,32'h3ea909bf,32'h3eaff005, 32'h3ea3dd0a,32'h3eb51cba, 32'h3e9b3d32,32'h3ebdbc92,// invsqrt(8.8110) = 0.3369 +32'h3e12ef60,32'h4025930f,32'h402c5525, 32'h4020817f,32'h403166b5, 32'h40180ee4,32'h4039d950,// invsqrt(0.1435) = 2.6399 +32'h401e76b8,32'h3f1f700e,32'h3f25f204, 32'h3f1a8e95,32'h3f2ad37d, 32'h3f126c22,32'h3f32f5f0,// invsqrt(2.4760) = 0.6355 +32'h3ea439df,32'h3fdd7ce7,32'h3fe68739, 32'h3fd6b529,32'h3fed4ef7, 32'h3fcb6842,32'h3ff89bde,// invsqrt(0.3208) = 1.7657 +32'h3ef30bcc,32'h3fb610ab,32'h3fbd7f10, 32'h3fb07ddf,32'h3fc311db, 32'h3fa733e0,32'h3fcc5bda,// invsqrt(0.4747) = 1.4514 +32'h3e0badff,32'h4029d1fb,32'h4030c06d, 32'h40249f25,32'h4035f343, 32'h401bf515,32'h403e9d53,// invsqrt(0.1364) = 2.7076 +32'h3f50362f,32'h3f8b17aa,32'h3f90c50a, 32'h3f86d5a2,32'h3f950712, 32'h3f7f79d5,32'h3f9c1fc9,// invsqrt(0.8133) = 1.1088 +32'h3f75d72f,32'h3f800175,32'h3f853afc, 32'h3f782c9e,32'h3f892621, 32'h3f6b1ccb,32'h3f8fae0a,// invsqrt(0.9603) = 1.0205 +32'h4007ccbb,32'h3f2c3aa7,32'h3f334246, 32'h3f26f4f1,32'h3f3887fd, 32'h3f1e2b6b,32'h3f415183,// invsqrt(2.1219) = 0.6865 +32'h3ea51994,32'h3fdce6a5,32'h3fe5ead6, 32'h3fd62381,32'h3fecadfb, 32'h3fcade45,32'h3ff7f337,// invsqrt(0.3225) = 1.7610 +32'h3f3d4322,32'h3f91e3b6,32'h3f97d81c, 32'h3f8d6c6a,32'h3f9c4f68, 32'h3f85faeb,32'h3fa3c0e7,// invsqrt(0.7393) = 1.1630 +32'h40c3b1c2,32'h3ecae661,32'h3ed32e79, 32'h3ec4b04e,32'h3ed9648c, 32'h3eba562f,32'h3ee3beab,// invsqrt(6.1154) = 0.4044 +32'h41084b3f,32'h3eabeaa5,32'h3eb2eeff, 32'h3ea6a761,32'h3eb83243, 32'h3e9de1f0,32'h3ec0f7b4,// invsqrt(8.5184) = 0.3426 +32'h433b22e9,32'h3d92b740,32'h3d98b448, 32'h3d8e397a,32'h3d9d320e, 32'h3d86bd30,32'h3da4ae58,// invsqrt(187.1364) = 0.0731 +32'h3f9e2696,32'h3f61b3b7,32'h3f6aea13, 32'h3f5acaf3,32'h3f71d2d7, 32'h3f4f4701,32'h3f7d56c9,// invsqrt(1.2356) = 0.8996 +32'h3f0724c6,32'h3faca58c,32'h3fb3b188, 32'h3fa75c90,32'h3fb8fa84, 32'h3f9e8d96,32'h3fc1c97e,// invsqrt(0.5279) = 1.3763 +32'h3f871d09,32'h3f742fc3,32'h3f7e2743, 32'h3f6cb623,32'h3f82d072, 32'h3f6040c3,32'h3f890b22,// invsqrt(1.0556) = 0.9733 +32'h3f88b9a8,32'h3f72be35,32'h3f7ca69f, 32'h3f6b4fe5,32'h3f820a78, 32'h3f5eed5f,32'h3f883bba,// invsqrt(1.0682) = 0.9676 +32'h405096ca,32'h3f0af770,32'h3f10a380, 32'h3f06b665,32'h3f14e48b, 32'h3eff3ea5,32'h3f1bfb9e,// invsqrt(3.2592) = 0.5539 +32'h41230263,32'h3e9d32f2,32'h3ea39d84, 32'h3e986305,32'h3ea86d71, 32'h3e905dcf,32'h3eb072a7,// invsqrt(10.1881) = 0.3133 +32'h3fdf7bb5,32'h3f3dddd9,32'h3f459dc3, 32'h3f380dea,32'h3f4b6db2, 32'h3f2e5e06,32'h3f551d96,// invsqrt(1.7460) = 0.7568 +32'h3ddda0af,32'h403ea8e6,32'h40467119, 32'h4038d2bf,32'h404c473f, 32'h402f187f,32'h4056017f,// invsqrt(0.1082) = 3.0399 +32'h3e379320,32'h401421db,32'h401a2daf, 32'h400f98fb,32'h401eb68f, 32'h40080a31,32'h40264559,// invsqrt(0.1793) = 2.3618 +32'h3de91684,32'h4039e9e0,32'h4041807c, 32'h403438ec,32'h40473170, 32'h402abca9,32'h4050adb3,// invsqrt(0.1138) = 2.9642 +32'h4099b4b9,32'h3ee4f12e,32'h3eee4964, 32'h3eddef05,32'h3ef54b8d, 32'h3ed240c2,32'h3f007ce8,// invsqrt(4.8033) = 0.4563 +32'h40501616,32'h3f0b2264,32'h3f10d034, 32'h3f06e008,32'h3f151290, 32'h3eff8d89,32'h3f1c2bd4,// invsqrt(3.2513) = 0.5546 +32'h3e6343a2,32'h4005226d,32'h400a918b, 32'h40010f16,32'h400ea4e2, 32'h3ff4885f,32'h40156fc8,// invsqrt(0.2219) = 2.1227 +32'h3f0e028c,32'h3fa86bd1,32'h3faf4ba5, 32'h3fa343f2,32'h3fb47384, 32'h3f9aac28,32'h3fbd0b4e,// invsqrt(0.5547) = 1.3426 +32'h4007eefd,32'h3f2c24f2,32'h3f332bae, 32'h3f26dfe6,32'h3f3870ba, 32'h3f1e177b,32'h3f413925,// invsqrt(2.1240) = 0.6862 +32'h3cd37d2c,32'h40c32d25,32'h40cb2489, 32'h40bd3399,32'h40d11e15, 32'h40b33e5b,32'h40db1353,// invsqrt(0.0258) = 6.2237 +32'h3f7d2c56,32'h3f7c46d7,32'h3f834970, 32'h3f748dd1,32'h3f8725f4, 32'h3f67aec6,32'h3f8d9579,// invsqrt(0.9890) = 1.0056 +32'h3d4f9b76,32'h408b4b75,32'h4090faf1, 32'h408707d7,32'h40953e8f, 32'h407fd8f5,32'h409c59eb,// invsqrt(0.0507) = 4.4418 +32'h3d757aad,32'h40801991,32'h40855414, 32'h40785b5c,32'h40893ff6, 32'h406b4914,32'h408fc91a,// invsqrt(0.0599) = 4.0848 +32'h3fb2c2eb,32'h3f544ad1,32'h3f5cf50e, 32'h3f4dcb24,32'h3f6374bc, 32'h3f42f658,32'h3f6e4988,// invsqrt(1.3966) = 0.8462 +32'h3fb5f3d5,32'h3f526c25,32'h3f5b02d9, 32'h3f4bfb1f,32'h3f6173df, 32'h3f413ebf,32'h3f6c303f,// invsqrt(1.4215) = 0.8387 +32'h3e4dceb9,32'h400be709,32'h40119cdf, 32'h40079ea8,32'h4015e540, 32'h40007b5c,32'h401d088c,// invsqrt(0.2010) = 2.2306 +32'h3fbdf907,32'h3f4deec7,32'h3f565690, 32'h3f47a0ef,32'h3f5ca467, 32'h3f3d1f34,32'h3f672623,// invsqrt(1.4842) = 0.8208 +32'h3f81fd0e,32'h3f78f425,32'h3f818eba, 32'h3f715529,32'h3f855e38, 32'h3f64a185,32'h3f8bb80a,// invsqrt(1.0155) = 0.9923 +32'h3f3bfab4,32'h3f9262f1,32'h3f985c88, 32'h3f8de7c0,32'h3f9cd7ba, 32'h3f866fc4,32'h3fa44fb6,// invsqrt(0.7343) = 1.1670 +32'h3f19e32f,32'h3fa1ca81,32'h3fa8650f, 32'h3f9cd698,32'h3fad58f8, 32'h3f949567,32'h3fb59a29,// invsqrt(0.6011) = 1.2898 +32'h3f088978,32'h3fabc374,32'h3fb2c635, 32'h3fa68164,32'h3fb80846, 32'h3f9dbdf3,32'h3fc0cbb7,// invsqrt(0.5333) = 1.3693 +32'h417759af,32'h3e7f3a97,32'h3e84d2bc, 32'h3e776a6e,32'h3e88bad1, 32'h3e6a64d4,32'h3e8f3d9e,// invsqrt(15.4594) = 0.2543 +32'h40875c91,32'h3ef3f66f,32'h3efdeb98, 32'h3eec7e90,32'h3f02b1bb, 32'h3ee00c1c,32'h3f08eaf5,// invsqrt(4.2300) = 0.4862 +32'h3fb7e107,32'h3f515135,32'h3f59dc5b, 32'h3f4ae8d8,32'h3f6044b8, 32'h3f403ae7,32'h3f6af2a9,// invsqrt(1.4366) = 0.8343 +32'h3f1a25bf,32'h3fa1a78f,32'h3fa840af, 32'h3f9cb4b7,32'h3fad3387, 32'h3f94754f,32'h3fb572ef,// invsqrt(0.6021) = 1.2887 +32'h3eef7f5c,32'h3fb768b1,32'h3fbee521, 32'h3fb1cb5d,32'h3fc48275, 32'h3fa86fd2,32'h3fcdde00,// invsqrt(0.4678) = 1.4621 +32'h3f9dab47,32'h3f620be8,32'h3f6b45dc, 32'h3f5b2070,32'h3f723154, 32'h3f4f97ff,32'h3f7db9c5,// invsqrt(1.2318) = 0.9010 +32'h4018918f,32'h3f227d23,32'h3f291efb, 32'h3f1d83c1,32'h3f2e185d, 32'h3f153974,32'h3f3662aa,// invsqrt(2.3839) = 0.6477 +32'h404c8642,32'h3f0c5733,32'h3f12119e, 32'h3f080b64,32'h3f165d6e, 32'h3f00e25e,32'h3f1d8674,// invsqrt(3.1957) = 0.5594 +32'h40a0c081,32'h3edfde52,32'h3ee90184, 32'h3ed903ec,32'h3eefdbea, 32'h3ecd97ed,32'h3efb47e9,// invsqrt(5.0235) = 0.4462 +32'h3fec2199,32'h3f38b62f,32'h3f40403b, 32'h3f330ea6,32'h3f45e7c4, 32'h3f29a216,32'h3f4f5454,// invsqrt(1.8448) = 0.7363 +32'h3f32dadd,32'h3f9612f4,32'h3f9c3313, 32'h3f917add,32'h3fa0cb2b, 32'h3f89d2b7,32'h3fa87351,// invsqrt(0.6987) = 1.1964 +32'h3f5c1a98,32'h3f87485d,32'h3f8ccdee, 32'h3f832431,32'h3f90f21b, 32'h3f787a78,32'h3f97d910,// invsqrt(0.8598) = 1.0785 +32'h3fa570f8,32'h3f5cac46,32'h3f65ae14, 32'h3f55eaeb,32'h3f6c6f6f, 32'h3f4aa8a8,32'h3f77b1b2,// invsqrt(1.2925) = 0.8796 +32'h3d6cd963,32'h408269ab,32'h4087bc59, 32'h407cd751,32'h408bba5c, 32'h406f889d,32'h409261b5,// invsqrt(0.0578) = 4.1586 +32'h40d39c59,32'h3ec31ec4,32'h3ecb1592, 32'h3ebd25a9,32'h3ed10ead, 32'h3eb33127,32'h3edb032f,// invsqrt(6.6128) = 0.3889 +32'h3edf2ae2,32'h3fbe0038,32'h3fc5c188, 32'h3fb82f3b,32'h3fcb9285, 32'h3fae7d96,32'h3fd5442a,// invsqrt(0.4359) = 1.5147 +32'h403aef3c,32'h3f12cb86,32'h3f18c961, 32'h3f0e4d20,32'h3f1d47c6, 32'h3f06cfce,32'h3f24c518,// invsqrt(2.9209) = 0.5851 +32'h3f1c75a7,32'h3fa074a4,32'h3fa7013c, 32'h3f9b8b31,32'h3fabeaaf, 32'h3f935b72,32'h3fb41a6e,// invsqrt(0.6112) = 1.2791 +32'h3f39ea9e,32'h3f933245,32'h3f993453, 32'h3f8eb0bb,32'h3f9db5dd, 32'h3f872e2b,32'h3fa5386d,// invsqrt(0.7262) = 1.1734 +32'h3ef82f29,32'h3fb42bad,32'h3fbb8647, 32'h3faea7ba,32'h3fc10a3a, 32'h3fa5767b,32'h3fca3b79,// invsqrt(0.4847) = 1.4363 +32'h3f409d30,32'h3f909d55,32'h3f968469, 32'h3f8c3007,32'h3f9af1b7, 32'h3f84cf2f,32'h3fa2528f,// invsqrt(0.7524) = 1.1529 +32'h3e75849f,32'h400016f9,32'h40055161, 32'h3ff85656,32'h40093d2f, 32'h3feb4451,32'h400fc632,// invsqrt(0.2398) = 2.0422 +32'h3f954b56,32'h3f684cd5,32'h3f71c821, 32'h3f61305b,32'h3f78e49b, 32'h3f55563c,32'h3f825f5d,// invsqrt(1.1664) = 0.9259 +32'h3f99446f,32'h3f6544fd,32'h3f6ea09f, 32'h3f5e4044,32'h3f75a558, 32'h3f528db9,32'h3f80abf1,// invsqrt(1.1974) = 0.9139 +32'h3f6b7a4d,32'h3f82cabf,32'h3f882163, 32'h3f7d9387,32'h3f8c225f, 32'h3f703aeb,32'h3f92ceac,// invsqrt(0.9198) = 1.0427 +32'h42bf51b4,32'h3dcd34f2,32'h3dd59526, 32'h3dc6eccb,32'h3ddbdd4d, 32'h3dbc748b,32'h3de6558d,// invsqrt(95.6596) = 0.1022 +32'h412c9d69,32'h3e98c337,32'h3e9eff6d, 32'h3e94160e,32'h3ea3ac96, 32'h3e8c4aca,32'h3eab77da,// invsqrt(10.7884) = 0.3045 +32'h3f764d86,32'h3f7fc561,32'h3f851af7, 32'h3f77f0f9,32'h3f89052b, 32'h3f6ae44a,32'h3f8f8b83,// invsqrt(0.9621) = 1.0195 +32'h3f0ca62b,32'h3fa93be6,32'h3fb02438, 32'h3fa40da8,32'h3fb55276, 32'h3f9b6b41,32'h3fbdf4dd,// invsqrt(0.5494) = 1.3491 +32'h409bd568,32'h3ee35fb2,32'h3eeca786, 32'h3edc69d4,32'h3ef39d64, 32'h3ed0d00c,32'h3eff372c,// invsqrt(4.8698) = 0.4532 +32'h40c93bfc,32'h3ec81668,32'h3ed0411e, 32'h3ec1f660,32'h3ed66126, 32'h3eb7c0fc,32'h3ee0968a,// invsqrt(6.2886) = 0.3988 +32'h3d8240c7,32'h4078b364,32'h40816d08, 32'h40711664,32'h40853b88, 32'h4064660e,32'h408b93b3,// invsqrt(0.0636) = 3.9653 +32'h4125ef82,32'h3e9bce87,32'h3ea22a8d, 32'h3e970983,32'h3ea6ef91, 32'h3e8f167c,32'h3eaee298,// invsqrt(10.3710) = 0.3105 +32'h3f3ddc48,32'h3f91a8d4,32'h3f979ad2, 32'h3f8d3355,32'h3f9c1051, 32'h3f85c4d8,32'h3fa37ece,// invsqrt(0.7416) = 1.1612 +32'h3f309bf3,32'h3f970673,32'h3f9d3083, 32'h3f9266e8,32'h3fa1d00e, 32'h3f8ab255,32'h3fa984a1,// invsqrt(0.6899) = 1.2040 +32'h3fd957c5,32'h3f4087ac,32'h3f48636a, 32'h3f3aa2de,32'h3f4e4838, 32'h3f30d030,32'h3f581ae6,// invsqrt(1.6980) = 0.7674 +32'h4049876a,32'h3f0d6139,32'h3f13267f, 32'h3f090d44,32'h3f177a74, 32'h3f01d6ac,32'h3f1eb10c,// invsqrt(3.1489) = 0.5635 +32'h408d50fc,32'h3eeec46d,32'h3ef8834d, 32'h3ee77545,32'h3effd275, 32'h3edb46ad,32'h3f060086,// invsqrt(4.4161) = 0.4759 +32'h4086143c,32'h3ef5206e,32'h3eff21c0, 32'h3eed9f6f,32'h3f03515f, 32'h3ee11dc8,32'h3f099233,// invsqrt(4.1900) = 0.4885 +32'h3f3883fa,32'h3f93c10d,32'h3f99c8ef, 32'h3f8f3b24,32'h3f9e4ed8, 32'h3f87b14b,32'h3fa5d8b1,// invsqrt(0.7208) = 1.1779 +32'h4054c140,32'h3f09996c,32'h3f0f3732, 32'h3f056318,32'h3f136d86, 32'h3efcbbc1,32'h3f1a72bd,// invsqrt(3.3243) = 0.5485 +32'h3fcd09a3,32'h3f46391c,32'h3f4e5056, 32'h3f4027b0,32'h3f5461c2, 32'h3f360aa6,32'h3f5e7ecc,// invsqrt(1.6019) = 0.7901 +32'h3f36be07,32'h3f947820,32'h3f9a877a, 32'h3f8fec9c,32'h3f9f12fe, 32'h3f88596c,32'h3fa6a62e,// invsqrt(0.7138) = 1.1836 +32'h3f3041e1,32'h3f972d05,32'h3f9d58a7, 32'h3f928c4b,32'h3fa1f961, 32'h3f8ad5c1,32'h3fa9afeb,// invsqrt(0.6885) = 1.2052 +32'h4059a49a,32'h3f080b9c,32'h3f0d9926, 32'h3f03e176,32'h3f11c34c, 32'h3ef9e115,32'h3f18b438,// invsqrt(3.4007) = 0.5423 +32'h3fe42cd3,32'h3f3be786,32'h3f4392ee, 32'h3f3626f7,32'h3f49537d, 32'h3f2c90b4,32'h3f52e9c0,// invsqrt(1.7826) = 0.7490 +32'h3ea61efb,32'h3fdc3894,32'h3fe535a9, 32'h3fd57ac3,32'h3febf379, 32'h3fca3e68,32'h3ff72fd4,// invsqrt(0.3245) = 1.7556 +32'h3ffb0d58,32'h3f332379,32'h3f3a7349, 32'h3f2da79c,32'h3f3fef26, 32'h3f2483d7,32'h3f4912eb,// invsqrt(1.9613) = 0.7140 +32'h40a551dc,32'h3edcc108,32'h3ee5c3b0, 32'h3ed5ff0b,32'h3eec85ad, 32'h3ecabbb9,32'h3ef7c8ff,// invsqrt(5.1662) = 0.4400 +32'h3f529768,32'h3f8a4de7,32'h3f8ff30a, 32'h3f86120c,32'h3f942ee4, 32'h3f7e073e,32'h3f9b3d51,// invsqrt(0.8226) = 1.1026 +32'h3f8c410a,32'h3f6fab78,32'h3f7973c6, 32'h3f68553d,32'h3f806500, 32'h3f5c1adc,32'h3f868231,// invsqrt(1.0957) = 0.9553 +32'h3f920629,32'h3f6ae30c,32'h3f747960, 32'h3f63b24d,32'h3f7baa1f, 32'h3f57b664,32'h3f83d304,// invsqrt(1.1408) = 0.9363 +32'h3fb9bc6d,32'h3f5044a8,32'h3f58c4d8, 32'h3f49e483,32'h3f5f24fd, 32'h3f3f4446,32'h3f69c53a,// invsqrt(1.4511) = 0.8302 +32'h3eb0f154,32'h3fd56168,32'h3fde1704, 32'h3fced933,32'h3fe49f39, 32'h3fc3f631,32'h3fef823b,// invsqrt(0.3456) = 1.7011 +32'h3f32083c,32'h3f966ba1,32'h3f9c8f5e, 32'h3f91d0d2,32'h3fa12a2c, 32'h3f8a2426,32'h3fa8d6d8,// invsqrt(0.6954) = 1.1991 +32'h3f13f915,32'h3fa4fe24,32'h3fabba26, 32'h3f9ff123,32'h3fb0c727, 32'h3f978621,32'h3fb93229,// invsqrt(0.5780) = 1.3153 +32'h400b9250,32'h3f29e2d1,32'h3f30d1f4, 32'h3f24af78,32'h3f36054e, 32'h3f1c048c,32'h3f3eb03a,// invsqrt(2.1808) = 0.6772 +32'h40330142,32'h3f1602db,32'h3f1c2251, 32'h3f116b42,32'h3f20b9ea, 32'h3f09c3ed,32'h3f28613f,// invsqrt(2.7970) = 0.5979 +32'h3f40f92d,32'h3f907ad9,32'h3f966084, 32'h3f8c0e99,32'h3f9accc5, 32'h3f84af84,32'h3fa22bda,// invsqrt(0.7538) = 1.1518 +32'h403ac395,32'h3f12dcac,32'h3f18db3b, 32'h3f0e5dc1,32'h3f1d5a27, 32'h3f06df8f,32'h3f24d859,// invsqrt(2.9182) = 0.5854 +32'h3eb46726,32'h3fd352ff,32'h3fdbf31f, 32'h3fccdae8,32'h3fe26b36, 32'h3fc212c1,32'h3fed335d,// invsqrt(0.3523) = 1.6847 +32'h3f804ac2,32'h3f7a9824,32'h3f82694d, 32'h3f72ec4d,32'h3f863f38, 32'h3f66233c,32'h3f8ca3c1,// invsqrt(1.0023) = 0.9989 +32'h41098c03,32'h3eab21ba,32'h3eb21de1, 32'h3ea5e49d,32'h3eb75aff, 32'h3e9d296d,32'h3ec0162f,// invsqrt(8.5967) = 0.3411 +32'h3f729334,32'h3f80dd4a,32'h3f861fca, 32'h3f79d6d3,32'h3f8a11aa, 32'h3f6cb092,32'h3f90a4cb,// invsqrt(0.9476) = 1.0273 +32'h405f154e,32'h3f066053,32'h3f0bdc6b, 32'h3f024341,32'h3f0ff97d, 32'h3ef6d045,32'h3f16d49c,// invsqrt(3.4857) = 0.5356 +32'h40035cac,32'h3f2f1d37,32'h3f3642fa, 32'h3f29c0e4,32'h3f3b9f4c, 32'h3f20d1af,32'h3f448e81,// invsqrt(2.0525) = 0.6980 +32'h3d2fff53,32'h40974997,32'h409d7664, 32'h4092a7fe,32'h40a217fe, 32'h408aeffe,32'h40a9cffe,// invsqrt(0.0430) = 4.8242 +32'h40013c8d,32'h3f308c59,32'h3f37c119, 32'h3f2b24c9,32'h3f3d28a9, 32'h3f2222da,32'h3f462a98,// invsqrt(2.0193) = 0.7037 +32'h3fd691af,32'h3f41c53a,32'h3f49adee, 32'h3f3bd6b3,32'h3f4f9c75, 32'h3f31f3d2,32'h3f597f56,// invsqrt(1.6763) = 0.7724 +32'h3eedd25b,32'h3fb80dd4,32'h3fbf9101, 32'h3fb26b72,32'h3fc53362, 32'h3fa90779,32'h3fce975b,// invsqrt(0.4645) = 1.4673 +32'h3f69883d,32'h3f8355ee,32'h3f88b240, 32'h3f7ea15f,32'h3f8cb77f, 32'h3f713a90,32'h3f936ae6,// invsqrt(0.9122) = 1.0470 +32'h41131042,32'h3ea5808b,32'h3eac41df, 32'h3ea06f8c,32'h3eb152de, 32'h3e97fde3,32'h3eb9c487,// invsqrt(9.1915) = 0.3298 +32'h3eb12cd9,32'h3fd53d8e,32'h3fddf1b3, 32'h3fceb672,32'h3fe478ce, 32'h3fc3d543,32'h3fef59fd,// invsqrt(0.3460) = 1.6999 +32'h3f6b5d94,32'h3f82d2ba,32'h3f8829b2, 32'h3f7da300,32'h3f8c2aec, 32'h3f704994,32'h3f92d7a2,// invsqrt(0.9194) = 1.0429 +32'h3efd4233,32'h3fb25b43,32'h3fb9a2e8, 32'h3face588,32'h3fbf18a4, 32'h3fa3cbfa,32'h3fc83232,// invsqrt(0.4946) = 1.4218 +32'h400b1d13,32'h3f2a2a58,32'h3f311c66, 32'h3f24f4cd,32'h3f3651f1, 32'h3f1c463c,32'h3f3f0082,// invsqrt(2.1736) = 0.6783 +32'h40afad4b,32'h3ed625d8,32'h3edee378, 32'h3ecf97a0,32'h3ee571b0, 32'h3ec4aa97,32'h3ef05eb9,// invsqrt(5.4899) = 0.4268 +32'h404e596f,32'h3f0bb7fb,32'h3f116be6, 32'h3f07710b,32'h3f15b2d7, 32'h3f005026,32'h3f1cd3bc,// invsqrt(3.2242) = 0.5569 +32'h3fa3804c,32'h3f5dfa75,32'h3f6709e7, 32'h3f572edf,32'h3f6dd57d, 32'h3f4bdb90,32'h3f7928cc,// invsqrt(1.2774) = 0.8848 +32'h401ac10f,32'h3f21565c,32'h3f27ec2c, 32'h3f1c6601,32'h3f2cdc87, 32'h3f142abd,32'h3f3517cb,// invsqrt(2.4180) = 0.6431 +32'h3e146dd9,32'h4024bd31,32'h402b768c, 32'h401fb22d,32'h40308191, 32'h40174a7c,32'h4038e942,// invsqrt(0.1450) = 2.6266 +32'h3f366a27,32'h3f949a3e,32'h3f9aaafd, 32'h3f900db0,32'h3f9f378c, 32'h3f8878c1,32'h3fa6cc7b,// invsqrt(0.7126) = 1.1847 +32'h3fd105dc,32'h3f445307,32'h3f4c566a, 32'h3f3e507c,32'h3f5258f4, 32'h3f344c3f,32'h3f5c5d31,// invsqrt(1.6330) = 0.7825 +32'h40941a9f,32'h3ee93b53,32'h3ef2c05b, 32'h3ee2178c,32'h3ef9e422, 32'h3ed63142,32'h3f02e536,// invsqrt(4.6282) = 0.4648 +32'h3fb012b9,32'h3f55e820,32'h3f5ea33c, 32'h3f4f5bcc,32'h3f652f90, 32'h3f4471e9,32'h3f701973,// invsqrt(1.3756) = 0.8526 +32'h3f6c4061,32'h3f8293df,32'h3f87e847, 32'h3f7d2924,32'h3f8be794, 32'h3f6fd622,32'h3f929115,// invsqrt(0.9229) = 1.0410 +32'h3f8852df,32'h3f7319a7,32'h3f7d05cd, 32'h3f6ba88a,32'h3f823b75, 32'h3f5f415b,32'h3f886f0d,// invsqrt(1.0650) = 0.9690 +32'h3f3b8d9a,32'h3f928d7f,32'h3f9888d3, 32'h3f8e1101,32'h3f9d0551, 32'h3f8696d8,32'h3fa47f7a,// invsqrt(0.7326) = 1.1683 +32'h4009acb0,32'h3f2b0d6a,32'h3f3208bd, 32'h3f25d0ed,32'h3f37453b, 32'h3f1d16c5,32'h3f3fff63,// invsqrt(2.1512) = 0.6818 +32'h4081706c,32'h3ef97b3e,32'h3f01d509, 32'h3ef1d820,32'h3f05a698, 32'h3ee51d97,32'h3f0c03dc,// invsqrt(4.0450) = 0.4972 +32'h3f87fea9,32'h3f7364df,32'h3f7d5417, 32'h3f6bf175,32'h3f8263c1, 32'h3f5f866f,32'h3f889944,// invsqrt(1.0625) = 0.9702 +32'h4112723f,32'h3ea5d9bc,32'h3eac9eb4, 32'h3ea0c602,32'h3eb1b26e, 32'h3e984fcc,32'h3eba28a4,// invsqrt(9.1529) = 0.3305 +32'h3f67accd,32'h3f83dc6c,32'h3f893e3c, 32'h3f7fa620,32'h3f8d4798, 32'h3f723197,32'h3f9401dc,// invsqrt(0.9050) = 1.0512 +32'h3f828008,32'h3f787717,32'h3f814da6, 32'h3f70dbf0,32'h3f851b3a, 32'h3f642ead,32'h3f8b71dc,// invsqrt(1.0195) = 0.9904 +32'h3fb2c1d3,32'h3f544b78,32'h3f5cf5bb, 32'h3f4dcbc5,32'h3f63756d, 32'h3f42f6f0,32'h3f6e4a42,// invsqrt(1.3965) = 0.8462 +32'h3f6fb3e3,32'h3f81a25b,32'h3f86ece6, 32'h3f7b54e3,32'h3f8ae4ce, 32'h3f6e1a86,32'h3f9181fd,// invsqrt(0.9363) = 1.0334 +32'h3f9308f3,32'h3f6a13fc,32'h3f73a1dd, 32'h3f62e994,32'h3f7acc46, 32'h3f56f83c,32'h3f835ecf,// invsqrt(1.1487) = 0.9330 +32'h3fcd88ae,32'h3f45fbcf,32'h3f4e1089, 32'h3f3fec43,32'h3f542015, 32'h3f35d25b,32'h3f5e39fd,// invsqrt(1.6057) = 0.7892 +32'h3e3bfdc5,32'h401261bf,32'h40185b4a, 32'h400de698,32'h401cd672, 32'h40066eab,32'h40244e5f,// invsqrt(0.1836) = 2.3339 +32'h3fcf009b,32'h3f4547b7,32'h3f4d5518, 32'h3f3f3db0,32'h3f535f20, 32'h3f352cf7,32'h3f5d6fd9,// invsqrt(1.6172) = 0.7864 +32'h406eb7af,32'h3f01e6c3,32'h3f073419, 32'h3efbd984,32'h3f0b2e1a, 32'h3eee982c,32'h3f11cec6,// invsqrt(3.7300) = 0.5178 +32'h3f0cf5a9,32'h3fa90c27,32'h3faff287, 32'h3fa3df5f,32'h3fb51f4f, 32'h3f9b3f68,32'h3fbdbf46,// invsqrt(0.5506) = 1.3476 +32'h404bc1c3,32'h3f0c9acf,32'h3f1257fc, 32'h3f084ced,32'h3f16a5dd, 32'h3f012074,32'h3f1dd256,// invsqrt(3.1837) = 0.5604 +32'h3f2d8d23,32'h3f985991,32'h3f9e9177, 32'h3f93afa4,32'h3fa33b64, 32'h3f8be9c4,32'h3fab0144,// invsqrt(0.6779) = 1.2145 +32'h3f5062c9,32'h3f8b08c6,32'h3f90b58a, 32'h3f86c733,32'h3f94f71d, 32'h3f7f5e7b,32'h3f9c0f12,// invsqrt(0.8140) = 1.1084 +32'h3f8ab572,32'h3f71004a,32'h3f7ad681, 32'h3f699fa0,32'h3f811b95, 32'h3f5d53db,32'h3f874178,// invsqrt(1.0837) = 0.9606 +32'h3f474e43,32'h3f8e2a88,32'h3f93f806, 32'h3f89d06a,32'h3f985224, 32'h3f828f8d,32'h3f9f9301,// invsqrt(0.7785) = 1.1333 +32'h3ecb4ca8,32'h3fc71195,32'h3fcf31a5, 32'h3fc0f988,32'h3fd549b2, 32'h3fb6d174,32'h3fdf71c6,// invsqrt(0.3971) = 1.5870 +32'h3f807e9a,32'h3f7a6592,32'h3f824efb, 32'h3f72bb47,32'h3f862420, 32'h3f65f4ca,32'h3f8c875f,// invsqrt(1.0039) = 0.9981 +32'h3f18a7b8,32'h3fa27157,32'h3fa912b3, 32'h3f9d7852,32'h3fae0bb8, 32'h3f952e9e,32'h3fb6556c,// invsqrt(0.5963) = 1.2950 +32'h401a046e,32'h3f21b90a,32'h3f2852e0, 32'h3f1cc5a9,32'h3f2d4641, 32'h3f14855c,32'h3f35868e,// invsqrt(2.4065) = 0.6446 +32'h3f2d50ef,32'h3f987404,32'h3f9eacff, 32'h3f93c948,32'h3fa357bc, 32'h3f8c020f,32'h3fab1ef5,// invsqrt(0.6770) = 1.2153 +32'h3e6c5173,32'h40028f28,32'h4007e35e, 32'h3ffd1fff,32'h400be286, 32'h3fefcd79,32'h40128bca,// invsqrt(0.2308) = 2.0816 +32'h3f07ee5f,32'h3fac2556,32'h3fb32c16, 32'h3fa6e046,32'h3fb87126, 32'h3f9e17d7,32'h3fc13995,// invsqrt(0.5310) = 1.3723 +32'h3fa55546,32'h3f5cbec1,32'h3f65c150, 32'h3f55fcd4,32'h3f6c833c, 32'h3f4ab9a1,32'h3f77c66f,// invsqrt(1.2917) = 0.8799 +32'h3ee24039,32'h3fbcb3a5,32'h3fc46763, 32'h3fb6ecd7,32'h3fca2e31, 32'h3fad4c2a,32'h3fd3cede,// invsqrt(0.4419) = 1.5043 +32'h44cb35e5,32'h3cc71cbb,32'h3ccf3d40, 32'h3cc10457,32'h3cd555a3, 32'h3cb6dbb0,32'h3cdf7e4a,// invsqrt(1625.6842) = 0.0248 +32'h3fcb238b,32'h3f4725b9,32'h3f4f469c, 32'h3f410d0f,32'h3f555f47, 32'h3f36e3f4,32'h3f5f8863,// invsqrt(1.5870) = 0.7938 +32'h3f9c622c,32'h3f62f946,32'h3f6c3cea, 32'h3f5c068a,32'h3f732fa6, 32'h3f5071fc,32'h3f7ec434,// invsqrt(1.2217) = 0.9047 +32'h416421ca,32'h3e84e18a,32'h3e8a4e02, 32'h3e80d02f,32'h3e8e5f5d, 32'h3e741131,32'h3e9526f3,// invsqrt(14.2582) = 0.2648 +32'h3fb1dd55,32'h3f54d3a8,32'h3f5d837b, 32'h3f4e4fcb,32'h3f640759, 32'h3f437403,32'h3f6ee321,// invsqrt(1.3896) = 0.8483 +32'h3fe56fd1,32'h3f3b6314,32'h3f430914, 32'h3f35a693,32'h3f48c595, 32'h3f2c1712,32'h3f525516,// invsqrt(1.7925) = 0.7469 +32'h3f86c32e,32'h3f74811e,32'h3f7e7bf0, 32'h3f6d0500,32'h3f82fc07, 32'h3f608b7a,32'h3f8938ca,// invsqrt(1.0528) = 0.9746 +32'h3fd5b872,32'h3f42279c,32'h3f4a1454, 32'h3f3c3612,32'h3f5005de, 32'h3f324e2c,32'h3f59edc4,// invsqrt(1.6697) = 0.7739 +32'h3f32abf9,32'h3f9626a4,32'h3f9c4790, 32'h3f918df2,32'h3fa0e042, 32'h3f89e4cb,32'h3fa88969,// invsqrt(0.6979) = 1.1970 +32'h3f082028,32'h3fac05d9,32'h3fb30b4f, 32'h3fa6c1c0,32'h3fb84f68, 32'h3f9dfaec,32'h3fc1163c,// invsqrt(0.5317) = 1.3714 +32'h3f954c79,32'h3f684bf2,32'h3f71c736, 32'h3f612f80,32'h3f78e3a8, 32'h3f55556c,32'h3f825ede,// invsqrt(1.1664) = 0.9259 +32'h3f4bc72c,32'h3f8c98f1,32'h3f92560b, 32'h3f884b1e,32'h3f96a3de, 32'h3f811ebe,32'h3f9dd03e,// invsqrt(0.7960) = 1.1208 +32'h3f15eb48,32'h3fa3eb19,32'h3faa9be1, 32'h3f9ee684,32'h3fafa076, 32'h3f96898a,32'h3fb7fd70,// invsqrt(0.5856) = 1.3067 +32'h3f762335,32'h3f7fdb5d,32'h3f852667, 32'h3f780648,32'h3f8910f2, 32'h3f6af87a,32'h3f8f97d9,// invsqrt(0.9615) = 1.0198 +32'h3ef436f7,32'h3fb5a104,32'h3fbd0ada, 32'h3fb011a3,32'h3fc29a3b, 32'h3fa6cd57,32'h3fcbde87,// invsqrt(0.4770) = 1.4479 +32'h3f258313,32'h3f9c0189,32'h3fa25fa3, 32'h3f973af5,32'h3fa72637, 32'h3f8f4554,32'h3faf1bd8,// invsqrt(0.6465) = 1.2437 +32'h3feed71c,32'h3f37a940,32'h3f3f2852, 32'h3f3209f2,32'h3f44c7a0, 32'h3f28ab1b,32'h3f4e2677,// invsqrt(1.8659) = 0.7321 +32'h3dcf4b3f,32'h40452430,32'h404d301d, 32'h403f1b3e,32'h4053390e, 32'h40350c55,32'h405d47f7,// invsqrt(0.1012) = 3.1432 +32'h40110fc3,32'h3f26a3e6,32'h3f2d711e, 32'h3f2189fc,32'h3f328b08, 32'h3f190975,32'h3f3b0b8f,// invsqrt(2.2666) = 0.6642 +32'h3dea2d83,32'h40397b00,32'h40410d14, 32'h4033cd70,32'h4046baa4, 32'h402a56d6,32'h4050313e,// invsqrt(0.1143) = 2.9573 +32'h3ee6c82c,32'h3fbad712,32'h3fc2775c, 32'h3fb51edb,32'h3fc82f93, 32'h3fab967e,32'h3fd1b7f0,// invsqrt(0.4507) = 1.4895 +32'h3e8129c9,32'h3ff9bf6d,32'h4001f884, 32'h3ff21a38,32'h4005cb1f, 32'h3fe55c35,32'h400c2a20,// invsqrt(0.2523) = 1.9910 +32'h424d456c,32'h3e0c15cb,32'h3e11cd8b, 32'h3e07cbfc,32'h3e16175a, 32'h3e00a64d,32'h3e1d3d09,// invsqrt(51.3178) = 0.1396 +32'h3f924c65,32'h3f6aaaa4,32'h3f743eaa, 32'h3f637b9f,32'h3f7b6daf, 32'h3f578296,32'h3f83b35c,// invsqrt(1.1430) = 0.9354 +32'h3f2faa78,32'h3f976e1d,32'h3f9d9c67, 32'h3f92cb65,32'h3fa23f1f, 32'h3f8b1188,32'h3fa9f8fc,// invsqrt(0.6862) = 1.2072 +32'h40044db3,32'h3f2e7d6b,32'h3f359ca9, 32'h3f2925fd,32'h3f3af417, 32'h3f203eef,32'h3f43db25,// invsqrt(2.0672) = 0.6955 +32'h3f52ad73,32'h3f8a46aa,32'h3f8feb82, 32'h3f860b08,32'h3f942724, 32'h3f7df9f4,32'h3f9b3532,// invsqrt(0.8230) = 1.1023 +32'h3d57111a,32'h4088db94,32'h408e719a, 32'h4084ab0f,32'h4092a21f, 32'h407b5f10,32'h40999da6,// invsqrt(0.0525) = 4.3641 +32'h3fecd906,32'h3f386e9b,32'h3f3ff5bb, 32'h3f32c942,32'h3f459b14, 32'h3f29605a,32'h3f4f03fc,// invsqrt(1.8504) = 0.7351 +32'h404fc7b8,32'h3f0b3c9e,32'h3f10eb80, 32'h3f06f975,32'h3f152ea9, 32'h3effbdb5,32'h3f1c4944,// invsqrt(3.2466) = 0.5550 +32'h40205555,32'h3f1e8163,32'h3f24f99c, 32'h3f19a73a,32'h3f29d3c6, 32'h3f1190f3,32'h3f31ea0d,// invsqrt(2.5052) = 0.6318 +32'h402d39e1,32'h3f187e29,32'h3f1eb78d, 32'h3f13d31d,32'h3f236299, 32'h3f0c0b5f,32'h3f2b2a57,// invsqrt(2.7067) = 0.6078 +32'h3f316bcd,32'h3f96ade2,32'h3f9cd454, 32'h3f92110d,32'h3fa17129, 32'h3f8a60ff,32'h3fa92137,// invsqrt(0.6931) = 1.2012 +32'h3fac161c,32'h3f585ed1,32'h3f6133ab, 32'h3f51bf2e,32'h3f67d34e, 32'h3f46b51e,32'h3f72dd5e,// invsqrt(1.3444) = 0.8624 +32'h3f788caa,32'h3f7e9cc8,32'h3f84809c, 32'h3f76d173,32'h3f886646, 32'h3f69d3e6,32'h3f8ee50d,// invsqrt(0.9709) = 1.0149 +32'h402b9540,32'h3f1938a1,32'h3f1f79a3, 32'h3f1487e0,32'h3f242a64, 32'h3f0cb69f,32'h3f2bfba5,// invsqrt(2.6810) = 0.6107 +32'h40198843,32'h3f21fa62,32'h3f2896e4, 32'h3f1d0501,32'h3f2d8c45, 32'h3f14c160,32'h3f35cfe7,// invsqrt(2.3989) = 0.6456 +32'h3f27de16,32'h3f9ae858,32'h3fa13af8, 32'h3f962a60,32'h3fa5f8f0, 32'h3f8e4317,32'h3fade039,// invsqrt(0.6557) = 1.2349 +32'h3f71cbb3,32'h3f811269,32'h3f865715, 32'h3f7a3dd1,32'h3f8a4a95, 32'h3f6d1225,32'h3f90e06c,// invsqrt(0.9445) = 1.0290 +32'h408041f3,32'h3efaa0bf,32'h3f026dc7, 32'h3ef2f4a4,32'h3f0643d4, 32'h3ee62b22,32'h3f0ca895,// invsqrt(4.0081) = 0.4995 +32'h3eff8946,32'h3fb18f53,32'h3fb8cea5, 32'h3fac1fd6,32'h3fbe3e22, 32'h3fa310b0,32'h3fc74d48,// invsqrt(0.4991) = 1.4155 +32'h3f107fcd,32'h3fa6f6d4,32'h3fadc76e, 32'h3fa1da5f,32'h3fb2e3e3, 32'h3f99559e,32'h3fbb68a4,// invsqrt(0.5645) = 1.3310 +32'h3f935678,32'h3f69d660,32'h3f7361bd, 32'h3f62addb,32'h3f7a8a43, 32'h3f56bfa7,32'h3f833c3b,// invsqrt(1.1511) = 0.9321 +32'h3ecbd9c3,32'h3fc6cca3,32'h3fcee9e3, 32'h3fc0b6b3,32'h3fd4ffd3, 32'h3fb69223,32'h3fdf2463,// invsqrt(0.3981) = 1.5848 +32'h3f00040a,32'h3fb16355,32'h3fb8a0db, 32'h3fabf530,32'h3fbe0f00, 32'h3fa2e849,32'h3fc71be7,// invsqrt(0.5001) = 1.4141 +32'h3edcc662,32'h3fbf0712,32'h3fc6d31d, 32'h3fb92e09,32'h3fccac25, 32'h3faf6efb,32'h3fd66b33,// invsqrt(0.4312) = 1.5229 +32'h3f748373,32'h3f805a43,32'h3f85976b, 32'h3f78d8cc,32'h3f898548, 32'h3f6bbfe9,32'h3f9011b9,// invsqrt(0.9551) = 1.0232 +32'h3fb9165a,32'h3f50a203,32'h3f592603, 32'h3f4a3f03,32'h3f5f8903, 32'h3f3f9a03,32'h3f6a2e03,// invsqrt(1.4460) = 0.8316 +32'h3fab3ae1,32'h3f58e928,32'h3f61c3a6, 32'h3f524548,32'h3f686786, 32'h3f47342a,32'h3f7378a5,// invsqrt(1.3377) = 0.8646 +32'h400cfc70,32'h3f290817,32'h3f2fee4d, 32'h3f23db70,32'h3f351af4, 32'h3f1b3bad,32'h3f3dbab7,// invsqrt(2.2029) = 0.6738 +32'h3f9ef366,32'h3f61221f,32'h3f6a5289, 32'h3f5a3dd0,32'h3f7136d8, 32'h3f4ec14c,32'h3f7cb35c,// invsqrt(1.2418) = 0.8974 +32'h3f0a8b76,32'h3faa83ad,32'h3fb17961, 32'h3fa54b67,32'h3fb6b1a7, 32'h3f9c9846,32'h3fbf64c8,// invsqrt(0.5412) = 1.3593 +32'h3f93cedf,32'h3f69770f,32'h3f72fe88, 32'h3f625175,32'h3f7a2423, 32'h3f56681e,32'h3f8306bd,// invsqrt(1.1548) = 0.9306 +32'h3e9d4add,32'h3fe25125,32'h3feb8ded, 32'h3fdb638f,32'h3ff27b83, 32'h3fcfd795,32'h3ffe077d,// invsqrt(0.3072) = 1.8042 +32'h4025c972,32'h3f1be069,32'h3f223d29, 32'h3f171ad9,32'h3f2702b9, 32'h3f0f26e8,32'h3f2ef6aa,// invsqrt(2.5904) = 0.6213 +32'h3f8a64c4,32'h3f71467f,32'h3f7b1f93, 32'h3f69e3af,32'h3f814132, 32'h3f5d9455,32'h3f8768df,// invsqrt(1.0812) = 0.9617 +32'h3ff82b81,32'h3f342d01,32'h3f3b87a8, 32'h3f2ea903,32'h3f410ba5, 32'h3f2577b2,32'h3f4a3cf6,// invsqrt(1.9388) = 0.7182 +32'h40af1586,32'h3ed68294,32'h3edf43fe, 32'h3ecff185,32'h3ee5d50d, 32'h3ec4ffc2,32'h3ef0c6d0,// invsqrt(5.4714) = 0.4275 +32'h3f4a048b,32'h3f8d3569,32'h3f92f8e6, 32'h3f88e2cc,32'h3f974b84, 32'h3f81ae71,32'h3f9e7fdf,// invsqrt(0.7891) = 1.1257 +32'h3fb8ca20,32'h3f50cd06,32'h3f5952c8, 32'h3f4a68b5,32'h3f5fb719, 32'h3f3fc183,32'h3f6a5e4b,// invsqrt(1.4437) = 0.8323 +32'h401b1ed9,32'h3f21258f,32'h3f27b960, 32'h3f1c36b1,32'h3f2ca83d, 32'h3f13fdeb,32'h3f34e103,// invsqrt(2.4238) = 0.6423 +32'h3eae35a7,32'h3fd70c3d,32'h3fdfd345, 32'h3fd076f7,32'h3fe6688b, 32'h3fc57e2e,32'h3ff16154,// invsqrt(0.3403) = 1.7143 +32'h3fec9909,32'h3f38878a,32'h3f400fae, 32'h3f32e16e,32'h3f45b5ca, 32'h3f297740,32'h3f4f1ff8,// invsqrt(1.8484) = 0.7355 +32'h3ff90659,32'h3f33ddc4,32'h3f3b3530, 32'h3f2e5c34,32'h3f40b6c0, 32'h3f252eee,32'h3f49e406,// invsqrt(1.9455) = 0.7169 +32'h3fc20c01,32'h3f4bc269,32'h3f54137d, 32'h3f45859a,32'h3f5a504c, 32'h3f3b2041,32'h3f64b5a5,// invsqrt(1.5160) = 0.8122 +32'h3f87192d,32'h3f743340,32'h3f7e2ae5, 32'h3f6cb985,32'h3f82d250, 32'h3f6043f8,32'h3f890d17,// invsqrt(1.0555) = 0.9734 +32'h3f02fc14,32'h3faf5dbc,32'h3fb68622, 32'h3fa9ff70,32'h3fbbe46e, 32'h3fa10cf1,32'h3fc4d6ed,// invsqrt(0.5117) = 1.3980 +32'h409bdcc3,32'h3ee35a55,32'h3eeca1ef, 32'h3edc64a0,32'h3ef397a4, 32'h3ed0cb1f,32'h3eff3125,// invsqrt(4.8707) = 0.4531 +32'h40a139fb,32'h3edf89ec,32'h3ee8a9ac, 32'h3ed8b21b,32'h3eef817d, 32'h3ecd4a6b,32'h3efae92d,// invsqrt(5.0383) = 0.4455 +32'h3f0ae716,32'h3faa4b67,32'h3fb13ecf, 32'h3fa514da,32'h3fb6755c, 32'h3f9c6498,32'h3fbf259e,// invsqrt(0.5426) = 1.3576 +32'h3f944c65,32'h3f69142c,32'h3f72979c, 32'h3f61f199,32'h3f79ba2f, 32'h3f560d4d,32'h3f82cf3d,// invsqrt(1.1586) = 0.9290 +32'h3cf34ec0,32'h40b5f79c,32'h40bd64fc, 32'h40b06595,32'h40c2f703, 32'h40a71cde,32'h40cc3fba,// invsqrt(0.0297) = 5.8025 +32'h3e30fdfa,32'h4016dc9b,32'h401d04f4, 32'h40123e57,32'h4021a337, 32'h400a8be6,32'h402955a8,// invsqrt(0.1728) = 2.4053 +32'h4263c000,32'h3e04fe0e,32'h3e0a6bb0, 32'h3e00ebd4,32'h3e0e7dea, 32'h3df44591,32'h3e1546f5,// invsqrt(56.9375) = 0.1325 +32'h3e89a68a,32'h3ff1ecfd,32'h3ffbccdd, 32'h3fea8514,32'h40019a63, 32'h3fde2d3c,32'h4007c64f,// invsqrt(0.2688) = 1.9286 +32'h3fa55788,32'h3f5cbd3f,32'h3f65bfbf, 32'h3f55fb5f,32'h3f6c819f, 32'h3f4ab83f,32'h3f77c4bf,// invsqrt(1.2917) = 0.8799 +32'h40109cc9,32'h3f26e617,32'h3f2db603, 32'h3f21ca26,32'h3f32d1f4, 32'h3f19463f,32'h3f3b55db,// invsqrt(2.2596) = 0.6653 +32'h4035ad6b,32'h3f14e75a,32'h3f1afb3f, 32'h3f105870,32'h3f1f8a2a, 32'h3f08bf92,32'h3f272308,// invsqrt(2.8387) = 0.5935 +32'h3ff92d48,32'h3f33cfb6,32'h3f3b268e, 32'h3f2e4e94,32'h3f40a7b0, 32'h3f252205,32'h3f49d43f,// invsqrt(1.9467) = 0.7167 +32'h3c895c59,32'h40f22e4a,32'h40fc10d4, 32'h40eac461,32'h4101bd5e, 32'h40de6934,32'h4107eaf5,// invsqrt(0.0168) = 7.7226 +32'h3ec41165,32'h3fcab4df,32'h3fd2faf2, 32'h3fc48050,32'h3fd92f80, 32'h3fba28b7,32'h3fe38719,// invsqrt(0.3829) = 1.6160 +32'h419fe459,32'h3e60783d,32'h3e69a1b7, 32'h3e599921,32'h3e7080d3, 32'h3e4e2547,32'h3e7bf4ad,// invsqrt(19.9865) = 0.2237 +32'h427eca97,32'h3dfb796e,32'h3e02de8b, 32'h3df3c6b2,32'h3e06b7e9, 32'h3de6f222,32'h3e0d2231,// invsqrt(63.6978) = 0.1253 +32'h3ef46827,32'h3fb58ebc,32'h3fbcf7d4, 32'h3fafffeb,32'h3fc286a5, 32'h3fa6bc8e,32'h3fcbca02,// invsqrt(0.4774) = 1.4474 +32'h4036a0d1,32'h3f1483ff,32'h3f1a93d6, 32'h3f0ff81f,32'h3f1f1fb7, 32'h3f086454,32'h3f26b382,// invsqrt(2.8536) = 0.5920 +32'h417a1a9c,32'h3e7dd1e8,32'h3e841708, 32'h3e760cc9,32'h3e87f998, 32'h3e691996,32'h3e8e7331,// invsqrt(15.6315) = 0.2529 +32'h3d486ee6,32'h408dc406,32'h40938d54, 32'h40896d0b,32'h4097e44f, 32'h40823168,32'h409f1ff2,// invsqrt(0.0489) = 4.5206 +32'h3f3e9123,32'h3f9163a5,32'h3f9752d0, 32'h3f8cf044,32'h3f9bc630, 32'h3f85854e,32'h3fa33126,// invsqrt(0.7444) = 1.1590 +32'h3f844a74,32'h3f76c720,32'h3f806cda, 32'h3f6f3932,32'h3f8433d1, 32'h3f62a1f9,32'h3f8a7f6e,// invsqrt(1.0335) = 0.9836 +32'h3dfac567,32'h40333d29,32'h403a8e06, 32'h402dc083,32'h40400aab, 32'h40249b6e,32'h40492fc0,// invsqrt(0.1224) = 2.8578 +32'h3f1ee17f,32'h3f9f3a72,32'h3fa5ba38, 32'h3f9a5a9e,32'h3faa9a0c, 32'h3f923ae6,32'h3fb2b9c4,// invsqrt(0.6206) = 1.2694 +32'h40bee22c,32'h3ecd70dd,32'h3ed5d383, 32'h3ec726e1,32'h3edc1d7f, 32'h3ebcab92,32'h3ee698ce,// invsqrt(5.9651) = 0.4094 +32'h406f9213,32'h3f01ab80,32'h3f06f66c, 32'h3efb66a0,32'h3f0aee9c, 32'h3eee2b54,32'h3f118c42,// invsqrt(3.7433) = 0.5169 +32'h3f00d246,32'h3fb0d51e,32'h3fb80cd6, 32'h3fab6b54,32'h3fbd76a0, 32'h3fa265ae,32'h3fc67c46,// invsqrt(0.5032) = 1.4097 +32'h406c40e6,32'h3f0293ba,32'h3f07e820, 32'h3efd28dc,32'h3f0be76c, 32'h3eefd5de,32'h3f1290eb,// invsqrt(3.6915) = 0.5205 +32'h3ea756eb,32'h3fdb6af2,32'h3fe45fa3, 32'h3fd4b36d,32'h3feb1727, 32'h3fc9818f,32'h3ff64905,// invsqrt(0.3268) = 1.7492 +32'h3ea2b39b,32'h3fde85eb,32'h3fe79b0f, 32'h3fd7b610,32'h3fee6aea, 32'h3fcc5ba4,32'h3ff9c556,// invsqrt(0.3178) = 1.7739 +32'h3e80b7a9,32'h3ffa2e0c,32'h40023216, 32'h3ff28574,32'h40060662, 32'h3fe5c1cc,32'h400c6836,// invsqrt(0.2514) = 1.9944 +32'h3f3e7edd,32'h3f916a9e,32'h3f975a12, 32'h3f8cf707,32'h3f9bcda9, 32'h3f858bb6,32'h3fa338fa,// invsqrt(0.7441) = 1.1593 +32'h3f304212,32'h3f972cf0,32'h3f9d5891, 32'h3f928c36,32'h3fa1f94a, 32'h3f8ad5ad,32'h3fa9afd3,// invsqrt(0.6885) = 1.2052 +32'h3fb00eb9,32'h3f55ea8e,32'h3f5ea5c3, 32'h3f4f5e27,32'h3f65322b, 32'h3f447425,32'h3f701c2d,// invsqrt(1.3754) = 0.8527 +32'h3f4b58ee,32'h3f8cbf08,32'h3f927db0, 32'h3f88700b,32'h3f96ccad, 32'h3f8141b9,32'h3f9dfaff,// invsqrt(0.7943) = 1.1220 +32'h3f226ca5,32'h3f9d7b58,32'h3fa3e8de, 32'h3f98a933,32'h3fa8bb03, 32'h3f90a04c,32'h3fb0c3eb,// invsqrt(0.6345) = 1.2554 +32'h3f70f1bb,32'h3f814cbd,32'h3f8693ca, 32'h3f7aaee8,32'h3f8a8914, 32'h3f6d7d47,32'h3f9121e4,// invsqrt(0.9412) = 1.0308 +32'h4097515d,32'h3ee6bddc,32'h3ef028e0, 32'h3edfad99,32'h3ef73923, 32'h3ed3e7d5,32'h3f017f74,// invsqrt(4.7287) = 0.4599 +32'h3f7f55f5,32'h3f7b34c3,32'h3f82bace, 32'h3f738421,32'h3f869320, 32'h3f66b312,32'h3f8cfba7,// invsqrt(0.9974) = 1.0013 +32'h3fe5f520,32'h3f3b2cbb,32'h3f42d084, 32'h3f3571e5,32'h3f488b5b, 32'h3f2be52a,32'h3f521816,// invsqrt(1.7965) = 0.7461 +32'h3f1a91ef,32'h3fa16ef2,32'h3fa805c2, 32'h3f9c7dd6,32'h3facf6de, 32'h3f944151,32'h3fb53363,// invsqrt(0.6038) = 1.2869 +32'h3deadff1,32'h4039347f,32'h4040c3b3, 32'h40338918,32'h40466f1a, 32'h402a1616,32'h404fe21c,// invsqrt(0.1147) = 2.9529 +32'h419bf162,32'h3e634b4c,32'h3e6c924a, 32'h3e5c560e,32'h3e738788, 32'h3e50bd50,32'h3e7f2046,// invsqrt(19.4929) = 0.2265 +32'h44a4c155,32'h3cdd21c6,32'h3ce62860, 32'h3cd65cd2,32'h3ceced54, 32'h3ccb1491,32'h3cf83595,// invsqrt(1318.0416) = 0.0275 +32'h3f00ea4e,32'h3fb0c4a2,32'h3fb7fbae, 32'h3fab5b59,32'h3fbd64f7, 32'h3fa2568a,32'h3fc669c6,// invsqrt(0.5036) = 1.4092 +32'h3f8ef246,32'h3f6d66ec,32'h3f771788, 32'h3f662277,32'h3f7e5bfd, 32'h3f5a05b4,32'h3f853c60,// invsqrt(1.1168) = 0.9463 +32'h3f69a46e,32'h3f834e01,32'h3f88aa01, 32'h3f7e9202,32'h3f8caf01, 32'h3f712c02,32'h3f936201,// invsqrt(0.9127) = 1.0468 +32'h405b4432,32'h3f078a71,32'h3f0d12b5, 32'h3f03643f,32'h3f1138e7, 32'h3ef8f3d5,32'h3f18233b,// invsqrt(3.4260) = 0.5403 +32'h3f52cbec,32'h3f8a3cab,32'h3f8fe11b, 32'h3f860158,32'h3f941c6e, 32'h3f7de799,32'h3f9b29fa,// invsqrt(0.8234) = 1.1020 +32'h3e142ace,32'h4024e272,32'h402b9d52, 32'h401fd64a,32'h4030a97a, 32'h40176cb2,32'h40391312,// invsqrt(0.1447) = 2.6289 +32'h3f0f26d0,32'h3fa7bf8b,32'h3fae9857, 32'h3fa29cf2,32'h3fb3baf0, 32'h3f9a0df2,32'h3fbc49f0,// invsqrt(0.5592) = 1.3373 +32'h3ac818aa,32'h41c8a7da,32'h41d0d880, 32'h41c2835e,32'h41d6fcfc, 32'h41b8468f,32'h41e139cb,// invsqrt(0.0015) = 25.5938 +32'h3f0105d2,32'h3fb0b1c7,32'h3fb7e80e, 32'h3fab4912,32'h3fbd50c4, 32'h3fa2453a,32'h3fc6549c,// invsqrt(0.5040) = 1.4086 +32'h3ff2ec93,32'h3f361c5e,32'h3f3d8b3d, 32'h3f308936,32'h3f431e64, 32'h3f273e9f,32'h3f4c68fb,// invsqrt(1.8978) = 0.7259 +32'h3f0eec25,32'h3fa7e1f5,32'h3faebc29, 32'h3fa2be4e,32'h3fb3dfd0, 32'h3f9a2d8e,32'h3fbc7091,// invsqrt(0.5583) = 1.3384 +32'h3fd6807a,32'h3f41ccff,32'h3f49b605, 32'h3f3bde3c,32'h3f4fa4c8, 32'h3f31faf5,32'h3f59880f,// invsqrt(1.6758) = 0.7725 +32'h3e357dec,32'h4014fad5,32'h401b0f85, 32'h40106b51,32'h401f9f09, 32'h4008d175,32'h402738e5,// invsqrt(0.1772) = 2.3753 +32'h4017d007,32'h3f22e494,32'h3f298aa4, 32'h3f1de807,32'h3f2e8731, 32'h3f159873,32'h3f36d6c5,// invsqrt(2.3721) = 0.6493 +32'h3e0dab7f,32'h40289f87,32'h402f8178, 32'h40237613,32'h4034aaed, 32'h401adba6,32'h403d455a,// invsqrt(0.1383) = 2.6885 +32'h3f459892,32'h3f8ec7a5,32'h3f949b8d, 32'h3f8a68b8,32'h3f98fa7a, 32'h3f831fd6,32'h3fa0435c,// invsqrt(0.7719) = 1.1382 +32'h409e8aa2,32'h3ee16c75,32'h3eea9fe7, 32'h3eda85df,32'h3ef1867d, 32'h3ecf0590,32'h3efd06cc,// invsqrt(4.9544) = 0.4493 +32'h3e62bc8b,32'h40054a10,32'h400abacc, 32'h40013582,32'h400ecf5a, 32'h3ff4d12c,32'h40159c46,// invsqrt(0.2214) = 2.1251 +32'h3eeb3d4d,32'h3fb90fbb,32'h3fc09d6f, 32'h3fb36574,32'h3fc647b6, 32'h3fa9f453,32'h3fcfb8d7,// invsqrt(0.4595) = 1.4753 +32'h3f7dae8f,32'h3f7c060e,32'h3f8327b9, 32'h3f744f03,32'h3f87033e, 32'h3f677346,32'h3f8d711d,// invsqrt(0.9909) = 1.0046 +32'h3f615082,32'h3f85b592,32'h3f8b2ab2, 32'h3f819dba,32'h3f8f428a, 32'h3f7596a4,32'h3f9614f2,// invsqrt(0.8801) = 1.0659 +32'h3f7d3c50,32'h3f7c3ee1,32'h3f83454b, 32'h3f748619,32'h3f8721b0, 32'h3f67a776,32'h3f8d9101,// invsqrt(0.9892) = 1.0054 +32'h3eba70ff,32'h3fcfdfb5,32'h3fd85bc7, 32'h3fc982a8,32'h3fdeb8d4, 32'h3fbee791,32'h3fe953eb,// invsqrt(0.3641) = 1.6572 +32'h3f846f7f,32'h3f76a49b,32'h3f805ae3, 32'h3f6f17ba,32'h3f842153, 32'h3f628245,32'h3f8a6c0e,// invsqrt(1.0347) = 0.9831 +32'h3f4f1fb5,32'h3f8b750c,32'h3f91263b, 32'h3f873028,32'h3f956b1e, 32'h3f8012ac,32'h3f9c889a,// invsqrt(0.8091) = 1.1117 +32'h3f936eeb,32'h3f69c2fc,32'h3f734d8e, 32'h3f629b0e,32'h3f7a757c, 32'h3f56add8,32'h3f833159,// invsqrt(1.1518) = 0.9318 +32'h3fce0f81,32'h3f45bb00,32'h3f4dcd14, 32'h3f3fad70,32'h3f53daa4, 32'h3f3596d6,32'h3f5df13e,// invsqrt(1.6098) = 0.7881 +32'h400fac04,32'h3f2771b6,32'h3f2e4754, 32'h3f22517e,32'h3f33678c, 32'h3f19c678,32'h3f3bf292,// invsqrt(2.2449) = 0.6674 +32'h3fd283cc,32'h3f43a09d,32'h3f4b9cb9, 32'h3f3da389,32'h3f5199cd, 32'h3f33a867,32'h3f5b94ef,// invsqrt(1.6446) = 0.7798 +32'h3e58396a,32'h40087dae,32'h400e0fde, 32'h40045009,32'h40123d83, 32'h3ffab298,32'h40193440,// invsqrt(0.2112) = 2.1762 +32'h3eae3752,32'h3fd70b35,32'h3fdfd232, 32'h3fd075f8,32'h3fe66770, 32'h3fc57d3c,32'h3ff1602c,// invsqrt(0.3403) = 1.7143 +32'h3fab43c5,32'h3f58e386,32'h3f61bdcb, 32'h3f523fd4,32'h3f68617e, 32'h3f472efe,32'h3f737254,// invsqrt(1.3380) = 0.8645 +32'h3fda8b86,32'h3f3fffed,32'h3f47d621, 32'h3f3a1f47,32'h3f4db6c7, 32'h3f305386,32'h3f578288,// invsqrt(1.7074) = 0.7653 +32'h3f16c008,32'h3fa37746,32'h3faa2353, 32'h3f9e763b,32'h3faf245d, 32'h3f961f2b,32'h3fb77b6d,// invsqrt(0.5889) = 1.3031 +32'h3ee429bc,32'h3fbbe8cb,32'h3fc39441, 32'h3fb62832,32'h3fc954da, 32'h3fac91df,32'h3fd2eb2d,// invsqrt(0.4456) = 1.4980 +32'h3fc5e774,32'h3f49c394,32'h3f51ffce, 32'h3f439668,32'h3f582cfa, 32'h3f394b1f,32'h3f627843,// invsqrt(1.5461) = 0.8042 +32'h3fce12f4,32'h3f45b958,32'h3f4dcb5c, 32'h3f3fabd6,32'h3f53d8de, 32'h3f359551,32'h3f5def63,// invsqrt(1.6100) = 0.7881 +32'h3db422c5,32'h40537b17,32'h405c1cd9, 32'h404d01c5,32'h4062962b, 32'h40423792,32'h406d605e,// invsqrt(0.0880) = 3.3718 +32'h3cce1488,32'h40c5b896,32'h40cdca92, 32'h40bfab19,32'h40d3d80f, 32'h40b5949f,32'h40ddee89,// invsqrt(0.0252) = 6.3049 +32'h3f3f110b,32'h3f9132f3,32'h3f972021, 32'h3f8cc110,32'h3f9b9204, 32'h3f855896,32'h3fa2fa7e,// invsqrt(0.7464) = 1.1575 +32'h3fa01337,32'h3f60575e,32'h3f697f82, 32'h3f597944,32'h3f705d9c, 32'h3f4e0718,32'h3f7bcfc8,// invsqrt(1.2506) = 0.8942 +32'h3e8f94aa,32'h3fece086,32'h3ff68ba5, 32'h3fe5a02e,32'h3ffdcbfc, 32'h3fd98a46,32'h4004f0f2,// invsqrt(0.2804) = 1.8884 +32'h3f691fc5,32'h3f837358,32'h3f88d0de, 32'h3f7eda66,32'h3f8cd703, 32'h3f717097,32'h3f938bea,// invsqrt(0.9106) = 1.0479 +32'h3f89f289,32'h3f71aa50,32'h3f7b8778, 32'h3f6a4472,32'h3f8176ab, 32'h3f5df000,32'h3f87a0e4,// invsqrt(1.0777) = 0.9633 +32'h3f91fc68,32'h3f6aeae5,32'h3f74818b, 32'h3f63b9e8,32'h3f7bb288, 32'h3f57bd99,32'h3f83d76c,// invsqrt(1.1405) = 0.9364 +32'h40f5dc1a,32'h3eb50533,32'h3ebc68ad, 32'h3eaf7a97,32'h3ec1f349, 32'h3ea63e3e,32'h3ecb2fa2,// invsqrt(7.6831) = 0.3608 +32'h408da8a9,32'h3eee7a7e,32'h3ef8365a, 32'h3ee72d9a,32'h3eff833e, 32'h3edb02c8,32'h3f05d708,// invsqrt(4.4268) = 0.4753 +32'h3ea79e7a,32'h3fdb3c17,32'h3fe42edf, 32'h3fd48602,32'h3feae4f4, 32'h3fc95688,32'h3ff6146e,// invsqrt(0.3274) = 1.7477 +32'h3d9495a5,32'h4068dab1,32'h40725bc8, 32'h4061b9e0,32'h40797c9a, 32'h4055d884,32'h4082aefb,// invsqrt(0.0726) = 3.7126 +32'h4081565f,32'h3ef9945d,32'h3f01e21c, 32'h3ef1f07a,32'h3f05b40d, 32'h3ee534a9,32'h3f0c11f6,// invsqrt(4.0418) = 0.4974 +32'h3fe566ea,32'h3f3b66b6,32'h3f430cdd, 32'h3f35aa1a,32'h3f48c97a, 32'h3f2c1a69,32'h3f52592b,// invsqrt(1.7922) = 0.7470 +32'h3f35e19d,32'h3f94d1fb,32'h3f9ae501, 32'h3f9043b8,32'h3f9f7344, 32'h3f88abf1,32'h3fa70b0b,// invsqrt(0.7105) = 1.1864 +32'h3e185ea0,32'h40229849,32'h40293b3d, 32'h401d9e13,32'h402e3573, 32'h40155263,32'h40368123,// invsqrt(0.1488) = 2.5924 +32'h3f1705ae,32'h3fa35190,32'h3fa9fc13, 32'h3f9e51ad,32'h3faefbf5, 32'h3f95fc89,32'h3fb75119,// invsqrt(0.5899) = 1.3020 +32'h3fbd8724,32'h3f4e2c9d,32'h3f5696ed, 32'h3f47dce2,32'h3f5ce6a8, 32'h3f3d57fe,32'h3f676b8c,// invsqrt(1.4807) = 0.8218 +32'h3e70363d,32'h40017f2a,32'h4006c846, 32'h3ffb10aa,32'h400abf1b, 32'h3fedd9e5,32'h40115a7e,// invsqrt(0.2346) = 2.0647 +32'h402a9d9e,32'h3f19a7aa,32'h3f1fed34, 32'h3f14f383,32'h3f24a15b, 32'h3f0d1c97,32'h3f2c7847,// invsqrt(2.6659) = 0.6125 +32'h3f9529f4,32'h3f6866d2,32'h3f71e32e, 32'h3f61498d,32'h3f790073, 32'h3f556e1a,32'h3f826df3,// invsqrt(1.1653) = 0.9263 +32'h40947384,32'h3ee8f574,32'h3ef277a2, 32'h3ee1d3d1,32'h3ef99945, 32'h3ed5f117,32'h3f02be00,// invsqrt(4.6391) = 0.4643 +32'h3ed1283d,32'h3fc442e4,32'h3fcc459e, 32'h3fbe40d7,32'h3fd247ab, 32'h3fb43d6e,32'h3fdc4b14,// invsqrt(0.4085) = 1.5646 +32'h3f7023f4,32'h3f818418,32'h3f86cd67, 32'h3f7b1a38,32'h3f8ac462, 32'h3f6de2f1,32'h3f916005,// invsqrt(0.9380) = 1.0325 +32'h400994ba,32'h3f2b1c4f,32'h3f32183d, 32'h3f25df5c,32'h3f375530, 32'h3f1d2472,32'h3f40101a,// invsqrt(2.1497) = 0.6820 +32'h3f2d2b83,32'h3f98847c,32'h3f9ebe24, 32'h3f93d93f,32'h3fa36961, 32'h3f8c112e,32'h3fab3172,// invsqrt(0.6764) = 1.2159 +32'h3fcf8d25,32'h3f4504e1,32'h3f4d0f87, 32'h3f3efce5,32'h3f531783, 32'h3f34ef95,32'h3f5d24d3,// invsqrt(1.6215) = 0.7853 +32'h3f740d2c,32'h3f80795a,32'h3f85b7c6, 32'h3f791512,32'h3f89a697, 32'h3f6bf903,32'h3f90349e,// invsqrt(0.9533) = 1.0242 +32'h3f54eada,32'h3f898bfa,32'h3f8f2934, 32'h3f85560f,32'h3f935f1f, 32'h3f7ca310,32'h3f9a63a6,// invsqrt(0.8317) = 1.0965 +32'h3fa0a398,32'h3f5ff276,32'h3f69167b, 32'h3f591773,32'h3f6ff17f, 32'h3f4daa6d,32'h3f7b5e85,// invsqrt(1.2550) = 0.8926 +32'h3f841b29,32'h3f76f348,32'h3f8083d5, 32'h3f6f6400,32'h3f844b79, 32'h3f62ca86,32'h3f8a9836,// invsqrt(1.0321) = 0.9843 +32'h42ad0000,32'h3dd7cc5c,32'h3de09b3c, 32'h3dd13135,32'h3de73663, 32'h3dc62e9e,32'h3df238fa,// invsqrt(86.5000) = 0.1075 +32'h3eadd689,32'h3fd7470a,32'h3fe01078, 32'h3fd0aff7,32'h3fe6a78b, 32'h3fc5b42e,32'h3ff1a354,// invsqrt(0.3395) = 1.7162 +32'h400284bd,32'h3f2fadd6,32'h3f36d981, 32'h3f2a4d17,32'h3f3c3a41, 32'h3f215681,32'h3f4530d7,// invsqrt(2.0394) = 0.7003 +32'h3dbaedb1,32'h404f9a54,32'h40581390, 32'h40493f66,32'h405e6e7e, 32'h403ea7da,32'h4069060a,// invsqrt(0.0913) = 3.3100 +32'h40110bb4,32'h3f26a63b,32'h3f2d738b, 32'h3f218c3e,32'h3f328d88, 32'h3f190b99,32'h3f3b0e2d,// invsqrt(2.2663) = 0.6643 +32'h3f9471b5,32'h3f68f6df,32'h3f72791d, 32'h3f61d531,32'h3f799acb, 32'h3f55f265,32'h3f82becc,// invsqrt(1.1597) = 0.9286 +32'h3fa27dac,32'h3f5eaad6,32'h3f67c17c, 32'h3f57d9da,32'h3f6e9278, 32'h3f4c7d8b,32'h3f79eec7,// invsqrt(1.2695) = 0.8875 +32'h400a7583,32'h3f2a9131,32'h3f318771, 32'h3f255880,32'h3f36c022, 32'h3f1ca4af,32'h3f3f73f3,// invsqrt(2.1634) = 0.6799 +32'h3f871740,32'h3f7434fe,32'h3f7e2cb4, 32'h3f6cbb35,32'h3f82d33f, 32'h3f604590,32'h3f890e11,// invsqrt(1.0554) = 0.9734 +32'h3eb9027f,32'h3fd0ad35,32'h3fd931aa, 32'h3fca49dd,32'h3fdf9501, 32'h3fbfa44a,32'h3fea3a94,// invsqrt(0.3613) = 1.6636 +32'h3f18b2b6,32'h3fa26b7e,32'h3fa90c9e, 32'h3f9d72a7,32'h3fae0575, 32'h3f952940,32'h3fb64edc,// invsqrt(0.5965) = 1.2948 +32'h3e9bf594,32'h3fe3483d,32'h3fec8f1b, 32'h3fdc5317,32'h3ff38441, 32'h3fd0ba81,32'h3fff1cd7,// invsqrt(0.3046) = 1.8119 +32'h3f2347f5,32'h3f9d1171,32'h3fa37aa5, 32'h3f98428a,32'h3fa8498c, 32'h3f903f0a,32'h3fb04d0c,// invsqrt(0.6378) = 1.2521 +32'h3f9a9dc2,32'h3f644466,32'h3f6d958e, 32'h3f5d4787,32'h3f74926d, 32'h3f51a214,32'h3f801bf0,// invsqrt(1.2079) = 0.9099 +32'h3eae04a4,32'h3fd72a83,32'h3fdff2c7, 32'h3fd09450,32'h3fe688fa, 32'h3fc599fb,32'h3ff1834f,// invsqrt(0.3399) = 1.7153 +32'h3efe99cf,32'h3fb1e2c0,32'h3fb92579, 32'h3fac70b4,32'h3fbe9784, 32'h3fa35d4c,32'h3fc7aaec,// invsqrt(0.4973) = 1.4181 +32'h408230d2,32'h3ef8c2a2,32'h3f0174f6, 32'h3ef1252a,32'h3f0543b2, 32'h3ee4740d,32'h3f0b9c41,// invsqrt(4.0685) = 0.4958 +32'h41845b4c,32'h3e76b76c,32'h3e8064ae, 32'h3e6f29f9,32'h3e842b68, 32'h3e62938d,32'h3e8a769d,// invsqrt(16.5446) = 0.2459 +32'h402e1554,32'h3f181ded,32'h3f1e5365, 32'h3f1375d4,32'h3f22fb7e, 32'h3f0bb2fe,32'h3f2abe54,// invsqrt(2.7201) = 0.6063 +32'h3f4d0535,32'h3f8c2bba,32'h3f91e45e, 32'h3f87e13f,32'h3f962ed9, 32'h3f80ba71,32'h3f9d55a7,// invsqrt(0.8009) = 1.1174 +32'h402cd421,32'h3f18ab06,32'h3f1ee640, 32'h3f13fe9b,32'h3f2392ab, 32'h3f0c3493,32'h3f2b5cb3,// invsqrt(2.7004) = 0.6085 +32'h3e774294,32'h3fff4683,32'h4004d8f1, 32'h3ff775fc,32'h4008c134, 32'h3fea6fc7,32'h400f444f,// invsqrt(0.2415) = 2.0350 +32'h40113d36,32'h3f2689d1,32'h3f2d55f9, 32'h3f2170b3,32'h3f326f17, 32'h3f18f181,32'h3f3aee49,// invsqrt(2.2694) = 0.6638 +32'h40801e65,32'h3efac384,32'h3f027fde, 32'h3ef31658,32'h3f065674, 32'h3ee64b10,32'h3f0cbc18,// invsqrt(4.0037) = 0.4998 +32'h3f7015fc,32'h3f8187dc,32'h3f86d152, 32'h3f7b2185,32'h3f8ac86b, 32'h3f6de9dd,32'h3f916440,// invsqrt(0.9378) = 1.0326 +32'h40180437,32'h3f22c89c,32'h3f296d88, 32'h3f1dcceb,32'h3f2e6939, 32'h3f157ec3,32'h3f36b761,// invsqrt(2.3753) = 0.6489 +32'h3fe3e882,32'h3f3c03ad,32'h3f43b03b, 32'h3f364241,32'h3f4971a7, 32'h3f2caa8f,32'h3f530959,// invsqrt(1.7805) = 0.7494 +32'h3e78a62f,32'h3ffe8fb7,32'h400479d0, 32'h3ff6c4ca,32'h40085f47, 32'h3fe9c7e8,32'h400eddb8,// invsqrt(0.2428) = 2.0293 +32'h40590fab,32'h3f083a40,32'h3f0dc9b0, 32'h3f040eac,32'h3f11f544, 32'h3efa36be,32'h3f18e891,// invsqrt(3.3916) = 0.5430 +32'h3e1bc3a1,32'h4020d03b,32'h40276091, 32'h401be3fa,32'h402c4cd2, 32'h4013af8f,32'h4034813d,// invsqrt(0.1521) = 2.5640 +32'h3f57df9a,32'h3f889a0f,32'h3f8e2d69, 32'h3f846b8c,32'h3f925bec, 32'h3f7ae6b9,32'h3f99541c,// invsqrt(0.8433) = 1.0890 +32'h3f3ad752,32'h3f92d4ea,32'h3f98d328, 32'h3f8e563c,32'h3f9d51d6, 32'h3f86d86e,32'h3fa4cfa4,// invsqrt(0.7298) = 1.1705 +32'h3fbd0d68,32'h3f4e6ef3,32'h3f56dbf8, 32'h3f481d30,32'h3f5d2dbc, 32'h3f3d94ea,32'h3f67b602,// invsqrt(1.4770) = 0.8228 +32'h3f32f84f,32'h3f96069b,32'h3f9c2639, 32'h3f916ee5,32'h3fa0bdef, 32'h3f89c75f,32'h3fa86575,// invsqrt(0.6991) = 1.1960 +32'h4359d46d,32'h3d87fcac,32'h3d8d8998, 32'h3d83d2fa,32'h3d91b34a, 32'h3d79c5a4,32'h3d98a372,// invsqrt(217.8298) = 0.0678 +32'h3f37c3c8,32'h3f940e3d,32'h3f9a1945, 32'h3f8f85f7,32'h3f9ea18b, 32'h3f87f82e,32'h3fa62f54,// invsqrt(0.7178) = 1.1803 +32'h3ee89c90,32'h3fba1a96,32'h3fc1b32e, 32'h3fb46824,32'h3fc765a0, 32'h3faae965,32'h3fd0e45f,// invsqrt(0.4543) = 1.4836 +32'h43e6745d,32'h3d3af908,32'h3d429ab5, 32'h3d353fc7,32'h3d4853f7, 32'h3d2bb5af,32'h3d51de0f,// invsqrt(460.9091) = 0.0466 +32'h3fdb74cd,32'h3f3f99c6,32'h3f476bce, 32'h3f39bc40,32'h3f4d4954, 32'h3f2ff5b6,32'h3f570fde,// invsqrt(1.7145) = 0.7637 +32'h3efbc2b1,32'h3fb2e2e8,32'h3fba3016, 32'h3fad6905,32'h3fbfa9f9, 32'h3fa4488c,32'h3fc8ca72,// invsqrt(0.4917) = 1.4261 +32'h3f76c6cb,32'h3f7f8681,32'h3f84fa3e, 32'h3f77b404,32'h3f88e37c, 32'h3f6aaa8b,32'h3f8f6838,// invsqrt(0.9640) = 1.0185 +32'h3f2e1674,32'h3f981d6f,32'h3f9e52e1, 32'h3f937559,32'h3fa2faf7, 32'h3f8bb28a,32'h3faabdc6,// invsqrt(0.6800) = 1.2127 +32'h3de125cf,32'h403d29d9,32'h4044e26a, 32'h40375f6d,32'h404aacd7, 32'h402db8b8,32'h4054538c,// invsqrt(0.1099) = 3.0160 +32'h3e949317,32'h3fe8dcb2,32'h3ff25dde, 32'h3fe1bbd1,32'h3ff97ebf, 32'h3fd5da5a,32'h4002b01b,// invsqrt(0.2902) = 1.8564 +32'h3c3559ae,32'h411509b7,32'h411b1f03, 32'h411079bf,32'h411faefb, 32'h4108df20,32'h4127499a,// invsqrt(0.0111) = 9.5050 +32'h3fa65dd3,32'h3f5c0ef8,32'h3f650a5a, 32'h3f55526d,32'h3f6bc6e5, 32'h3f4a1832,32'h3f770120,// invsqrt(1.2997) = 0.8771 +32'h3e498d9d,32'h400d5f0d,32'h4013243c, 32'h40090b29,32'h4017781f, 32'h4001d4ad,32'h401eae9b,// invsqrt(0.1968) = 2.2540 +32'h3f7a7c7a,32'h3f7da04e,32'h3f83fd38, 32'h3f75dcb3,32'h3f87df05, 32'h3f68ec08,32'h3f8e575a,// invsqrt(0.9785) = 1.0109 +32'h3f80a95d,32'h3f7a3bf2,32'h3f823951, 32'h3f7292ed,32'h3f860dd4, 32'h3f65ce8f,32'h3f8c7002,// invsqrt(1.0052) = 0.9974 +32'h3f80f2c3,32'h3f79f4b0,32'h3f82143c, 32'h3f724dd9,32'h3f85e7a7, 32'h3f658d1f,32'h3f8c4805,// invsqrt(1.0074) = 0.9963 +32'h3f6aba34,32'h3f830039,32'h3f88590d, 32'h3f7dfb36,32'h3f8c5bab, 32'h3f709d25,32'h3f930ab3,// invsqrt(0.9169) = 1.0443 +32'h3f083ba0,32'h3fabf480,32'h3fb2f942, 32'h3fa6b0ef,32'h3fb83cd3, 32'h3f9deafe,32'h3fc102c4,// invsqrt(0.5322) = 1.3708 +32'h3f549068,32'h3f89a93b,32'h3f8f47a5, 32'h3f85726a,32'h3f937e76, 32'h3f7cd8ca,32'h3f9a847b,// invsqrt(0.8303) = 1.0974 +32'h3eb02ca1,32'h3fd5d866,32'h3fde92dd, 32'h3fcf4c8c,32'h3fe51eb6, 32'h3fc46377,32'h3ff007cb,// invsqrt(0.3441) = 1.7048 +32'h3ff6fb3a,32'h3f349bdb,32'h3f3bfb09, 32'h3f2f1479,32'h3f41826b, 32'h3f25dd80,32'h3f4ab964,// invsqrt(1.9295) = 0.7199 +32'h4054e1f4,32'h3f098eda,32'h3f0f2c32, 32'h3f0558d9,32'h3f136233, 32'h3efca858,32'h3f1a66e0,// invsqrt(3.3263) = 0.5483 +32'h3f7b05ec,32'h3f7d5ad5,32'h3f83d911, 32'h3f75995c,32'h3f87b9ce, 32'h3f68ac3c,32'h3f8e305e,// invsqrt(0.9806) = 1.0099 +32'h3d013df7,32'h40b08b62,32'h40b7c018, 32'h40ab23da,32'h40bd27a0, 32'h40a221f7,32'h40c62983,// invsqrt(0.0316) = 5.6296 +32'h40839281,32'h3ef77366,32'h3f00c681, 32'h3eefe031,32'h3f04901b, 32'h3ee3402f,32'h3f0ae01d,// invsqrt(4.1116) = 0.4932 +32'h403b1ca3,32'h3f12b9b5,32'h3f18b6d7, 32'h3f0e3bdc,32'h3f1d34b0, 32'h3f06bf72,32'h3f24b11a,// invsqrt(2.9236) = 0.5848 +32'h40d5beb9,32'h3ec224c2,32'h3eca115c, 32'h3ebc334e,32'h3ed002d0, 32'h3eb24b8e,32'h3ed9ea91,// invsqrt(6.6795) = 0.3869 +32'h3f4d90a5,32'h3f8bfc27,32'h3f91b2db, 32'h3f87b321,32'h3f95fbe1, 32'h3f808ec1,32'h3f9d2041,// invsqrt(0.8030) = 1.1160 +32'h3fd1e80d,32'h3f43e923,32'h3f4be835, 32'h3f3de9d7,32'h3f51e781, 32'h3f33eb01,32'h3f5be657,// invsqrt(1.6399) = 0.7809 +32'h41c5a963,32'h3e49e33e,32'h3e5220c4, 32'h3e43b51b,32'h3e584ee7, 32'h3e396834,32'h3e629bce,// invsqrt(24.7077) = 0.2012 +32'h3ee76a25,32'h3fba95a3,32'h3fc23342, 32'h3fb4df6d,32'h3fc7e979, 32'h3fab5a68,32'h3fd16e7f,// invsqrt(0.4520) = 1.4874 +32'h3f3a76b5,32'h3f92faef,32'h3f98fabb, 32'h3f8e7b17,32'h3f9d7a93, 32'h3f86fb59,32'h3fa4fa51,// invsqrt(0.7284) = 1.1717 +32'h401b3234,32'h3f211b82,32'h3f27aeea, 32'h3f1c2cf3,32'h3f2c9d79, 32'h3f13f4b1,32'h3f34d5bb,// invsqrt(2.4249) = 0.6422 +32'h3f30abea,32'h3f96ffa0,32'h3f9d2968, 32'h3f92604a,32'h3fa1c8be, 32'h3f8aac10,32'h3fa97cf8,// invsqrt(0.6901) = 1.2038 +32'h403db0bb,32'h3f11b98b,32'h3f17ac38, 32'h3f0d438a,32'h3f1c223a, 32'h3f05d432,32'h3f239192,// invsqrt(2.9639) = 0.5809 +32'h3eb4f54a,32'h3fd2fff0,32'h3fdb9cab, 32'h3fcc8a63,32'h3fe21237, 32'h3fc1c678,32'h3fecd622,// invsqrt(0.3534) = 1.6821 +32'h4049615c,32'h3f0d6e94,32'h3f133466, 32'h3f091a37,32'h3f1788c3, 32'h3f01e2f0,32'h3f1ec00a,// invsqrt(3.1466) = 0.5637 +32'h3f232b6f,32'h3f9d1f2b,32'h3fa388ef, 32'h3f984fd9,32'h3fa85841, 32'h3f904ba5,32'h3fb05c75,// invsqrt(0.6374) = 1.2526 +32'h3eb26662,32'h3fd481d9,32'h3fdd2e55, 32'h3fce007c,32'h3fe3afb2, 32'h3fc328e2,32'h3fee874d,// invsqrt(0.3484) = 1.6941 +32'h418786c7,32'h3e73d06e,32'h3e7dc40a, 32'h3e6c59b9,32'h3e829d60, 32'h3e5fe936,32'h3e88d5a1,// invsqrt(16.9408) = 0.2430 +32'h3dc46feb,32'h404a8414,32'h4052c82a, 32'h40445104,32'h4058fb3a, 32'h4039fbe9,32'h40635055,// invsqrt(0.0959) = 3.2289 +32'h3fe52b96,32'h3f3b7ef7,32'h3f43261b, 32'h3f35c19c,32'h3f48e376, 32'h3f2c30ae,32'h3f527464,// invsqrt(1.7904) = 0.7474 +32'h3e91d6a9,32'h3feb094a,32'h3ff4a12e, 32'h3fe3d75f,32'h3ffbd319, 32'h3fd7d983,32'h4003e87b,// invsqrt(0.2848) = 1.8737 +32'h4245343a,32'h3e0eebf4,32'h3e14c157, 32'h3e0a8bea,32'h3e192162, 32'h3e03412f,32'h3e206c1d,// invsqrt(49.3010) = 0.1424 +32'h3f148976,32'h3fa4ade1,32'h3fab669b, 32'h3f9fa354,32'h3fb07128, 32'h3f973c6b,32'h3fb8d811,// invsqrt(0.5802) = 1.3128 +32'h3fb104f2,32'h3f555595,32'h3f5e0ab5, 32'h3f4ecdbd,32'h3f64928d, 32'h3f43eb54,32'h3f6f74f6,// invsqrt(1.3830) = 0.8503 +32'h3df1af17,32'h403693d3,32'h403e0793, 32'h4030fd04,32'h40439e62, 32'h4027ac54,32'h404cef12,// invsqrt(0.1180) = 2.9110 +32'h3f70d855,32'h3f81538f,32'h3f869ae3, 32'h3f7abc20,32'h3f8a9062, 32'h3f6d89cd,32'h3f91298c,// invsqrt(0.9408) = 1.0310 +32'h3f5c7393,32'h3f872d0d,32'h3f8cb181, 32'h3f8309b7,32'h3f90d4d7, 32'h3f78484d,32'h3f97ba68,// invsqrt(0.8611) = 1.0776 +32'h40f568d3,32'h3eb52fb2,32'h3ebc94e8, 32'h3eafa3c9,32'h3ec220d1, 32'h3ea66545,32'h3ecb5f55,// invsqrt(7.6690) = 0.3611 +32'h3faf9e39,32'h3f562f08,32'h3f5eed08, 32'h3f4fa088,32'h3f657b88, 32'h3f44b307,32'h3f706909,// invsqrt(1.3720) = 0.8537 +32'h3f81b716,32'h3f793741,32'h3f81b1a7, 32'h3f719637,32'h3f85822c, 32'h3f64df26,32'h3f8bddb4,// invsqrt(1.0134) = 0.9934 +32'h400bb91f,32'h3f29cb38,32'h3f30b964, 32'h3f249897,32'h3f35ec05, 32'h3f1beee0,32'h3f3e95bc,// invsqrt(2.1832) = 0.6768 +32'h410c0ea1,32'h3ea9975b,32'h3eb08369, 32'h3ea46650,32'h3eb5b474, 32'h3e9bbf3f,32'h3ebe5b85,// invsqrt(8.7536) = 0.3380 +32'h3f07b37c,32'h3fac4aac,32'h3fb352f2, 32'h3fa70478,32'h3fb89926, 32'h3f9e3a21,32'h3fc1637d,// invsqrt(0.5301) = 1.3735 +32'h3ee917ca,32'h3fb9e95e,32'h3fc17ff4, 32'h3fb4386d,32'h3fc730e5, 32'h3faabc32,32'h3fd0ad20,// invsqrt(0.4553) = 1.4821 +32'h3e5652a2,32'h40091857,32'h400eb0d7, 32'h4004e5f6,32'h4012e338, 32'h3ffbcea9,32'h4019e1d9,// invsqrt(0.2093) = 2.1858 +32'h3dafaae5,32'h4056274e,32'h405ee4fe, 32'h404f990a,32'h40657342, 32'h4044abef,32'h4070605d,// invsqrt(0.0858) = 3.4144 +32'h3f8ae2d7,32'h3f70d8e4,32'h3f7aad7f, 32'h3f69796f,32'h3f81067a, 32'h3f5d2fac,32'h3f872b5b,// invsqrt(1.0850) = 0.9600 +32'h3fcd80ab,32'h3f45ffab,32'h3f4e148e, 32'h3f3ff002,32'h3f542438, 32'h3f35d5e7,32'h3f5e3e53,// invsqrt(1.6055) = 0.7892 +32'h3f806ab7,32'h3f7a78f4,32'h3f825912, 32'h3f72ce12,32'h3f862e83, 32'h3f660698,32'h3f8c9240,// invsqrt(1.0033) = 0.9984 +32'h3d88ffc7,32'h4072800e,32'h407c65ef, 32'h406b13a4,32'h4081e92c, 32'h405eb44b,32'h408818d8,// invsqrt(0.0669) = 3.8664 +32'h3f8cbe34,32'h3f6f40ce,32'h3f7904c2, 32'h3f67edd8,32'h3f802bdc, 32'h3f5bb8e7,32'h3f864654,// invsqrt(1.0996) = 0.9537 +32'h3f9d4933,32'h3f625257,32'h3f6b8f2b, 32'h3f5b64b7,32'h3f727ccb, 32'h3f4fd8ae,32'h3f7e08d4,// invsqrt(1.2288) = 0.9021 +32'h3df69947,32'h4034bfb6,32'h403c205a, 32'h402f373b,32'h4041a8d5, 32'h4025fe6e,32'h404ae1a2,// invsqrt(0.1204) = 2.8818 +32'h3ec59a57,32'h3fc9eaee,32'h3fd228c4, 32'h3fc3bc8e,32'h3fd85724, 32'h3fb96f43,32'h3fe2a46f,// invsqrt(0.3859) = 1.6097 +32'h3f297984,32'h3f9a2bdd,32'h3fa076cb, 32'h3f9573a9,32'h3fa52eff, 32'h3f8d95ff,32'h3fad0ca9,// invsqrt(0.6620) = 1.2290 +32'h3f91e716,32'h3f6afc0e,32'h3f749368, 32'h3f63ca8b,32'h3f7bc4eb, 32'h3f57cd5c,32'h3f83e10d,// invsqrt(1.1399) = 0.9366 +32'h41864e1a,32'h3e74eb99,32'h3e7eeac4, 32'h3e6d6c39,32'h3e833512, 32'h3e60ed44,32'h3e89748d,// invsqrt(16.7881) = 0.2441 +32'h3f359a33,32'h3f94ef3b,32'h3f9b0372, 32'h3f906013,32'h3f9f929b, 32'h3f88c6ce,32'h3fa72be0,// invsqrt(0.7094) = 1.1873 +32'h3ff7adfc,32'h3f345aa3,32'h3f3bb727, 32'h3f2ed540,32'h3f413c8a, 32'h3f25a19b,32'h3f4a702f,// invsqrt(1.9350) = 0.7189 +32'h4071d860,32'h3f010f07,32'h3f06538f, 32'h3efa3742,32'h3f0a46f5, 32'h3eed0bed,32'h3f10dc9f,// invsqrt(3.7788) = 0.5144 +32'h3c93e217,32'h40e967e3,32'h40f2eebd, 32'h40e242bf,32'h40fa13e1, 32'h40d65a2e,32'h4102fe39,// invsqrt(0.0181) = 7.4428 +32'h3ef55b1b,32'h3fb534c2,32'h3fbc9a2e, 32'h3fafa8b2,32'h3fc2263e, 32'h3fa669ec,32'h3fcb6504,// invsqrt(0.4792) = 1.4446 +32'h40c4e042,32'h3eca4a44,32'h3ed28bfe, 32'h3ec418f9,32'h3ed8bd49, 32'h3eb9c6d1,32'h3ee30f71,// invsqrt(6.1524) = 0.4032 +32'h3f4f42d7,32'h3f8b6939,32'h3f9119ed, 32'h3f8724b2,32'h3f955e74, 32'h3f8007d1,32'h3f9c7b55,// invsqrt(0.8096) = 1.1114 +32'h3e4b3497,32'h400ccb9d,32'h40128ac8, 32'h40087c3d,32'h4016da29, 32'h40014d48,32'h401e091e,// invsqrt(0.1984) = 2.2448 +32'h3d3cb7b6,32'h40921990,32'h40981029, 32'h408da09f,32'h409c891b, 32'h40862c60,32'h40a3fd5a,// invsqrt(0.0461) = 4.6588 +32'h40f7f1e9,32'h3eb441ed,32'h3ebb9d6e, 32'h3eaebd4b,32'h3ec1220f, 32'h3ea58ae8,32'h3eca5472,// invsqrt(7.7483) = 0.3593 +32'h3fbbdcec,32'h3f4f15fa,32'h3f5789d0, 32'h3f48bf1a,32'h3f5de0b0, 32'h3f3e2e4e,32'h3f68717c,// invsqrt(1.4677) = 0.8254 +32'h3fd9ffa5,32'h3f403d7c,32'h3f481633, 32'h3f3a5af4,32'h3f4df8bc, 32'h3f308c0f,32'h3f57c7a1,// invsqrt(1.7031) = 0.7663 +32'h3fe94d31,32'h3f39d416,32'h3f4169ce, 32'h3f3423cc,32'h3f471a18, 32'h3f2aa8a7,32'h3f50953d,// invsqrt(1.8227) = 0.7407 +32'h3eadd630,32'h3fd74741,32'h3fe010b1, 32'h3fd0b02d,32'h3fe6a7c5, 32'h3fc5b460,32'h3ff1a392,// invsqrt(0.3395) = 1.7162 +32'h3fb761e9,32'h3f5199b4,32'h3f5a27d1, 32'h3f4b2f20,32'h3f609266, 32'h3f407d7c,32'h3f6b440a,// invsqrt(1.4327) = 0.8355 +32'h3e672350,32'h4004039e,32'h40096708, 32'h3ffff21e,32'h400d7197, 32'h3ff27995,32'h40142ddb,// invsqrt(0.2257) = 2.1048 +32'h3f1bc7f5,32'h3fa0cdff,32'h3fa75e3d, 32'h3f9be1d0,32'h3fac4a6c, 32'h3f93ad81,32'h3fb47ebb,// invsqrt(0.6085) = 1.2819 +32'h3d5530b7,32'h4089756f,32'h408f11bd, 32'h40854035,32'h409346f7, 32'h407c79a8,32'h409a4a58,// invsqrt(0.0520) = 4.3833 +32'h3f1d4910,32'h3fa008aa,32'h3fa690da, 32'h3f9b2285,32'h3fab76ff, 32'h3f92f848,32'h3fb3a13c,// invsqrt(0.6144) = 1.2758 +32'h3ec69d3e,32'h3fc96728,32'h3fd19f9d, 32'h3fc33cd1,32'h3fd7c9f5, 32'h3fb8f640,32'h3fe21087,// invsqrt(0.3879) = 1.6056 +32'h417737a7,32'h3e7f4c27,32'h3e84dbe0, 32'h3e777b75,32'h3e88c43a, 32'h3e6a74f5,32'h3e8f4779,// invsqrt(15.4511) = 0.2544 +32'h42955989,32'h3de841ca,32'h3df1bca3, 32'h3de125a6,32'h3df8d8c6, 32'h3dd54c17,32'h3e02592a,// invsqrt(74.6749) = 0.1157 +32'h3f4bd3f5,32'h3f8c9488,32'h3f925174, 32'h3f8846d8,32'h3f969f24, 32'h3f811ab1,32'h3f9dcb4b,// invsqrt(0.7962) = 1.1207 +32'h40268cf5,32'h3f1b84d0,32'h3f21ddd4, 32'h3f16c20e,32'h3f26a096, 32'h3f0ed2ca,32'h3f2e8fda,// invsqrt(2.6024) = 0.6199 +32'h3ef943d5,32'h3fb3c794,32'h3fbb1e17, 32'h3fae46b1,32'h3fc09ef9, 32'h3fa51a8c,32'h3fc9cb1e,// invsqrt(0.4868) = 1.4332 +32'h3f40ef85,32'h3f907e77,32'h3f966447, 32'h3f8c121a,32'h3f9ad0a4, 32'h3f84b2d6,32'h3fa22fe8,// invsqrt(0.7537) = 1.1519 +32'h40750784,32'h3f0037a8,32'h3f057365, 32'h3ef895b2,32'h3f096033, 32'h3eeb8058,32'h3f0feae0,// invsqrt(3.8286) = 0.5111 +32'h3f9d7e5f,32'h3f622c1f,32'h3f6b6765, 32'h3f5b3fac,32'h3f7253d8, 32'h3f4fb595,32'h3f7dddef,// invsqrt(1.2304) = 0.9015 +32'h3f7c69d3,32'h3f7ca7f8,32'h3f837bfc, 32'h3f74ebf8,32'h3f8759fc, 32'h3f6807f9,32'h3f8dcbfc,// invsqrt(0.9860) = 1.0071 +32'h40017eb2,32'h3f305f3d,32'h3f379225, 32'h3f2af90f,32'h3f3cf853, 32'h3f21f96c,32'h3f45f7f6,// invsqrt(2.0234) = 0.7030 +32'h3e8681a7,32'h3ff4bca6,32'h3ffeb9e6, 32'h3fed3eb6,32'h40031beb, 32'h3fe0c225,32'h40095a33,// invsqrt(0.2627) = 1.9510 +32'h3f93bba5,32'h3f698640,32'h3f730e58, 32'h3f62602f,32'h3f7a3469, 32'h3f567611,32'h3f830f43,// invsqrt(1.1542) = 0.9308 +32'h3d41e755,32'h40902204,32'h4096040e, 32'h408bb87c,32'h409a6d96, 32'h40845dee,32'h40a1c824,// invsqrt(0.0473) = 4.5961 +32'h40d12de8,32'h3ec4403b,32'h3ecc42da, 32'h3ebe3e43,32'h3ed244d1, 32'h3eb43afc,32'h3edc4818,// invsqrt(6.5369) = 0.3911 +32'h40b68c77,32'h3ed2141a,32'h3edaa736, 32'h3ecba5c6,32'h3ee1158a, 32'h3ec0ede4,32'h3eebcd6c,// invsqrt(5.7046) = 0.4187 +32'h407b7fa8,32'h3efd1d7c,32'h3f03b924, 32'h3ef55de4,32'h3f0798f0, 32'h3ee873e5,32'h3f0e0df0,// invsqrt(3.9297) = 0.5045 +32'h3f4c01fb,32'h3f8c84ac,32'h3f9240f1, 32'h3f883777,32'h3f968e25, 32'h3f810c20,32'h3f9db97c,// invsqrt(0.7969) = 1.1202 +32'h3f496c69,32'h3f8d6ab3,32'h3f93305d, 32'h3f891674,32'h3f97849c, 32'h3f81df61,32'h3f9ebbaf,// invsqrt(0.7868) = 1.1274 +32'h40692750,32'h3f037138,32'h3f08cea8, 32'h3efed648,32'h3f0cd4bc, 32'h3ef16cb0,32'h3f138988,// invsqrt(3.6430) = 0.5239 +32'h3edbc2cd,32'h3fbf77c2,32'h3fc74867, 32'h3fb99b47,32'h3fcd24e3, 32'h3fafd679,32'h3fd6e9b1,// invsqrt(0.4292) = 1.5264 +32'h3f9d893c,32'h3f622453,32'h3f6b5f47, 32'h3f5b381c,32'h3f724b7e, 32'h3f4fae6c,32'h3f7dd52e,// invsqrt(1.2308) = 0.9014 +32'h3fb714d9,32'h3f51c5cd,32'h3f5a55b6, 32'h3f4b59de,32'h3f60c1a4, 32'h3f40a5fa,32'h3f6b7588,// invsqrt(1.4303) = 0.8361 +32'h3e142063,32'h4024e83f,32'h402ba35b, 32'h401fdbe9,32'h4030afb1, 32'h40177205,32'h40391995,// invsqrt(0.1447) = 2.6293 +32'h3fe60734,32'h3f3b2560,32'h3f42c8dc, 32'h3f356ac3,32'h3f488379, 32'h3f2bde68,32'h3f520fd4,// invsqrt(1.7971) = 0.7460 +32'h4016f301,32'h3f235baa,32'h3f2a0696, 32'h3f1e5b78,32'h3f2f06c8, 32'h3f1605d0,32'h3f375c70,// invsqrt(2.3586) = 0.6511 +32'h3ff075d6,32'h3f370a99,32'h3f3e8332, 32'h3f317027,32'h3f441da5, 32'h3f281969,32'h3f4d7463,// invsqrt(1.8786) = 0.7296 +32'h4016cc5f,32'h3f237095,32'h3f2a1c5d, 32'h3f1e6fc0,32'h3f2f1d32, 32'h3f161906,32'h3f3773ec,// invsqrt(2.3562) = 0.6515 +32'h3e4ecc7e,32'h400b9118,32'h4011436c, 32'h40074b58,32'h4015892c, 32'h40002c6f,32'h401ca815,// invsqrt(0.2020) = 2.2252 +32'h3f9d101d,32'h3f627b74,32'h3f6bb9f6, 32'h3f5b8c92,32'h3f72a8d8, 32'h3f4ffe70,32'h3f7e36fa,// invsqrt(1.2271) = 0.9028 +32'h3f04cf23,32'h3fae284f,32'h3fb54413, 32'h3fa8d37b,32'h3fba98e7, 32'h3f9ff0c6,32'h3fc37b9c,// invsqrt(0.5188) = 1.3884 +32'h3f26b47e,32'h3f9b725e,32'h3fa1caa1, 32'h3f96b02d,32'h3fa68cd3, 32'h3f8ec1da,32'h3fae7b26,// invsqrt(0.6512) = 1.2392 +32'h3ed29f75,32'h3fc393c4,32'h3fcb8f5a, 32'h3fbd9715,32'h3fd18c09, 32'h3fb39c9a,32'h3fdb8684,// invsqrt(0.4114) = 1.5591 +32'h3f2fdc40,32'h3f9758ad,32'h3f9d8617, 32'h3f92b69d,32'h3fa22827, 32'h3f8afdd8,32'h3fa9e0ec,// invsqrt(0.6870) = 1.2065 +32'h3f0fca11,32'h3fa76036,32'h3fae351e, 32'h3fa24088,32'h3fb354cc, 32'h3f99b666,32'h3fbbdeee,// invsqrt(0.5617) = 1.3343 +32'h3fe1f972,32'h3f3cd130,32'h3f448622, 32'h3f37097a,32'h3f4a4dd8, 32'h3f2d674b,32'h3f53f007,// invsqrt(1.7654) = 0.7526 +32'h3f0471f6,32'h3fae6587,32'h3fb583cb, 32'h3fa90ed4,32'h3fbada7e, 32'h3fa028fe,32'h3fc3c054,// invsqrt(0.5174) = 1.3903 +32'h3f007853,32'h3fb112fb,32'h3fb84d39, 32'h3faba74c,32'h3fbdb8e8, 32'h3fa29e7e,32'h3fc6c1b6,// invsqrt(0.5018) = 1.4116 +32'h3fb690b4,32'h3f5211aa,32'h3f5aa4ac, 32'h3f4ba369,32'h3f6112ed, 32'h3f40eba7,32'h3f6bcaaf,// invsqrt(1.4263) = 0.8373 +32'h3fb54059,32'h3f52d43b,32'h3f5b6f2d, 32'h3f4c6005,32'h3f61e363, 32'h3f419e55,32'h3f6ca513,// invsqrt(1.4160) = 0.8404 +32'h40e43416,32'h3ebbe488,32'h3ec38fd2, 32'h3eb62411,32'h3ec95049, 32'h3eac8df5,32'h3ed2e665,// invsqrt(7.1314) = 0.3745 +32'h3ed5c8ec,32'h3fc22020,32'h3fca0c8a, 32'h3fbc2ed1,32'h3fcffdd9, 32'h3fb2474c,32'h3fd9e55e,// invsqrt(0.4175) = 1.5476 +32'h3f82d983,32'h3f782214,32'h3f812169, 32'h3f708987,32'h3f84edb0, 32'h3f63e09b,32'h3f8b4226,// invsqrt(1.0223) = 0.9891 +32'h3e90f72c,32'h3febbe31,32'h3ff55d77, 32'h3fe486bd,32'h3ffc94eb, 32'h3fd87fa5,32'h40044e01,// invsqrt(0.2831) = 1.8793 +32'h3ea4aa0f,32'h3fdd3166,32'h3fe638a4, 32'h3fd66bf8,32'h3fecfe12, 32'h3fcb22eb,32'h3ff8471f,// invsqrt(0.3216) = 1.7633 +32'h3fbbedc0,32'h3f4f0cb5,32'h3f57802a, 32'h3f48b61d,32'h3f5dd6c1, 32'h3f3e25ca,32'h3f686714,// invsqrt(1.4682) = 0.8253 +32'h3f06f4ca,32'h3facc43b,32'h3fb3d177, 32'h3fa77a4e,32'h3fb91b64, 32'h3f9ea9c3,32'h3fc1ebef,// invsqrt(0.5272) = 1.3773 +32'h3f3c6952,32'h3f9237f2,32'h3f982fc8, 32'h3f8dbe12,32'h3f9ca9a8, 32'h3f864847,32'h3fa41f73,// invsqrt(0.7360) = 1.1656 +32'h4085a7a3,32'h3ef583f0,32'h3eff8952, 32'h3eedffe6,32'h3f0386ae, 32'h3ee1792a,32'h3f09ca0c,// invsqrt(4.1767) = 0.4893 +32'h40aa1e60,32'h3ed99e3d,32'h3ee28021, 32'h3ed2f4d3,32'h3ee9298b, 32'h3ec7da77,32'h3ef443e7,// invsqrt(5.3162) = 0.4337 +32'h3f153cf2,32'h3fa44abc,32'h3faaff6a, 32'h3f9f4338,32'h3fb006ee, 32'h3f96e15e,32'h3fb868c8,// invsqrt(0.5830) = 1.3097 +32'h3f9c4bad,32'h3f63099b,32'h3f6c4deb, 32'h3f5c1660,32'h3f734126, 32'h3f5080fc,32'h3f7ed68a,// invsqrt(1.2211) = 0.9050 +32'h40dd976c,32'h3ebeace2,32'h3ec6753e, 32'h3eb8d69c,32'h3ecc4b84, 32'h3eaf1c28,32'h3ed605f8,// invsqrt(6.9247) = 0.3800 +32'h3f52f95c,32'h3f8a2dc8,32'h3f8fd19b, 32'h3f85f2e8,32'h3f940c7a, 32'h3f7dcc3f,32'h3f9b1943,// invsqrt(0.8241) = 1.1016 +32'h3fb368a6,32'h3f53e8ad,32'h3f5c8ee9, 32'h3f4d6c01,32'h3f630b95, 32'h3f429c37,32'h3f6ddb5f,// invsqrt(1.4016) = 0.8447 +32'h40524bb5,32'h3f0a66c9,32'h3f100cf1, 32'h3f062a2c,32'h3f14498e, 32'h3efe34f4,32'h3f1b5940,// invsqrt(3.2859) = 0.5517 +32'h3e290609,32'h401a607e,32'h4020ad93, 32'h4015a6af,32'h40256763, 32'h400dc655,32'h402d47bd,// invsqrt(0.1651) = 2.4614 +32'h3f858160,32'h3f75a71c,32'h3f7fadee, 32'h3f6e21fe,32'h3f839986, 32'h3f619978,32'h3f89ddc9,// invsqrt(1.0430) = 0.9792 +32'h3f91503e,32'h3f6b75e6,32'h3f751238, 32'h3f6440a8,32'h3f7c4776, 32'h3f583d41,32'h3f84256f,// invsqrt(1.1353) = 0.9385 +32'h3f55f404,32'h3f8936a4,32'h3f8ed062, 32'h3f850356,32'h3f9303b0, 32'h3f7c0652,32'h3f9a03dd,// invsqrt(0.8358) = 1.0939 +32'h3ded1fcb,32'h40385313,32'h403fd914, 32'h4032ae93,32'h40457d95, 32'h40294712,32'h404ee516,// invsqrt(0.1158) = 2.9388 +32'h3f247025,32'h3f9c83bd,32'h3fa2e727, 32'h3f97b92c,32'h3fa7b1b8, 32'h3f8fbce7,32'h3fafadfd,// invsqrt(0.6423) = 1.2477 +32'h404c5587,32'h3f0c67ee,32'h3f122308, 32'h3f081b9b,32'h3f166f5b, 32'h3f00f1bc,32'h3f1d993a,// invsqrt(3.1927) = 0.5597 +32'h3f97d451,32'h3f665a45,32'h3f6fc138, 32'h3f5f4d0e,32'h3f76ce6e, 32'h3f538c5e,32'h3f81478f,// invsqrt(1.1862) = 0.9182 +32'h3dcab19b,32'h40475daa,32'h404f80d6, 32'h4041434a,32'h40559b36, 32'h40371753,32'h405fc72d,// invsqrt(0.0990) = 3.1787 +32'h3ece6c71,32'h3fc58e78,32'h3fcd9ebb, 32'h3fbf8245,32'h3fd3aaed, 32'h3fb56df0,32'h3fddbf42,// invsqrt(0.4032) = 1.5749 +32'h3ef7596a,32'h3fb47975,32'h3fbbd73b, 32'h3faef320,32'h3fc15d90, 32'h3fa5bde9,32'h3fca92c7,// invsqrt(0.4831) = 1.4387 +32'h3fc204bd,32'h3f4bc63a,32'h3f541775, 32'h3f45894c,32'h3f5a5462, 32'h3f3b23c2,32'h3f64b9ed,// invsqrt(1.5158) = 0.8122 +32'h3fd6a346,32'h3f41bd49,32'h3f49a5aa, 32'h3f3bcf00,32'h3f4f93f2, 32'h3f31ec86,32'h3f59766c,// invsqrt(1.6769) = 0.7722 +32'h3dd3de21,32'h40430077,32'h404af609, 32'h403d084a,32'h4050ee36, 32'h40331553,32'h405ae12d,// invsqrt(0.1035) = 3.1091 +32'h3dc0dbbf,32'h404c62e4,32'h4054ba84, 32'h4046212b,32'h405afc3d, 32'h403bb3a2,32'h406569c6,// invsqrt(0.0942) = 3.2587 +32'h3ff70c54,32'h3f34959b,32'h3f3bf487, 32'h3f2f0e6a,32'h3f417bb8, 32'h3f25d7c2,32'h3f4ab260,// invsqrt(1.9301) = 0.7198 +32'h3f844ebd,32'h3f76c321,32'h3f806ac5, 32'h3f6f3552,32'h3f8431ad, 32'h3f629e4d,32'h3f8a7d2f,// invsqrt(1.0337) = 0.9836 +32'h3efe9f77,32'h3fb1e0c6,32'h3fb9236a, 32'h3fac6eca,32'h3fbe9566, 32'h3fa35b7c,32'h3fc7a8b4,// invsqrt(0.4973) = 1.4180 +32'h401bd8d1,32'h3f20c54c,32'h3f275530, 32'h3f1bd961,32'h3f2c411b, 32'h3f13a585,32'h3f3474f7,// invsqrt(2.4351) = 0.6408 +32'h3e616ce1,32'h4005ad28,32'h400b21f0, 32'h40019592,32'h400f3986, 32'h3ff5872f,32'h40160b80,// invsqrt(0.2201) = 2.1313 +32'h3ed52f8e,32'h3fc265e7,32'h3fca552a, 32'h3fbc7275,32'h3fd0489d, 32'h3fb28762,32'h3fda33b0,// invsqrt(0.4164) = 1.5497 +32'h410959c8,32'h3eab4102,32'h3eb23e70, 32'h3ea602f0,32'h3eb77c82, 32'h3e9d4626,32'h3ec0394c,// invsqrt(8.5844) = 0.3413 +32'h3febc563,32'h3f38da4a,32'h3f4065d0, 32'h3f3331a6,32'h3f460e74, 32'h3f29c33f,32'h3f4f7cdb,// invsqrt(1.8420) = 0.7368 +32'h402176b2,32'h3f1df31c,32'h3f246585, 32'h3f191d4c,32'h3f293b54, 32'h3f110e48,32'h3f314a58,// invsqrt(2.5229) = 0.6296 +32'h3fea6f8a,32'h3f3960df,32'h3f40f1e3, 32'h3f33b41c,32'h3f469ea6, 32'h3f2a3ed7,32'h3f5013eb,// invsqrt(1.8315) = 0.7389 +32'h3ee6b5e0,32'h3fbade7b,32'h3fc27f12, 32'h3fb52609,32'h3fc83783, 32'h3fab9d4c,32'h3fd1c040,// invsqrt(0.4506) = 1.4897 +32'h3ed174ec,32'h3fc41ef3,32'h3fcc2037, 32'h3fbe1e01,32'h3fd22129, 32'h3fb41c6c,32'h3fdc22be,// invsqrt(0.4091) = 1.5635 +32'h407663c8,32'h3effb9d3,32'h3f0514f4, 32'h3ef7e5c6,32'h3f08fefb, 32'h3eead9ae,32'h3f0f8507,// invsqrt(3.8498) = 0.5097 +32'h3fd9fcd3,32'h3f403ebb,32'h3f48177f, 32'h3f3a5c28,32'h3f4dfa12, 32'h3f308d34,32'h3f57c906,// invsqrt(1.7030) = 0.7663 +32'h3eadcf30,32'h3fd74b97,32'h3fe01535, 32'h3fd0b461,32'h3fe6ac6b, 32'h3fc5b85c,32'h3ff1a870,// invsqrt(0.3395) = 1.7163 +32'h3e8309e4,32'h3ff7f442,32'h40010990, 32'h3ff05d1b,32'h4004d523, 32'h3fe3b686,32'h400b286e,// invsqrt(0.2559) = 1.9767 +32'h40570a2a,32'h3f08ddc9,32'h3f0e73e7, 32'h3f04ad34,32'h3f12a47c, 32'h3efb631e,32'h3f19a021,// invsqrt(3.3600) = 0.5455 +32'h3fff3c7b,32'h3f31aa07,32'h3f38ea6f, 32'h3f2c39b8,32'h3f3e5abe, 32'h3f232935,32'h3f476b41,// invsqrt(1.9940) = 0.7082 +32'h410be5ee,32'h3ea9b004,32'h3eb09d14, 32'h3ea47e38,32'h3eb5cee0, 32'h3e9bd5e4,32'h3ebe7734,// invsqrt(8.7436) = 0.3382 +32'h3f3ff509,32'h3f90dc9f,32'h3f96c647, 32'h3f8c6d60,32'h3f9b3586, 32'h3f85094e,32'h3fa29998,// invsqrt(0.7498) = 1.1548 +32'h3f93dd4e,32'h3f696baa,32'h3f72f2ac, 32'h3f624669,32'h3f7a17ed, 32'h3f565da7,32'h3f830058,// invsqrt(1.1552) = 0.9304 +32'h400c9f95,32'h3f293fdc,32'h3f302858, 32'h3f24117f,32'h3f3556b5, 32'h3f1b6ee4,32'h3f3df950,// invsqrt(2.1972) = 0.6746 +32'h3ed92a56,32'h3fc09bcf,32'h3fc8785f, 32'h3fbab663,32'h3fce5dcb, 32'h3fb0e2ae,32'h3fd83180,// invsqrt(0.4242) = 1.5355 +32'h3f815e20,32'h3f798ce2,32'h3f81de37, 32'h3f71e939,32'h3f85b00b, 32'h3f652dca,32'h3f8c0dc3,// invsqrt(1.0107) = 0.9947 +32'h405eb4ae,32'h3f067d77,32'h3f0bfabf, 32'h3f025f80,32'h3f1018b6, 32'h3ef705ca,32'h3f16f551,// invsqrt(3.4798) = 0.5361 +32'h4011b39e,32'h3f264618,32'h3f2d0f7c, 32'h3f212f0d,32'h3f322687, 32'h3f18b34f,32'h3f3aa245,// invsqrt(2.2766) = 0.6628 +32'h3f13e153,32'h3fa50b64,32'h3fabc7f0, 32'h3f9ffdfb,32'h3fb0d559, 32'h3f97924c,32'h3fb94108,// invsqrt(0.5777) = 1.3157 +32'h3ead5ad9,32'h3fd793c9,32'h3fe06059, 32'h3fd0fa5d,32'h3fe6f9c5, 32'h3fc5faa9,32'h3ff1f979,// invsqrt(0.3386) = 1.7186 +32'h3f2cfe90,32'h3f98984b,32'h3f9ed2c2, 32'h3f93ec73,32'h3fa37e9b, 32'h3f8c2360,32'h3fab47ae,// invsqrt(0.6758) = 1.2165 +32'h3fad0ccc,32'h3f57c461,32'h3f6092ed, 32'h3f512978,32'h3f672dd6, 32'h3f46274a,32'h3f723005,// invsqrt(1.3520) = 0.8600 +32'h3f51900b,32'h3f8aa4b3,32'h3f904d61, 32'h3f866630,32'h3f948be4, 32'h3f7ea6ac,32'h3f9b9ebe,// invsqrt(0.8186) = 1.1053 +32'h3fd7bd4a,32'h3f413e7e,32'h3f4921b3, 32'h3f3b5418,32'h3f4f0c1a, 32'h3f317816,32'h3f58e81c,// invsqrt(1.6855) = 0.7703 +32'h3f3ed636,32'h3f914953,32'h3f97376b, 32'h3f8cd6c1,32'h3f9ba9fd, 32'h3f856d22,32'h3fa3139c,// invsqrt(0.7455) = 1.1582 +32'h3f39e68c,32'h3f9333e2,32'h3f993600, 32'h3f8eb24b,32'h3f9db797, 32'h3f872fa6,32'h3fa53a3c,// invsqrt(0.7262) = 1.1735 +32'h405c5deb,32'h3f0733b1,32'h3f0cb86a, 32'h3f031027,32'h3f10dbf5, 32'h3ef85480,32'h3f17c1dc,// invsqrt(3.4432) = 0.5389 +32'h3d459216,32'h408ec9fd,32'h40949dfd, 32'h408a6afd,32'h4098fcfd, 32'h408321fd,32'h40a045fd,// invsqrt(0.0482) = 4.5532 +32'h4206f065,32'h3e2cc70b,32'h3e33d465, 32'h3e277d08,32'h3e391e68, 32'h3e1eac59,32'h3e41ef17,// invsqrt(33.7348) = 0.1722 +32'h3e4eac7b,32'h400b9be6,32'h40114eac, 32'h400755d2,32'h401594c0, 32'h4000365b,32'h401cb437,// invsqrt(0.2018) = 2.2259 +32'h3dd34440,32'h4043476e,32'h404b3fe6, 32'h403d4d15,32'h40513a3f, 32'h4033567f,32'h405b30d5,// invsqrt(0.1032) = 3.1135 +32'h3f51fd8c,32'h3f8a8088,32'h3f9027bc, 32'h3f864320,32'h3f946524, 32'h3f7e643d,32'h3f9b7625,// invsqrt(0.8203) = 1.1041 +32'h40a0500d,32'h3ee02cc9,32'h3ee9532f, 32'h3ed94ffc,32'h3ef02ffc, 32'h3ecddffc,32'h3efb9ffc,// invsqrt(5.0098) = 0.4468 +32'h3c867c5b,32'h40f4c178,32'h40febeea, 32'h40ed4362,32'h41031e80, 32'h40e0c692,32'h41095ce8,// invsqrt(0.0164) = 7.8047 +32'h4013f597,32'h3f250016,32'h3f2bbc2c, 32'h3f1ff306,32'h3f30c93c, 32'h3f1787ea,32'h3f393458,// invsqrt(2.3119) = 0.6577 +32'h3fc4bd26,32'h3f4a5c50,32'h3f529ec6, 32'h3f442a77,32'h3f58d09f, 32'h3f39d764,32'h3f6323b3,// invsqrt(1.5370) = 0.8066 +32'h3ef54a55,32'h3fb53af4,32'h3fbca0a0, 32'h3fafaeb3,32'h3fc22ce1, 32'h3fa66f9c,32'h3fcb6bf8,// invsqrt(0.4791) = 1.4448 +32'h43910a4d,32'h3d6baea4,32'h3d754d48, 32'h3d6477aa,32'h3d7c8442, 32'h3d58715d,32'h3d844547,// invsqrt(290.0805) = 0.0587 +32'h3eb39de0,32'h3fd3c945,32'h3fdc6e38, 32'h3fcd4d8e,32'h3fe2e9ee, 32'h3fc27f5e,32'h3fedb81e,// invsqrt(0.3508) = 1.6883 +32'h40e06b08,32'h3ebd7881,32'h3ec53447, 32'h3eb7abac,32'h3ecb011c, 32'h3eae00f4,32'h3ed4abd4,// invsqrt(7.0131) = 0.3776 +32'h427b85d1,32'h3dfd1a63,32'h3e03b787, 32'h3df55ae2,32'h3e079747, 32'h3de8710c,32'h3e0e0c32,// invsqrt(62.8807) = 0.1261 +32'h3f31e5eb,32'h3f967a22,32'h3f9c9e76, 32'h3f91dee2,32'h3fa139b6, 32'h3f8a3178,32'h3fa8e720,// invsqrt(0.6949) = 1.1996 +32'h41befe6f,32'h3e4d61a9,32'h3e55c3b0, 32'h3e471824,32'h3e5c0d36, 32'h3e3c9d9c,32'h3e6687be,// invsqrt(23.8742) = 0.2047 +32'h3f89bfda,32'h3f71d6c1,32'h3f7bb5b9, 32'h3f6a6f87,32'h3f818e7a, 32'h3f5e18d0,32'h3f87b9d5,// invsqrt(1.0762) = 0.9640 +32'h3f3a0438,32'h3f932824,32'h3f9929c7, 32'h3f8ea6e9,32'h3f9dab01, 32'h3f8724dc,32'h3fa52d0e,// invsqrt(0.7266) = 1.1731 +32'h4185e976,32'h3e754791,32'h3e7f4a7d, 32'h3e6dc560,32'h3e836657, 32'h3e6141ba,32'h3e89a82a,// invsqrt(16.7390) = 0.2444 +32'h3f839a7b,32'h3f776be6,32'h3f80c29a, 32'h3f6fd8ec,32'h3f848c17, 32'h3f63394c,32'h3f8adbe7,// invsqrt(1.0282) = 0.9862 +32'h3f7fd847,32'h3f7af4c1,32'h3f82997e, 32'h3f734613,32'h3f8670d5, 32'h3f667848,32'h3f8cd7ba,// invsqrt(0.9994) = 1.0003 +32'h3fd33234,32'h3f434fc6,32'h3f4b4894, 32'h3f3d552b,32'h3f51432f, 32'h3f335e28,32'h3f5b3a32,// invsqrt(1.6500) = 0.7785 +32'h3f478d14,32'h3f8e1426,32'h3f93e0ba, 32'h3f89bab7,32'h3f983a29, 32'h3f827afe,32'h3f9f79e2,// invsqrt(0.7795) = 1.1326 +32'h3c1dbdb5,32'h411fcd73,32'h41265339, 32'h411ae91e,32'h412b378e, 32'h4112c1e7,32'h41335ec5,// invsqrt(0.0096) = 10.1915 +32'h3e72e347,32'h4000c80a,32'h400609ad, 32'h3ff9ada2,32'h4009fae7, 32'h3fec898c,32'h40108cf2,// invsqrt(0.2372) = 2.0533 +32'h3f612533,32'h3f85c26d,32'h3f8b3814, 32'h3f81aa31,32'h3f8f5051, 32'h3f75ae42,32'h3f962361,// invsqrt(0.8795) = 1.0663 +32'h405213f6,32'h3f0a7924,32'h3f10200c, 32'h3f063bf7,32'h3f145d39, 32'h3efe56ab,32'h3f1b6dda,// invsqrt(3.2825) = 0.5519 +32'h3fa4493f,32'h3f5d7289,32'h3f667c6f, 32'h3f56ab1c,32'h3f6d43dc, 32'h3f4b5ebc,32'h3f78903c,// invsqrt(1.2835) = 0.8827 +32'h3fab8593,32'h3f58b9e8,32'h3f619279, 32'h3f52177a,32'h3f6834e6, 32'h3f4708c5,32'h3f73439b,// invsqrt(1.3400) = 0.8639 +32'h3f9f75c3,32'h3f60c605,32'h3f69f2ad, 32'h3f59e488,32'h3f70d42a, 32'h3f4e6cb6,32'h3f7c4bfc,// invsqrt(1.2458) = 0.8959 +32'h3ef0a50a,32'h3fb6f8a5,32'h3fbe7081, 32'h3fb15ebf,32'h3fc40a67, 32'h3fa808eb,32'h3fcd603b,// invsqrt(0.4700) = 1.4586 +32'h3d87be30,32'h40739ea6,32'h407d903a, 32'h406c2977,32'h408282b4, 32'h405fbb7e,32'h4088b9b1,// invsqrt(0.0663) = 3.8842 +32'h3f83de4b,32'h3f772c40,32'h3f80a17a, 32'h3f6f9b39,32'h3f8469fe, 32'h3f62fed7,32'h3f8ab82e,// invsqrt(1.0302) = 0.9852 +32'h4090ab82,32'h3eebfbce,32'h3ef59d98, 32'h3ee4c277,32'h3efcd6ef, 32'h3ed8b83b,32'h3f047096,// invsqrt(4.5209) = 0.4703 +32'h3f38aae0,32'h3f93b17d,32'h3f99b8bb, 32'h3f8f2c0e,32'h3f9e3e2a, 32'h3f87a300,32'h3fa5c738,// invsqrt(0.7214) = 1.1774 +32'h3e96920c,32'h3fe75045,32'h3ff0c143, 32'h3fe03b87,32'h3ff7d601, 32'h3fd46e4a,32'h4001d19f,// invsqrt(0.2941) = 1.8440 +32'h3e93570e,32'h3fe9d5e9,32'h3ff36141, 32'h3fe2ad67,32'h3ffa89c3, 32'h3fd6bf39,32'h40033bf8,// invsqrt(0.2878) = 1.8641 +32'h3fe2afb7,32'h3f3c8538,32'h3f443710, 32'h3f36bfd5,32'h3f49fc73, 32'h3f2d2187,32'h3f539ac1,// invsqrt(1.7710) = 0.7514 +32'h3f1c2ef4,32'h3fa098f1,32'h3fa72705, 32'h3f9bae62,32'h3fac1194, 32'h3f937cc8,32'h3fb4432e,// invsqrt(0.6101) = 1.2803 +32'h3f071886,32'h3facad60,32'h3fb3b9ae, 32'h3fa76427,32'h3fb902e7, 32'h3f9e94c6,32'h3fc1d248,// invsqrt(0.5277) = 1.3766 +32'h3ed96cc8,32'h3fc07e5e,32'h3fc859bb, 32'h3fba99d9,32'h3fce3e41, 32'h3fb0c7a5,32'h3fd81075,// invsqrt(0.4247) = 1.5345 +32'h3e96bd6f,32'h3fe72ef9,32'h3ff09e9b, 32'h3fe01b40,32'h3ff7b254, 32'h3fd44fb6,32'h4001beef,// invsqrt(0.2944) = 1.8430 +32'h426d7fa8,32'h3e023bfc,32'h3e078ccd, 32'h3dfc7ec0,32'h3e0b896a, 32'h3def34b6,32'h3e122e6f,// invsqrt(59.3747) = 0.1298 +32'h3f54f933,32'h3f898758,32'h3f8f2461, 32'h3f855192,32'h3f935a28, 32'h3f7c9a8e,32'h3f9a5e73,// invsqrt(0.8319) = 1.0964 +32'h3e44ab54,32'h400f1daa,32'h4014f514, 32'h400abc1a,32'h401956a4, 32'h40036ed6,32'h4020a3e8,// invsqrt(0.1921) = 2.2818 +32'h4003cdd0,32'h3f2ed1fe,32'h3f35f4b0, 32'h3f2977f9,32'h3f3b4eb5, 32'h3f208c9b,32'h3f443a13,// invsqrt(2.0594) = 0.6968 +32'h3f2f9076,32'h3f977954,32'h3f9da814, 32'h3f92d645,32'h3fa24b23, 32'h3f8b1bd5,32'h3faa0593,// invsqrt(0.6858) = 1.2075 +32'h3e858e23,32'h3ff59b5f,32'h3fffa1b6, 32'h3fee169d,32'h4003933c, 32'h3fe18eaf,32'h4009d732,// invsqrt(0.2609) = 1.9580 +32'h3f80e217,32'h3f7a04da,32'h3f821ca6, 32'h3f725d85,32'h3f85f050, 32'h3f659bf8,32'h3f8c5117,// invsqrt(1.0069) = 0.9966 +32'h4055c63f,32'h3f094553,32'h3f0edfaa, 32'h3f051192,32'h3f13136c, 32'h3efc214b,32'h3f1a1458,// invsqrt(3.3402) = 0.5472 +32'h3f0223e8,32'h3fafef26,32'h3fb71d7b, 32'h3faa8c66,32'h3fbc803a, 32'h3fa1927b,32'h3fc57a25,// invsqrt(0.5084) = 1.4025 +32'h3f71a835,32'h3f811be3,32'h3f8660f1, 32'h3f7a5030,32'h3f8a54bc, 32'h3f6d238c,32'h3f90eb0e,// invsqrt(0.9440) = 1.0292 +32'h3f7925b8,32'h3f7e4e88,32'h3f8457e4, 32'h3f768599,32'h3f883c5b, 32'h3f698c0a,32'h3f8eb923,// invsqrt(0.9732) = 1.0137 +32'h406da9b2,32'h3f023077,32'h3f0780cf, 32'h3efc6869,32'h3f0b7d12, 32'h3eef1f8c,32'h3f122180,// invsqrt(3.7135) = 0.5189 +32'h40ccc582,32'h3ec65a13,32'h3ece72a6, 32'h3ec047a4,32'h3ed48514, 32'h3eb628ec,32'h3edea3cc,// invsqrt(6.3991) = 0.3953 +32'h3fe535f8,32'h3f3b7ab8,32'h3f4321b0, 32'h3f35bd7e,32'h3f48deea, 32'h3f2c2cc8,32'h3f526fa0,// invsqrt(1.7907) = 0.7473 +32'h3fac1547,32'h3f585f57,32'h3f613435, 32'h3f51bfaf,32'h3f67d3dd, 32'h3f46b599,32'h3f72ddf3,// invsqrt(1.3444) = 0.8625 +32'h3be1e12f,32'h413cdb54,32'h414490b0, 32'h4137134f,32'h414a58b5, 32'h412d709b,32'h4153fb69,// invsqrt(0.0069) = 12.0444 +32'h3e1abf64,32'h4021573b,32'h4027ed13, 32'h401c66d8,32'h402cdd76, 32'h40142b8a,32'h403518c5,// invsqrt(0.1511) = 2.5724 +32'h400cee23,32'h3f2910aa,32'h3f2ff739, 32'h3f23e3c0,32'h3f352424, 32'h3f1b438d,32'h3f3dc457,// invsqrt(2.2020) = 0.6739 +32'h3f552456,32'h3f89796d,32'h3f8f15e5, 32'h3f854414,32'h3f934b3e, 32'h3f7c80fd,32'h3f9a4ed4,// invsqrt(0.8326) = 1.0959 +32'h3ebfba57,32'h3fccfcec,32'h3fd55ad6, 32'h3fc6b67c,32'h3fdba146, 32'h3fbc4117,32'h3fe616ab,// invsqrt(0.3745) = 1.6342 +32'h3f116032,32'h3fa675c6,32'h3fad411c, 32'h3fa15d45,32'h3fb2599d, 32'h3f98df19,32'h3fbad7c9,// invsqrt(0.5679) = 1.3270 +32'h41aa6fdb,32'h3e596a32,32'h3e6249f6, 32'h3e52c260,32'h3e68f1c8, 32'h3e47aaac,32'h3e74097c,// invsqrt(21.3046) = 0.2167 +32'h3f097010,32'h3fab3320,32'h3fb22ffc, 32'h3fa5f57a,32'h3fb76da2, 32'h3f9d3966,32'h3fc029b6,// invsqrt(0.5369) = 1.3648 +32'h3f80bba2,32'h3f7a2a30,32'h3f823013, 32'h3f7281b6,32'h3f860450, 32'h3f65be40,32'h3f8c660b,// invsqrt(1.0057) = 0.9971 +32'h3e5ef9c1,32'h400668a0,32'h400be510, 32'h40024b4d,32'h40100263, 32'h3ff6df85,32'h4016ddee,// invsqrt(0.2177) = 2.1430 +32'h401ef392,32'h3f1f3164,32'h3f25b0cc, 32'h3f1a51d7,32'h3f2a9059, 32'h3f123296,32'h3f32af9a,// invsqrt(2.4836) = 0.6345 +32'h40cc7e4d,32'h3ec67c99,32'h3ece9694, 32'h3ec0691b,32'h3ed4aa11, 32'h3eb648a1,32'h3edeca8b,// invsqrt(6.3904) = 0.3956 +32'h3ffcc4f2,32'h3f32876f,32'h3f39d0e1, 32'h3f2d1059,32'h3f3f47f7, 32'h3f23f48a,32'h3f4863c6,// invsqrt(1.9748) = 0.7116 +32'h4010374d,32'h3f2720c6,32'h3f2df318, 32'h3f220309,32'h3f3310d5, 32'h3f197c24,32'h3f3b97ba,// invsqrt(2.2534) = 0.6662 +32'h3f1f23ad,32'h3f9f1953,32'h3fa597bf, 32'h3f9a3a82,32'h3faa7690, 32'h3f921c7b,32'h3fb29497,// invsqrt(0.6216) = 1.2683 +32'h404db0ad,32'h3f0bf141,32'h3f11a782, 32'h3f07a88f,32'h3f15f033, 32'h3f0084be,32'h3f1d1404,// invsqrt(3.2139) = 0.5578 +32'h422a0837,32'h3e19eb1d,32'h3e203367, 32'h3e1534e5,32'h3e24e99f, 32'h3e0d5a88,32'h3e2cc3fc,// invsqrt(42.5080) = 0.1534 +32'h3e3f2cea,32'h4011285d,32'h4017151d, 32'h400cb6cd,32'h401b86ad, 32'h40054edd,32'h4022ee9d,// invsqrt(0.1867) = 2.3144 +32'h3f3f2622,32'h3f912af0,32'h3f9717ca, 32'h3f8cb94c,32'h3f9b896e, 32'h3f85513a,32'h3fa2f180,// invsqrt(0.7467) = 1.1573 +32'h3f17a96f,32'h3fa2f94c,32'h3fa9a036, 32'h3f9dfc1e,32'h3fae9d64, 32'h3f95ab7a,32'h3fb6ee08,// invsqrt(0.5924) = 1.2992 +32'h3f4fae11,32'h3f8b4537,32'h3f90f473, 32'h3f8701ca,32'h3f9537e0, 32'h3f7fcd7f,32'h3f9c52ea,// invsqrt(0.8112) = 1.1103 +32'h3fc745fd,32'h3f4911d0,32'h3f5146c8, 32'h3f42ea15,32'h3f576e83, 32'h3f38a7de,32'h3f61b0ba,// invsqrt(1.5568) = 0.8015 +32'h3e1a950e,32'h40216d51,32'h40280411, 32'h401c7c42,32'h402cf520, 32'h40143fd2,32'h40353190,// invsqrt(0.1510) = 2.5738 +32'h3f828924,32'h3f786e6c,32'h3f814923, 32'h3f70d388,32'h3f851695, 32'h3f6426b6,32'h3f8b6cfe,// invsqrt(1.0198) = 0.9902 +32'h3f28a742,32'h3f9a8bd9,32'h3fa0dab3, 32'h3f95d0b6,32'h3fa595d6, 32'h3f8dee25,32'h3fad7867,// invsqrt(0.6588) = 1.2320 +32'h3fa19121,32'h3f5f4d9a,32'h3f686ae4, 32'h3f5877a2,32'h3f6f40dc, 32'h3f4d1306,32'h3f7aa579,// invsqrt(1.2622) = 0.8901 +32'h3f9d2891,32'h3f6269d5,32'h3f6ba79f, 32'h3f5b7b7e,32'h3f7295f6, 32'h3f4fee41,32'h3f7e2333,// invsqrt(1.2278) = 0.9025 +32'h3edbaee3,32'h3fbf8070,32'h3fc75170, 32'h3fb9a3b1,32'h3fcd2e2f, 32'h3fafde72,32'h3fd6f36e,// invsqrt(0.4291) = 1.5266 +32'h3eed555d,32'h3fb83e45,32'h3fbfc36c, 32'h3fb29a67,32'h3fc56749, 32'h3fa933f6,32'h3fcecdba,// invsqrt(0.4635) = 1.4688 +32'h3f7c6061,32'h3f7cacb2,32'h3f837e72, 32'h3f74f08f,32'h3f875c85, 32'h3f680c51,32'h3f8dcea3,// invsqrt(0.9858) = 1.0072 +32'h3e419d62,32'h40103d88,32'h401620b2, 32'h400bd328,32'h401a8b12, 32'h40047734,32'h4021e706,// invsqrt(0.1891) = 2.2998 +32'h3f966dde,32'h3f676c15,32'h3f70de35, 32'h3f60567d,32'h3f77f3cd, 32'h3f5487d4,32'h3f81e13b,// invsqrt(1.1752) = 0.9224 +32'h3ebcf855,32'h3fce7a76,32'h3fd6e7f2, 32'h3fc82858,32'h3fdd3a10, 32'h3fbd9f7c,32'h3fe7c2ec,// invsqrt(0.3691) = 1.6460 +32'h40969b60,32'h3ee7491b,32'h3ef0b9ce, 32'h3ee03496,32'h3ef7ce54, 32'h3ed467b6,32'h3f01cd9a,// invsqrt(4.7065) = 0.4609 +32'h3f74c4a9,32'h3f804929,32'h3f85859d, 32'h3f78b7a2,32'h3f8972f5, 32'h3f6ba07f,32'h3f8ffe86,// invsqrt(0.9561) = 1.0227 +32'h41a21371,32'h3e5ef3c3,32'h3e680d63, 32'h3e58208c,32'h3e6ee09a, 32'h3e4cc084,32'h3e7a40a2,// invsqrt(20.2595) = 0.2222 +32'h3e9034d8,32'h3fec5cd2,32'h3ff60292, 32'h3fe52083,32'h3ffd3ee1, 32'h3fd91153,32'h4004a708,// invsqrt(0.2817) = 1.8843 +32'h41348c6d,32'h3e955e56,32'h3e9b7716, 32'h3e90cbc6,32'h3ea009a6, 32'h3e892cd7,32'h3ea7a895,// invsqrt(11.2843) = 0.2977 +32'h3d922d8c,32'h406ac365,32'h4074586f, 32'h4063939e,32'h407b8836, 32'h40579953,32'h4083c141,// invsqrt(0.0714) = 3.7430 +32'h3f954afc,32'h3f684d1b,32'h3f71c86b, 32'h3f6130a0,32'h3f78e4e6, 32'h3f55567c,32'h3f825f85,// invsqrt(1.1664) = 0.9259 +32'h3f10dbb9,32'h3fa6c1d2,32'h3fad9043, 32'h3fa1a6fd,32'h3fb2ab17, 32'h3f9924ef,32'h3fbb2d25,// invsqrt(0.5659) = 1.3294 +32'h3ef308bf,32'h3fb611cf,32'h3fbd8040, 32'h3fb07efb,32'h3fc31315, 32'h3fa734ee,32'h3fcc5d22,// invsqrt(0.4747) = 1.4514 +32'h3fae5b5b,32'h3f56f4fb,32'h3f5fbb10, 32'h3f50606c,32'h3f664fa0, 32'h3f4568d2,32'h3f71473a,// invsqrt(1.3622) = 0.8568 +32'h3f6ea13e,32'h3f81ecdf,32'h3f873a75, 32'h3f7be55d,32'h3f8b34a6, 32'h3f6ea365,32'h3f91d5a1,// invsqrt(0.9321) = 1.0358 +32'h3f2c1c74,32'h3f98fc67,32'h3f9f3af3, 32'h3f944d7e,32'h3fa3e9dc, 32'h3f8c7f4f,32'h3fabb80b,// invsqrt(0.6723) = 1.2196 +32'h3fbfeefa,32'h3f4ce0ce,32'h3f553d92, 32'h3f469b3a,32'h3f5b8326, 32'h3f3c2745,32'h3f65f71b,// invsqrt(1.4995) = 0.8166 +32'h3ec003b0,32'h3fccd5c1,32'h3fd53213, 32'h3fc69085,32'h3fdb774f, 32'h3fbc1d1f,32'h3fe5eab5,// invsqrt(0.3750) = 1.6329 +32'h3f5c1510,32'h3f874a10,32'h3f8ccfb3, 32'h3f8325d7,32'h3f90f3ed, 32'h3f787d97,32'h3f97daf9,// invsqrt(0.8597) = 1.0785 +32'h3e94c738,32'h3fe8b3e3,32'h3ff23364, 32'h3fe19441,32'h3ff95305, 32'h3fd5b4e0,32'h40029933,// invsqrt(0.2906) = 1.8551 +32'h4019fcdf,32'h3f21bd02,32'h3f285702, 32'h3f1cc982,32'h3f2d4a82, 32'h3f148902,32'h3f358b02,// invsqrt(2.4061) = 0.6447 +32'h3f33e210,32'h3f95a501,32'h3f9bc0a3, 32'h3f911048,32'h3fa0555c, 32'h3f896dbd,32'h3fa7f7e7,// invsqrt(0.7027) = 1.1930 +32'h3e5abc5d,32'h4007b480,32'h400d3e7a, 32'h40038d04,32'h401165f6, 32'h3ff94114,32'h40185270,// invsqrt(0.2136) = 2.1637 +32'h3e4718a8,32'h400e3daa,32'h40140bf0, 32'h4009e2f6,32'h401866a4, 32'h4002a11f,32'h401fa87b,// invsqrt(0.1944) = 2.2679 +32'h3f5c6b71,32'h3f872f8c,32'h3f8cb419, 32'h3f830c21,32'h3f90d783, 32'h3f784ce0,32'h3f97bd34,// invsqrt(0.8610) = 1.0777 +32'h3f3caa64,32'h3f921eb9,32'h3f981587, 32'h3f8da59e,32'h3f9c8ea2, 32'h3f86311d,32'h3fa40323,// invsqrt(0.7370) = 1.1649 +32'h3ec023ba,32'h3fccc4ac,32'h3fd5204b, 32'h3fc67ff6,32'h3fdb6502, 32'h3fbc0d70,32'h3fe5d788,// invsqrt(0.3753) = 1.6324 +32'h3f621898,32'h3f857a5b,32'h3f8aed10, 32'h3f816452,32'h3f8f0318, 32'h3f7529df,32'h3f95d27a,// invsqrt(0.8832) = 1.0641 +32'h3fbbf466,32'h3f4f090b,32'h3f577c59, 32'h3f48b290,32'h3f5dd2d4, 32'h3f3e226d,32'h3f6862f7,// invsqrt(1.4684) = 0.8252 +32'h40c409be,32'h3ecab8d3,32'h3ed2ff10, 32'h3ec48426,32'h3ed933be, 32'h3eba2c5a,32'h3ee38b8a,// invsqrt(6.1262) = 0.4040 +32'h3fc49feb,32'h3f4a6b5a,32'h3f52ae6e, 32'h3f44390c,32'h3f58e0bc, 32'h3f39e534,32'h3f633494,// invsqrt(1.5361) = 0.8068 +32'h3e35d9e9,32'h4014d522,32'h401ae848, 32'h401046c6,32'h401f76a4, 32'h4008aed6,32'h40270e94,// invsqrt(0.1776) = 2.3730 +32'h3fd11abe,32'h3f444939,32'h3f4c4c36, 32'h3f3e46fb,32'h3f524e73, 32'h3f34433e,32'h3f5c5230,// invsqrt(1.6336) = 0.7824 +32'h3f3856b4,32'h3f93d331,32'h3f99dbcf, 32'h3f8f4cba,32'h3f9e6246, 32'h3f87c1f3,32'h3fa5ed0d,// invsqrt(0.7201) = 1.1785 +32'h3f508ad3,32'h3f8afb6d,32'h3f90a7a5, 32'h3f86ba42,32'h3f94e8d0, 32'h3f7f45f7,32'h3f9c0017,// invsqrt(0.8146) = 1.1080 +32'h4056c664,32'h3f08f360,32'h3f0e8a5e, 32'h3f04c221,32'h3f12bb9d, 32'h3efb8ac5,32'h3f19b85c,// invsqrt(3.3559) = 0.5459 +32'h40356bd9,32'h3f150241,32'h3f1b173e, 32'h3f107282,32'h3f1fa6fc, 32'h3f08d846,32'h3f274138,// invsqrt(2.8347) = 0.5939 +32'h401b0a13,32'h3f21305a,32'h3f27c49c, 32'h3f1c4128,32'h3f2cb3ce, 32'h3f1407d5,32'h3f34ed21,// invsqrt(2.4225) = 0.6425 +32'h4028ac7e,32'h3f1a8973,32'h3f20d833, 32'h3f15ce62,32'h3f259344, 32'h3f0debf1,32'h3f2d75b5,// invsqrt(2.6355) = 0.6160 +32'h3d9e92df,32'h4061669a,32'h406a99d0, 32'h405a8032,32'h40718038, 32'h404f0030,32'h407d003a,// invsqrt(0.0774) = 3.5938 +32'h3ee565a5,32'h3fbb673b,32'h3fc30d67, 32'h3fb5aa9a,32'h3fc8ca08, 32'h3fac1ae2,32'h3fd259c0,// invsqrt(0.4480) = 1.4940 +32'h3f943e2a,32'h3f691f5c,32'h3f72a340, 32'h3f61fc70,32'h3f79c62c, 32'h3f561793,32'h3f82d584,// invsqrt(1.1581) = 0.9292 +32'h3f6c182c,32'h3f829efd,32'h3f87f3d9, 32'h3f7d3eb2,32'h3f8bf37d, 32'h3f6fea8d,32'h3f929d8f,// invsqrt(0.9222) = 1.0413 +32'h3f024faa,32'h3fafd199,32'h3fb6feb9, 32'h3faa6fc1,32'h3fbc6091, 32'h3fa17758,32'h3fc558fa,// invsqrt(0.5090) = 1.4016 +32'h3d9266d7,32'h406a9571,32'h4074289b, 32'h40636712,32'h407b56fa, 32'h40576f1f,32'h4083a776,// invsqrt(0.0715) = 3.7402 +32'h3eba4452,32'h3fcff8a1,32'h3fd875b7, 32'h3fc99ad0,32'h3fded388, 32'h3fbefe74,32'h3fe96fe4,// invsqrt(0.3638) = 1.6579 +32'h3f688f26,32'h3f839c31,32'h3f88fb62, 32'h3f7f2998,32'h3f8d02c6, 32'h3f71bb9d,32'h3f93b9c4,// invsqrt(0.9084) = 1.0492 +32'h3f4fcb72,32'h3f8b3b5f,32'h3f90ea33, 32'h3f86f83f,32'h3f952d53, 32'h3f7fbb6a,32'h3f9c47dd,// invsqrt(0.8117) = 1.1099 +32'h41045acb,32'h3eae74ca,32'h3eb593ad, 32'h3ea91d9e,32'h3ebaead8, 32'h3ea03702,32'h3ec3d174,// invsqrt(8.2722) = 0.3477 +32'h3fe72ff2,32'h3f3aad1e,32'h3f424bb2, 32'h3f34f630,32'h3f4802a0, 32'h3f2b6ff7,32'h3f5188d9,// invsqrt(1.8062) = 0.7441 +32'h3ede1fee,32'h3fbe7242,32'h3fc6383a, 32'h3fb89dc8,32'h3fcc0cb4, 32'h3faee651,32'h3fd5c42b,// invsqrt(0.4338) = 1.5182 +32'h3fa540ef,32'h3f5ccc56,32'h3f65cf74, 32'h3f560a00,32'h3f6c91ca, 32'h3f4ac61b,32'h3f77d5af,// invsqrt(1.2910) = 0.8801 +32'h3f7a1343,32'h3f7dd5a3,32'h3f8418f9, 32'h3f761066,32'h3f87fb97, 32'h3f691d03,32'h3f8e7549,// invsqrt(0.9769) = 1.0118 +32'h4085157f,32'h3ef60a98,32'h3f000abd, 32'h3eee826f,32'h3f03ced2, 32'h3ee1f4d5,32'h3f0a159f,// invsqrt(4.1589) = 0.4904 +32'h3f452d22,32'h3f8eee86,32'h3f94c404, 32'h3f8a8e68,32'h3f992422, 32'h3f83438b,32'h3fa06eff,// invsqrt(0.7702) = 1.1394 +32'h3eb29c57,32'h3fd461bd,32'h3fdd0ce9, 32'h3fcde15c,32'h3fe38d4a, 32'h3fc30b64,32'h3fee6342,// invsqrt(0.3488) = 1.6931 +32'h4058ae98,32'h3f0858c1,32'h3f0de970, 32'h3f042c3d,32'h3f1215f3, 32'h3efa6ec5,32'h3f190ace,// invsqrt(3.3857) = 0.5435 +32'h3f3e0f4e,32'h3f919545,32'h3f978677, 32'h3f8d2060,32'h3f9bfb5c, 32'h3f85b2e1,32'h3fa368db,// invsqrt(0.7424) = 1.1606 +32'h402b820e,32'h3f194134,32'h3f1f828f, 32'h3f149030,32'h3f243394, 32'h3f0cbe7f,32'h3f2c0545,// invsqrt(2.6798) = 0.6109 +32'h3fa0840e,32'h3f600876,32'h3f692d60, 32'h3f592cc6,32'h3f700910, 32'h3f4dbea0,32'h3f7b7736,// invsqrt(1.2540) = 0.8930 +32'h3f3461f7,32'h3f956fea,32'h3f9b8962, 32'h3f90dcd1,32'h3fa01c7b, 32'h3f893cfc,32'h3fa7bc50,// invsqrt(0.7046) = 1.1913 +32'h3f2545d5,32'h3f9c1e6d,32'h3fa27db6, 32'h3f9756f8,32'h3fa7452c, 32'h3f8f5fdd,32'h3faf3c47,// invsqrt(0.6456) = 1.2446 +32'h4090ea25,32'h3eebc8c9,32'h3ef5687d, 32'h3ee49101,32'h3efca045, 32'h3ed88960,32'h3f0453f3,// invsqrt(4.5286) = 0.4699 +32'h430b084c,32'h3daa370f,32'h3db129a1, 32'h3da50120,32'h3db65f90, 32'h3d9c51e9,32'h3dbf0ec7,// invsqrt(139.0324) = 0.0848 +32'h3e1b9417,32'h4020e8cb,32'h40277a21, 32'h401bfbca,32'h402c6722, 32'h4013c61d,32'h40349ccf,// invsqrt(0.1519) = 2.5655 +32'h3e9f58cb,32'h3fe0da73,32'h3fea07ef, 32'h3fd9f855,32'h3ff0ea0d, 32'h3fce7f79,32'h3ffc62e9,// invsqrt(0.3112) = 1.7925 +32'h3ed109e4,32'h3fc45122,32'h3fcc5472, 32'h3fbe4ea6,32'h3fd256ee, 32'h3fb44a83,32'h3fdc5b11,// invsqrt(0.4083) = 1.5650 +32'h3d8dc819,32'h406e600c,32'h40781ad4, 32'h406713f7,32'h407f66e9, 32'h405aea7e,32'h4085c831,// invsqrt(0.0692) = 3.8006 +32'h3f40bddd,32'h3f909113,32'h3f9677a6, 32'h3f8c2424,32'h3f9ae494, 32'h3f84c3ec,32'h3fa244cc,// invsqrt(0.7529) = 1.1525 +32'h3e0f5cb9,32'h40279ffe,32'h402e7780, 32'h40227e5c,32'h40339922, 32'h4019f0f9,32'h403c2685,// invsqrt(0.1400) = 2.6726 +32'h3ea29d24,32'h3fde9549,32'h3fe7ab0e, 32'h3fd7c4f7,32'h3fee7b61, 32'h3fcc69c1,32'h3ff9d697,// invsqrt(0.3176) = 1.7744 +32'h3fb8119f,32'h3f513592,32'h3f59bf98, 32'h3f4ace0e,32'h3f60271c, 32'h3f402186,32'h3f6ad3a4,// invsqrt(1.4380) = 0.8339 +32'h3f7a2e32,32'h3f7dc7f9,32'h3f8411dd, 32'h3f760329,32'h3f87f446, 32'h3f691077,32'h3f8e6d9e,// invsqrt(0.9773) = 1.0116 +32'h3f800c8e,32'h3f7ad4fb,32'h3f8288f6, 32'h3f732747,32'h3f865fd0, 32'h3f665b1b,32'h3f8cc5e6,// invsqrt(1.0004) = 0.9998 +32'h405fad32,32'h3f0632ab,32'h3f0bace7, 32'h3f0216ff,32'h3f0fc893, 32'h3ef67c6a,32'h3f16a15d,// invsqrt(3.4949) = 0.5349 +32'h3f03e051,32'h3faec5ba,32'h3fb5e7eb, 32'h3fa96c14,32'h3fbb4190, 32'h3fa08157,32'h3fc42c4d,// invsqrt(0.5151) = 1.3933 +32'h3f02d88b,32'h3faf758b,32'h3fb69ee9, 32'h3faa1684,32'h3fbbfdf0, 32'h3fa122ce,32'h3fc4f1a6,// invsqrt(0.5111) = 1.3987 +32'h3dc77a13,32'h4048f78e,32'h40512b74, 32'h4042d0a1,32'h40575261, 32'h40388fc1,32'h40619341,// invsqrt(0.0974) = 3.2042 +32'h3fa8a34b,32'h3f5a924c,32'h3f637e26, 32'h3f53e169,32'h3f6a2f09, 32'h3f48ba9a,32'h3f7555d8,// invsqrt(1.3175) = 0.8712 +32'h417fec11,32'h3e7aeb0d,32'h3e829472, 32'h3e733cac,32'h3e866ba2, 32'h3e666f5f,32'h3e8cd248,// invsqrt(15.9951) = 0.2500 +32'h3f4036e6,32'h3f90c3cb,32'h3f96ac70, 32'h3f8c554f,32'h3f9b1aeb, 32'h3f84f280,32'h3fa27dba,// invsqrt(0.7508) = 1.1541 +32'h3fb0899a,32'h3f55a00f,32'h3f5e5839, 32'h3f4f15ef,32'h3f64e259, 32'h3f442fba,32'h3f6fc88e,// invsqrt(1.3792) = 0.8515 +32'h3f768868,32'h3f7fa6d4,32'h3f850b10, 32'h3f77d35a,32'h3f88f4cd, 32'h3f6ac83b,32'h3f8f7a5d,// invsqrt(0.9630) = 1.0190 +32'h3ea2aae9,32'h3fde8bdd,32'h3fe7a13f, 32'h3fd7bbd4,32'h3fee7148, 32'h3fcc6119,32'h3ff9cc03,// invsqrt(0.3177) = 1.7741 +32'h3eae9e81,32'h3fd6cba3,32'h3fdf9007, 32'h3fd03857,32'h3fe62353, 32'h3fc542d9,32'h3ff118d1,// invsqrt(0.3411) = 1.7123 +32'h3fbfa415,32'h3f4d08d3,32'h3f556739, 32'h3f46c206,32'h3f5bae06, 32'h3f3c4c05,32'h3f662407,// invsqrt(1.4972) = 0.8173 +32'h3f64fd52,32'h3f84a1c9,32'h3f8a0ba7, 32'h3f809262,32'h3f8e1b0e, 32'h3f739c18,32'h3f94df64,// invsqrt(0.8945) = 1.0573 +32'h3e2a0f94,32'h4019e7c8,32'h40202ff0, 32'h401531ab,32'h4024e60d, 32'h400d5779,32'h402cc03f,// invsqrt(0.1661) = 2.4538 +32'h4012b42e,32'h3f25b473,32'h3f2c77e5, 32'h3f20a1dd,32'h3f318a7b, 32'h3f182d8e,32'h3f39feca,// invsqrt(2.2922) = 0.6605 +32'h3fef6536,32'h3f3772b5,32'h3f3eef8d, 32'h3f31d513,32'h3f448d2f, 32'h3f287904,32'h3f4de93e,// invsqrt(1.8703) = 0.7312 +32'h3e5aa187,32'h4007bcd4,32'h400d4726, 32'h40039517,32'h40116ee3, 32'h3ff95061,32'h40185bca,// invsqrt(0.2135) = 2.1642 +32'h3f877adc,32'h3f73db27,32'h3f7dcf33, 32'h3f6c641e,32'h3f82a31e, 32'h3f5ff30f,32'h3f88dba6,// invsqrt(1.0584) = 0.9720 +32'h41c9c38e,32'h3e47d324,32'h3e4ffb1a, 32'h3e41b52a,32'h3e561914, 32'h3e378336,32'h3e604b09,// invsqrt(25.2205) = 0.1991 +32'h40579951,32'h3f08b052,32'h3f0e4494, 32'h3f048120,32'h3f1273c6, 32'h3efb0f9c,32'h3f196d18,// invsqrt(3.3687) = 0.5448 +32'h3fb8d81e,32'h3f50c51f,32'h3f594a8e, 32'h3f4a610c,32'h3f5faea2, 32'h3f3fba42,32'h3f6a556d,// invsqrt(1.4441) = 0.8322 +32'h4090c643,32'h3eebe5ff,32'h3ef586e5, 32'h3ee4ad53,32'h3efcbf91, 32'h3ed8a433,32'h3f046458,// invsqrt(4.5242) = 0.4701 +32'h3ff3efbf,32'h3f35bb86,32'h3f3d2671, 32'h3f302b55,32'h3f42b6a1, 32'h3f26e5af,32'h3f4bfc47,// invsqrt(1.9058) = 0.7244 +32'h3f7bce5d,32'h3f7cf5ea,32'h3f83a48d, 32'h3f753788,32'h3f8783be, 32'h3f684f8f,32'h3f8df7bb,// invsqrt(0.9836) = 1.0083 +32'h3f748ee3,32'h3f805743,32'h3f85944b, 32'h3f78d2fa,32'h3f898211, 32'h3f6bba66,32'h3f900e5b,// invsqrt(0.9553) = 1.0231 +32'h3ead1892,32'h3fd7bd0b,32'h3fe08b4a, 32'h3fd1225b,32'h3fe725f9, 32'h3fc6208c,32'h3ff227c8,// invsqrt(0.3381) = 1.7199 +32'h404136b6,32'h3f1063d6,32'h3f164890, 32'h3f0bf84a,32'h3f1ab41c, 32'h3f049a61,32'h3f221205,// invsqrt(3.0190) = 0.5755 +32'h3f912fbe,32'h3f6b903f,32'h3f752da5, 32'h3f645a33,32'h3f7c63b1, 32'h3f585573,32'h3f843438,// invsqrt(1.1343) = 0.9389 +32'h3f1a8b85,32'h3fa1724c,32'h3fa80940, 32'h3f9c8116,32'h3facfa76, 32'h3f944465,32'h3fb53727,// invsqrt(0.6037) = 1.2870 +32'h3ff0c7ee,32'h3f36eb63,32'h3f3e62b5, 32'h3f3151e5,32'h3f43fc33, 32'h3f27fcbe,32'h3f4d515a,// invsqrt(1.8811) = 0.7291 +32'h3fb8286c,32'h3f51289e,32'h3f59b21c, 32'h3f4ac17f,32'h3f60193b, 32'h3f4015a0,32'h3f6ac51a,// invsqrt(1.4387) = 0.8337 +32'h3fa0271d,32'h3f60496e,32'h3f697100, 32'h3f596bc1,32'h3f704ead, 32'h3f4dfa4b,32'h3f7bc023,// invsqrt(1.2512) = 0.8940 +32'h4108072f,32'h3eac15a2,32'h3eb31bbe, 32'h3ea6d10e,32'h3eb86052, 32'h3e9e096b,32'h3ec127f5,// invsqrt(8.5018) = 0.3430 +32'h42009091,32'h3e310248,32'h3e383bd8, 32'h3e2b971c,32'h3e3da704, 32'h3e228f28,32'h3e46aef8,// invsqrt(32.1412) = 0.1764 +32'h3f541ff2,32'h3f89cdb4,32'h3f8f6d9c, 32'h3f8595c6,32'h3f93a58a, 32'h3f7d1bc8,32'h3f9aad6c,// invsqrt(0.8286) = 1.0986 +32'h3f00c618,32'h3fb0dd7a,32'h3fb8158a, 32'h3fab736f,32'h3fbd7f95, 32'h3fa26d5b,32'h3fc685a9,// invsqrt(0.5030) = 1.4100 +32'h401db926,32'h3f1fcfc2,32'h3f2655a0, 32'h3f1aeb5b,32'h3f2b3a07, 32'h3f12c406,32'h3f33615c,// invsqrt(2.4644) = 0.6370 +32'h3fa3b316,32'h3f5dd803,32'h3f66e60d, 32'h3f570d7b,32'h3f6db095, 32'h3f4bbbee,32'h3f790222,// invsqrt(1.2789) = 0.8843 +32'h3f74faea,32'h3f803af4,32'h3f8576d4, 32'h3f789c18,32'h3f8963bc, 32'h3f6b8667,32'h3f8fee94,// invsqrt(0.9570) = 1.0222 +32'h404c3f36,32'h3f0c6f9a,32'h3f122b04, 32'h3f08230b,32'h3f167793, 32'h3f00f8c7,32'h3f1da1d7,// invsqrt(3.1914) = 0.5598 +32'h3fda7f0c,32'h3f400568,32'h3f47dbd5, 32'h3f3a2497,32'h3f4dbca7, 32'h3f30588f,32'h3f5788af,// invsqrt(1.7070) = 0.7654 +32'h3e62503e,32'h400569f1,32'h400adbfb, 32'h4001546a,32'h400ef182, 32'h3ff50bbb,32'h4015c00f,// invsqrt(0.2210) = 2.1271 +32'h3fce597c,32'h3f45978b,32'h3f4da82d, 32'h3f3f8b11,32'h3f53b4a7, 32'h3f357646,32'h3f5dc972,// invsqrt(1.6121) = 0.7876 +32'h3ee09169,32'h3fbd684f,32'h3fc5236d, 32'h3fb79bf9,32'h3fcaefc3, 32'h3fadf215,32'h3fd499a7,// invsqrt(0.4386) = 1.5099 +32'h3f49b51f,32'h3f8d5134,32'h3f9315d2, 32'h3f88fdbc,32'h3f97694a, 32'h3f81c7f6,32'h3f9e9f10,// invsqrt(0.7879) = 1.1266 +32'h3f968000,32'h3f675e23,32'h3f70cfb1, 32'h3f6048f8,32'h3f77e4dc, 32'h3f547b06,32'h3f81d967,// invsqrt(1.1758) = 0.9222 +32'h401832d5,32'h3f22afac,32'h3f295394, 32'h3f1db4be,32'h3f2e4e82, 32'h3f1567dd,32'h3f369b63,// invsqrt(2.3781) = 0.6485 +32'h4138acca,32'h3e93b0b9,32'h3e99b7ef, 32'h3e8f2b50,32'h3e9e3d58, 32'h3e87a24c,32'h3ea5c65c,// invsqrt(11.5422) = 0.2943 +32'h3dff2bb1,32'h4031afdf,32'h4038f085, 32'h402c3f63,32'h403e6101, 32'h40232e93,32'h404771d1,// invsqrt(0.1246) = 2.8330 +32'h3f171bb1,32'h3fa345aa,32'h3fa9efb1, 32'h3f9e4625,32'h3faeef37, 32'h3f95f19d,32'h3fb743bf,// invsqrt(0.5903) = 1.3016 +32'h3ee355af,32'h3fbc405a,32'h3fc3ef63, 32'h3fb67d14,32'h3fc9b2aa, 32'h3face249,32'h3fd34d75,// invsqrt(0.4440) = 1.5007 +32'h3fc2ed24,32'h3f4b4c9c,32'h3f5398e1, 32'h3f451368,32'h3f59d214, 32'h3f3ab411,32'h3f64316b,// invsqrt(1.5229) = 0.8103 +32'h3cc8f849,32'h40c83819,32'h40d0642e, 32'h40c21708,32'h40d6853e, 32'h40b7dfec,32'h40e0bc5a,// invsqrt(0.0245) = 6.3845 +32'h3fb8eecf,32'h3f50b850,32'h3f593d39, 32'h3f4a54a1,32'h3f5fa0e7, 32'h3f3fae7d,32'h3f6a470b,// invsqrt(1.4448) = 0.8320 +32'h40d4ef7e,32'h3ec28323,32'h3eca7397, 32'h3ebc8ecc,32'h3ed067ee, 32'h3eb2a23a,32'h3eda5480,// invsqrt(6.6542) = 0.3877 +32'h3fba1595,32'h3f5012bd,32'h3f5890e5, 32'h3f49b420,32'h3f5eef82, 32'h3f3f166f,32'h3f698d33,// invsqrt(1.4538) = 0.8294 +32'h4312d6b6,32'h3da5a0f6,32'h3dac639d, 32'h3da08efa,32'h3db1759a, 32'h3d981ba9,32'h3db9e8eb,// invsqrt(146.8387) = 0.0825 +32'h3fb093de,32'h3f5599d9,32'h3f5e51c3, 32'h3f4f0fea,32'h3f64dbb2, 32'h3f442a06,32'h3f6fc196,// invsqrt(1.3795) = 0.8514 +32'h3eb3d7de,32'h3fd3a71d,32'h3fdc4aab, 32'h3fcd2c72,32'h3fe2c556, 32'h3fc26000,32'h3fed91c8,// invsqrt(0.3513) = 1.6873 +32'h3fb53775,32'h3f52d967,32'h3f5b748f, 32'h3f4c6508,32'h3f61e8ee, 32'h3f41a315,32'h3f6caae1,// invsqrt(1.4158) = 0.8404 +32'h3da63946,32'h405c2728,32'h40652388, 32'h405569e0,32'h406be0d0, 32'h404a2e69,32'h40771c47,// invsqrt(0.0812) = 3.5101 +32'h3e909d50,32'h3fec0763,32'h3ff5a9a6, 32'h3fe4cdb2,32'h3ffce358, 32'h3fd8c2de,32'h40047716,// invsqrt(0.2825) = 1.8816 +32'h3ef04541,32'h3fb71d1a,32'h3fbe9674, 32'h3fb18217,32'h3fc43177, 32'h3fa82a66,32'h3fcd8928,// invsqrt(0.4693) = 1.4598 +32'h4102d2d3,32'h3eaf7960,32'h3eb6a2e7, 32'h3eaa1a3c,32'h3ebc020c, 32'h3ea12654,32'h3ec4f5f4,// invsqrt(8.1765) = 0.3497 +32'h410d6818,32'h3ea8c7b3,32'h3eafab47, 32'h3ea39d04,32'h3eb4d5f6, 32'h3e9b008a,32'h3ebd7270,// invsqrt(8.8379) = 0.3364 +32'h3f25e378,32'h3f9bd42f,32'h3fa2306f, 32'h3f970efe,32'h3fa6f5a0, 32'h3f8f1bae,32'h3faee8f0,// invsqrt(0.6480) = 1.2423 +32'h4037033f,32'h3f145c0a,32'h3f1a6a3e, 32'h3f0fd162,32'h3f1ef4e6, 32'h3f083fa0,32'h3f2686a8,// invsqrt(2.8596) = 0.5914 +32'h40814df1,32'h3ef99c7f,32'h3f01e657, 32'h3ef1f85c,32'h3f05b869, 32'h3ee53c22,32'h3f0c1686,// invsqrt(4.0408) = 0.4975 +32'h3ebad2c8,32'h3fcfa947,32'h3fd82320, 32'h3fc94de4,32'h3fde7e82, 32'h3fbeb594,32'h3fe916d2,// invsqrt(0.3649) = 1.6555 +32'h3e96581d,32'h3fe77cd2,32'h3ff0efa2, 32'h3fe066b7,32'h3ff805bd, 32'h3fd49734,32'h4001eaa0,// invsqrt(0.2936) = 1.8454 +32'h3ebbbe66,32'h3fcf26cf,32'h3fd79b55, 32'h3fc8cf6b,32'h3fddf2b9, 32'h3fbe3dc3,32'h3fe88461,// invsqrt(0.3667) = 1.6514 +32'h3f8bcd2e,32'h3f700eb3,32'h3f79db0e, 32'h3f68b56f,32'h3f809a29, 32'h3f5c75fe,32'h3f86b9e2,// invsqrt(1.0922) = 0.9569 +32'h3e1af4bf,32'h40213b71,32'h4027d027, 32'h401c4be8,32'h402cbfb0, 32'h40141204,32'h4034f994,// invsqrt(0.1513) = 2.5707 +32'h40678ade,32'h3f03e615,32'h3f09484b, 32'h3effb8db,32'h3f0d51f2, 32'h3ef24356,32'h3f140cb5,// invsqrt(3.6179) = 0.5257 +32'h3ec2c07b,32'h3fcb63ea,32'h3fd3b122, 32'h3fc529ff,32'h3fd9eb0d, 32'h3fbac979,32'h3fe44b93,// invsqrt(0.3804) = 1.6214 +32'h3fa89baf,32'h3f5a973b,32'h3f638347, 32'h3f53e631,32'h3f6a3451, 32'h3f48bf21,32'h3f755b61,// invsqrt(1.3173) = 0.8713 +32'h3f85c4a9,32'h3f75694c,32'h3f7f6d98, 32'h3f6de613,32'h3f837869, 32'h3f6160b3,32'h3f89bb18,// invsqrt(1.0451) = 0.9782 +32'h3f8a90dd,32'h3f712017,32'h3f7af79b, 32'h3f69be75,32'h3f812c9f, 32'h3f5d7110,32'h3f875351,// invsqrt(1.0825) = 0.9611 +32'h3ee82c07,32'h3fba47ab,32'h3fc1e21b, 32'h3fb493d8,32'h3fc795ee, 32'h3fab12cc,32'h3fd116fa,// invsqrt(0.4535) = 1.4850 +32'h3fd4fbd1,32'h3f427d83,32'h3f4a6dbc, 32'h3f3c8957,32'h3f5061e7, 32'h3f329d0f,32'h3f5a4e2f,// invsqrt(1.6639) = 0.7752 +32'h4033f885,32'h3f159bab,32'h3f1bb6eb, 32'h3f11073a,32'h3f204b5c, 32'h3f09652a,32'h3f27ed6c,// invsqrt(2.8120) = 0.5963 +32'h3f8441e2,32'h3f76cf1f,32'h3f807103, 32'h3f6f40f2,32'h3f84381a, 32'h3f62a951,32'h3f8a83ea,// invsqrt(1.0333) = 0.9838 +32'h3f2eeec8,32'h3f97bf44,32'h3f9df0de, 32'h3f931a10,32'h3fa29612, 32'h3f8b5c0f,32'h3faa5413,// invsqrt(0.6833) = 1.2097 +32'h3f3b5b1e,32'h3f92a13c,32'h3f989d5e, 32'h3f8e2423,32'h3f9d1a77, 32'h3f86a8f8,32'h3fa495a2,// invsqrt(0.7319) = 1.1689 +32'h3f043db1,32'h3fae87fb,32'h3fb5a7a7, 32'h3fa9303a,32'h3fbaff68, 32'h3fa048a2,32'h3fc3e700,// invsqrt(0.5166) = 1.3914 +32'h3fea05cd,32'h3f398abc,32'h3f411d76, 32'h3f33dcb1,32'h3f46cb81, 32'h3f2a654a,32'h3f5042e8,// invsqrt(1.8283) = 0.7396 +32'h3facc791,32'h3f57ef97,32'h3f60bfe7, 32'h3f51535c,32'h3f675c22, 32'h3f464ef9,32'h3f726085,// invsqrt(1.3498) = 0.8607 +32'h3f885585,32'h3f73174a,32'h3f7d0358, 32'h3f6ba640,32'h3f823a31, 32'h3f5f3f2f,32'h3f886db9,// invsqrt(1.0651) = 0.9690 +32'h3f820102,32'h3f78f05c,32'h3f818cc2, 32'h3f71517e,32'h3f855c31, 32'h3f649e0b,32'h3f8bb5ea,// invsqrt(1.0157) = 0.9923 +32'h3f452548,32'h3f8ef15f,32'h3f94c6fb, 32'h3f8a912b,32'h3f99272f, 32'h3f834628,32'h3fa07232,// invsqrt(0.7701) = 1.1395 +32'h423c82c3,32'h3e122e14,32'h3e182582, 32'h3e0db481,32'h3e1c9f15, 32'h3e063f37,32'h3e24145f,// invsqrt(47.1277) = 0.1457 +32'h3fae7090,32'h3f56e7ea,32'h3f5fad76, 32'h3f5053c1,32'h3f66419f, 32'h3f455cd2,32'h3f71388e,// invsqrt(1.3628) = 0.8566 +32'h3de1a003,32'h403cf698,32'h4044ad12, 32'h40372dbe,32'h404a75ec, 32'h402d89a6,32'h40541a04,// invsqrt(0.1102) = 3.0128 +32'h3f020e44,32'h3faffdc8,32'h3fb72cb6, 32'h3faa9a95,32'h3fbc8fe9, 32'h3fa19fec,32'h3fc58a92,// invsqrt(0.5080) = 1.4030 +32'h3f8928c4,32'h3f725bcf,32'h3f7c4035, 32'h3f6af082,32'h3f81d5c1, 32'h3f5e9302,32'h3f880481,// invsqrt(1.0716) = 0.9660 +32'h3f79ccff,32'h3f7df954,32'h3f842b8c, 32'h3f763300,32'h3f880eb6, 32'h3f693dca,32'h3f8e8951,// invsqrt(0.9758) = 1.0123 +32'h3f0effd8,32'h3fa7d665,32'h3faeb01f, 32'h3fa2b318,32'h3fb3d36c, 32'h3f9a22ef,32'h3fbc6395,// invsqrt(0.5586) = 1.3380 +32'h3e5f0f72,32'h40066217,32'h400bde41, 32'h400244f7,32'h400ffb61, 32'h3ff6d382,32'h4016d697,// invsqrt(0.2178) = 2.1426 +32'h4017e1bf,32'h3f22db13,32'h3f2980c1, 32'h3f1dded2,32'h3f2e7d02, 32'h3f158fb9,32'h3f36cc1b,// invsqrt(2.3732) = 0.6491 +32'h3f01d608,32'h3fb023e1,32'h3fb7545d, 32'h3faabf84,32'h3fbcb8ba, 32'h3fa1c2e9,32'h3fc5b555,// invsqrt(0.5072) = 1.4042 +32'h3f5913d5,32'h3f8838f2,32'h3f8dc854, 32'h3f840d68,32'h3f91f3de, 32'h3f7a3459,32'h3f98e71a,// invsqrt(0.8480) = 1.0860 +32'h3fbd97eb,32'h3f4e237d,32'h3f568d6d, 32'h3f47d409,32'h3f5cdce1, 32'h3f3d4f9c,32'h3f67614e,// invsqrt(1.4812) = 0.8217 +32'h3f3a60d7,32'h3f93038e,32'h3f9903b4, 32'h3f8e8373,32'h3f9d83cf, 32'h3f870344,32'h3fa503fe,// invsqrt(0.7280) = 1.1720 +32'h3e81fbfb,32'h3ff8f52c,32'h40018f44, 32'h3ff15629,32'h40055ec6, 32'h3fe4a277,32'h400bb89e,// invsqrt(0.2539) = 1.9847 +32'h43093450,32'h3dab5863,32'h3db256c5, 32'h3da61999,32'h3db7958f, 32'h3d9d5b9f,32'h3dc05389,// invsqrt(137.2043) = 0.0854 +32'h3e6e0269,32'h40021832,32'h4007678c, 32'h3ffc395b,32'h400b6311, 32'h3feef2f8,32'h40120642,// invsqrt(0.2324) = 2.0742 +32'h3ea6ee1e,32'h3fdbafc7,32'h3fe4a748, 32'h3fd4f628,32'h3feb60e8, 32'h3fc9c0c7,32'h3ff69649,// invsqrt(0.3260) = 1.7513 +32'h3e80f132,32'h3ff9f635,32'h40021507, 32'h3ff24f53,32'h4005e878, 32'h3fe58e84,32'h400c48df,// invsqrt(0.2518) = 1.9927 +32'h40f87d63,32'h3eb40f4f,32'h3ebb68bf, 32'h3eae8c3a,32'h3ec0ebd4, 32'h3ea55c6d,32'h3eca1ba1,// invsqrt(7.7653) = 0.3589 +32'h3f7d7453,32'h3f7c2301,32'h3f8336ca, 32'h3f746b13,32'h3f8712c0, 32'h3f678ddc,32'h3f8d815c,// invsqrt(0.9901) = 1.0050 +32'h4087af04,32'h3ef3ac44,32'h3efd9e66, 32'h3eec36aa,32'h3f028a00, 32'h3edfc800,32'h3f08c155,// invsqrt(4.2401) = 0.4856 +32'h3ff1e127,32'h3f3680ee,32'h3f3df3e8, 32'h3f30eab2,32'h3f438a24, 32'h3f279afa,32'h3f4cd9dc,// invsqrt(1.8897) = 0.7275 +32'h3ee311c7,32'h3fbc5c7e,32'h3fc40cad, 32'h3fb6985b,32'h3fc9d0d1, 32'h3facfc21,32'h3fd36d0b,// invsqrt(0.4435) = 1.5016 +32'h3ebe1694,32'h3fcddec4,32'h3fd645e6, 32'h3fc7916a,32'h3fdc9340, 32'h3fbd1080,32'h3fe7142a,// invsqrt(0.3713) = 1.6412 +32'h3fc8101b,32'h3f48ac25,32'h3f50dcf7, 32'h3f428787,32'h3f570195, 32'h3f384a80,32'h3f613e9c,// invsqrt(1.5630) = 0.7999 +32'h40220a75,32'h3f1dab07,32'h3f241a7f, 32'h3f18d76c,32'h3f28ee1a, 32'h3f10cc16,32'h3f30f970,// invsqrt(2.5319) = 0.6285 +32'h3ec2314a,32'h3fcbaed8,32'h3fd3ff20, 32'h3fc572a3,32'h3fda3b55, 32'h3fbb0e49,32'h3fe49faf,// invsqrt(0.3793) = 1.6237 +32'h3f4a617d,32'h3f8d14f9,32'h3f92d722, 32'h3f88c359,32'h3f9728c1, 32'h3f8190a5,32'h3f9e5b75,// invsqrt(0.7906) = 1.1247 +32'h3f2f75ba,32'h3f9784de,32'h3f9db416, 32'h3f92e174,32'h3fa25780, 32'h3f8b266e,32'h3faa1286,// invsqrt(0.6854) = 1.2079 +32'h3f898650,32'h3f720953,32'h3f7bea5c, 32'h3f6aa08d,32'h3f81a991, 32'h3f5e4742,32'h3f87d637,// invsqrt(1.0744) = 0.9647 +32'h401b0298,32'h3f21343e,32'h3f27c8a8, 32'h3f1c44ed,32'h3f2cb7f9, 32'h3f140b68,32'h3f34f17f,// invsqrt(2.4220) = 0.6426 +32'h40658d26,32'h3f047835,32'h3f09e061, 32'h3f006a14,32'h3f0dee82, 32'h3ef34fba,32'h3f14b0b9,// invsqrt(3.5867) = 0.5280 +32'h3faf56ff,32'h3f565a84,32'h3f5f1a4a, 32'h3f4fcaaf,32'h3f65aa1f, 32'h3f44daf6,32'h3f7099d8,// invsqrt(1.3698) = 0.8544 +32'h3ee330aa,32'h3fbc4fb0,32'h3fc3ff59, 32'h3fb68bf1,32'h3fc9c317, 32'h3facf05d,32'h3fd35eab,// invsqrt(0.4437) = 1.5012 +32'h3f0bdcef,32'h3fa9b579,32'h3fb0a2c2, 32'h3fa48383,32'h3fb5d4b9, 32'h3f9bdae8,32'h3fbe7d54,// invsqrt(0.5463) = 1.3529 +32'h3e86c7ac,32'h3ff47d0b,32'h3ffe77b3, 32'h3fed010d,32'h4002f9d8, 32'h3fe087bc,32'h40093681,// invsqrt(0.2632) = 1.9490 +32'h3fc6da94,32'h3f494816,32'h3f517f46, 32'h3f431eb2,32'h3f57a8aa, 32'h3f38d9b6,32'h3f61eda6,// invsqrt(1.5535) = 0.8023 +32'h3fffecbe,32'h3f316cce,32'h3f38aab8, 32'h3f2bfe60,32'h3f3e1926, 32'h3f22f0fc,32'h3f47268a,// invsqrt(1.9994) = 0.7072 +32'h3f556394,32'h3f89650c,32'h3f8f00ae, 32'h3f853052,32'h3f933568, 32'h3f7c5b8e,32'h3f9a37f3,// invsqrt(0.8336) = 1.0953 +32'h3f7317fc,32'h3f80ba14,32'h3f85fb24, 32'h3f79928f,32'h3f89ebf1, 32'h3f6c6fe6,32'h3f907d45,// invsqrt(0.9496) = 1.0262 +32'h3f7d17f0,32'h3f7c5101,32'h3f834eba, 32'h3f7497aa,32'h3f872b65, 32'h3f67b81b,32'h3f8d9b2d,// invsqrt(0.9886) = 1.0057 +32'h3ff6ef93,32'h3f34a01e,32'h3f3bff78, 32'h3f2f189a,32'h3f4186fc, 32'h3f25e16a,32'h3f4abe2c,// invsqrt(1.9292) = 0.7200 +32'h3f25afc7,32'h3f9bec7b,32'h3fa249ba, 32'h3f97268d,32'h3fa70fa9, 32'h3f8f31ff,32'h3faf0437,// invsqrt(0.6472) = 1.2430 +32'h400b5b28,32'h3f2a046d,32'h3f30f4ee, 32'h3f24d00b,32'h3f36294f, 32'h3f1c2368,32'h3f3ed5f2,// invsqrt(2.1774) = 0.6777 +32'h3f64cc9f,32'h3f84afe5,32'h3f8a1a57, 32'h3f80a010,32'h3f8e2a2c, 32'h3f73b603,32'h3f94ef3b,// invsqrt(0.8937) = 1.0578 +32'h3d652f49,32'h40849353,32'h4089fc9a, 32'h4080845d,32'h408e0b8f, 32'h40738188,32'h4094cf28,// invsqrt(0.0560) = 4.2275 +32'h3f2dab9d,32'h3f984c32,32'h3f9e838c, 32'h3f93a2ad,32'h3fa32d11, 32'h3f8bdd7c,32'h3faaf242,// invsqrt(0.6784) = 1.2141 +32'h40a0a804,32'h3edfef61,32'h3ee91346, 32'h3ed91476,32'h3eefee32, 32'h3ecda798,32'h3efb5b10,// invsqrt(5.0205) = 0.4463 +32'h3feb158c,32'h3f391f60,32'h3f40adb8, 32'h3f33749f,32'h3f465879, 32'h3f2a02b1,32'h3f4fca67,// invsqrt(1.8366) = 0.7379 +32'h41934d61,32'h3e69dd97,32'h3e73693f, 32'h3e62b4d9,32'h3e7a91fd, 32'h3e56c647,32'h3e834048,// invsqrt(18.4128) = 0.2330 +32'h3e5e4028,32'h4006a0b3,32'h400c1f6c, 32'h400281a9,32'h40103e77, 32'h3ff74683,32'h40171cde,// invsqrt(0.2170) = 2.1465 +32'h3e84d5b4,32'h3ff645a5,32'h40002978, 32'h3feebbae,32'h4003ee74, 32'h3fe22b10,32'h400a36c3,// invsqrt(0.2594) = 1.9633 +32'h40708b46,32'h3f016844,32'h3f06b070, 32'h3efae445,32'h3f0aa692, 32'h3eedafd5,32'h3f1140c9,// invsqrt(3.7585) = 0.5158 +32'h3ee9c155,32'h3fb9a5e6,32'h3fc139bc, 32'h3fb3f707,32'h3fc6e89b, 32'h3faa7e3c,32'h3fd06166,// invsqrt(0.4566) = 1.4800 +32'h3f664e4b,32'h3f84409d,32'h3f89a685, 32'h3f803430,32'h3f8db2f2, 32'h3f72e99f,32'h3f947253,// invsqrt(0.8996) = 1.0543 +32'h3f3c91c6,32'h3f922842,32'h3f981f74, 32'h3f8daedd,32'h3f9c98d9, 32'h3f8639df,32'h3fa40dd7,// invsqrt(0.7366) = 1.1652 +32'h3fbb9f41,32'h3f4f3800,32'h3f57ad3a, 32'h3f48e015,32'h3f5e0525, 32'h3f3e4d8d,32'h3f6897ad,// invsqrt(1.4658) = 0.8260 +32'h3f7d8b99,32'h3f7c176e,32'h3f8330c3, 32'h3f745fda,32'h3f870c8d, 32'h3f67833b,32'h3f8d7add,// invsqrt(0.9904) = 1.0048 +32'h3f793294,32'h3f7e47f8,32'h3f845479, 32'h3f767f3b,32'h3f8838d6, 32'h3f698602,32'h3f8eb573,// invsqrt(0.9734) = 1.0136 +32'h3f5348ed,32'h3f8a13c0,32'h3f8fb684, 32'h3f85d9ad,32'h3f93f097, 32'h3f7d9c70,32'h3f9afc0c,// invsqrt(0.8253) = 1.1007 +32'h42af63f0,32'h3dd6529b,32'h3ddf120f, 32'h3dcfc304,32'h3de5a1a6, 32'h3dc4d3b3,32'h3df090f7,// invsqrt(87.6952) = 0.1068 +32'h3f97f086,32'h3f6644e2,32'h3f6faaf6, 32'h3f5f3853,32'h3f76b785, 32'h3f5378bb,32'h3f813b8f,// invsqrt(1.1870) = 0.9178 +32'h3e93a870,32'h3fe99570,32'h3ff31e26, 32'h3fe26ee7,32'h3ffa44af, 32'h3fd68404,32'h400317c9,// invsqrt(0.2884) = 1.8621 +32'h3f9bcda5,32'h3f63655c,32'h3f6cad6a, 32'h3f5c6f51,32'h3f73a375, 32'h3f50d540,32'h3f7f3d87,// invsqrt(1.2172) = 0.9064 +32'h3f4d6ec2,32'h3f8c07b2,32'h3f91bede, 32'h3f87be51,32'h3f96083f, 32'h3f80995a,32'h3f9d2d36,// invsqrt(0.8025) = 1.1163 +32'h3f66008f,32'h3f8456f5,32'h3f89bdc5, 32'h3f8049d8,32'h3f8dcae2, 32'h3f7312a7,32'h3f948b66,// invsqrt(0.8984) = 1.0550 +32'h3f01c29a,32'h3fb03110,32'h3fb76216, 32'h3faacc4c,32'h3fbcc6da, 32'h3fa1cf04,32'h3fc5c422,// invsqrt(0.5069) = 1.4046 +32'h3fa19d98,32'h3f5f44fd,32'h3f6861ee, 32'h3f586f4a,32'h3f6f37a2, 32'h3f4d0b1d,32'h3f7a9bcf,// invsqrt(1.2626) = 0.8899 +32'h3f44dde4,32'h3f8f0b48,32'h3f94e1f2, 32'h3f8aaa48,32'h3f9942f2, 32'h3f835df4,32'h3fa08f46,// invsqrt(0.7690) = 1.1403 +32'h3ecf8e17,32'h3fc5046e,32'h3fcd0f10, 32'h3fbefc75,32'h3fd31709, 32'h3fb4ef2c,32'h3fdd2452,// invsqrt(0.4054) = 1.5706 +32'h3fb8640a,32'h3f5106cb,32'h3f598ee9, 32'h3f4aa0b6,32'h3f5ff4fe, 32'h3f3ff691,32'h3f6a9f23,// invsqrt(1.4406) = 0.8332 +32'h3f75f8fc,32'h3f7ff151,32'h3f8531d4, 32'h3f781b8f,32'h3f891cb4, 32'h3f6b0ca3,32'h3f8fa42b,// invsqrt(0.9608) = 1.0202 +32'h3f4fa8b3,32'h3f8b4704,32'h3f90f652, 32'h3f870389,32'h3f9539cd, 32'h3f7fd0cd,32'h3f9c54ef,// invsqrt(0.8112) = 1.1103 +32'h3ee3ee78,32'h3fbc0138,32'h3fc3adad, 32'h3fb63fe0,32'h3fc96f04, 32'h3faca84d,32'h3fd30697,// invsqrt(0.4452) = 1.4988 +32'h3f1c15a2,32'h3fa0a5f7,32'h3fa73493, 32'h3f9bbb02,32'h3fac1f88, 32'h3f9388be,32'h3fb451cc,// invsqrt(0.6097) = 1.2807 +32'h3ffa4925,32'h3f3369a1,32'h3f3abc4f, 32'h3f2deb9f,32'h3f403a51, 32'h3f24c446,32'h3f4961aa,// invsqrt(1.9554) = 0.7151 +32'h4040184a,32'h3f10cf53,32'h3f16b871, 32'h3f0c607d,32'h3f1b2747, 32'h3f04fd18,32'h3f228aac,// invsqrt(3.0015) = 0.5772 +32'h3f8f5f27,32'h3f6d0cb6,32'h3f76b9a4, 32'h3f65cb04,32'h3f7dfb56, 32'h3f59b2dc,32'h3f8509bf,// invsqrt(1.1201) = 0.9449 +32'h3e975dde,32'h3fe6b454,32'h3ff01ef4, 32'h3fdfa45c,32'h3ff72eec, 32'h3fd3df14,32'h40017a1a,// invsqrt(0.2956) = 1.8392 +32'h3ec7d553,32'h3fc8c9a7,32'h3fd0fbad, 32'h3fc2a422,32'h3fd72132, 32'h3fb86599,32'h3fe15fbb,// invsqrt(0.3903) = 1.6007 +32'h404359b8,32'h3f0f991f,32'h3f157594, 32'h3f0b33c9,32'h3f19daeb, 32'h3f03e037,32'h3f212e7d,// invsqrt(3.0524) = 0.5724 +32'h3f706683,32'h3f817229,32'h3f86babc, 32'h3f7af772,32'h3f8ab12b, 32'h3f6dc200,32'h3f914be4,// invsqrt(0.9391) = 1.0319 +32'h4095ba96,32'h3ee7f678,32'h3ef16e3e, 32'h3ee0dca3,32'h3ef88813, 32'h3ed506ec,32'h3f022ee5,// invsqrt(4.6790) = 0.4623 +32'h3fc99768,32'h3f47e904,32'h3f5011e0, 32'h3f41ca60,32'h3f563084, 32'h3f37974d,32'h3f606397,// invsqrt(1.5749) = 0.7968 +32'h40953324,32'h3ee85faa,32'h3ef1dbbc, 32'h3ee1429d,32'h3ef8f8c9, 32'h3ed56788,32'h3f0269ef,// invsqrt(4.6625) = 0.4631 +32'h3f3387f6,32'h3f95ca89,32'h3f9be7b4, 32'h3f9134aa,32'h3fa07d94, 32'h3f899036,32'h3fa82208,// invsqrt(0.7013) = 1.1941 +32'h3f9d5018,32'h3f624d61,32'h3f6b8a02, 32'h3f5b5fe9,32'h3f72777b, 32'h3f4fd420,32'h3f7e0344,// invsqrt(1.2290) = 0.9020 +32'h4044d84c,32'h3f0f0d50,32'h3f14e410, 32'h3f0aac41,32'h3f19451f, 32'h3f035fd2,32'h3f20918e,// invsqrt(3.0757) = 0.5702 +32'h42b710dd,32'h3dd1c815,32'h3dda5815, 32'h3dcb5c14,32'h3de0c416, 32'h3dc0a813,32'h3deb7817,// invsqrt(91.5329) = 0.1045 +32'h3e52c8c1,32'h400a3db5,32'h400fe22f, 32'h40060259,32'h40141d8b, 32'h3ffde980,32'h401b2b24,// invsqrt(0.2058) = 2.2041 +32'h408f3af3,32'h3eed2aaa,32'h3ef6d8d0, 32'h3ee5e80d,32'h3efe1b6d, 32'h3ed9ce5d,32'h3f051a8e,// invsqrt(4.4759) = 0.4727 +32'h3eeb2718,32'h3fb91877,32'h3fc0a687, 32'h3fb36dec,32'h3fc65112, 32'h3fa9fc59,32'h3fcfc2a5,// invsqrt(0.4593) = 1.4756 +32'h3fd70408,32'h3f4191ac,32'h3f497846, 32'h3f3ba4b9,32'h3f4f6539, 32'h3f31c47a,32'h3f594578,// invsqrt(1.6798) = 0.7716 +32'h3f39617b,32'h3f9368ad,32'h3f996cf3, 32'h3f8ee579,32'h3f9df027, 32'h3f876022,32'h3fa5757e,// invsqrt(0.7241) = 1.1751 +32'h3e1d8cab,32'h401fe650,32'h40266d1a, 32'h401b0139,32'h402b5231, 32'h4012d8bc,32'h40337aae,// invsqrt(0.1539) = 2.5494 +32'h401011ad,32'h3f273698,32'h3f2e09cd, 32'h3f221830,32'h3f332834, 32'h3f19902d,32'h3f3bb037,// invsqrt(2.2511) = 0.6665 +32'h3ff1ebe1,32'h3f367ce2,32'h3f3defb2, 32'h3f30e6c6,32'h3f4385ce, 32'h3f279743,32'h3f4cd551,// invsqrt(1.8900) = 0.7274 +32'h3f007c94,32'h3fb1100c,32'h3fb84a2c, 32'h3faba474,32'h3fbdb5c4, 32'h3fa29bcc,32'h3fc6be6c,// invsqrt(0.5019) = 1.4115 +32'h3f8d563c,32'h3f6ebffe,32'h3f787eaf, 32'h3f6770f8,32'h3f7fcdb4, 32'h3f5b429a,32'h3f85fe09,// invsqrt(1.1042) = 0.9517 +32'h3f0bdec7,32'h3fa9b45b,32'h3fb0a199, 32'h3fa4826e,32'h3fb5d386, 32'h3f9bd9e1,32'h3fbe7c13,// invsqrt(0.5464) = 1.3529 +32'h40962de3,32'h3ee79d5b,32'h3ef1117f, 32'h3ee08641,32'h3ef82899, 32'h3ed4b515,32'h3f01fce2,// invsqrt(4.6931) = 0.4616 +32'h3f3a2c1a,32'h3f931860,32'h3f99195e, 32'h3f8e97a1,32'h3f9d9a1d, 32'h3f871662,32'h3fa51b5c,// invsqrt(0.7272) = 1.1726 +32'h3f9ff4eb,32'h3f606c9c,32'h3f69959e, 32'h3f598ddc,32'h3f70745e, 32'h3f4e1a9a,32'h3f7be7a0,// invsqrt(1.2497) = 0.8945 +32'h3ed67f69,32'h3fc1cd7a,32'h3fc9b685, 32'h3fbbdeb3,32'h3fcfa54d, 32'h3fb1fb66,32'h3fd9889a,// invsqrt(0.4189) = 1.5450 +32'h3f5d68df,32'h3f86e217,32'h3f8c637b, 32'h3f82c10c,32'h3f908486, 32'h3f77be9d,32'h3f976644,// invsqrt(0.8649) = 1.0753 +32'h3fcd1689,32'h3f4632e0,32'h3f4e49da, 32'h3f4021a5,32'h3f545b15, 32'h3f3604ed,32'h3f5e77cd,// invsqrt(1.6023) = 0.7900 +32'h3ff28149,32'h3f3644a2,32'h3f3db526, 32'h3f30b03f,32'h3f434989, 32'h3f27639a,32'h3f4c962e,// invsqrt(1.8946) = 0.7265 +32'h3d9d47ca,32'h4062535b,32'h406b903a, 32'h405b65b3,32'h40727de1, 32'h404fd99c,32'h407e09f8,// invsqrt(0.0768) = 3.6085 +32'h3e01eda7,32'h403013dd,32'h403743b2, 32'h402aaffe,32'h403ca792, 32'h4021b434,32'h4045a35c,// invsqrt(0.1269) = 2.8074 +32'h3f329a96,32'h3f962df3,32'h3f9c4f2b, 32'h3f919508,32'h3fa0e816, 32'h3f89eb81,32'h3fa8919d,// invsqrt(0.6977) = 1.1972 +32'h403f11ad,32'h3f1132b5,32'h3f171fe1, 32'h3f0cc0d4,32'h3f1b91c2, 32'h3f05585d,32'h3f22fa39,// invsqrt(2.9855) = 0.5788 +32'h3f870e76,32'h3f743cf0,32'h3f7e34fa, 32'h3f6cc2e9,32'h3f82d781, 32'h3f604cdc,32'h3f891287,// invsqrt(1.0551) = 0.9735 +32'h40b5f0fe,32'h3ed26dc9,32'h3edb048d, 32'h3ecbfcb6,32'h3ee175a0, 32'h3ec14040,32'h3eec3216,// invsqrt(5.6857) = 0.4194 +32'h3f850b38,32'h3f761418,32'h3f800faf, 32'h3f6e8ba5,32'h3f83d3e9, 32'h3f61fd8f,32'h3f8a1af4,// invsqrt(1.0394) = 0.9809 +32'h3f36857a,32'h3f948f1f,32'h3f9a9f69, 32'h3f9002e7,32'h3f9f2ba1, 32'h3f886e8a,32'h3fa6bffe,// invsqrt(0.7130) = 1.1843 +32'h3f521610,32'h3f8a7873,32'h3f901f53, 32'h3f863b4b,32'h3f945c7b, 32'h3f7e5565,32'h3f9b6d13,// invsqrt(0.8206) = 1.1039 +32'h4130fe22,32'h3e96dc89,32'h3e9d04e3, 32'h3e923e46,32'h3ea1a326, 32'h3e8a8bd7,32'h3ea95595,// invsqrt(11.0620) = 0.3007 +32'h3df93ebf,32'h4033c969,32'h403b1fff, 32'h402e4878,32'h4040a0f0, 32'h40251c3c,32'h4049cd2c,// invsqrt(0.1217) = 2.8665 +32'h3dad065b,32'h4057c865,32'h4060971b, 32'h40512d5d,32'h40673223, 32'h40462afa,32'h40723486,// invsqrt(0.0845) = 3.4404 +32'h3f456588,32'h3f8eda1a,32'h3f94aec2, 32'h3f8a7a9c,32'h3f990e40, 32'h3f8330c9,32'h3fa05813,// invsqrt(0.7711) = 1.1388 +32'h4221a9e0,32'h3e1dda19,32'h3e244b7d, 32'h3e19050e,32'h3e292088, 32'h3e10f750,32'h3e312e46,// invsqrt(40.4159) = 0.1573 +32'h3feb8f4f,32'h3f38ef81,32'h3f407be5, 32'h3f334637,32'h3f46252f, 32'h3f29d6bb,32'h3f4f94ab,// invsqrt(1.8403) = 0.7371 +32'h3f41c1a8,32'h3f903007,32'h3f9612a4, 32'h3f8bc611,32'h3f9a7c99, 32'h3f846acc,32'h3fa1d7de,// invsqrt(0.7569) = 1.1495 +32'h3f96a141,32'h3f674498,32'h3f70b51c, 32'h3f603036,32'h3f77c97e, 32'h3f546391,32'h3f81cb12,// invsqrt(1.1768) = 0.9218 +32'h3ec5636c,32'h3fca0703,32'h3fd245fd, 32'h3fc3d7c6,32'h3fd8753a, 32'h3fb9890d,32'h3fe2c3f3,// invsqrt(0.3855) = 1.6105 +32'h3f79bd41,32'h3f7e0155,32'h3f842fb6, 32'h3f763ac2,32'h3f8812ff, 32'h3f694523,32'h3f8e8dce,// invsqrt(0.9755) = 1.0125 +32'h401bec8d,32'h3f20bb1f,32'h3f274a99, 32'h3f1bcf84,32'h3f2c3634, 32'h3f139c2c,32'h3f34698c,// invsqrt(2.4363) = 0.6407 +32'h40695080,32'h3f03659d,32'h3f08c293, 32'h3efebfc7,32'h3f0cc84c, 32'h3ef1575e,32'h3f137c81,// invsqrt(3.6455) = 0.5237 +32'h402091ea,32'h3f1e637a,32'h3f24da7a, 32'h3f198a3a,32'h3f29b3ba, 32'h3f11757b,32'h3f31c879,// invsqrt(2.5089) = 0.6313 +32'h40854335,32'h3ef5e062,32'h3effe98a, 32'h3eee5983,32'h3f03b834, 32'h3ee1ce11,32'h3f09fdee,// invsqrt(4.1645) = 0.4900 +32'h3fb3d426,32'h3f53a94d,32'h3f5c4cf2, 32'h3f4d2e91,32'h3f62c7ad, 32'h3f426202,32'h3f6d943c,// invsqrt(1.4049) = 0.8437 +32'h3f12562a,32'h3fa5e9a5,32'h3facaf44, 32'h3fa0d56f,32'h3fb1c37b, 32'h3f985e69,32'h3fba3a81,// invsqrt(0.5716) = 1.3226 +32'h3f9da732,32'h3f620ed5,32'h3f6b48e9, 32'h3f5b2347,32'h3f723477, 32'h3f4f9aaf,32'h3f7dbd0f,// invsqrt(1.2317) = 0.9011 +32'h3c3b8aa5,32'h41128ea7,32'h41188a06, 32'h410e121e,32'h411d068e, 32'h410697e7,32'h412480c5,// invsqrt(0.0114) = 9.3468 +32'h3f676f55,32'h3f83edee,32'h3f895075, 32'h3f7fc810,32'h3f8d5a5a, 32'h3f7251bf,32'h3f941583,// invsqrt(0.9040) = 1.0517 +32'h3e48a3e1,32'h400db14d,32'h401379d7, 32'h40095ae4,32'h4017d040, 32'h40022037,32'h401f0aed,// invsqrt(0.1959) = 2.2591 +32'h423c5dbc,32'h3e123c71,32'h3e183475, 32'h3e0dc26d,32'h3e1cae79, 32'h3e064c68,32'h3e24247e,// invsqrt(47.0915) = 0.1457 +32'h3fe456d3,32'h3f3bd63d,32'h3f4380f1, 32'h3f361636,32'h3f4940f8, 32'h3f2c80d5,32'h3f52d659,// invsqrt(1.7839) = 0.7487 +32'h3f939e43,32'h3f699d7c,32'h3f732687, 32'h3f6276b5,32'h3f7a4d4f, 32'h3f568b68,32'h3f831c4e,// invsqrt(1.1533) = 0.9312 +32'h40a904a8,32'h3eda534f,32'h3ee33c96, 32'h3ed3a459,32'h3ee9eb8b, 32'h3ec880c0,32'h3ef50f24,// invsqrt(5.2818) = 0.4351 +32'h3e88b6f9,32'h3ff2c097,32'h3ffca91b, 32'h3feb5235,32'h40020bbf, 32'h3fdeef90,32'h40083d11,// invsqrt(0.2670) = 1.9352 +32'h3e064b3c,32'h402d3129,32'h403442d7, 32'h4027e3e6,32'h4039901a, 32'h401f0dcd,32'h40426633,// invsqrt(0.1311) = 2.7614 +32'h3db07aea,32'h4055a8f2,32'h405e617a, 32'h404f1e8d,32'h4064ebdf, 32'h404437e4,32'h406fd288,// invsqrt(0.0862) = 3.4066 +32'h4105c2f9,32'h3ead894a,32'h3eb49e90, 32'h3ea83954,32'h3eb9ee86, 32'h3e9f5ebc,32'h3ec2c91e,// invsqrt(8.3601) = 0.3459 +32'h3f497ffb,32'h3f8d63d5,32'h3f932936, 32'h3f890fcb,32'h3f977d3f, 32'h3f81d911,32'h3f9eb3f9,// invsqrt(0.7871) = 1.1272 +32'h3f8817d5,32'h3f734e5c,32'h3f7d3ca8, 32'h3f6bdba2,32'h3f8257b1, 32'h3f5f71c2,32'h3f888ca1,// invsqrt(1.0632) = 0.9698 +32'h4009cdcc,32'h3f2af8dc,32'h3f31f358, 32'h3f25bcff,32'h3f372f35, 32'h3f1d03e4,32'h3f3fe850,// invsqrt(2.1532) = 0.6815 +32'h3f41fa24,32'h3f901b07,32'h3f95fcc9, 32'h3f8bb1b6,32'h3f9a661a, 32'h3f845784,32'h3fa1c04c,// invsqrt(0.7577) = 1.1488 +32'h402c3640,32'h3f18f0f1,32'h3f1f2f05, 32'h3f144262,32'h3f23dd94, 32'h3f0c74c8,32'h3f2bab2e,// invsqrt(2.6908) = 0.6096 +32'h3eb175b9,32'h3fd511c0,32'h3fddc41c, 32'h3fce8bfc,32'h3fe449e0, 32'h3fc3ad09,32'h3fef28d3,// invsqrt(0.3466) = 1.6986 +32'h4073f738,32'h3f007f21,32'h3f05bdca, 32'h3ef92047,32'h3f09acc9, 32'h3eec03a1,32'h3f103b1b,// invsqrt(3.8120) = 0.5122 +32'h3fb9ee40,32'h3f5028be,32'h3f58a7cc, 32'h3f49c975,32'h3f5f0715, 32'h3f3f2aa4,32'h3f69a5e6,// invsqrt(1.4526) = 0.8297 +32'h40979cd5,32'h3ee68467,32'h3eefed13, 32'h3edf75e7,32'h3ef6fb93, 32'h3ed3b310,32'h3f015f35,// invsqrt(4.7379) = 0.4594 +32'h3f276cec,32'h3f9b1ca9,32'h3fa1716b, 32'h3f965d16,32'h3fa630fe, 32'h3f8e7323,32'h3fae1af1,// invsqrt(0.6540) = 1.2365 +32'h40a57a49,32'h3edca60f,32'h3ee5a79d, 32'h3ed5e4e5,32'h3eec68c7, 32'h3ecaa2f4,32'h3ef7aab8,// invsqrt(5.1712) = 0.4397 +32'h3ed7ba5a,32'h3fc13fcf,32'h3fc92311, 32'h3fbb555e,32'h3fcf0d82, 32'h3fb1794b,32'h3fd8e995,// invsqrt(0.4213) = 1.5406 +32'h41632a99,32'h3e8529c3,32'h3e8a992e, 32'h3e811632,32'h3e8eacbe, 32'h3e7495d8,32'h3e957804,// invsqrt(14.1979) = 0.2654 +32'h400d8af5,32'h3f28b2e8,32'h3f2f95a4, 32'h3f2388dc,32'h3f34bfb0, 32'h3f1aed72,32'h3f3d5b1a,// invsqrt(2.2116) = 0.6724 +32'h3d3c7fc1,32'h40922f3e,32'h409826b9, 32'h408db5a3,32'h409ca055, 32'h40864049,32'h40a415af,// invsqrt(0.0460) = 4.6615 +32'h3f8e2399,32'h3f6e1346,32'h3f77caea, 32'h3f66c98a,32'h3f7f14a6, 32'h3f5aa3fc,32'h3f859d1a,// invsqrt(1.1105) = 0.9490 +32'h3f48c9e4,32'h3f8da3e3,32'h3f936be1, 32'h3f894de4,32'h3f97c1e0, 32'h3f8213e5,32'h3f9efbdf,// invsqrt(0.7843) = 1.1291 +32'h4019d8f1,32'h3f21cfe4,32'h3f286aa9, 32'h3f1cdbcf,32'h3f2d5ebd, 32'h3f149a59,32'h3f35a033,// invsqrt(2.4039) = 0.6450 +32'h4242b2db,32'h3e0fd69b,32'h3e15b591, 32'h3e0b6f62,32'h3e1a1cca, 32'h3e0418ad,32'h3e21737f,// invsqrt(48.6747) = 0.1433 +32'h4114e53e,32'h3ea47b17,32'h3eab31bf, 32'h3e9f7219,32'h3eb03abd, 32'h3e970dc7,32'h3eb89f0f,// invsqrt(9.3060) = 0.3278 +32'h3f8a93fa,32'h3f711d62,32'h3f7af4ca, 32'h3f69bbd5,32'h3f812b2c, 32'h3f5d6e94,32'h3f8751cc,// invsqrt(1.0826) = 0.9611 +32'h44b6e400,32'h3cd1e1ce,32'h3cda72dc, 32'h3ccb7504,32'h3ce0dfa6, 32'h3cc0bfb3,32'h3ceb94f7,// invsqrt(1463.1250) = 0.0261 +32'h3f6034bb,32'h3f860a15,32'h3f8b82a9, 32'h3f81efa7,32'h3f8f9d17, 32'h3f7631de,32'h3f9673cf,// invsqrt(0.8758) = 1.0686 +32'h3fb594fb,32'h3f52a313,32'h3f5b3c05, 32'h3f4c305f,32'h3f61aeb9, 32'h3f417131,32'h3f6c6de7,// invsqrt(1.4186) = 0.8396 +32'h3f0ed75f,32'h3fa7ee2a,32'h3faec8de, 32'h3fa2ca24,32'h3fb3ece4, 32'h3f9a38c4,32'h3fbc7e44,// invsqrt(0.5580) = 1.3387 +32'h3f77b437,32'h3f7f0bee,32'h3f84ba75, 32'h3f773d33,32'h3f88a1d2, 32'h3f6a39fb,32'h3f8f236f,// invsqrt(0.9676) = 1.0166 +32'h3f028e88,32'h3fafa73f,32'h3fb6d2a5, 32'h3faa46b3,32'h3fbc3331, 32'h3fa15073,32'h3fc52971,// invsqrt(0.5100) = 1.4003 +32'h40254255,32'h3f1c2015,32'h3f227f6e, 32'h3f175891,32'h3f2746f1, 32'h3f0f6161,32'h3f2f3e21,// invsqrt(2.5822) = 0.6223 +32'h3e2faa26,32'h40176e41,32'h401d9c8c, 32'h4012cb87,32'h40223f45, 32'h400b11a9,32'h4029f923,// invsqrt(0.1715) = 2.4144 +32'h3f86b7a0,32'h3f748b9a,32'h3f7e86da, 32'h3f6d0f2a,32'h3f8301a5, 32'h3f60951b,32'h3f893ead,// invsqrt(1.0525) = 0.9748 +32'h3fecaabd,32'h3f3880a3,32'h3f40087f, 32'h3f32dabd,32'h3f45ae65, 32'h3f2970e9,32'h3f4f1839,// invsqrt(1.8490) = 0.7354 +32'h3e96da58,32'h3fe718d1,32'h3ff0878b, 32'h3fe005c5,32'h3ff79a97, 32'h3fd43b5d,32'h4001b280,// invsqrt(0.2946) = 1.8423 +32'h43203b99,32'h3d9e8e1d,32'h3da506db, 32'h3d99b38f,32'h3da9e169, 32'h3d919ca3,32'h3db1f855,// invsqrt(160.2328) = 0.0790 +32'h3f6f182d,32'h3f81cc8a,32'h3f8718ce, 32'h3f7ba6ad,32'h3f8b1201, 32'h3f6e6802,32'h3f91b157,// invsqrt(0.9340) = 1.0347 +32'h4002d93f,32'h3f2f7512,32'h3f369e6c, 32'h3f2a160f,32'h3f3bfd6f, 32'h3f21225f,32'h3f44f11f,// invsqrt(2.0445) = 0.6994 +32'h3f90036a,32'h3f6c855f,32'h3f762cc7, 32'h3f6547d2,32'h3f7d6a54, 32'h3f593691,32'h3f84bdca,// invsqrt(1.1251) = 0.9428 +32'h3f3b3093,32'h3f92b1e5,32'h3f98aeb5, 32'h3f8e3449,32'h3f9d2c51, 32'h3f86b845,32'h3fa4a855,// invsqrt(0.7312) = 1.1694 +32'h3f8c9d62,32'h3f6f5cb8,32'h3f7921d0, 32'h3f6808e7,32'h3f803ad0, 32'h3f5bd28a,32'h3f8655ff,// invsqrt(1.0986) = 0.9541 +32'h40823098,32'h3ef8c2d9,32'h3f017513, 32'h3ef12560,32'h3f0543d0, 32'h3ee47440,32'h3f0b9c60,// invsqrt(4.0684) = 0.4958 +32'h3f104698,32'h3fa717ea,32'h3fade9df, 32'h3fa1fa73,32'h3fb30757, 32'h3f997401,32'h3fbb8dc9,// invsqrt(0.5636) = 1.3321 +32'h3fdfac39,32'h3f3dc940,32'h3f458853, 32'h3f37f9f3,32'h3f4b57a1, 32'h3f2e4b1c,32'h3f550678,// invsqrt(1.7474) = 0.7565 +32'h3fb31295,32'h3f541b93,32'h3f5cc3e3, 32'h3f4d9d58,32'h3f63421e, 32'h3f42caf5,32'h3f6e1481,// invsqrt(1.3990) = 0.8455 +32'h3f10341e,32'h3fa7229e,32'h3fadf503, 32'h3fa204d3,32'h3fb312cf, 32'h3f997dd6,32'h3fbb99cc,// invsqrt(0.5633) = 1.3324 +32'h3dfac89b,32'h40333c04,32'h403a8cd5, 32'h402dbf67,32'h40400971, 32'h40249a61,32'h40492e77,// invsqrt(0.1225) = 2.8577 +32'h3e8bc092,32'h3ff01987,32'h3ff9e653, 32'h3fe8bfee,32'h40009ff6, 32'h3fdc7fef,32'h4006bff6,// invsqrt(0.2730) = 1.9141 +32'h3f764b81,32'h3f7fc66e,32'h3f851b83, 32'h3f77f1fe,32'h3f8905bb, 32'h3f6ae541,32'h3f8f8c1a,// invsqrt(0.9621) = 1.0195 +32'h3ca7de6d,32'h40db1251,32'h40e40363, 32'h40d45d82,32'h40eab832, 32'h40c9302b,32'h40f5e589,// invsqrt(0.0205) = 6.9857 +32'h3fbad952,32'h3f4fa5a4,32'h3f581f58, 32'h3f494a5e,32'h3f5e7a9e, 32'h3f3eb23e,32'h3f6912be,// invsqrt(1.4598) = 0.8277 +32'h406aa218,32'h3f0306f4,32'h3f08600e, 32'h3efe0842,32'h3f0c62e1, 32'h3ef0a982,32'h3f131241,// invsqrt(3.6661) = 0.5223 +32'h3e80f81c,32'h3ff9ef81,32'h4002118a, 32'h3ff248d3,32'h4005e4e0, 32'h3fe5885c,32'h400c451c,// invsqrt(0.2519) = 1.9925 +32'h3eeb1a8e,32'h3fb91d67,32'h3fc0aba9, 32'h3fb372b4,32'h3fc6565c, 32'h3faa00e1,32'h3fcfc82f,// invsqrt(0.4592) = 1.4757 +32'h3ea4ff9e,32'h3fdcf805,32'h3fe5fceb, 32'h3fd63458,32'h3fecc098, 32'h3fcaee39,32'h3ff806b7,// invsqrt(0.3223) = 1.7615 +32'h3fb1b374,32'h3f54ecbb,32'h3f5d9d93, 32'h3f4e6818,32'h3f642236, 32'h3f438b0a,32'h3f6eff45,// invsqrt(1.3883) = 0.8487 +32'h3feabac1,32'h3f39432a,32'h3f40d2f7, 32'h3f33974f,32'h3f467ed1, 32'h3f2a238e,32'h3f4ff292,// invsqrt(1.8338) = 0.7385 +32'h41258dd4,32'h3e9bfc77,32'h3ea25a5d, 32'h3e97360b,32'h3ea720c9, 32'h3e8f40ac,32'h3eaf1628,// invsqrt(10.3471) = 0.3109 +32'h4060e98a,32'h3f05d42a,32'h3f0b4a8a, 32'h3f01bb62,32'h3f0f6352, 32'h3ef5ced5,32'h3f163749,// invsqrt(3.5143) = 0.5334 +32'h3fe1830b,32'h3f3d02bb,32'h3f44b9b3, 32'h3f373981,32'h3f4a82ed, 32'h3f2d94cb,32'h3f5427a3,// invsqrt(1.7618) = 0.7534 +32'h3f77b27e,32'h3f7f0cd1,32'h3f84baea, 32'h3f773e0e,32'h3f88a24b, 32'h3f6a3aca,32'h3f8f23ed,// invsqrt(0.9676) = 1.0166 +32'h40828262,32'h3ef874da,32'h3f014c7c, 32'h3ef0d9c4,32'h3f051a07, 32'h3ee42c9e,32'h3f0b709a,// invsqrt(4.0784) = 0.4952 +32'h3f1fe868,32'h3f9eb756,32'h3fa531c2, 32'h3f99db85,32'h3faa0d93, 32'h3f91c27e,32'h3fb2269a,// invsqrt(0.6246) = 1.2653 +32'h40bc6c7c,32'h3ecec707,32'h3ed737a5, 32'h3ec87292,32'h3edd8c1a, 32'h3ebde5cd,32'h3ee818df,// invsqrt(5.8882) = 0.4121 +32'h3f5f964f,32'h3f863989,32'h3f8bb40c, 32'h3f821da7,32'h3f8fcfef, 32'h3f768907,32'h3f96a912,// invsqrt(0.8734) = 1.0700 +32'h3f684111,32'h3f83b24f,32'h3f891267, 32'h3f7f547a,32'h3f8d1a79, 32'h3f71e43d,32'h3f93d297,// invsqrt(0.9072) = 1.0499 +32'h417979bb,32'h3e7e23b2,32'h3e844199, 32'h3e765c13,32'h3e882569, 32'h3e6964b3,32'h3e8ea118,// invsqrt(15.5922) = 0.2532 +32'h3f9a8cac,32'h3f645104,32'h3f6da2b0, 32'h3f5d53c2,32'h3f749ff2, 32'h3f51adab,32'h3f802305,// invsqrt(1.2074) = 0.9101 +32'h3f341442,32'h3f959025,32'h3f9baaed, 32'h3f90fc0f,32'h3fa03f03, 32'h3f895a95,32'h3fa7e07d,// invsqrt(0.7034) = 1.1923 +32'h3d81cbcc,32'h4079235e,32'h4081a74d, 32'h407182ef,32'h40857784, 32'h4064cce3,32'h408bd28b,// invsqrt(0.0634) = 3.9722 +32'h3f817b83,32'h3f79708f,32'h3f81cf79, 32'h3f71cdc4,32'h3f85a0df, 32'h3f6513c7,32'h3f8bfddd,// invsqrt(1.0116) = 0.9943 +32'h3eee5a41,32'h3fb7d954,32'h3fbf5a5c, 32'h3fb2388d,32'h3fc4fb23, 32'h3fa8d742,32'h3fce5c6e,// invsqrt(0.4655) = 1.4656 +32'h3f9beef7,32'h3f634d0f,32'h3f6c941f, 32'h3f5c57c3,32'h3f73896b, 32'h3f50beee,32'h3f7f2240,// invsqrt(1.2182) = 0.9060 +32'h3fa79560,32'h3f5b420b,32'h3f643511, 32'h3f548bc7,32'h3f6aeb55, 32'h3f495c00,32'h3f761b1c,// invsqrt(1.3092) = 0.8740 +32'h3f61c3a4,32'h3f859375,32'h3f8b0731, 32'h3f817ca8,32'h3f8f1dfe, 32'h3f7557fc,32'h3f95eea8,// invsqrt(0.8819) = 1.0649 +32'h3fb515cf,32'h3f52ecfd,32'h3f5b88f2, 32'h3f4c7804,32'h3f61fdea, 32'h3f41b512,32'h3f6cc0dd,// invsqrt(1.4147) = 0.8407 +32'h3f746125,32'h3f806345,32'h3f85a0cb, 32'h3f78ea42,32'h3f898eef, 32'h3f6bd075,32'h3f901bd6,// invsqrt(0.9546) = 1.0235 +32'h40b837fb,32'h3ed11fc9,32'h3ed9a8eb, 32'h3ecab8ef,32'h3ee00fc5, 32'h3ec00d84,32'h3eeabb30,// invsqrt(5.7568) = 0.4168 +32'h3f73f37d,32'h3f80801d,32'h3f85becf, 32'h3f79222d,32'h3f89add6, 32'h3f6c056e,32'h3f903c35,// invsqrt(0.9529) = 1.0244 +32'h3e2b3586,32'h40196371,32'h401fa631, 32'h4014b160,32'h40245842, 32'h400cddef,32'h402c2bb3,// invsqrt(0.1672) = 2.4456 +32'h3fe6993b,32'h3f3aea15,32'h3f428b26, 32'h3f353149,32'h3f4843f3, 32'h3f2ba7f5,32'h3f51cd47,// invsqrt(1.8016) = 0.7450 +32'h3f1fba9b,32'h3f9ece16,32'h3fa54970, 32'h3f99f193,32'h3faa25f3, 32'h3f91d763,32'h3fb24023,// invsqrt(0.6239) = 1.2660 +32'h407e5697,32'h3efbb2c0,32'h3f02fc5f, 32'h3ef3fe43,32'h3f06d69e, 32'h3ee726c6,32'h3f0d425d,// invsqrt(3.9740) = 0.5016 +32'h3f8743e4,32'h3f740caf,32'h3f7e02c0, 32'h3f6c9421,32'h3f82bda6, 32'h3f60208b,32'h3f88f771,// invsqrt(1.0568) = 0.9728 +32'h3f39121a,32'h3f938846,32'h3f998dd6, 32'h3f8f041a,32'h3f9e1202, 32'h3f877d26,32'h3fa598f6,// invsqrt(0.7229) = 1.1761 +32'h3f93af32,32'h3f699017,32'h3f731896, 32'h3f6269b9,32'h3f7a3ef5, 32'h3f567f1b,32'h3f8314c9,// invsqrt(1.1538) = 0.9310 +32'h40163551,32'h3f23c2af,32'h3f2a71d1, 32'h3f1ebf56,32'h3f2f752a, 32'h3f16646d,32'h3f37d013,// invsqrt(2.3470) = 0.6527 +32'h3e3d25ce,32'h4011ef05,32'h4017e3e1, 32'h400d7760,32'h401c5b86, 32'h4006054e,32'h4023cd98,// invsqrt(0.1847) = 2.3267 +32'h3f805b35,32'h3f7a8815,32'h3f8260f1, 32'h3f72dcbc,32'h3f86369e, 32'h3f66147c,32'h3f8c9abe,// invsqrt(1.0028) = 0.9986 +32'h3e90cc31,32'h3febe12b,32'h3ff581df, 32'h3fe4a8a5,32'h3ffcba65, 32'h3fd89fc4,32'h400461a3,// invsqrt(0.2828) = 1.8804 +32'h3e853451,32'h3ff5ee1f,32'h3ffff7d7, 32'h3fee66d5,32'h4003bf91, 32'h3fe1daaf,32'h400a05a4,// invsqrt(0.2602) = 1.9605 +32'h3f3f78a4,32'h3f910ba5,32'h3f96f739, 32'h3f8c9af6,32'h3f9b67e8, 32'h3f85347e,32'h3fa2ce60,// invsqrt(0.7479) = 1.1563 +32'h3f322543,32'h3f965f5f,32'h3f9c829c, 32'h3f91c4f0,32'h3fa11d0a, 32'h3f8a18e4,32'h3fa8c916,// invsqrt(0.6959) = 1.1988 +32'h3e36b87c,32'h40147a61,32'h401a89d3, 32'h400feecc,32'h401f1568, 32'h40085b7e,32'h4026a8b6,// invsqrt(0.1784) = 2.3673 +32'h3ed3d8ac,32'h3fc302fa,32'h3fcaf8a6, 32'h3fbd0ab9,32'h3fd0f0e7, 32'h3fb317a2,32'h3fdae3fe,// invsqrt(0.4138) = 1.5546 +32'h3eb4ef1c,32'h3fd3038a,32'h3fdba06c, 32'h3fcc8de2,32'h3fe21614, 32'h3fc1c9c8,32'h3fecda2e,// invsqrt(0.3534) = 1.6822 +32'h41232dc9,32'h3e9d1e09,32'h3ea387c1, 32'h3e984ec0,32'h3ea8570a, 32'h3e904a9b,32'h3eb05b2f,// invsqrt(10.1987) = 0.3131 +32'h3c6e900c,32'h4101f18d,32'h41073f55, 32'h40fbee70,32'h410b39aa, 32'h40eeabff,32'h4111dae3,// invsqrt(0.0146) = 8.2872 +32'h3f8c7c43,32'h3f6f78ee,32'h3f793f2c, 32'h3f682440,32'h3f8049ed, 32'h3f5bec72,32'h3f8665d4,// invsqrt(1.0975) = 0.9545 +32'h3f1320d5,32'h3fa57738,32'h3fac382b, 32'h3fa06683,32'h3fb148e1, 32'h3f97f553,32'h3fb9ba11,// invsqrt(0.5747) = 1.3191 +32'h3f2c4c73,32'h3f98e716,32'h3f9f24c4, 32'h3f9438d4,32'h3fa3d306, 32'h3f8c6bbc,32'h3faba01e,// invsqrt(0.6730) = 1.2189 +32'h402d30d9,32'h3f188223,32'h3f1ebbb1, 32'h3f13d6f8,32'h3f2366dc, 32'h3f0c0f06,32'h3f2b2ece,// invsqrt(2.7061) = 0.6079 +32'h40b8f993,32'h3ed0b23d,32'h3ed936e7, 32'h3eca4ebe,32'h3edf9a66, 32'h3ebfa8ea,32'h3eea403a,// invsqrt(5.7805) = 0.4159 +32'h3fbd3283,32'h3f4e5ab4,32'h3f56c6e6, 32'h3f480990,32'h3f5d180a, 32'h3f3d8252,32'h3f679f48,// invsqrt(1.4781) = 0.8225 +32'h3f7e93a7,32'h3f7b948f,32'h3f82eca8, 32'h3f73e0fe,32'h3f86c671, 32'h3f670b0b,32'h3f8d316a,// invsqrt(0.9944) = 1.0028 +32'h3e175a45,32'h402323e6,32'h4029cc8c, 32'h401e2569,32'h402ecb09, 32'h4015d29a,32'h40371dd8,// invsqrt(0.1478) = 2.6011 +32'h40c4b135,32'h3eca6274,32'h3ed2a52b, 32'h3ec4306c,32'h3ed8d734, 32'h3eb9dd08,32'h3ee32a98,// invsqrt(6.1466) = 0.4033 +32'h3fb28883,32'h3f546d88,32'h3f5d1930, 32'h3f4deccb,32'h3f6399ed, 32'h3f431639,32'h3f6e707f,// invsqrt(1.3948) = 0.8467 +32'h3e47ed27,32'h400df1fe,32'h4013bd2d, 32'h4009999b,32'h40181591, 32'h40025ba1,32'h401f538b,// invsqrt(0.1952) = 2.2632 +32'h3e35c127,32'h4014df45,32'h401af2d5, 32'h40105099,32'h401f8181, 32'h4008b825,32'h402719f5,// invsqrt(0.1775) = 2.3736 +32'h40817eac,32'h3ef96d84,32'h3f01cde4, 32'h3ef1cad1,32'h3f059f3e, 32'h3ee510fc,32'h3f0bfc28,// invsqrt(4.0467) = 0.4971 +32'h3fe6c943,32'h3f3ad6a1,32'h3f4276e7, 32'h3f351e6d,32'h3f482f1b, 32'h3f2b9617,32'h3f51b771,// invsqrt(1.8030) = 0.7447 +32'h3fd9e707,32'h3f404858,32'h3f482180, 32'h3f3a657a,32'h3f4e045e, 32'h3f309608,32'h3f57d3d0,// invsqrt(1.7024) = 0.7664 +32'h3fc68ce5,32'h3f496f73,32'h3f51a83e, 32'h3f4344da,32'h3f57d2d6, 32'h3f38fddc,32'h3f6219d4,// invsqrt(1.5512) = 0.8029 +32'h3fc7cf97,32'h3f48cc88,32'h3f50fead, 32'h3f42a6ed,32'h3f572449, 32'h3f38683f,32'h3f6162f7,// invsqrt(1.5610) = 0.8004 +32'h40102358,32'h3f272c58,32'h3f2dff22, 32'h3f220e40,32'h3f331d3a, 32'h3f1986c4,32'h3f3ba4b6,// invsqrt(2.2522) = 0.6663 +32'h3fd55f64,32'h3f42501c,32'h3f4a3e7b, 32'h3f3c5d54,32'h3f503142, 32'h3f32735d,32'h3f5a1b39,// invsqrt(1.6670) = 0.7745 +32'h3e80e0a1,32'h3ffa0645,32'h40021d63, 32'h3ff25ee5,32'h4005f113, 32'h3fe59d44,32'h400c51e3,// invsqrt(0.2517) = 1.9932 +32'h3ec6f595,32'h3fc93a6d,32'h3fd1710f, 32'h3fc31174,32'h3fd79a08, 32'h3fb8cd2b,32'h3fe1de51,// invsqrt(0.3886) = 1.6042 +32'h3f6fd3d5,32'h3f8199b8,32'h3f86e3ea, 32'h3f7b4427,32'h3f8adb8f, 32'h3f6e0aab,32'h3f91784c,// invsqrt(0.9368) = 1.0332 +32'h41fda3d7,32'h3e3238ec,32'h3e397f2a, 32'h3e2cc43e,32'h3e3ef3d8, 32'h3e23ac70,32'h3e480ba6,// invsqrt(31.7050) = 0.1776 +32'h3f92e1d2,32'h3f6a3328,32'h3f73c24e, 32'h3f6307cb,32'h3f7aedab, 32'h3f5714dc,32'h3f83704d,// invsqrt(1.1475) = 0.9335 +32'h3fb741fa,32'h3f51abf7,32'h3f5a3ad2, 32'h3f4b40d2,32'h3f60a5f6, 32'h3f408e40,32'h3f6b5888,// invsqrt(1.4317) = 0.8357 +32'h3f871723,32'h3f743518,32'h3f7e2cd0, 32'h3f6cbb4e,32'h3f82d34d, 32'h3f6045a8,32'h3f890e20,// invsqrt(1.0554) = 0.9734 +32'h3f4aaa40,32'h3f8cfba3,32'h3f92bcc3, 32'h3f88aaca,32'h3f970d9c, 32'h3f817961,32'h3f9e3f05,// invsqrt(0.7917) = 1.1239 +32'h3f91d498,32'h3f6b0af4,32'h3f74a2ea, 32'h3f63d8fd,32'h3f7bd4e1, 32'h3f57db0a,32'h3f83e96a,// invsqrt(1.1393) = 0.9369 +32'h3f2707ac,32'h3f9b4ba5,32'h3fa1a253, 32'h3f968aa3,32'h3fa66355, 32'h3f8e9e49,32'h3fae4faf,// invsqrt(0.6525) = 1.2380 +32'h44374969,32'h3d143fa2,32'h3d1a4cae, 32'h3d0fb5d9,32'h3d1ed677, 32'h3d08258a,32'h3d2666c6,// invsqrt(733.1470) = 0.0369 +32'h3fd85576,32'h3f40fa7b,32'h3f48dae9, 32'h3f3b1229,32'h3f4ec33b, 32'h3f3139a0,32'h3f589bc4,// invsqrt(1.6901) = 0.7692 +32'h403d3ea8,32'h3f11e570,32'h3f17d9e8, 32'h3f0d6e17,32'h3f1c5141, 32'h3f05fc81,32'h3f23c2d7,// invsqrt(2.9569) = 0.5815 +32'h3fb30b2d,32'h3f541ff6,32'h3f5cc874, 32'h3f4da199,32'h3f6346d1, 32'h3f42cefc,32'h3f6e196e,// invsqrt(1.3988) = 0.8455 +32'h3e8f6eab,32'h3fecffe4,32'h3ff6ac4b, 32'h3fe5be96,32'h3ffded98, 32'h3fd9a715,32'h4005028d,// invsqrt(0.2801) = 1.8893 +32'h3eab67a8,32'h3fd8ccd1,32'h3fe1a627, 32'h3fd229cf,32'h3fe84929, 32'h3fc71a23,32'h3ff358d5,// invsqrt(0.3348) = 1.7283 +32'h3ea65e04,32'h3fdc0ed7,32'h3fe50a39, 32'h3fd5524e,32'h3febc6c2, 32'h3fca1814,32'h3ff700fc,// invsqrt(0.3249) = 1.7543 +32'h4055e641,32'h3f093b0e,32'h3f0ed4fa, 32'h3f05079d,32'h3f13086b, 32'h3efc0e6e,32'h3f1a08d1,// invsqrt(3.3422) = 0.5470 +32'h3f8b6662,32'h3f706726,32'h3f7a371e, 32'h3f690b2d,32'h3f80c98b, 32'h3f5cc738,32'h3f86eb86,// invsqrt(1.0891) = 0.9582 +32'h3fd3904d,32'h3f432452,32'h3f4b1b5a, 32'h3f3d2b0b,32'h3f5114a1, 32'h3f333641,32'h3f5b096b,// invsqrt(1.6528) = 0.7778 +32'h3f4f7656,32'h3f8b57eb,32'h3f9107ea, 32'h3f8713eb,32'h3f954be9, 32'h3f7fefd8,32'h3f9c67e8,// invsqrt(0.8104) = 1.1108 +32'h3d88d68b,32'h4072a495,32'h407c8bf3, 32'h406b370d,32'h4081fcbd, 32'h405ed5d7,32'h40882d59,// invsqrt(0.0668) = 3.8687 +32'h3f59d97d,32'h3f87fb18,32'h3f8d87f4, 32'h3f83d172,32'h3f91b19a, 32'h3f79c2be,32'h3f98a1ad,// invsqrt(0.8510) = 1.0840 +32'h3f9f281b,32'h3f60fcd4,32'h3f6a2bb8, 32'h3f5a19a9,32'h3f710ee3, 32'h3f4e9f0c,32'h3f7c8980,// invsqrt(1.2434) = 0.8968 +32'h3ee8799c,32'h3fba2893,32'h3fc1c1be, 32'h3fb475b4,32'h3fc7749e, 32'h3faaf63e,32'h3fd0f414,// invsqrt(0.4541) = 1.4840 +32'h40a2b1da,32'h3ede871e,32'h3ee79c4e, 32'h3ed7b73a,32'h3eee6c32, 32'h3ecc5cbd,32'h3ef9c6af,// invsqrt(5.0842) = 0.4435 +32'h3f48527f,32'h3f8dce12,32'h3f9397ca, 32'h3f8976c8,32'h3f97ef14, 32'h3f823aa3,32'h3f9f2b39,// invsqrt(0.7825) = 1.1305 +32'h3ff8718d,32'h3f341399,32'h3f3b6d36, 32'h3f2e9062,32'h3f40f06c, 32'h3f25605d,32'h3f4a2071,// invsqrt(1.9410) = 0.7178 +32'h3f8d4550,32'h3f6ece4a,32'h3f788d90, 32'h3f677ed4,32'h3f7fdd06, 32'h3f5b4fbc,32'h3f86060f,// invsqrt(1.1037) = 0.9519 +32'h4082a1c0,32'h3ef85704,32'h3f013cf5, 32'h3ef0bcd7,32'h3f050a0b, 32'h3ee41138,32'h3f0b5fdb,// invsqrt(4.0822) = 0.4949 +32'h3ed03f52,32'h3fc4b086,32'h3fccb7bb, 32'h3fbeab1f,32'h3fd2bd23, 32'h3fb4a21e,32'h3fdcc624,// invsqrt(0.4067) = 1.5680 +32'h3fbaebd2,32'h3f4f9b5e,32'h3f5814a5, 32'h3f494067,32'h3f5e6f9b, 32'h3f3ea8ce,32'h3f690734,// invsqrt(1.4603) = 0.8275 +32'h3f27a9c7,32'h3f9b0080,32'h3fa1541c, 32'h3f9641ca,32'h3fa612d2, 32'h3f8e5946,32'h3fadfb56,// invsqrt(0.6549) = 1.2357 +32'h3f88ff87,32'h3f728046,32'h3f7c662a, 32'h3f6b13dc,32'h3f81e94a, 32'h3f5eb47f,32'h3f8818f8,// invsqrt(1.0703) = 0.9666 +32'h3f4915cb,32'h3f8d8925,32'h3f93500c, 32'h3f8933f7,32'h3f97a539, 32'h3f81fb56,32'h3f9eddda,// invsqrt(0.7855) = 1.1283 +32'h3f2d93c5,32'h3f9856a8,32'h3f9e8e70, 32'h3f93acd2,32'h3fa33846, 32'h3f8be718,32'h3faafe00,// invsqrt(0.6780) = 1.2144 +32'h3f54a6fb,32'h3f89a1ec,32'h3f8f400a, 32'h3f856b55,32'h3f9376a1, 32'h3f7ccb5d,32'h3f9a7c47,// invsqrt(0.8307) = 1.0972 +32'h3f57b435,32'h3f88a7cc,32'h3f8e3bb6, 32'h3f8478de,32'h3f926aa4, 32'h3f7afff5,32'h3f996388,// invsqrt(0.8426) = 1.0894 +32'h3f7893e8,32'h3f7e9913,32'h3f847eae, 32'h3f76cddc,32'h3f88644a, 32'h3f69d07f,32'h3f8ee2f8,// invsqrt(0.9710) = 1.0148 +32'h404b30c5,32'h3f0cccf0,32'h3f128c29, 32'h3f087d86,32'h3f16db94, 32'h3f014e7f,32'h3f1e0a9b,// invsqrt(3.1749) = 0.5612 +32'h404361ed,32'h3f0f961b,32'h3f157270, 32'h3f0b30dc,32'h3f19d7b0, 32'h3f03dd72,32'h3f212b1a,// invsqrt(3.0529) = 0.5723 +32'h40014873,32'h3f308439,32'h3f37b8a4, 32'h3f2b1ce9,32'h3f3d1ff5, 32'h3f221b64,32'h3f46217a,// invsqrt(2.0200) = 0.7036 +32'h3ee3baa2,32'h3fbc169c,32'h3fc3c3f0, 32'h3fb6549c,32'h3fc985f0, 32'h3facbbf2,32'h3fd31e9a,// invsqrt(0.4448) = 1.4994 +32'h3e6a7fc1,32'h4003108b,32'h40086a09, 32'h3ffe1ad9,32'h400c6d27, 32'h3ff0bb1f,32'h40131d05,// invsqrt(0.2290) = 2.0897 +32'h3df5f6ef,32'h4034fb53,32'h403c5e66, 32'h402f7104,32'h4041e8b4, 32'h4026352c,32'h404b248c,// invsqrt(0.1201) = 2.8856 +32'h4074355e,32'h3f006ec7,32'h3f05acc4, 32'h3ef90090,32'h3f099b42, 32'h3eebe596,32'h3f1028bf,// invsqrt(3.8158) = 0.5119 +32'h3f60a965,32'h3f85e743,32'h3f8b5e6b, 32'h3f81cde6,32'h3f8f77c8, 32'h3f75f1e9,32'h3f964cb9,// invsqrt(0.8776) = 1.0675 +32'h4015caf0,32'h3f23fccb,32'h3f2aae4b, 32'h3f1ef7aa,32'h3f2fb36c, 32'h3f1699ca,32'h3f38114c,// invsqrt(2.3405) = 0.6536 +32'h4149bdfc,32'h3e8d4e19,32'h3e931297, 32'h3e88faba,32'h3e9765f6, 32'h3e81c51c,32'h3e9e9b94,// invsqrt(12.6089) = 0.2816 +32'h402f41ec,32'h3f179b41,32'h3f1dcb63, 32'h3f12f728,32'h3f226f7c, 32'h3f0b3afd,32'h3f2a2ba7,// invsqrt(2.7384) = 0.6043 +32'h40ce3ff7,32'h3ec5a3c4,32'h3ecdb4e6, 32'h3ebf96ea,32'h3ed3c1c0, 32'h3eb58180,32'h3eddd72a,// invsqrt(6.4453) = 0.3939 +32'h410464a4,32'h3eae6e4c,32'h3eb58cec, 32'h3ea91754,32'h3ebae3e4, 32'h3ea0310c,32'h3ec3ca2c,// invsqrt(8.2746) = 0.3476 +32'h40044b8a,32'h3f2e7ed8,32'h3f359e24, 32'h3f29275e,32'h3f3af59e, 32'h3f20403e,32'h3f43dcbe,// invsqrt(2.0671) = 0.6955 +32'h3f8a7d90,32'h3f7130e4,32'h3f7b0918, 32'h3f69cebe,32'h3f81359f, 32'h3f5d807e,32'h3f875cbf,// invsqrt(1.0820) = 0.9614 +32'h405a138f,32'h3f07e8fc,32'h3f0d751b, 32'h3f03bfe4,32'h3f119e32, 32'h3ef9a17a,32'h3f188d59,// invsqrt(3.4074) = 0.5417 +32'h40a3a101,32'h3edde444,32'h3ee6f2cf, 32'h3ed7195d,32'h3eedbdb7, 32'h3ecbc72f,32'h3ef90fe5,// invsqrt(5.1134) = 0.4422 +32'h3f5cf66d,32'h3f870501,32'h3f8c87d2, 32'h3f82e2e4,32'h3f90a9ee, 32'h3f77febd,32'h3f978d74,// invsqrt(0.8631) = 1.0764 +32'h3f3f4f1d,32'h3f911b63,32'h3f97079b, 32'h3f8caa39,32'h3f9b78c5, 32'h3f8542f2,32'h3fa2e00c,// invsqrt(0.7473) = 1.1568 +32'h3f745f3d,32'h3f8063c5,32'h3f85a150, 32'h3f78eb3b,32'h3f898f78, 32'h3f6bd161,32'h3f901c66,// invsqrt(0.9546) = 1.0235 +32'h3fdadea7,32'h3f3fdb73,32'h3f47b02a, 32'h3f39fbeb,32'h3f4d8fb3, 32'h3f303207,32'h3f575997,// invsqrt(1.7099) = 0.7647 +32'h3efd8cc6,32'h3fb24107,32'h3fb98799, 32'h3faccc19,32'h3fbefc87, 32'h3fa3b3e2,32'h3fc814be,// invsqrt(0.4952) = 1.4210 +32'h3de1895f,32'h403d0014,32'h4044b6f0, 32'h403736ef,32'h404a8015, 32'h402d925b,32'h405424a9,// invsqrt(0.1101) = 3.0134 +32'h3edbc023,32'h3fbf78ec,32'h3fc7499c, 32'h3fb99c67,32'h3fcd2621, 32'h3fafd78a,32'h3fd6eafe,// invsqrt(0.4292) = 1.5264 +32'h3fa7cc4e,32'h3f5b1e25,32'h3f640fb3, 32'h3f5468fa,32'h3f6ac4de, 32'h3f493b08,32'h3f75f2d0,// invsqrt(1.3109) = 0.8734 +32'h3e11a20c,32'h4026501f,32'h402d19ed, 32'h402138c6,32'h40323146, 32'h4018bc85,32'h403aad87,// invsqrt(0.1422) = 2.6517 +32'h4166ed61,32'h3e841307,32'h3e897712, 32'h3e800800,32'h3e8d821a, 32'h3e7295e4,32'h3e943f28,// invsqrt(14.4330) = 0.2632 +32'h3f446630,32'h3f8f36d8,32'h3f950f4a, 32'h3f8ad483,32'h3f99719f, 32'h3f8385f6,32'h3fa0c02c,// invsqrt(0.7672) = 1.1417 +32'h400bf96c,32'h3f29a433,32'h3f3090c8, 32'h3f2472c4,32'h3f35c238, 32'h3f1bcb0b,32'h3f3e69f1,// invsqrt(2.1871) = 0.6762 +32'h3f2231dd,32'h3f9d97df,32'h3fa4068f, 32'h3f98c4db,32'h3fa8d993, 32'h3f90ba7e,32'h3fb0e3f0,// invsqrt(0.6336) = 1.2563 +32'h3f8f7d00,32'h3f6cf40d,32'h3f769ff9, 32'h3f65b31c,32'h3f7de0ea, 32'h3f599c36,32'h3f84fbe8,// invsqrt(1.1210) = 0.9445 +32'h3ee0b799,32'h3fbd5837,32'h3fc512ac, 32'h3fb78c5f,32'h3fcade83, 32'h3fade34c,32'h3fd48796,// invsqrt(0.4389) = 1.5094 +32'h3dad75e3,32'h405782fb,32'h40604edb, 32'h4050ea12,32'h4066e7c4, 32'h4045eb3a,32'h4071e69c,// invsqrt(0.0847) = 3.4361 +32'h3f3e7154,32'h3f916fc9,32'h3f975f73, 32'h3f8cfc09,32'h3f9bd333, 32'h3f859075,32'h3fa33ec7,// invsqrt(0.7439) = 1.1594 +32'h3eac30e2,32'h3fd84dfe,32'h3fe12228, 32'h3fd1aedf,32'h3fe7c147, 32'h3fc6a5ab,32'h3ff2ca7b,// invsqrt(0.3363) = 1.7244 +32'h3fc87391,32'h3f487a56,32'h3f50a920, 32'h3f42573e,32'h3f56cc38, 32'h3f381cc2,32'h3f6106b4,// invsqrt(1.5660) = 0.7991 +32'h3e8e9f2f,32'h3fedac09,32'h3ff75f77, 32'h3fe66576,32'h3ffea60a, 32'h3fda452d,32'h4005632a,// invsqrt(0.2786) = 1.8947 +32'h3e077ec4,32'h402c6c2d,32'h403375d1, 32'h402724f2,32'h4038bd0c, 32'h401e58e6,32'h40418919,// invsqrt(0.1323) = 2.7491 +32'h404c0a7a,32'h3f0c81bf,32'h3f123de6, 32'h3f0834a1,32'h3f168b03, 32'h3f010970,32'h3f1db634,// invsqrt(3.1881) = 0.5601 +32'h3ff52597,32'h3f354889,32'h3f3caec3, 32'h3f2fbbde,32'h3f423b6e, 32'h3f267c15,32'h3f4b7b37,// invsqrt(1.9152) = 0.7226 +32'h3f7cf57b,32'h3f7c6230,32'h3f8357ac, 32'h3f74a854,32'h3f87349a, 32'h3f67c7e4,32'h3f8da4d2,// invsqrt(0.9881) = 1.0060 +32'h3f54cd45,32'h3f89958a,32'h3f8f3327, 32'h3f855f54,32'h3f93695c, 32'h3f7cb49e,32'h3f9a6e61,// invsqrt(0.8313) = 1.0968 +32'h3f3e6510,32'h3f917478,32'h3f976453, 32'h3f8d0093,32'h3f9bd837, 32'h3f8594c1,32'h3fa34409,// invsqrt(0.7437) = 1.1596 +32'h3f2557fb,32'h3f9c15dc,32'h3fa274ca, 32'h3f974ea8,32'h3fa73bfe, 32'h3f8f57fe,32'h3faf32a8,// invsqrt(0.6459) = 1.2443 +32'h3f58bba2,32'h3f8854a7,32'h3f8de52b, 32'h3f842844,32'h3f92118e, 32'h3f7a673d,32'h3f990634,// invsqrt(0.8466) = 1.0868 +32'h3f8a1641,32'h3f718b0c,32'h3f7b66ee, 32'h3f6a2624,32'h3f8165eb, 32'h3f5dd34a,32'h3f878f58,// invsqrt(1.0788) = 0.9628 +32'h3de36e45,32'h403c362d,32'h4043e4cb, 32'h40367336,32'h4049a7c2, 32'h402cd8f0,32'h40534208,// invsqrt(0.1111) = 3.0008 +32'h3fca5761,32'h3f478a18,32'h3f4faf14, 32'h3f416e5b,32'h3f55cad1, 32'h3f374021,32'h3f5ff90b,// invsqrt(1.5808) = 0.7954 +32'h3edb4810,32'h3fbfad51,32'h3fc78025, 32'h3fb9cf32,32'h3fcd5e44, 32'h3fb007a8,32'h3fd725ce,// invsqrt(0.4283) = 1.5280 +32'h3f8eb732,32'h3f6d980a,32'h3f774aa8, 32'h3f665215,32'h3f7e909d, 32'h3f5a32d0,32'h3f8557f1,// invsqrt(1.1150) = 0.9470 +32'h3f51346e,32'h3f8ac30b,32'h3f906cf7, 32'h3f86839b,32'h3f94ac67, 32'h3f7ede68,32'h3f9bc0ce,// invsqrt(0.8172) = 1.1062 +32'h3e4f422b,32'h400b6973,32'h40111a29, 32'h400724ea,32'h40155eb2, 32'h40000806,32'h401c7b96,// invsqrt(0.2024) = 2.2228 +32'h3fdb2a9a,32'h3f3fba32,32'h3f478d8d, 32'h3f39dbae,32'h3f4d6c12, 32'h3f30137d,32'h3f573443,// invsqrt(1.7122) = 0.7642 +32'h3c97566d,32'h40e6ba00,32'h40f024dc, 32'h40dfa9dc,32'h40f73500, 32'h40d3e449,32'h41017d49,// invsqrt(0.0185) = 7.3574 +32'h404407f2,32'h3f0f5941,32'h3f15331a, 32'h3f0af5df,32'h3f19967d, 32'h3f03a590,32'h3f20e6cc,// invsqrt(3.0630) = 0.5714 +32'h3f038d05,32'h3faefd06,32'h3fb62179, 32'h3fa9a1af,32'h3fbb7ccf, 32'h3fa0b41f,32'h3fc46a5f,// invsqrt(0.5139) = 1.3950 +32'h408db0c8,32'h3eee73a8,32'h3ef82f3c, 32'h3ee726f9,32'h3eff7beb, 32'h3edafc80,32'h3f05d332,// invsqrt(4.4278) = 0.4752 +32'h3f83b4c2,32'h3f775336,32'h3f80b5c1, 32'h3f6fc0fe,32'h3f847edd, 32'h3f6322a0,32'h3f8ace0c,// invsqrt(1.0290) = 0.9858 +32'h400f27d8,32'h3f27bef0,32'h3f2e97b6, 32'h3f229c5c,32'h3f33ba4a, 32'h3f1a0d64,32'h3f3c4942,// invsqrt(2.2368) = 0.6686 +32'h3fdfd351,32'h3f3db8ad,32'h3f457712, 32'h3f37e9e1,32'h3f4b45dd, 32'h3f2e3be2,32'h3f54f3dc,// invsqrt(1.7486) = 0.7562 +32'h3f47a622,32'h3f8e0b3b,32'h3f93d772, 32'h3f89b213,32'h3f98309b, 32'h3f8272ce,32'h3f9f6fe0,// invsqrt(0.7799) = 1.1324 +32'h3eb67e6d,32'h3fd21c2f,32'h3fdaaf9f, 32'h3fcbad9b,32'h3fe11e33, 32'h3fc0f550,32'h3febd67e,// invsqrt(0.3564) = 1.6750 +32'h3f01cc28,32'h3fb02a94,32'h3fb75b56, 32'h3faac602,32'h3fbcbfe8, 32'h3fa1c910,32'h3fc5bcda,// invsqrt(0.5070) = 1.4044 +32'h3ee8c65f,32'h3fba09df,32'h3fc1a1c9, 32'h3fb457f0,32'h3fc753b8, 32'h3faada0c,32'h3fd0d19c,// invsqrt(0.4546) = 1.4831 +32'h40981e7a,32'h3ee62218,32'h3eef86c0, 32'h3edf169a,32'h3ef6923e, 32'h3ed358c8,32'h3f012808,// invsqrt(4.7537) = 0.4587 +32'h3ddf4a6a,32'h403df2cd,32'h4045b391, 32'h40382239,32'h404b8425, 32'h402e7144,32'h4055351a,// invsqrt(0.1090) = 3.0285 +32'h3f8490ea,32'h3f768582,32'h3f804ab4, 32'h3f6ef996,32'h3f8410aa, 32'h3f6265b6,32'h3f8a5a9a,// invsqrt(1.0357) = 0.9826 +32'h3f9ca7f7,32'h3f62c6b1,32'h3f6c0845, 32'h3f5bd582,32'h3f72f974, 32'h3f504388,32'h3f7e8b6e,// invsqrt(1.2239) = 0.9039 +32'h3e9e365f,32'h3fe1a874,32'h3feade5a, 32'h3fdac008,32'h3ff1c6c6, 32'h3fcf3caa,32'h3ffd4a25,// invsqrt(0.3090) = 1.7989 +32'h40757798,32'h3f001a5f,32'h3f0554eb, 32'h3ef85ced,32'h3f0940d4, 32'h3eeb4a8f,32'h3f0fca02,// invsqrt(3.8354) = 0.5106 +32'h3fd2326a,32'h3f43c678,32'h3f4bc41f, 32'h3f3dc83b,32'h3f51c25d, 32'h3f33cb2b,32'h3f5bbf6d,// invsqrt(1.6422) = 0.7804 +32'h41c84fb0,32'h3e488c49,32'h3e50bbcf, 32'h3e4268a5,32'h3e56df73, 32'h3e382d3e,32'h3e611ada,// invsqrt(25.0389) = 0.1998 +32'h4085ecff,32'h3ef54454,32'h3eff471e, 32'h3eedc23d,32'h3f03649b, 32'h3ee13ec0,32'h3f09a659,// invsqrt(4.1852) = 0.4888 +32'h402356f5,32'h3f1d0a3b,32'h3f237323, 32'h3f183b8d,32'h3f2841d1, 32'h3f10386a,32'h3f3044f4,// invsqrt(2.5522) = 0.6260 +32'h3f81e91e,32'h3f79073f,32'h3f8198ab, 32'h3f7167ae,32'h3f856874, 32'h3f64b310,32'h3f8bc2c3,// invsqrt(1.0149) = 0.9926 +32'h4047f74d,32'h3f0dee64,32'h3f13b96e, 32'h3f09961d,32'h3f1811b5, 32'h3f025852,32'h3f1f4f80,// invsqrt(3.1245) = 0.5657 +32'h3f87bd66,32'h3f739f5b,32'h3f7d90f7, 32'h3f6c2a27,32'h3f828316, 32'h3f5fbc25,32'h3f88ba17,// invsqrt(1.0605) = 0.9711 +32'h3fb75d7b,32'h3f519c3d,32'h3f5a2a73, 32'h3f4b3194,32'h3f60951c, 32'h3f407fcf,32'h3f6b46e1,// invsqrt(1.4325) = 0.8355 +32'h4003b686,32'h3f2ee172,32'h3f3604c4, 32'h3f2986f3,32'h3f3b5f43, 32'h3f209acc,32'h3f444b6b,// invsqrt(2.0580) = 0.6971 +32'h402f9cd6,32'h3f1773fe,32'h3f1da286, 32'h3f12d118,32'h3f22456c, 32'h3f0b16ef,32'h3f29ff95,// invsqrt(2.7439) = 0.6037 +32'h4056fc73,32'h3f08e227,32'h3f0e7871, 32'h3f04b16f,32'h3f12a929, 32'h3efb6b22,32'h3f19a507,// invsqrt(3.3592) = 0.5456 +32'h3ece9e8a,32'h3fc57683,32'h3fcd85cd, 32'h3fbf6b0c,32'h3fd39144, 32'h3fb557f1,32'h3fdda45f,// invsqrt(0.4036) = 1.5742 +32'h3eff5968,32'h3fb19ff7,32'h3fb8dff6, 32'h3fac2ff6,32'h3fbe4ff6, 32'h3fa31ff7,32'h3fc75ff5,// invsqrt(0.4987) = 1.4160 +32'h3f021a47,32'h3faff5a8,32'h3fb72442, 32'h3faa92b6,32'h3fbc8734, 32'h3fa19876,32'h3fc58174,// invsqrt(0.5082) = 1.4027 +32'h418d9eb2,32'h3e6e82e2,32'h3e783f14, 32'h3e6735bb,32'h3e7f8c3b, 32'h3e5b0a7c,32'h3e85dbbd,// invsqrt(17.7025) = 0.2377 +32'h3fb7c2aa,32'h3f51627f,32'h3f59ee5b, 32'h3f4af99b,32'h3f60573f, 32'h3f404ac8,32'h3f6b0612,// invsqrt(1.4356) = 0.8346 +32'h3f135700,32'h3fa558cb,32'h3fac1880, 32'h3fa04904,32'h3fb12848, 32'h3f97d962,32'h3fb997ea,// invsqrt(0.5755) = 1.3181 +32'h3f842677,32'h3f76e8b8,32'h3f807e55, 32'h3f6f59c2,32'h3f8445d0, 32'h3f62c0d2,32'h3f8a9248,// invsqrt(1.0324) = 0.9842 +32'h3f4c3a8c,32'h3f8c7134,32'h3f922caf, 32'h3f882499,32'h3f96794b, 32'h3f80fa40,32'h3f9da3a4,// invsqrt(0.7978) = 1.1196 +32'h3f2de154,32'h3f9834aa,32'h3f9e6b10, 32'h3f938bdf,32'h3fa313db, 32'h3f8bc7e0,32'h3faad7da,// invsqrt(0.6792) = 1.2134 +32'h3ea463e7,32'h3fdd6094,32'h3fe669be, 32'h3fd699b4,32'h3fed309e, 32'h3fcb4e3e,32'h3ff87c14,// invsqrt(0.3211) = 1.7648 +32'h3fce2705,32'h3f45afb8,32'h3f4dc158, 32'h3f3fa281,32'h3f53ce8f, 32'h3f358c7a,32'h3f5de496,// invsqrt(1.6106) = 0.7880 +32'h3efb853d,32'h3fb2f8c1,32'h3fba46d4, 32'h3fad7e34,32'h3fbfc162, 32'h3fa45c9d,32'h3fc8e2f9,// invsqrt(0.4913) = 1.4268 +32'h3e4726c5,32'h400e38a0,32'h401406b0, 32'h4009de13,32'h4018613d, 32'h40029c7e,32'h401fa2d2,// invsqrt(0.1945) = 2.2676 +32'h3f7f21d4,32'h3f7b4e6c,32'h3f82c828, 32'h3f739d00,32'h3f86a0de, 32'h3f66caa1,32'h3f8d0a0d,// invsqrt(0.9966) = 1.0017 +32'h3fdec7b1,32'h3f3e2a7f,32'h3f45ed8a, 32'h3f385838,32'h3f4bbfd2, 32'h3f2ea46b,32'h3f55739f,// invsqrt(1.7405) = 0.7580 +32'h3f2f462d,32'h3f97996a,32'h3f9dc978, 32'h3f92f55f,32'h3fa26d83, 32'h3f8b394c,32'h3faa2996,// invsqrt(0.6847) = 1.2085 +32'h3ff9e683,32'h3f338d06,32'h3f3ae126, 32'h3f2e0dee,32'h3f40603e, 32'h3f24e4c7,32'h3f498965,// invsqrt(1.9523) = 0.7157 +32'h4021fa70,32'h3f1db2d3,32'h3f24229d, 32'h3f18defc,32'h3f28f674, 32'h3f10d33f,32'h3f310231,// invsqrt(2.5309) = 0.6286 +32'h425c25b1,32'h3e0744f4,32'h3e0cca62, 32'h3e0320e2,32'h3e10ee74, 32'h3df87434,32'h3e17d53c,// invsqrt(55.0368) = 0.1348 +32'h3fe62ff7,32'h3f3b14cd,32'h3f42b79c, 32'h3f355ab2,32'h3f4871b8, 32'h3f2bcf30,32'h3f51fd3a,// invsqrt(1.7983) = 0.7457 +32'h3f294f6c,32'h3f9a3f06,32'h3fa08abd, 32'h3f95863c,32'h3fa54386, 32'h3f8da797,32'h3fad222b,// invsqrt(0.6614) = 1.2296 +32'h3eeacf4b,32'h3fb93b0f,32'h3fc0ca88, 32'h3fb38f75,32'h3fc67623, 32'h3faa1c1e,32'h3fcfe97a,// invsqrt(0.4586) = 1.4766 +32'h3fa47b71,32'h3f5d50bc,32'h3f665942, 32'h3f568a59,32'h3f6d1fa5, 32'h3f4b3fb2,32'h3f786a4c,// invsqrt(1.2850) = 0.8822 +32'h3eb8006f,32'h3fd13f57,32'h3fd9c9c3, 32'h3fcad786,32'h3fe03194, 32'h3fc02a7f,32'h3feade9b,// invsqrt(0.3594) = 1.6681 +32'h3fabbaf1,32'h3f589838,32'h3f616f6a, 32'h3f51f6d3,32'h3f6810cf, 32'h3f46e9d6,32'h3f731dcc,// invsqrt(1.3416) = 0.8633 +32'h417e3b81,32'h3e7bc028,32'h3e830359, 32'h3e740b42,32'h3e86ddcd, 32'h3e673316,32'h3e8d49e3,// invsqrt(15.8895) = 0.2509 +32'h3e77a6da,32'h3fff12d0,32'h4004be08, 32'h3ff743de,32'h4008a581, 32'h3fea404b,32'h400f274a,// invsqrt(0.2418) = 2.0334 +32'h3e782c1a,32'h3ffece4c,32'h40049a62, 32'h3ff70174,32'h400880ce, 32'h3fea0161,32'h400f00d8,// invsqrt(0.2424) = 2.0313 +32'h4027d5b2,32'h3f1aec37,32'h3f213eff, 32'h3f162e20,32'h3f25fd16, 32'h3f0e46a5,32'h3f2de491,// invsqrt(2.6224) = 0.6175 +32'h3f592c4f,32'h3f883144,32'h3f8dc057, 32'h3f8405f7,32'h3f91eba5, 32'h3f7a2640,32'h3f98de7c,// invsqrt(0.8483) = 1.0857 +32'h3f378cd8,32'h3f942464,32'h3f9a3053, 32'h3f8f9b70,32'h3f9eb946, 32'h3f880c85,32'h3fa64831,// invsqrt(0.7170) = 1.1810 +32'h3f8cb6dc,32'h3f6f470c,32'h3f790b41, 32'h3f67f3e5,32'h3f802f34, 32'h3f5bbea3,32'h3f8649d5,// invsqrt(1.0993) = 0.9538 +32'h3e97594a,32'h3fe6b7d2,32'h3ff02296, 32'h3fdfa7be,32'h3ff732aa, 32'h3fd3e248,32'h40017c10,// invsqrt(0.2956) = 1.8393 +32'h3f35e27d,32'h3f94d1a0,32'h3f9ae4a1, 32'h3f90435e,32'h3f9f72e2, 32'h3f88ab9d,32'h3fa70aa3,// invsqrt(0.7105) = 1.1864 +32'h3f28aed1,32'h3f9a8862,32'h3fa0d718, 32'h3f95cd5a,32'h3fa59220, 32'h3f8deaf7,32'h3fad7483,// invsqrt(0.6589) = 1.2319 +32'h3f1fd071,32'h3f9ec33c,32'h3fa53e25, 32'h3f99e70f,32'h3faa1a53, 32'h3f91cd6c,32'h3fb233f6,// invsqrt(0.6243) = 1.2656 +32'h402d5e47,32'h3f186e26,32'h3f1ea6e4, 32'h3f13c398,32'h3f235172, 32'h3f0bfcab,32'h3f2b185f,// invsqrt(2.7089) = 0.6076 +32'h413f5d17,32'h3e911616,32'h3e970216, 32'h3e8ca515,32'h3e9b7317, 32'h3e853e14,32'h3ea2da18,// invsqrt(11.9602) = 0.2892 +32'h401732f0,32'h3f23391d,32'h3f29e2a1, 32'h3f1e39fa,32'h3f2ee1c4, 32'h3f15e616,32'h3f3735a9,// invsqrt(2.3625) = 0.6506 +32'h3f1641f8,32'h3fa3bbca,32'h3faa6aa3, 32'h3f9eb8a6,32'h3faf6dc6, 32'h3f965e17,32'h3fb7c855,// invsqrt(0.5869) = 1.3053 +32'h3f78777a,32'h3f7ea7a3,32'h3f848643, 32'h3f76dbf9,32'h3f886c17, 32'h3f69dddf,32'h3f8eeb25,// invsqrt(0.9706) = 1.0150 +32'h3f0093b0,32'h3fb10022,32'h3fb8399c, 32'h3fab9507,32'h3fbda4b7, 32'h3fa28d2f,32'h3fc6ac8f,// invsqrt(0.5023) = 1.4110 +32'h3fb9dff3,32'h3f5030c0,32'h3f58b020, 32'h3f49d137,32'h3f5f0fa9, 32'h3f3f31fe,32'h3f69aee2,// invsqrt(1.4521) = 0.8298 +32'h3f8a8de5,32'h3f7122ad,32'h3f7afa4b, 32'h3f69c0f6,32'h3f812e01, 32'h3f5d7370,32'h3f8754c4,// invsqrt(1.0825) = 0.9612 +32'h3ebfc502,32'h3fccf738,32'h3fd554e6, 32'h3fc6b0f5,32'h3fdb9b29, 32'h3fbc3bda,32'h3fe61044,// invsqrt(0.3745) = 1.6340 +32'h3d83c15d,32'h40774761,32'h4080af98, 32'h406fb585,32'h40847886, 32'h406317c2,32'h408ac768,// invsqrt(0.0643) = 3.9426 +32'h404deca5,32'h3f0bdcdf,32'h3f11924b, 32'h3f0794ce,32'h3f15da5c, 32'h3f007206,32'h3f1cfd24,// invsqrt(3.2176) = 0.5575 +32'h3f2db211,32'h3f98495e,32'h3f9e809b, 32'h3f939fef,32'h3fa32a09, 32'h3f8bdae3,32'h3faaef15,// invsqrt(0.6785) = 1.2140 +32'h3f840b25,32'h3f770242,32'h3f808ba0, 32'h3f6f7284,32'h3f84537f, 32'h3f62d847,32'h3f8aa09d,// invsqrt(1.0316) = 0.9846 +32'h40b1e02c,32'h3ed4d1f6,32'h3edd81b6, 32'h3ece4e25,32'h3ee40587, 32'h3ec37274,32'h3eeee138,// invsqrt(5.5586) = 0.4241 +32'h3f859b46,32'h3f758f4b,32'h3f7f9525, 32'h3f6e0ae8,32'h3f838cc4, 32'h3f618399,32'h3f89d06c,// invsqrt(1.0438) = 0.9788 +32'h40040a1c,32'h3f2eaa0f,32'h3f35cb1f, 32'h3f295143,32'h3f3b23eb, 32'h3f2067ee,32'h3f440d40,// invsqrt(2.0631) = 0.6962 +32'h3f8b3549,32'h3f709187,32'h3f7a6339, 32'h3f693442,32'h3f80e03f, 32'h3f5cee23,32'h3f87034e,// invsqrt(1.0876) = 0.9589 +32'h4125cb1b,32'h3e9bdfa1,32'h3ea23c59, 32'h3e971a17,32'h3ea701e3, 32'h3e8f2630,32'h3eaef5ca,// invsqrt(10.3621) = 0.3107 +32'h3f6133ec,32'h3f85be0e,32'h3f8b3386, 32'h3f81a5f3,32'h3f8f4ba1, 32'h3f75a638,32'h3f961e78,// invsqrt(0.8797) = 1.0662 +32'h40448912,32'h3f0f2a22,32'h3f15020f, 32'h3f0ac831,32'h3f196401, 32'h3f037a4a,32'h3f20b1e8,// invsqrt(3.0709) = 0.5706 +32'h3f3cf2a1,32'h3f9202c7,32'h3f97f871, 32'h3f8d8a87,32'h3f9c70b1, 32'h3f861773,32'h3fa3e3c5,// invsqrt(0.7381) = 1.1640 +32'h402a4cbe,32'h3f19cc22,32'h3f201329, 32'h3f1516de,32'h3f24c86e, 32'h3f0d3e15,32'h3f2ca137,// invsqrt(2.6609) = 0.6130 +32'h401b2650,32'h3f2121ae,32'h3f27b558, 32'h3f1c32f0,32'h3f2ca416, 32'h3f13fa5c,32'h3f34dcaa,// invsqrt(2.4242) = 0.6423 +32'h4053e135,32'h3f09e219,32'h3f0f82d7, 32'h3f05a98c,32'h3f13bb64, 32'h3efd413e,32'h3f1ac451,// invsqrt(3.3106) = 0.5496 +32'h3e1827b1,32'h4022b5a0,32'h402959c6, 32'h401dba84,32'h402e54e2, 32'h40156d54,32'h4036a212,// invsqrt(0.1486) = 2.5942 +32'h3fb50bc2,32'h3f52f2d7,32'h3f5b8f0a, 32'h3f4c7db2,32'h3f620430, 32'h3f41ba72,32'h3f6cc770,// invsqrt(1.4144) = 0.8408 +32'h3eeeb9ca,32'h3fb7b487,32'h3fbf340f, 32'h3fb214e1,32'h3fc4d3b5, 32'h3fa8b577,32'h3fce331f,// invsqrt(0.4663) = 1.4645 +32'h3edf2741,32'h3fbe01c3,32'h3fc5c323, 32'h3fb830ba,32'h3fcb942c, 32'h3fae7f01,32'h3fd545e5,// invsqrt(0.4358) = 1.5147 +32'h3d88172c,32'h40734ef3,32'h407d3d47, 32'h406bdc35,32'h40825802, 32'h405f724d,32'h40888cf6,// invsqrt(0.0665) = 3.8793 +32'h3f3e9583,32'h3f9161fa,32'h3f975114, 32'h3f8ceea7,32'h3f9bc467, 32'h3f8583c6,32'h3fa32f48,// invsqrt(0.7445) = 1.1590 +32'h3fd76060,32'h3f416829,32'h3f494d11, 32'h3f3b7c7c,32'h3f4f38be, 32'h3f319e5a,32'h3f5916e0,// invsqrt(1.6826) = 0.7709 +32'h40c93757,32'h3ec818b7,32'h3ed04385, 32'h3ec1f89c,32'h3ed663a0, 32'h3eb7c31b,32'h3ee09921,// invsqrt(6.2880) = 0.3988 +32'h3f48f4aa,32'h3f8d94cf,32'h3f935c31, 32'h3f893f46,32'h3f97b1ba, 32'h3f82060d,32'h3f9eeaf3,// invsqrt(0.7850) = 1.1287 +32'h3f309f9d,32'h3f9704e2,32'h3f9d2ee0, 32'h3f926563,32'h3fa1ce5f, 32'h3f8ab0e4,32'h3fa982de,// invsqrt(0.6899) = 1.2039 +32'h3f33a4ba,32'h3f95be8b,32'h3f9bdb37, 32'h3f912909,32'h3fa070b9, 32'h3f898531,32'h3fa81491,// invsqrt(0.7017) = 1.1938 +32'h3ed11751,32'h3fc44ad4,32'h3fcc4de2, 32'h3fbe488a,32'h3fd2502c, 32'h3fb444b8,32'h3fdc53fe,// invsqrt(0.4084) = 1.5648 +32'h40172837,32'h3f233ee7,32'h3f29e8a7, 32'h3f1e3f97,32'h3f2ee7f7, 32'h3f15eb66,32'h3f373c28,// invsqrt(2.3618) = 0.6507 +32'h3f297e2f,32'h3f9a29bd,32'h3fa07495, 32'h3f95719a,32'h3fa52cb8, 32'h3f8d940b,32'h3fad0a47,// invsqrt(0.6621) = 1.2290 +32'h3f849095,32'h3f7685d1,32'h3f804add, 32'h3f6ef9e2,32'h3f8410d5, 32'h3f6265ff,32'h3f8a5ac6,// invsqrt(1.0357) = 0.9826 +32'h3ef09a9b,32'h3fb6fc9c,32'h3fbe74a3, 32'h3fb16298,32'h3fc40ea8, 32'h3fa80c90,32'h3fcd64b0,// invsqrt(0.4699) = 1.4588 +32'h41030701,32'h3eaf566c,32'h3eb67e86, 32'h3ea9f859,32'h3ebbdc99, 32'h3ea1063a,32'h3ec4ceb8,// invsqrt(8.1892) = 0.3494 +32'h3f543865,32'h3f89c5c3,32'h3f8f6559, 32'h3f858e14,32'h3f939d08, 32'h3f7d0d33,32'h3f9aa483,// invsqrt(0.8290) = 1.0983 +32'h3fa00b67,32'h3f605cd8,32'h3f698534, 32'h3f597e92,32'h3f70637a, 32'h3f4e0c1f,32'h3f7bd5ed,// invsqrt(1.2503) = 0.8943 +32'h3fad3d91,32'h3f57a600,32'h3f60734e, 32'h3f510c05,32'h3f670d49, 32'h3f460b63,32'h3f720deb,// invsqrt(1.3534) = 0.8596 +32'h3ed03e5f,32'h3fc4b0f9,32'h3fccb833, 32'h3fbeab8e,32'h3fd2bd9e, 32'h3fb4a287,32'h3fdcc6a5,// invsqrt(0.4067) = 1.5680 +32'h3fe232ec,32'h3f3cb931,32'h3f446d29, 32'h3f36f238,32'h3f4a3422, 32'h3f2d5142,32'h3f53d518,// invsqrt(1.7672) = 0.7522 +32'h3ea68029,32'h3fdbf846,32'h3fe4f2bc, 32'h3fd53c6e,32'h3febae94, 32'h3fca035a,32'h3ff6e7a8,// invsqrt(0.3252) = 1.7536 +32'h3ece98f9,32'h3fc5792c,32'h3fcd8891, 32'h3fbf6da0,32'h3fd3941c, 32'h3fb55a61,32'h3fdda75b,// invsqrt(0.4035) = 1.5742 +32'h3d70e140,32'h4081512a,32'h40869865, 32'h407ab77a,32'h408a8dd1, 32'h406d8566,32'h409126db,// invsqrt(0.0588) = 4.1236 +32'h3fbee66b,32'h3f4d6e94,32'h3f55d122, 32'h3f4724aa,32'h3f5c1b0c, 32'h3f3ca978,32'h3f66963e,// invsqrt(1.4914) = 0.8188 +32'h4000bfe6,32'h3f30e1bc,32'h3f3819f8, 32'h3f2b778f,32'h3f3d8425, 32'h3f227144,32'h3f468a70,// invsqrt(2.0117) = 0.7050 +32'h3f56b6f2,32'h3f88f84d,32'h3f8e8f7f, 32'h3f84c6e7,32'h3f92c0e5, 32'h3f7b93d1,32'h3f99bde3,// invsqrt(0.8387) = 1.0919 +32'h40813d56,32'h3ef9ac88,32'h3f01eeaf, 32'h3ef207e7,32'h3f05c100, 32'h3ee54adb,32'h3f0c1f86,// invsqrt(4.0387) = 0.4976 +32'h3e50e33a,32'h400ade01,32'h40108907, 32'h40069dbd,32'h4014c94b, 32'h3fff0fee,32'h401bdf11,// invsqrt(0.2040) = 2.2141 +32'h3f659f56,32'h3f8472f6,32'h3f89daeb, 32'h3f8064fe,32'h3f8de8e2, 32'h3f734616,32'h3f94aad5,// invsqrt(0.8970) = 1.0559 +32'h3ea8d81f,32'h3fda7018,32'h3fe35a8c, 32'h3fd3c041,32'h3fea0a63, 32'h3fc89b30,32'h3ff52f74,// invsqrt(0.3298) = 1.7414 +32'h3f98053b,32'h3f663533,32'h3f6f9aa3, 32'h3f5f291f,32'h3f76a6b7, 32'h3f536a54,32'h3f8132c1,// invsqrt(1.1877) = 0.9176 +32'h3d8a3c1c,32'h407169f7,32'h407b447f, 32'h406a0612,32'h40815432, 32'h405db4e8,32'h40877cc7,// invsqrt(0.0675) = 3.8491 +32'h3f9b2187,32'h3f63e35f,32'h3f6d3091, 32'h3f5ce978,32'h3f742a78, 32'h3f5148f9,32'h3f7fcaf7,// invsqrt(1.2120) = 0.9084 +32'h3f4bbfbe,32'h3f8c9b81,32'h3f9258b5, 32'h3f884d9a,32'h3f96a69c, 32'h3f812118,32'h3f9dd31e,// invsqrt(0.7959) = 1.1209 +32'h3f302e78,32'h3f973558,32'h3f9d6152, 32'h3f92945e,32'h3fa2024c, 32'h3f8add66,32'h3fa9b944,// invsqrt(0.6882) = 1.2054 +32'h3f90eec6,32'h3f6bc505,32'h3f756493, 32'h3f648d5b,32'h3f7c9c3d, 32'h3f5885eb,32'h3f8451d7,// invsqrt(1.1323) = 0.9398 +32'h3fcaad45,32'h3f475fcc,32'h3f4f830e, 32'h3f41455b,32'h3f559d7f, 32'h3f371948,32'h3f5fc992,// invsqrt(1.5834) = 0.7947 +32'h418d6efa,32'h3e6eab1b,32'h3e7868f2, 32'h3e675cb9,32'h3e7fb753, 32'h3e5b2f6c,32'h3e85f250,// invsqrt(17.6792) = 0.2378 +32'h3f92f16d,32'h3f6a26b8,32'h3f73b55c, 32'h3f62fbbd,32'h3f7ae057, 32'h3f57096f,32'h3f836952,// invsqrt(1.1480) = 0.9333 +32'h3fdd9420,32'h3f3eae4d,32'h3f4676b9, 32'h3f38d7fc,32'h3f4c4d0a, 32'h3f2f1d76,32'h3f560790,// invsqrt(1.7311) = 0.7600 +32'h4052e232,32'h3f0a355e,32'h3f0fd982, 32'h3f05fa44,32'h3f14149c, 32'h3efdda30,32'h3f1b21c8,// invsqrt(3.2951) = 0.5509 +32'h3f48c0d2,32'h3f8da716,32'h3f936f36, 32'h3f8950fe,32'h3f97c54e, 32'h3f8216d5,32'h3f9eff77,// invsqrt(0.7842) = 1.1292 +32'h402c2d59,32'h3f18f4e5,32'h3f1f3323, 32'h3f144637,32'h3f23e1d1, 32'h3f0c786a,32'h3f2baf9e,// invsqrt(2.6903) = 0.6097 +32'h3f941138,32'h3f6942bb,32'h3f72c811, 32'h3f621eba,32'h3f79ec12, 32'h3f56380f,32'h3f82e95e,// invsqrt(1.1568) = 0.9298 +32'h3fa3801f,32'h3f5dfa93,32'h3f670a07, 32'h3f572efc,32'h3f6dd59e, 32'h3f4bdbac,32'h3f7928ee,// invsqrt(1.2773) = 0.8848 +32'h3f0df460,32'h3fa87439,32'h3faf5465, 32'h3fa34c18,32'h3fb47c86, 32'h3f9ab3e1,32'h3fbd14bd,// invsqrt(0.5545) = 1.3429 +32'h3f7619c9,32'h3f7fe043,32'h3f8528f3, 32'h3f780b06,32'h3f891391, 32'h3f6afcf8,32'h3f8f9a98,// invsqrt(0.9613) = 1.0199 +32'h3eb7c04c,32'h3fd163d8,32'h3fd9efc2, 32'h3fcafae9,32'h3fe058b1, 32'h3fc04c05,32'h3feb0795,// invsqrt(0.3589) = 1.6692 +32'h3ea4c759,32'h3fdd1dbd,32'h3fe6242d, 32'h3fd658e9,32'h3fece901, 32'h3fcb10dc,32'h3ff8310e,// invsqrt(0.3218) = 1.7627 +32'h415b3f53,32'h3e878bf2,32'h3e8d1446, 32'h3e8365b4,32'h3e913a84, 32'h3e78f699,32'h3e9824ec,// invsqrt(13.7030) = 0.2701 +32'h40895257,32'h3ef2371d,32'h3efc1a04, 32'h3eeaccef,32'h3f01c218, 32'h3ede714e,32'h3f07efe9,// invsqrt(4.2913) = 0.4827 +32'h4146cbf2,32'h3e8e5919,32'h3e94287d, 32'h3e89fd8e,32'h3e988408, 32'h3e82ba50,32'h3e9fc746,// invsqrt(12.4248) = 0.2837 +32'h3f6b5386,32'h3f82d585,32'h3f882c9b, 32'h3f7da86b,32'h3f8c2dea, 32'h3f704eb6,32'h3f92dac5,// invsqrt(0.9192) = 1.0430 +32'h3f8d0bc3,32'h3f6efefd,32'h3f78c041, 32'h3f67ae0a,32'h3f80089a, 32'h3f5b7c76,32'h3f862164,// invsqrt(1.1019) = 0.9526 +32'h3e18fb78,32'h402244da,32'h4028e466, 32'h401d4d32,32'h402ddc0e, 32'h401505c3,32'h4036237d,// invsqrt(0.1494) = 2.5872 +32'h3d4232a4,32'h4090060f,32'h4095e6f5, 32'h408b9d62,32'h409a4fa2, 32'h40844442,32'h40a1a8c2,// invsqrt(0.0474) = 4.5926 +32'h3f541c92,32'h3f89cecc,32'h3f8f6ec0, 32'h3f8596d6,32'h3f93a6b6, 32'h3f7d1dcb,32'h3f9aaea7,// invsqrt(0.8286) = 1.0986 +32'h3f0052d0,32'h3fb12cda,32'h3fb86827, 32'h3fabc061,32'h3fbdd4a1, 32'h3fa2b641,32'h3fc6dec1,// invsqrt(0.5013) = 1.4124 +32'h3f12d660,32'h3fa5a127,32'h3fac63cf, 32'h3fa08f28,32'h3fb175ce, 32'h3f981bd5,32'h3fb9e921,// invsqrt(0.5736) = 1.3204 +32'h3febdeb1,32'h3f38d060,32'h3f405b7e, 32'h3f332809,32'h3f4603d5, 32'h3f29ba24,32'h3f4f71ba,// invsqrt(1.8427) = 0.7367 +32'h3e716673,32'h40012d77,32'h4006733d, 32'h3ffa7245,32'h400a6792, 32'h3fed43d5,32'h4010fec9,// invsqrt(0.2357) = 2.0596 +32'h3fa44e76,32'h3f5d6f05,32'h3f6678c7, 32'h3f56a7b4,32'h3f6d4018, 32'h3f4b5b82,32'h3f788c4a,// invsqrt(1.2836) = 0.8826 +32'h3eb00302,32'h3fd5f1ad,32'h3fdead2c, 32'h3fcf650d,32'h3fe539cb, 32'h3fc47aae,32'h3ff0242a,// invsqrt(0.3438) = 1.7055 +32'h3fe998cb,32'h3f39b602,32'h3f414a7f, 32'h3f3406a3,32'h3f46f9dd, 32'h3f2a8d06,32'h3f50737a,// invsqrt(1.8250) = 0.7402 +32'h3fae45b1,32'h3f570257,32'h3f5fc8f7, 32'h3f506d5f,32'h3f665def, 32'h3f457516,32'h3f715638,// invsqrt(1.3615) = 0.8570 +32'h3f40dbf7,32'h3f9085ca,32'h3f966be8, 32'h3f8c1935,32'h3f9ad87d, 32'h3f84b990,32'h3fa23822,// invsqrt(0.7534) = 1.1521 +32'h3f385df2,32'h3f93d04a,32'h3f99d8ca, 32'h3f8f49ea,32'h3f9e5f2a, 32'h3f87bf49,32'h3fa5e9cb,// invsqrt(0.7202) = 1.1784 +32'h415127bd,32'h3e8ac741,32'h3e907159, 32'h3e8687b0,32'h3e94b0ea, 32'h3e7ee624,32'h3e9bc588,// invsqrt(13.0722) = 0.2766 +32'h3ff164b5,32'h3f36aff2,32'h3f3e24d8, 32'h3f311846,32'h3f43bc84, 32'h3f27c628,32'h3f4d0ea2,// invsqrt(1.8859) = 0.7282 +32'h3f045f28,32'h3fae71e9,32'h3fb590af, 32'h3fa91ad5,32'h3fbae7c3, 32'h3fa0345e,32'h3fc3ce3a,// invsqrt(0.5171) = 1.3907 +32'h3f20b879,32'h3f9e5079,32'h3fa4c6b2, 32'h3f9977ce,32'h3fa99f5c, 32'h3f916406,32'h3fb1b324,// invsqrt(0.6278) = 1.2621 +32'h3fae7bc7,32'h3f56e102,32'h3f5fa646, 32'h3f504d0f,32'h3f663a39, 32'h3f45567a,32'h3f7130ce,// invsqrt(1.3632) = 0.8565 +32'h3fc118a7,32'h3f4c42a6,32'h3f5498f6, 32'h3f4601ea,32'h3f5ad9b2, 32'h3f3b9606,32'h3f654596,// invsqrt(1.5086) = 0.8142 +32'h3e40b72a,32'h40109396,32'h40167a43, 32'h400c2693,32'h401ae745, 32'h4004c63b,32'h4022479d,// invsqrt(0.1882) = 2.3051 +32'h40ddee52,32'h3ebe878a,32'h3ec64e60, 32'h3eb8b269,32'h3ecc2381, 32'h3eaef9dc,32'h3ed5dc0e,// invsqrt(6.9353) = 0.3797 +32'h3e77a419,32'h3fff143b,32'h4004bec6, 32'h3ff7453f,32'h4008a644, 32'h3fea419a,32'h400f2817,// invsqrt(0.2418) = 2.0335 +32'h3e312f91,32'h4016c77d,32'h401ceefa, 32'h401229de,32'h40218c98, 32'h400a7882,32'h40293df4,// invsqrt(0.1730) = 2.4040 +32'h3eda686e,32'h3fc00f59,32'h3fc7e62e, 32'h3fba2e3a,32'h3fcdc74e, 32'h3fb061b0,32'h3fd793d8,// invsqrt(0.4266) = 1.5311 +32'h3f8b79aa,32'h3f705687,32'h3f7a25d1, 32'h3f68fb10,32'h3f80c0a4, 32'h3f5cb7f4,32'h3f86e232,// invsqrt(1.0897) = 0.9580 +32'h3f8bf283,32'h3f6feeac,32'h3f79b9b8, 32'h3f689663,32'h3f808901, 32'h3f5c5893,32'h3f86a7e8,// invsqrt(1.0933) = 0.9564 +32'h3fe5c482,32'h3f3b4088,32'h3f42e51f, 32'h3f358515,32'h3f48a091, 32'h3f2bf758,32'h3f522e4f,// invsqrt(1.7951) = 0.7464 +32'h3f3274ca,32'h3f963dd9,32'h3f9c5fb9, 32'h3f91a472,32'h3fa0f920, 32'h3f89fa1b,32'h3fa8a377,// invsqrt(0.6971) = 1.1977 +32'h40672b49,32'h3f040157,32'h3f0964a9, 32'h3effedb3,32'h3f0d6f26, 32'h3ef27566,32'h3f142b4d,// invsqrt(3.6120) = 0.5262 +32'h3f596c62,32'h3f881d32,32'h3f8dab72, 32'h3f83f281,32'h3f91d623, 32'h3f7a0160,32'h3f98c7f4,// invsqrt(0.8493) = 1.0851 +32'h400c7771,32'h3f295809,32'h3f304182, 32'h3f2428ef,32'h3f35709d, 32'h3f1b8519,32'h3f3e1473,// invsqrt(2.1948) = 0.6750 +32'h3fa2fde4,32'h3f5e5330,32'h3f676642, 32'h3f5784e3,32'h3f6e348f, 32'h3f4c2d0d,32'h3f798c65,// invsqrt(1.2734) = 0.8862 +32'h3fab2eaa,32'h3f58f0e5,32'h3f61cbb5, 32'h3f524cc9,32'h3f686fd1, 32'h3f473b45,32'h3f738155,// invsqrt(1.3374) = 0.8647 +32'h3f9ee0a3,32'h3f612f69,32'h3f6a605e, 32'h3f5a4ab2,32'h3f714516, 32'h3f4ecd80,32'h3f7cc248,// invsqrt(1.2412) = 0.8976 +32'h3f94c0a4,32'h3f68b908,32'h3f7238bf, 32'h3f61993e,32'h3f795888, 32'h3f55b999,32'h3f829c16,// invsqrt(1.1621) = 0.9276 +32'h40b03679,32'h3ed5d26c,32'h3ede8ca5, 32'h3ecf46c2,32'h3ee51850, 32'h3ec45dfb,32'h3ef00117,// invsqrt(5.5066) = 0.4261 +32'h3f580305,32'h3f888edc,32'h3f8e21c0, 32'h3f8460b0,32'h3f924fec, 32'h3f7ad226,32'h3f994789,// invsqrt(0.8438) = 1.0886 +32'h402e65a0,32'h3f17fae4,32'h3f1e2eee, 32'h3f1353dd,32'h3f22d5f5, 32'h3f0b92d2,32'h3f2a9700,// invsqrt(2.7250) = 0.6058 +32'h410ed5b5,32'h3ea7ef25,32'h3eaec9e2, 32'h3ea2cb16,32'h3eb3edf0, 32'h3e9a39a9,32'h3ebc7f5d,// invsqrt(8.9272) = 0.3347 +32'h3f0c7407,32'h3fa95a18,32'h3fb043a6, 32'h3fa42aed,32'h3fb572d1, 32'h3f9b86fc,32'h3fbe16c2,// invsqrt(0.5486) = 1.3501 +32'h3ff71682,32'h3f3491e3,32'h3f3bf0a8, 32'h3f2f0ace,32'h3f4177bc, 32'h3f25d458,32'h3f4aae32,// invsqrt(1.9304) = 0.7197 +32'h3f758e03,32'h3f801486,32'h3f854ed4, 32'h3f785196,32'h3f893a8f, 32'h3f6b3fd1,32'h3f8fc372,// invsqrt(0.9592) = 1.0210 +32'h40d41fc2,32'h3ec2e24a,32'h3ecad6a1, 32'h3ebceb0a,32'h3ed0cde2, 32'h3eb2f99d,32'h3edabf4f,// invsqrt(6.6289) = 0.3884 +32'h3f88c9b5,32'h3f72aff7,32'h3f7c97cd, 32'h3f6b4217,32'h3f8202d7, 32'h3f5ee04b,32'h3f8833bc,// invsqrt(1.0687) = 0.9673 +32'h3f8cfa22,32'h3f6f0dee,32'h3f78cfce, 32'h3f67bc86,32'h3f80109b, 32'h3f5b8a2e,32'h3f8629c7,// invsqrt(1.1014) = 0.9529 +32'h3f9577ff,32'h3f682a1e,32'h3f71a400, 32'h3f610eb5,32'h3f78bf69, 32'h3f55365a,32'h3f824be2,// invsqrt(1.1677) = 0.9254 +32'h3f75dae3,32'h3f80007e,32'h3f8539fb, 32'h3f782abf,32'h3f892519, 32'h3f6b1b06,32'h3f8facf5,// invsqrt(0.9604) = 1.0204 +32'h408afc3d,32'h3ef0c2e1,32'h3efa9697, 32'h3ee96419,32'h3f00fab0, 32'h3edd1b76,32'h3f071f01,// invsqrt(4.3433) = 0.4798 +32'h3ed49885,32'h3fc2aae9,32'h3fca9cfd, 32'h3fbcb55a,32'h3fd0928c, 32'h3fb2c6c1,32'h3fda8125,// invsqrt(0.4152) = 1.5519 +32'h3f8e5e57,32'h3f6de223,32'h3f7797c7, 32'h3f6699e9,32'h3f7ee001, 32'h3f5a76dc,32'h3f858187,// invsqrt(1.1123) = 0.9482 +32'h3ec367eb,32'h3fcb0cb3,32'h3fd3565d, 32'h3fc4d574,32'h3fd98d9c, 32'h3fba7961,32'h3fe3e9af,// invsqrt(0.3817) = 1.6187 +32'h3f9662ba,32'h3f6774a7,32'h3f70e721, 32'h3f605ecc,32'h3f77fcfc, 32'h3f548fb4,32'h3f81e60a,// invsqrt(1.1749) = 0.9226 +32'h425ccf13,32'h3e071108,32'h3e0c9457, 32'h3e02ee8e,32'h3e10b6d2, 32'h3df814d6,32'h3e179af5,// invsqrt(55.2022) = 0.1346 +32'h3eaf92e0,32'h3fd635f4,32'h3fdef43c, 32'h3fcfa73d,32'h3fe582f3, 32'h3fc4b962,32'h3ff070ce,// invsqrt(0.3429) = 1.7077 +32'h3ed7e61c,32'h3fc12c39,32'h3fc90eaf, 32'h3fbb4261,32'h3fcef887, 32'h3fb1674f,32'h3fd8d399,// invsqrt(0.4217) = 1.5400 +32'h40beee05,32'h3ecd6a7d,32'h3ed5cce1, 32'h3ec720b3,32'h3edc16ab, 32'h3ebca5b7,32'h3ee691a7,// invsqrt(5.9666) = 0.4094 +32'h3d03b323,32'h40aee3b1,32'h40b6071c, 32'h40a98922,32'h40bb61ac, 32'h40a09cdd,32'h40c44df1,// invsqrt(0.0322) = 5.5768 +32'h404879a0,32'h3f0dc03b,32'h3f138961, 32'h3f09695d,32'h3f17e03f, 32'h3f022ded,32'h3f1f1baf,// invsqrt(3.1324) = 0.5650 +32'h3e8c3fb2,32'h3fefac9e,32'h3ff974f8, 32'h3fe8565a,32'h4000659e, 32'h3fdc1bea,32'h400682d6,// invsqrt(0.2739) = 1.9107 +32'h3e089b47,32'h402bb842,32'h4032ba8e, 32'h40267689,32'h4037fc47, 32'h401db3aa,32'h4040bf26,// invsqrt(0.1334) = 2.7379 +32'h408dc3d5,32'h3eee63a2,32'h3ef81e8e, 32'h3ee71770,32'h3eff6ac0, 32'h3edaedc9,32'h3f05ca34,// invsqrt(4.4302) = 0.4751 +32'h3ee1c1e9,32'h3fbce868,32'h3fc49e4c, 32'h3fb71ffc,32'h3fca66b8, 32'h3fad7c9e,32'h3fd40a16,// invsqrt(0.4409) = 1.5060 +32'h3e8831c8,32'h3ff3372d,32'h3ffd2488, 32'h3febc52a,32'h40024b46, 32'h3fdf5c78,32'h40087f9f,// invsqrt(0.2660) = 1.9389 +32'h409fe9eb,32'h3ee07454,32'h3ee99da6, 32'h3ed99557,32'h3ef07ca3, 32'h3ece21b0,32'h3efbf04a,// invsqrt(4.9973) = 0.4473 +32'h407c35a6,32'h3efcc219,32'h3f038995, 32'h3ef5054d,32'h3f0767fb, 32'h3ee81ff8,32'h3f0ddaa6,// invsqrt(3.9408) = 0.5037 +32'h3fc30763,32'h3f4b3eed,32'h3f538aa3, 32'h3f450624,32'h3f59c36c, 32'h3f3aa781,32'h3f64220f,// invsqrt(1.5237) = 0.8101 +32'h3fc7c332,32'h3f48d2c3,32'h3f510529, 32'h3f42acf6,32'h3f572af6, 32'h3f386df7,32'h3f6169f5,// invsqrt(1.5606) = 0.8005 +32'h40eacd3b,32'h3eb93be0,32'h3ec0cb61, 32'h3eb3903e,32'h3ec67702, 32'h3eaa1cdd,32'h3ecfea63,// invsqrt(7.3376) = 0.3692 +32'h404f5435,32'h3f0b6362,32'h3f1113d9, 32'h3f071f09,32'h3f155833, 32'h3f000275,32'h3f1c74c7,// invsqrt(3.2395) = 0.5556 +32'h403072c3,32'h3f171812,32'h3f1d42da, 32'h3f1277fd,32'h3f21e2ef, 32'h3f0ac284,32'h3f299868,// invsqrt(2.7570) = 0.6023 +32'h3f9ff00e,32'h3f607005,32'h3f69992a, 32'h3f59912a,32'h3f707806, 32'h3f4e1dbc,32'h3f7beb74,// invsqrt(1.2495) = 0.8946 +32'h3f97b2d6,32'h3f6673af,32'h3f6fdbab, 32'h3f5f65b1,32'h3f76e9a9, 32'h3f53a3b5,32'h3f8155d2,// invsqrt(1.1851) = 0.9186 +32'h3fa53935,32'h3f5cd17f,32'h3f65d4d3, 32'h3f560f01,32'h3f6c9751, 32'h3f4acad8,32'h3f77db7a,// invsqrt(1.2908) = 0.8802 +32'h3f83279a,32'h3f77d82a,32'h3f80faf2, 32'h3f7041e0,32'h3f84c617, 32'h3f639cba,32'h3f8b18aa,// invsqrt(1.0246) = 0.9879 +32'h3f3c1bc1,32'h3f925614,32'h3f984f25, 32'h3f8ddb48,32'h3f9cc9f2, 32'h3f8663f4,32'h3fa44146,// invsqrt(0.7348) = 1.1666 +32'h3f8f999a,32'h3f6cdc73,32'h3f768769, 32'h3f659c3c,32'h3f7dc7a0, 32'h3f598689,32'h3f84eea9,// invsqrt(1.1219) = 0.9441 +32'h3f67be31,32'h3f83d779,32'h3f893916, 32'h3f7f9c89,32'h3f8d424c, 32'h3f722882,32'h3f93fc4f,// invsqrt(0.9052) = 1.0510 +32'h40e828cc,32'h3eba48f7,32'h3ec1e374, 32'h3eb49519,32'h3ec79751, 32'h3eab13fc,32'h3ed1186e,// invsqrt(7.2550) = 0.3713 +32'h3f6efe7d,32'h3f81d384,32'h3f872011, 32'h3f7bb433,32'h3f8b197a, 32'h3f6e74d2,32'h3f91b92b,// invsqrt(0.9336) = 1.0350 +32'h3eb68976,32'h3fd215d5,32'h3fdaa903, 32'h3fcba773,32'h3fe11765, 32'h3fc0ef7b,32'h3febcf5d,// invsqrt(0.3565) = 1.6748 +32'h3f7d84da,32'h3f7c1ac9,32'h3f833282, 32'h3f74631a,32'h3f870e59, 32'h3f67864f,32'h3f8d7cbe,// invsqrt(0.9903) = 1.0049 +32'h4048491a,32'h3f0dd165,32'h3f139b40, 32'h3f097a02,32'h3f17f2a4, 32'h3f023db1,32'h3f1f2ef5,// invsqrt(3.1295) = 0.5653 +32'h3fef999a,32'h3f375ea6,32'h3f3edaac, 32'h3f31c1a1,32'h3f4477b1, 32'h3f286698,32'h3f4dd2ba,// invsqrt(1.8719) = 0.7309 +32'h3dc8a4aa,32'h404861cd,32'h40508f97, 32'h40423f76,32'h4056b1ee, 32'h4038063a,32'h4060eb2a,// invsqrt(0.0980) = 3.1949 +32'h40045008,32'h3f2e7be2,32'h3f359b10, 32'h3f292480,32'h3f3af272, 32'h3f203d86,32'h3f43d96c,// invsqrt(2.0674) = 0.6955 +32'h3f015c9c,32'h3fb07678,32'h3fb7aa53, 32'h3fab0f93,32'h3fbd1137, 32'h3fa20ec1,32'h3fc61209,// invsqrt(0.5053) = 1.4068 +32'h3e673a21,32'h4003fd1a,32'h40096040, 32'h3fffe57c,32'h400d6a9c, 32'h3ff26d9e,32'h4014268b,// invsqrt(0.2258) = 2.1044 +32'h3fb850df,32'h3f5111a9,32'h3f599a38, 32'h3f4aab3f,32'h3f6000a3, 32'h3f40008c,32'h3f6aab56,// invsqrt(1.4400) = 0.8333 +32'h3f2bcfa4,32'h3f991e96,32'h3f9f5e87, 32'h3f946ea0,32'h3fa40e7c, 32'h3f8c9eb3,32'h3fabde69,// invsqrt(0.6711) = 1.2207 +32'h3e75655a,32'h40001f22,32'h400559df, 32'h3ff86626,32'h400945ed, 32'h3feb534d,32'h400fcf5a,// invsqrt(0.2396) = 2.0428 +32'h4147e0e0,32'h3e8df65a,32'h3e93c1b7, 32'h3e899dd5,32'h3e981a3d, 32'h3e825fa2,32'h3e9f5870,// invsqrt(12.4924) = 0.2829 +32'h416329b8,32'h3e852a05,32'h3e8a9973, 32'h3e811673,32'h3e8ead05, 32'h3e749652,32'h3e95784f,// invsqrt(14.1977) = 0.2654 +32'h3fea29e5,32'h3f397c6f,32'h3f410e93, 32'h3f33ced4,32'h3f46bc2e, 32'h3f2a5827,32'h3f5032db,// invsqrt(1.8294) = 0.7393 +32'h3f362b37,32'h3f94b3e8,32'h3f9ac5b2, 32'h3f902690,32'h3f9f530a, 32'h3f889052,32'h3fa6e948,// invsqrt(0.7116) = 1.1854 +32'h3f2d2d8b,32'h3f988397,32'h3f9ebd35, 32'h3f93d861,32'h3fa3686b, 32'h3f8c105c,32'h3fab3070,// invsqrt(0.6765) = 1.2158 +32'h3e970d69,32'h3fe6f1bd,32'h3ff05edf, 32'h3fdfdfe4,32'h3ff770b8, 32'h3fd41779,32'h40019c91,// invsqrt(0.2950) = 1.8411 +32'h409630dd,32'h3ee79b10,32'h3ef10f1b, 32'h3ee08407,32'h3ef82623, 32'h3ed4b2f9,32'h3f01fb98,// invsqrt(4.6935) = 0.4616 +32'h3fabdb91,32'h3f5883a8,32'h3f615a02, 32'h3f51e2e4,32'h3f67fac6, 32'h3f46d6f3,32'h3f7306b7,// invsqrt(1.3426) = 0.8630 +32'h3f8ed53f,32'h3f6d7f0a,32'h3f7730a2, 32'h3f6639d8,32'h3f7e75d4, 32'h3f5a1bda,32'h3f8549e9,// invsqrt(1.1159) = 0.9467 +32'h3fa303ed,32'h3f5e4f12,32'h3f6761f9, 32'h3f5780e6,32'h3f6e3026, 32'h3f4c2945,32'h3f7987c7,// invsqrt(1.2736) = 0.8861 +32'h3f119238,32'h3fa6592a,32'h3fad2355, 32'h3fa14189,32'h3fb23af5, 32'h3f98c4d2,32'h3fbab7ac,// invsqrt(0.5686) = 1.3261 +32'h3f2131e9,32'h3f9e14cb,32'h3fa48895, 32'h3f993df4,32'h3fa95f6c, 32'h3f912d38,32'h3fb17028,// invsqrt(0.6297) = 1.2602 +32'h3e761dd8,32'h3fffde26,32'h400527db, 32'h3ff808fc,32'h40091270, 32'h3feafb09,32'h400f9969,// invsqrt(0.2403) = 2.0398 +32'h3f93382e,32'h3f69ee6d,32'h3f737ac5, 32'h3f62c52b,32'h3f7aa407, 32'h3f56d5bd,32'h3f8349bb,// invsqrt(1.1502) = 0.9324 +32'h3f6e4ea7,32'h3f820360,32'h3f8751e2, 32'h3f7c10ff,32'h3f8b4cc3, 32'h3f6eccbb,32'h3f91eee4,// invsqrt(0.9309) = 1.0365 +32'h40af25ab,32'h3ed678b1,32'h3edf39b3, 32'h3ecfe7f0,32'h3ee5ca74, 32'h3ec4f6ad,32'h3ef0bbb7,// invsqrt(5.4733) = 0.4274 +32'h3e5179ce,32'h400aac0f,32'h4010550b, 32'h40066d53,32'h401493c7, 32'h3ffeb431,32'h401ba702,// invsqrt(0.2046) = 2.2110 +32'h3da50d45,32'h405ceee2,32'h4065f368, 32'h40562b7d,32'h406cb6cd, 32'h404ae5d4,32'h4077fc76,// invsqrt(0.0806) = 3.5225 +32'h3f97acda,32'h3f66783b,32'h3f6fe067, 32'h3f5f6a1a,32'h3f76ee88, 32'h3f53a7e2,32'h3f815860,// invsqrt(1.1850) = 0.9186 +32'h3f4702cf,32'h3f8e4579,32'h3f941410, 32'h3f89ea87,32'h3f986f01, 32'h3f82a84a,32'h3f9fb13e,// invsqrt(0.7774) = 1.1342 +32'h3fdd2fd1,32'h3f3ed985,32'h3f46a3b4, 32'h3f3901e1,32'h3f4c7b57, 32'h3f2f4526,32'h3f563812,// invsqrt(1.7280) = 0.7607 +32'h3faf2e3a,32'h3f567374,32'h3f5f3440, 32'h3f4fe2dc,32'h3f65c4d8, 32'h3f44f1de,32'h3f70b5d6,// invsqrt(1.3686) = 0.8548 +32'h3f5fe7c2,32'h3f86211d,32'h3f8b9aa1, 32'h3f8205fa,32'h3f8fb5c4, 32'h3f765c2b,32'h3f968da8,// invsqrt(0.8746) = 1.0693 +32'h3f864968,32'h3f74efe1,32'h3f7eef39, 32'h3f6d7060,32'h3f83375d, 32'h3f60f132,32'h3f8976f4,// invsqrt(1.0491) = 0.9763 +32'h41405062,32'h3e90ba33,32'h3e96a273, 32'h3e8c4c02,32'h3e9b10a4, 32'h3e84e9b1,32'h3ea272f5,// invsqrt(12.0196) = 0.2884 +32'h3d3ebb83,32'h4091537d,32'h40974200, 32'h408ce09c,32'h409bb4e2, 32'h40857679,32'h40a31f05,// invsqrt(0.0466) = 4.6341 +32'h3f24c215,32'h3f9c5ccd,32'h3fa2bea1, 32'h3f97936e,32'h3fa78800, 32'h3f8f9925,32'h3faf8249,// invsqrt(0.6436) = 1.2465 +32'h3f34daa7,32'h3f953e05,32'h3f9b5573, 32'h3f90ac73,32'h3f9fe705, 32'h3f890f29,32'h3fa7844f,// invsqrt(0.7065) = 1.1898 +32'h40bc678d,32'h3ecec9bc,32'h3ed73a76, 32'h3ec87531,32'h3edd8f01, 32'h3ebde84a,32'h3ee81be8,// invsqrt(5.8876) = 0.4121 +32'h3fa5cf15,32'h3f5c6d9c,32'h3f656cdc, 32'h3f55ae2c,32'h3f6c2c4c, 32'h3f4a6f1c,32'h3f776b5c,// invsqrt(1.2954) = 0.8786 +32'h3ee32313,32'h3fbc5552,32'h3fc40536, 32'h3fb69167,32'h3fc9c921, 32'h3facf58a,32'h3fd364fe,// invsqrt(0.4436) = 1.5014 +32'h3f614150,32'h3f85ba14,32'h3f8b2f64, 32'h3f81a219,32'h3f8f475f, 32'h3f759eec,32'h3f961a02,// invsqrt(0.8799) = 1.0661 +32'h3f9d763b,32'h3f6231f8,32'h3f6b6d7a, 32'h3f5b4556,32'h3f725a1c, 32'h3f4fbaf3,32'h3f7de47f,// invsqrt(1.2302) = 0.9016 +32'h41803584,32'h3e7aace6,32'h3e82741a, 32'h3e73006c,32'h3e864a57, 32'h3e66364c,32'h3e8caf67,// invsqrt(16.0261) = 0.2498 +32'h3f7e4da7,32'h3f7bb72d,32'h3f82feac, 32'h3f74028c,32'h3f86d8fc, 32'h3f672ad5,32'h3f8d44d8,// invsqrt(0.9934) = 1.0033 +32'h3d402aa1,32'h4090c86a,32'h4096b13f, 32'h408c59c9,32'h409b1fdf, 32'h4084f6bf,32'h40a282e9,// invsqrt(0.0469) = 4.6168 +32'h3f58d5e5,32'h3f884c65,32'h3f8ddc93, 32'h3f842043,32'h3f9208b5, 32'h3f7a5812,32'h3f98fcef,// invsqrt(0.8470) = 1.0866 +32'h3fc959d0,32'h3f480795,32'h3f5031b0, 32'h3f41e801,32'h3f565145, 32'h3f37b360,32'h3f6085e7,// invsqrt(1.5731) = 0.7973 +32'h3f1cb812,32'h3fa052a0,32'h3fa6ddd6, 32'h3f9b6a38,32'h3fabc63e, 32'h3f933c35,32'h3fb3f441,// invsqrt(0.6122) = 1.2781 +32'h3faf52bb,32'h3f565d20,32'h3f5f1d02, 32'h3f4fcd37,32'h3f65aceb, 32'h3f44dd5c,32'h3f709cc6,// invsqrt(1.3697) = 0.8544 +32'h3f348317,32'h3f956233,32'h3f9b7b1b, 32'h3f90cf85,32'h3fa00dc9, 32'h3f893063,32'h3fa7aceb,// invsqrt(0.7051) = 1.1909 +32'h3e4db1bf,32'h400bf0e3,32'h4011a721, 32'h4007a835,32'h4015efcf, 32'h40008468,32'h401d139c,// invsqrt(0.2009) = 2.2312 +32'h3fb3d43c,32'h3f53a940,32'h3f5c4ce4, 32'h3f4d2e84,32'h3f62c7a0, 32'h3f4261f7,32'h3f6d942d,// invsqrt(1.4049) = 0.8437 +32'h4014f5f0,32'h3f2471df,32'h3f2b2827, 32'h3f1f6929,32'h3f3030dd, 32'h3f170550,32'h3f3894b7,// invsqrt(2.3275) = 0.6555 +32'h3da19c1a,32'h405f4605,32'h40686300, 32'h40587049,32'h406f38bd, 32'h404d0c10,32'h407a9cf7,// invsqrt(0.0789) = 3.5598 +32'h3f877f9c,32'h3f73d6e1,32'h3f7dcac0, 32'h3f6c5ff9,32'h3f82a0d4, 32'h3f5fef22,32'h3f88d93f,// invsqrt(1.0586) = 0.9719 +32'h3f365e7f,32'h3f949efe,32'h3f9aafee, 32'h3f90124a,32'h3f9f3ca2, 32'h3f887d1d,32'h3fa6d1cf,// invsqrt(0.7124) = 1.1848 +32'h3d3acf66,32'h4092d807,32'h4098d665, 32'h408e5940,32'h409d552c, 32'h4086db4a,32'h40a4d322,// invsqrt(0.0456) = 4.6825 +32'h3fedd7ce,32'h3f380bb8,32'h3f3f8ecf, 32'h3f326966,32'h3f453120, 32'h3f290589,32'h3f4e94fd,// invsqrt(1.8581) = 0.7336 +32'h3f4919bc,32'h3f8d87c2,32'h3f934e9a, 32'h3f89329f,32'h3f97a3bd, 32'h3f81fa10,32'h3f9edc4c,// invsqrt(0.7855) = 1.1283 +32'h40812fdc,32'h3ef9b98e,32'h3f01f576, 32'h3ef21487,32'h3f05c7fa, 32'h3ee556d0,32'h3f0c26d5,// invsqrt(4.0371) = 0.4977 +32'h3efa310c,32'h3fb37245,32'h3fbac54d, 32'h3fadf3ff,32'h3fc04393, 32'h3fa4cc35,32'h3fc96b5d,// invsqrt(0.4887) = 1.4305 +32'h3e3c9fb6,32'h401222dc,32'h401819d5, 32'h400da9a0,32'h401c9310, 32'h400634e9,32'h402407c7,// invsqrt(0.1842) = 2.3300 +32'h4030708d,32'h3f171905,32'h3f1d43d6, 32'h3f1278e8,32'h3f21e3f2, 32'h3f0ac362,32'h3f299978,// invsqrt(2.7569) = 0.6023 +32'h3eb2f6ae,32'h3fd42c1c,32'h3fdcd518, 32'h3fcdad5f,32'h3fe353d5, 32'h3fc2da24,32'h3fee2710,// invsqrt(0.3495) = 1.6914 +32'h3f3adab2,32'h3f92d397,32'h3f98d1c7, 32'h3f8e54f3,32'h3f9d506b, 32'h3f86d737,32'h3fa4ce27,// invsqrt(0.7299) = 1.1705 +32'h3f5182c9,32'h3f8aa916,32'h3f9051f2, 32'h3f866a71,32'h3f949097, 32'h3f7eaeba,32'h3f9ba3ab,// invsqrt(0.8184) = 1.1054 +32'h3df140b7,32'h4036bd93,32'h403e3306, 32'h4031257b,32'h4043cb1d, 32'h4027d2ab,32'h404d1ded,// invsqrt(0.1178) = 2.9136 +32'h3ee088c7,32'h3fbd6bf3,32'h3fc52737, 32'h3fb79f81,32'h3fcaf3a9, 32'h3fadf56c,32'h3fd49dbe,// invsqrt(0.4385) = 1.5101 +32'h3ee0c77d,32'h3fbd5185,32'h3fc50bb5, 32'h3fb785e2,32'h3fcad758, 32'h3faddd27,32'h3fd48013,// invsqrt(0.4390) = 1.5092 +32'h3fa3f791,32'h3f5da9aa,32'h3f66b5d0, 32'h3f56e08d,32'h3f6d7eed, 32'h3f4b915d,32'h3f78ce1d,// invsqrt(1.2810) = 0.8835 +32'h3d2b7dd7,32'h40994316,32'h409f8484, 32'h40949203,32'h40a43597, 32'h408cc038,32'h40ac0762,// invsqrt(0.0419) = 4.8872 +32'h3f651274,32'h3f849baa,32'h3f8a0549, 32'h3f808c74,32'h3f8e1480, 32'h3f7390dc,32'h3f94d886,// invsqrt(0.8948) = 1.0571 +32'h3f525bdc,32'h3f8a6178,32'h3f900768, 32'h3f862504,32'h3f9443dc, 32'h3f7e2b30,32'h3f9b5348,// invsqrt(0.8217) = 1.1032 +32'h3f8c1dcd,32'h3f6fc999,32'h3f799322, 32'h3f687273,32'h3f807525, 32'h3f5c3688,32'h3f86931a,// invsqrt(1.0947) = 0.9558 +32'h3ff34b0e,32'h3f35f8fe,32'h3f3d666c, 32'h3f3066ec,32'h3f42f87e, 32'h3f271e23,32'h3f4c4147,// invsqrt(1.9007) = 0.7253 +32'h3f8e0b09,32'h3f6e27db,32'h3f77e057, 32'h3f66dd7e,32'h3f7f2ab4, 32'h3f5ab6e3,32'h3f85a8a7,// invsqrt(1.1097) = 0.9493 +32'h3e6e6bd8,32'h4001fb6b,32'h40074999, 32'h3ffc0191,32'h400b443c, 32'h3feebe1d,32'h4011e5f5,// invsqrt(0.2328) = 2.0724 +32'h3f55b0af,32'h3f894c40,32'h3f8ee6e0, 32'h3f851849,32'h3f931ad7, 32'h3f7c2e03,32'h3f9a1c1e,// invsqrt(0.8347) = 1.0945 +32'h3f481c53,32'h3f8de142,32'h3f93abc3, 32'h3f898963,32'h3f9803a3, 32'h3f824c43,32'h3f9f40c3,// invsqrt(0.7817) = 1.1311 +32'h3f8ac6ee,32'h3f70f11b,32'h3f7ac6b3, 32'h3f6990e8,32'h3f811373, 32'h3f5d45ea,32'h3f8738f2,// invsqrt(1.0842) = 0.9604 +32'h3eabc1d7,32'h3fd893df,32'h3fe16ae2, 32'h3fd1f29b,32'h3fe80c25, 32'h3fc6e5d6,32'h3ff318ea,// invsqrt(0.3355) = 1.7265 +32'h3f1ae9dc,32'h3fa1411c,32'h3fa7d60d, 32'h3f9c5166,32'h3facc5c2, 32'h3f941738,32'h3fb4fff0,// invsqrt(0.6051) = 1.2855 +32'h3db10b91,32'h40555198,32'h405e068e, 32'h404ec9df,32'h40648e47, 32'h4043e7ab,32'h406f707b,// invsqrt(0.0864) = 3.4011 +32'h3e27631d,32'h401b2134,32'h40217626, 32'h4016617e,32'h402635dc, 32'h400e774f,32'h402e200b,// invsqrt(0.1635) = 2.4734 +32'h3f4f712a,32'h3f8b59a7,32'h3f9109b9, 32'h3f87159a,32'h3f954dc6, 32'h3f7ff30a,32'h3f9c69db,// invsqrt(0.8103) = 1.1109 +32'h4068f199,32'h3f03805e,32'h3f08de6c, 32'h3efef3a6,32'h3f0ce4f7, 32'h3ef18883,32'h3f139a89,// invsqrt(3.6397) = 0.5242 +32'h3de7c447,32'h403a7158,32'h40420d7b, 32'h4034bc3e,32'h4047c296, 32'h402b3913,32'h405145c1,// invsqrt(0.1132) = 2.9726 +32'h3f8f2384,32'h3f6d3e13,32'h3f76ed04, 32'h3f65fade,32'h3f7e3038, 32'h3f59e030,32'h3f852573,// invsqrt(1.1183) = 0.9456 +32'h3df8e21c,32'h4033eadc,32'h403b42d0, 32'h402e68e5,32'h4040c4c7, 32'h40253af4,32'h4049f2b8,// invsqrt(0.1215) = 2.8686 +32'h3e8256ca,32'h3ff89e63,32'h40016219, 32'h3ff10207,32'h40053047, 32'h3fe452c4,32'h400b87e9,// invsqrt(0.2546) = 1.9820 +32'h3dbf30e0,32'h404d4690,32'h4055a77c, 32'h4046fddf,32'h405bf02d, 32'h403c84b9,32'h40666953,// invsqrt(0.0934) = 3.2729 +32'h3ff5860b,32'h3f3524e9,32'h3f3c89af, 32'h3f2f9955,32'h3f421543, 32'h3f265b5e,32'h3f4b533a,// invsqrt(1.9182) = 0.7220 +32'h3c1cd0d9,32'h412045f5,32'h4126d0a7, 32'h411b5df0,32'h412bb8ac, 32'h41133093,32'h4133e609,// invsqrt(0.0096) = 10.2215 +32'h3f63b2f0,32'h3f8501df,32'h3f8a6fa9, 32'h3f80ef87,32'h3f8e8201, 32'h3f744c94,32'h3f954b3e,// invsqrt(0.8894) = 1.0603 +32'h3fc3020f,32'h3f4b41b4,32'h3f538d87, 32'h3f4508d5,32'h3f59c665, 32'h3f3aaa0d,32'h3f64252d,// invsqrt(1.5235) = 0.8102 +32'h3f6f981c,32'h3f81a9de,32'h3f86f4b8, 32'h3f7b6375,32'h3f8aecdc, 32'h3f6e2854,32'h3f918a6c,// invsqrt(0.9359) = 1.0337 +32'h3fa22ebe,32'h3f5ee0fe,32'h3f67f9da, 32'h3f580e5a,32'h3f6ecc7e, 32'h3f4caf48,32'h3f7a2b90,// invsqrt(1.2671) = 0.8884 +32'h3f482e4d,32'h3f8ddae3,32'h3f93a521, 32'h3f898335,32'h3f97fccf, 32'h3f824668,32'h3f9f399c,// invsqrt(0.7820) = 1.1309 +32'h4029f7ac,32'h3f19f29b,32'h3f203b33, 32'h3f153c28,32'h3f24f1a6, 32'h3f0d616a,32'h3f2ccc64,// invsqrt(2.6557) = 0.6136 +32'h3f0843fa,32'h3fabef3b,32'h3fb2f3c5, 32'h3fa6abd3,32'h3fb8372d, 32'h3f9de626,32'h3fc0fcda,// invsqrt(0.5323) = 1.3707 +32'h3e5e320e,32'h4006a4f9,32'h400c23df, 32'h400285cd,32'h4010430b, 32'h3ff74e5c,32'h401721aa,// invsqrt(0.2170) = 2.1468 +32'h41dce8d9,32'h3e3ef82a,32'h3e46c39a, 32'h3e391f97,32'h3e4c9c2d, 32'h3e2f614b,32'h3e565a79,// invsqrt(27.6137) = 0.1903 +32'h3fc68886,32'h3f4971aa,32'h3f51aa8d, 32'h3f434701,32'h3f57d537, 32'h3f38ffe6,32'h3f621c52,// invsqrt(1.5510) = 0.8029 +32'h40489ac1,32'h3f0db486,32'h3f137d32, 32'h3f095e04,32'h3f17d3b4, 32'h3f02232c,32'h3f1f0e8c,// invsqrt(3.1344) = 0.5648 +32'h4013ad70,32'h3f252860,32'h3f2be61b, 32'h3f201a14,32'h3f30f468, 32'h3f17aceb,32'h3f396191,// invsqrt(2.3075) = 0.6583 +32'h3f910fe0,32'h3f6baa1d,32'h3f754891, 32'h3f647346,32'h3f7c7f68, 32'h3f586d35,32'h3f8442bd,// invsqrt(1.1333) = 0.9394 +32'h3fbdcf93,32'h3f4e0542,32'h3f566df6, 32'h3f47b6bb,32'h3f5cbc7d, 32'h3f3d33d9,32'h3f673f5f,// invsqrt(1.4829) = 0.8212 +32'h3f97ce7c,32'h3f665eb2,32'h3f6fc5d3, 32'h3f5f5158,32'h3f76d32c, 32'h3f53906f,32'h3f814a0b,// invsqrt(1.1860) = 0.9182 +32'h40ed6409,32'h3eb83893,32'h3ebfbd7f, 32'h3eb294e2,32'h3ec56130, 32'h3ea92ebb,32'h3ecec757,// invsqrt(7.4185) = 0.3671 +32'h3f6d2459,32'h3f82550d,32'h3f87a6e3, 32'h3f7caf57,32'h3f8ba444, 32'h3f6f62be,32'h3f924a91,// invsqrt(0.9263) = 1.0390 +32'h3f898655,32'h3f72094f,32'h3f7bea57, 32'h3f6aa088,32'h3f81a98f, 32'h3f5e473e,32'h3f87d634,// invsqrt(1.0744) = 0.9647 +32'h3f92fe7a,32'h3f6a1c53,32'h3f73aa8b, 32'h3f62f1a9,32'h3f7ad535, 32'h3f56ffe4,32'h3f83637d,// invsqrt(1.1484) = 0.9332 +32'h3e04b78b,32'h402e37c9,32'h4035542f, 32'h4028e27c,32'h403aa97c, 32'h401ffefc,32'h40438cfc,// invsqrt(0.1296) = 2.7777 +32'h40e76eb7,32'h3eba93cc,32'h3ec23156, 32'h3eb4dda3,32'h3ec7e77f, 32'h3eab58b6,32'h3ed16c6c,// invsqrt(7.2323) = 0.3718 +32'h3f636820,32'h3f8517be,32'h3f8a866c, 32'h3f8104ba,32'h3f8e9970, 32'h3f7474bf,32'h3f9563ca,// invsqrt(0.8883) = 1.0610 +32'h3fc051b1,32'h3f4cac33,32'h3f5506d1, 32'h3f46683c,32'h3f5b4ac8, 32'h3f3bf6f5,32'h3f65bc0f,// invsqrt(1.5025) = 0.8158 +32'h3f6643c7,32'h3f8443a2,32'h3f89a9a9, 32'h3f80371e,32'h3f8db62e, 32'h3f72ef2b,32'h3f9475b7,// invsqrt(0.8995) = 1.0544 +32'h3f1b166b,32'h3fa129f0,32'h3fa7bdef, 32'h3f9c3af0,32'h3facacee, 32'h3f9401f1,32'h3fb4e5ed,// invsqrt(0.6058) = 1.2848 +32'h3f6eecd2,32'h3f81d851,32'h3f872510, 32'h3f7bbd82,32'h3f8b1e9f, 32'h3f6e7da3,32'h3f91be8e,// invsqrt(0.9333) = 1.0351 +32'h3f80a284,32'h3f7a429b,32'h3f823cc9, 32'h3f729962,32'h3f861165, 32'h3f65d4ad,32'h3f8c73bf,// invsqrt(1.0050) = 0.9975 +32'h3f3474a1,32'h3f95682f,32'h3f9b8156, 32'h3f90d553,32'h3fa01433, 32'h3f8935e3,32'h3fa7b3a3,// invsqrt(0.7049) = 1.1911 +32'h3f68bb06,32'h3f838fc8,32'h3f88ee78, 32'h3f7f118a,32'h3f8cf57b, 32'h3f71a4d3,32'h3f93abd6,// invsqrt(0.9091) = 1.0488 +32'h3fad5865,32'h3f57954f,32'h3f6061ef, 32'h3f50fbd7,32'h3f66fb67, 32'h3f45fc0f,32'h3f71fb2f,// invsqrt(1.3543) = 0.8593 +32'h40a3a9b5,32'h3eddde5e,32'h3ee6ecaa, 32'h3ed713a4,32'h3eedb764, 32'h3ecbc1c4,32'h3ef90944,// invsqrt(5.1145) = 0.4422 +32'h41433a59,32'h3e8fa4a9,32'h3e958195, 32'h3e8b3ef7,32'h3e99e747, 32'h3e83eacf,32'h3ea13b6f,// invsqrt(12.2017) = 0.2863 +32'h3e5e908e,32'h40068860,32'h400c061b, 32'h40026a14,32'h40102468, 32'h3ff719d6,32'h40170191,// invsqrt(0.2173) = 2.1450 +32'h3ff07b81,32'h3f370871,32'h3f3e80f3, 32'h3f316e10,32'h3f441b54, 32'h3f28176d,32'h3f4d71f7,// invsqrt(1.8788) = 0.7296 +32'h402912f4,32'h3f1a5a98,32'h3f20a770, 32'h3f15a0f7,32'h3f256111, 32'h3f0dc0ea,32'h3f2d411e,// invsqrt(2.6418) = 0.6152 +32'h4095b085,32'h3ee7fe44,32'h3ef1765c, 32'h3ee0e432,32'h3ef8906e, 32'h3ed50e15,32'h3f023346,// invsqrt(4.6778) = 0.4624 +32'h3f82d349,32'h3f7827fc,32'h3f81247b, 32'h3f708f40,32'h3f84f0d9, 32'h3f63e606,32'h3f8b4576,// invsqrt(1.0221) = 0.9891 +32'h3e9b637e,32'h3fe3b2fb,32'h3fecfe34, 32'h3fdcba8f,32'h3ff3f69f, 32'h3fd11c88,32'h3fff94a6,// invsqrt(0.3035) = 1.8152 +32'h4043d867,32'h3f0f6aa6,32'h3f154535, 32'h3f0b06bc,32'h3f19a920, 32'h3f03b589,32'h3f20fa53,// invsqrt(3.0601) = 0.5717 +32'h3f8b12a4,32'h3f70af7c,32'h3f7a8267, 32'h3f69514c,32'h3f80f04c, 32'h3f5d09a7,32'h3f87141f,// invsqrt(1.0865) = 0.9594 +32'h3e130481,32'h40258729,32'h402c48c2, 32'h402075f6,32'h403159f4, 32'h401803f6,32'h4039cbf4,// invsqrt(0.1436) = 2.6392 +32'h402ef33f,32'h3f17bd54,32'h3f1deeda, 32'h3f13182f,32'h3f2293ff, 32'h3f0b5a48,32'h3f2a51e6,// invsqrt(2.7336) = 0.6048 +32'h403eb154,32'h3f11575f,32'h3f17460a, 32'h3f0ce45e,32'h3f1bb90a, 32'h3f057a08,32'h3f232360,// invsqrt(2.9796) = 0.5793 +32'h3ec648c1,32'h3fc9920c,32'h3fd1cc41, 32'h3fc36665,32'h3fd7f7e9, 32'h3fb91da3,32'h3fe240ab,// invsqrt(0.3873) = 1.6069 +32'h3eff8b2e,32'h3fb18ea9,32'h3fb8cdf4, 32'h3fac1f31,32'h3fbe3d6d, 32'h3fa31014,32'h3fc74c8a,// invsqrt(0.4991) = 1.4155 +32'h3fe4a93c,32'h3f3bb460,32'h3f435db3, 32'h3f35f563,32'h3f491cb1, 32'h3f2c61bc,32'h3f52b058,// invsqrt(1.7864) = 0.7482 +32'h3efb8db2,32'h3fb2f5bf,32'h3fba43b2, 32'h3fad7b49,32'h3fbfbe29, 32'h3fa459da,32'h3fc8df98,// invsqrt(0.4913) = 1.4267 +32'h3e9010e3,32'h3fec7a4f,32'h3ff62143, 32'h3fe53d19,32'h3ffd5e79, 32'h3fd92c68,32'h4004b795,// invsqrt(0.2814) = 1.8852 +32'h4049ff0a,32'h3f0d3756,32'h3f12fae6, 32'h3f08e4a9,32'h3f174d93, 32'h3f01b035,32'h3f1e8207,// invsqrt(3.1562) = 0.5629 +32'h3eb2fcea,32'h3fd4286a,32'h3fdcd13f, 32'h3fcda9c9,32'h3fe34fdf, 32'h3fc2d6bf,32'h3fee22e9,// invsqrt(0.3496) = 1.6913 +32'h40594410,32'h3f0829d2,32'h3f0db897, 32'h3f03febf,32'h3f11e3ab, 32'h3efa1893,32'h3f18d621,// invsqrt(3.3948) = 0.5427 +32'h408977f4,32'h3ef215f7,32'h3efbf784, 32'h3eeaacce,32'h3f01b057, 32'h3ede52de,32'h3f07dd4f,// invsqrt(4.2959) = 0.4825 +32'h410e3924,32'h3ea84b7b,32'h3eaf29fd, 32'h3ea32499,32'h3eb450df, 32'h3e9a8e76,32'h3ebce702,// invsqrt(8.8890) = 0.3354 +32'h3f81b55f,32'h3f7938e6,32'h3f81b283, 32'h3f7197d0,32'h3f85830e, 32'h3f64e0aa,32'h3f8bdea1,// invsqrt(1.0133) = 0.9934 +32'h41ee2577,32'h3e37edb3,32'h3e3f6f91, 32'h3e324c4d,32'h3e4510f7, 32'h3e28e9f8,32'h3e4e734c,// invsqrt(29.7683) = 0.1833 +32'h3ee799d4,32'h3fba826d,32'h3fc21f43, 32'h3fb4cccd,32'h3fc7d4e3, 32'h3fab48c2,32'h3fd158ee,// invsqrt(0.4523) = 1.4868 +32'h3f692900,32'h3f8370be,32'h3f88ce28, 32'h3f7ed55a,32'h3f8cd439, 32'h3f716bcf,32'h3f9388fe,// invsqrt(0.9108) = 1.0478 +32'h3e7c44ee,32'h3ffcba71,32'h40038599, 32'h3ff4fde1,32'h400763e1, 32'h3fe818f0,32'h400dd65a,// invsqrt(0.2464) = 2.0147 +32'h3f82f85e,32'h3f7804d8,32'h3f811231, 32'h3f706d2f,32'h3f84de06, 32'h3f63c5c0,32'h3f8b31bd,// invsqrt(1.0232) = 0.9886 +32'h403cbf06,32'h3f1216bc,32'h3f180d36, 32'h3f0d9de0,32'h3f1c8612, 32'h3f0629c6,32'h3f23fa2c,// invsqrt(2.9492) = 0.5823 +32'h4021929f,32'h3f1de575,32'h3f24574f, 32'h3f191010,32'h3f292cb4, 32'h3f1101bf,32'h3f313b05,// invsqrt(2.5246) = 0.6294 +32'h3f5a56a7,32'h3f87d418,32'h3f8d5f5d, 32'h3f83aba5,32'h3f9187d1, 32'h3f797b1d,32'h3f9875e7,// invsqrt(0.8529) = 1.0828 +32'h419c1e55,32'h3e632a91,32'h3e6c7039, 32'h3e5c3653,32'h3e736477, 32'h3e509f41,32'h3e7efb89,// invsqrt(19.5148) = 0.2264 +32'h403d0632,32'h3f11fb39,32'h3f17f094, 32'h3f0d8334,32'h3f1c6898, 32'h3f061082,32'h3f23db4a,// invsqrt(2.9535) = 0.5819 +32'h3fd5caec,32'h3f421f38,32'h3f4a0b98, 32'h3f3c2df0,32'h3f4ffce0, 32'h3f324677,32'h3f59e459,// invsqrt(1.6703) = 0.7738 +32'h3f6f893a,32'h3f81ade5,32'h3f86f8e9, 32'h3f7b6b44,32'h3f8af12c, 32'h3f6e2fb9,32'h3f918ef1,// invsqrt(0.9357) = 1.0338 +32'h405ccd50,32'h3f071192,32'h3f0c94e7, 32'h3f02ef14,32'h3f10b766, 32'h3ef815d4,32'h3f179b90,// invsqrt(3.4500) = 0.5384 +32'h3e831ba0,32'h3ff7e37c,32'h400100d6, 32'h3ff04cd9,32'h4004cc27, 32'h3fe3a71f,32'h400b1f05,// invsqrt(0.2561) = 1.9762 +32'h3f80842d,32'h3f7a6023,32'h3f824c27, 32'h3f72b603,32'h3f862138, 32'h3f65efcd,32'h3f8c8453,// invsqrt(1.0040) = 0.9980 +32'h3f993325,32'h3f6551ec,32'h3f6eae16, 32'h3f5e4cce,32'h3f75b334, 32'h3f52999a,32'h3f80b334,// invsqrt(1.1969) = 0.9141 +32'h3e562d2e,32'h40092453,32'h400ebd51, 32'h4004f194,32'h4012f010, 32'h3ffbe4ad,32'h4019ef4d,// invsqrt(0.2092) = 2.1866 +32'h3fb503bc,32'h3f52f784,32'h3f5b93e8, 32'h3f4c823a,32'h3f620932, 32'h3f41bebd,32'h3f6cccaf,// invsqrt(1.4142) = 0.8409 +32'h3fe56bfb,32'h3f3b64a5,32'h3f430ab6, 32'h3f35a818,32'h3f48c742, 32'h3f2c1882,32'h3f5256d8,// invsqrt(1.7924) = 0.7469 +32'h3f24d91f,32'h3f9c51df,32'h3fa2b341, 32'h3f9788d6,32'h3fa77c4a, 32'h3f8f8f1b,32'h3faf7605,// invsqrt(0.6439) = 1.2462 +32'h3cc57437,32'h40c9fe6b,32'h40d23d0d, 32'h40c3cf73,32'h40d86c05, 32'h40b98129,32'h40e2ba4f,// invsqrt(0.0241) = 6.4411 +32'h408d38f9,32'h3eeed8b9,32'h3ef8986d, 32'h3ee788f2,32'h3effe834, 32'h3edb5951,32'h3f060bea,// invsqrt(4.4132) = 0.4760 +32'h3e6c35e8,32'h400296c4,32'h4007eb4a, 32'h3ffd2ec0,32'h400beaae, 32'h3fefdb73,32'h40129454,// invsqrt(0.2307) = 2.0821 +32'h3e7d6c81,32'h3ffc26e5,32'h400338d0, 32'h3ff46ed8,32'h400714d6, 32'h3fe7916f,32'h400d838b,// invsqrt(0.2475) = 2.0101 +32'h3de64197,32'h403b0da4,32'h4042b028, 32'h403553c1,32'h40486a0b, 32'h402bc89c,32'h4051f530,// invsqrt(0.1124) = 2.9824 +32'h3f681aec,32'h3f83bd20,32'h3f891daa, 32'h3f7f6973,32'h3f8d2610, 32'h3f71f81c,32'h3f93debc,// invsqrt(0.9067) = 1.0502 +32'h3eae67b8,32'h3fd6ed5d,32'h3fdfb322, 32'h3fd05909,32'h3fe64775, 32'h3fc561d2,32'h3ff13eac,// invsqrt(0.3406) = 1.7134 +32'h3f38628e,32'h3f93ce71,32'h3f99d6de, 32'h3f8f481f,32'h3f9e5d2f, 32'h3f87bd96,32'h3fa5e7b8,// invsqrt(0.7203) = 1.1783 +32'h40853b6a,32'h3ef5e792,32'h3efff106, 32'h3eee607b,32'h3f03bc0e, 32'h3ee1d4ab,32'h3f0a01f7,// invsqrt(4.1635) = 0.4901 +32'h3f85070c,32'h3f7617f4,32'h3f8011b1, 32'h3f6e8f62,32'h3f83d5fa, 32'h3f62011a,32'h3f8a1d1e,// invsqrt(1.0393) = 0.9809 +32'h3efa4dcf,32'h3fb367f6,32'h3fbaba92, 32'h3fadea01,32'h3fc03887, 32'h3fa4c2bd,32'h3fc95fcb,// invsqrt(0.4889) = 1.4302 +32'h3d4a8609,32'h408d083d,32'h4092c9e2, 32'h4088b702,32'h40971b1e, 32'h408184f5,32'h409e4d2b,// invsqrt(0.0494) = 4.4972 +32'h3f21567e,32'h3f9e02de,32'h3fa475ec, 32'h3f992c93,32'h3fa94c37, 32'h3f911cc1,32'h3fb15c09,// invsqrt(0.6302) = 1.2597 +32'h3e7d9726,32'h3ffc11b0,32'h40032dc6, 32'h3ff45a49,32'h40070979, 32'h3fe77df5,32'h400d77a4,// invsqrt(0.2476) = 2.0095 +32'h40c6ba2c,32'h3ec9587f,32'h3ed1905b, 32'h3ec32e9b,32'h3ed7ba3f, 32'h3eb8e8c8,32'h3ee20012,// invsqrt(6.2102) = 0.4013 +32'h3f82e26a,32'h3f7819a4,32'h3f811d04, 32'h3f708158,32'h3f84e92a, 32'h3f63d8da,32'h3f8b3d69,// invsqrt(1.0225) = 0.9889 +32'h3fef27ab,32'h3f378a4e,32'h3f3f081d, 32'h3f31ebf3,32'h3f44a679, 32'h3f288eb1,32'h3f4e03bb,// invsqrt(1.8684) = 0.7316 +32'h3f6e1165,32'h3f821419,32'h3f876349, 32'h3f7c316a,32'h3f8b5ead, 32'h3f6eeb72,32'h3f9201a9,// invsqrt(0.9300) = 1.0370 +32'h4018619d,32'h3f2296b1,32'h3f293993, 32'h3f1d9c87,32'h3f2e33bd, 32'h3f1550eb,32'h3f367f59,// invsqrt(2.3810) = 0.6481 +32'h3f0bcc3f,32'h3fa9bf9a,32'h3fb0ad4c, 32'h3fa48d54,32'h3fb5df92, 32'h3f9be434,32'h3fbe88b2,// invsqrt(0.5461) = 1.3532 +32'h401e0c4e,32'h3f1fa5b2,32'h3f2629d8, 32'h3f1ac295,32'h3f2b0cf5, 32'h3f129d64,32'h3f333226,// invsqrt(2.4695) = 0.6363 +32'h3ff5935e,32'h3f351fff,32'h3f3c8491, 32'h3f2f9491,32'h3f420fff, 32'h3f2656da,32'h3f4b4db6,// invsqrt(1.9186) = 0.7220 +32'h3fdf2fb5,32'h3f3dfe2a,32'h3f45bf66, 32'h3f382d3e,32'h3f4b9052, 32'h3f2e7bb4,32'h3f5541dc,// invsqrt(1.7436) = 0.7573 +32'h3eaf7608,32'h3fd6478e,32'h3fdf068e, 32'h3fcfb84d,32'h3fe595cf, 32'h3fc4c98d,32'h3ff0848f,// invsqrt(0.3427) = 1.7082 +32'h3fa4ef80,32'h3f5d02d1,32'h3f660827, 32'h3f563ecf,32'h3f6ccc29, 32'h3f4af823,32'h3f7812d5,// invsqrt(1.2886) = 0.8809 +32'h3e727855,32'h4000e46e,32'h40062738, 32'h3ff9e4aa,32'h400a1951, 32'h3fecbdaf,32'h4010acce,// invsqrt(0.2368) = 2.0550 +32'h3fa8fc4b,32'h3f5a58b6,32'h3f634236, 32'h3f53a996,32'h3f69f156, 32'h3f4885b7,32'h3f751535,// invsqrt(1.3202) = 0.8703 +32'h3f9ab82c,32'h3f6430e9,32'h3f6d8146, 32'h3f5d34a3,32'h3f747d8b, 32'h3f51902e,32'h3f801100,// invsqrt(1.2087) = 0.9096 +32'h402839ca,32'h3f1abe19,32'h3f210f00, 32'h3f16016c,32'h3f25cbae, 32'h3f0e1c4c,32'h3f2db0ce,// invsqrt(2.6285) = 0.6168 +32'h3fca050f,32'h3f47b2bc,32'h3f4fd960, 32'h3f4195c0,32'h3f55f65c, 32'h3f376573,32'h3f6026a9,// invsqrt(1.5783) = 0.7960 +32'h43770564,32'h3d7f661f,32'h3d84e964, 32'h3d7794a1,32'h3d88d223, 32'h3d6a8ccf,32'h3d8f560d,// invsqrt(247.0211) = 0.0636 +32'h3f26b8b5,32'h3f9b7067,32'h3fa1c895, 32'h3f96ae44,32'h3fa68ab8, 32'h3f8ec00b,32'h3fae78f1,// invsqrt(0.6513) = 1.2392 +32'h3f196892,32'h3fa20b1c,32'h3fa8a84c, 32'h3f9d1538,32'h3fad9e30, 32'h3f94d0bc,32'h3fb5e2ac,// invsqrt(0.5993) = 1.2918 +32'h3fc4b583,32'h3f4a603e,32'h3f52a2dd, 32'h3f442e46,32'h3f58d4d4, 32'h3f39daff,32'h3f63281b,// invsqrt(1.5368) = 0.8067 +32'h44360555,32'h3d14c360,32'h3d1ad5cd, 32'h3d10358f,32'h3d1f639f, 32'h3d089e88,32'h3d26faa6,// invsqrt(728.0833) = 0.0371 +32'h416322af,32'h3e852c15,32'h3e8a9b98, 32'h3e811872,32'h3e8eaf3a, 32'h3e749a1b,32'h3e957a9f,// invsqrt(14.1960) = 0.2654 +32'h3ff1ec5c,32'h3f367cb3,32'h3f3def81, 32'h3f30e699,32'h3f43859b, 32'h3f279717,32'h3f4cd51d,// invsqrt(1.8900) = 0.7274 +32'h3f754d4a,32'h3f80256a,32'h3f85606a, 32'h3f787256,32'h3f894ca9, 32'h3f6b5ed8,32'h3f8fd668,// invsqrt(0.9582) = 1.0216 +32'h3f73720f,32'h3f80a241,32'h3f85e259, 32'h3f79645f,32'h3f89d26a, 32'h3f6c4424,32'h3f906288,// invsqrt(0.9510) = 1.0255 +32'h42113d5c,32'h3e2689bb,32'h3e2d55e2, 32'h3e21709e,32'h3e326f00, 32'h3e18f16e,32'h3e3aee31,// invsqrt(36.3099) = 0.1660 +32'h3fd1ec91,32'h3f43e708,32'h3f4be603, 32'h3f3de7cb,32'h3f51e53f, 32'h3f33e911,32'h3f5be3f9,// invsqrt(1.6400) = 0.7809 +32'h3e26dde9,32'h401b5f12,32'h4021b68b, 32'h40169d78,32'h40267826, 32'h400eb021,32'h402e657d,// invsqrt(0.1630) = 2.4772 +32'h3f33a58c,32'h3f95be33,32'h3f9bdadd, 32'h3f9128b4,32'h3fa0705c, 32'h3f8984e1,32'h3fa8142f,// invsqrt(0.7017) = 1.1937 +32'h3febd033,32'h3f38d60d,32'h3f406167, 32'h3f332d8a,32'h3f4609ea, 32'h3f29bf5b,32'h3f4f7819,// invsqrt(1.8423) = 0.7368 +32'h3f48d3be,32'h3f8da069,32'h3f936844, 32'h3f894a86,32'h3f97be28, 32'h3f8210b5,32'h3f9ef7f9,// invsqrt(0.7845) = 1.1290 +32'h415f70f9,32'h3e8644c0,32'h3e8bbfb8, 32'h3e822886,32'h3e8fdbf2, 32'h3e769d9f,32'h3e96b5a8,// invsqrt(13.9651) = 0.2676 +32'h3f451510,32'h3f8ef741,32'h3f94cd19, 32'h3f8a96de,32'h3f992d7c, 32'h3f834b8f,32'h3fa078cb,// invsqrt(0.7699) = 1.1397 +32'h3f2866ba,32'h3f9aa972,32'h3fa0f982, 32'h3f95ed67,32'h3fa5b58d, 32'h3f8e0954,32'h3fad99a0,// invsqrt(0.6578) = 1.2330 +32'h400cfb12,32'h3f2908e9,32'h3f2fef27, 32'h3f23dc3b,32'h3f351bd5, 32'h3f1b3c6e,32'h3f3dbba2,// invsqrt(2.2028) = 0.6738 +32'h40032bca,32'h3f2f3dd5,32'h3f3664ed, 32'h3f29e083,32'h3f3bc23f, 32'h3f20efa4,32'h3f44b31e,// invsqrt(2.0495) = 0.6985 +32'h40886e05,32'h3ef30176,32'h3efcec9f, 32'h3eeb9116,32'h3f022e7f, 32'h3edf2b23,32'h3f086179,// invsqrt(4.2634) = 0.4843 +32'h3f813711,32'h3f79b297,32'h3f81f1d6, 32'h3f720dc7,32'h3f85c43f, 32'h3f65506b,32'h3f8c22ec,// invsqrt(1.0095) = 0.9953 +32'h3c8ece3e,32'h40ed84dd,32'h40f736b2, 32'h40e63f7e,32'h40fe7c12, 32'h40da2134,32'h41054d2e,// invsqrt(0.0174) = 7.5739 +32'h3f651c14,32'h3f8498e1,32'h3f8a0263, 32'h3f8089c0,32'h3f8e1184, 32'h3f738bbd,32'h3f94d565,// invsqrt(0.8950) = 1.0571 +32'h3f8fefe6,32'h3f6c9567,32'h3f763d76, 32'h3f65575d,32'h3f7d7b81, 32'h3f59454a,32'h3f84c6ca,// invsqrt(1.1245) = 0.9430 +32'h3fe09f80,32'h3f3d625f,32'h3f451d3e, 32'h3f379637,32'h3f4ae965, 32'h3f2deca0,32'h3f5492fc,// invsqrt(1.7549) = 0.7549 +32'h3ed94367,32'h3fc090b2,32'h3fc86cce, 32'h3fbaab9d,32'h3fce51e3, 32'h3fb0d87a,32'h3fd82506,// invsqrt(0.4243) = 1.5351 +32'h3f9da8b9,32'h3f620dbd,32'h3f6b47c4, 32'h3f5b2236,32'h3f72334a, 32'h3f4f99ad,32'h3f7dbbd3,// invsqrt(1.2317) = 0.9010 +32'h3f841676,32'h3f76f7ad,32'h3f80861e, 32'h3f6f6841,32'h3f844dd3, 32'h3f62ce8f,32'h3f8a9aad,// invsqrt(1.0319) = 0.9844 +32'h3ea25c99,32'h3fdec183,32'h3fe7d915, 32'h3fd7efd5,32'h3feeaac3, 32'h3fcc925e,32'h3ffa083a,// invsqrt(0.3171) = 1.7758 +32'h3e0511d4,32'h402dfca5,32'h403516a1, 32'h4028a928,32'h403a6a1e, 32'h401fc8ac,32'h40434a9a,// invsqrt(0.1300) = 2.7740 +32'h3fb0602e,32'h3f55b923,32'h3f5e7253, 32'h3f4f2e3e,32'h3f64fd38, 32'h3f4446c2,32'h3f6fe4b4,// invsqrt(1.3779) = 0.8519 +32'h3ec2e441,32'h3fcb513e,32'h3fd39db4, 32'h3fc517e6,32'h3fd9d70c, 32'h3fbab853,32'h3fe4369f,// invsqrt(0.3806) = 1.6208 +32'h3f6469f4,32'h3f84cc8b,32'h3f8a3828, 32'h3f80bbd5,32'h3f8e48dd, 32'h3f73eaa0,32'h3f950f62,// invsqrt(0.8922) = 1.0587 +32'h3e11d3b2,32'h402633cd,32'h402cfc73, 32'h40211d52,32'h403212ee, 32'h4018a283,32'h403a8dbd,// invsqrt(0.1424) = 2.6499 +32'h40317e8b,32'h3f16a5ed,32'h3f1ccc0c, 32'h3f120957,32'h3f2168a3, 32'h3f0a59b0,32'h3f29184a,// invsqrt(2.7733) = 0.6005 +32'h3fc311c9,32'h3f4b3982,32'h3f538500, 32'h3f4500e4,32'h3f59bd9e, 32'h3f3aa287,32'h3f641bfb,// invsqrt(1.5240) = 0.8100 +32'h3fd15061,32'h3f443011,32'h3f4c3207, 32'h3f3e2e98,32'h3f523380, 32'h3f342c24,32'h3f5c35f4,// invsqrt(1.6353) = 0.7820 +32'h409c4eeb,32'h3ee30740,32'h3eec4b77, 32'h3edc1417,32'h3ef33ea1, 32'h3ed07ed3,32'h3efed3e5,// invsqrt(4.8846) = 0.4525 +32'h3e7765da,32'h3fff3450,32'h4004cf78, 32'h3ff76458,32'h4008b774, 32'h3fea5f10,32'h400f3a18,// invsqrt(0.2416) = 2.0345 +32'h3d208a3e,32'h409e6743,32'h40a4de6a, 32'h40998de5,32'h40a9b7c7, 32'h409178f4,32'h40b1ccb8,// invsqrt(0.0392) = 5.0511 +32'h3e4e3f06,32'h400bc0ed,32'h40117535, 32'h400779b7,32'h4015bc6b, 32'h4000585c,32'h401cddc6,// invsqrt(0.2014) = 2.2282 +32'h3fcd383c,32'h3f462299,32'h3f4e38e9, 32'h3f4011de,32'h3f5449a4, 32'h3f35f5fa,32'h3f5e6588,// invsqrt(1.6033) = 0.7898 +32'h3f2d3c88,32'h3f987cfe,32'h3f9eb657, 32'h3f93d1fc,32'h3fa3615a, 32'h3f8c0a4d,32'h3fab2909,// invsqrt(0.6767) = 1.2156 +32'h4055ca46,32'h3f094408,32'h3f0ede52, 32'h3f051051,32'h3f131209, 32'h3efc1eeb,32'h3f1a12e5,// invsqrt(3.3405) = 0.5471 +32'h3f19ba60,32'h3fa1dff9,32'h3fa87b67, 32'h3f9ceb67,32'h3fad6ff9, 32'h3f94a91e,32'h3fb5b242,// invsqrt(0.6005) = 1.2905 +32'h3f3fc7bc,32'h3f90edb9,32'h3f96d815, 32'h3f8c7df5,32'h3f9b47d9, 32'h3f851903,32'h3fa2accb,// invsqrt(0.7491) = 1.1554 +32'h3fc34285,32'h3f4b2024,32'h3f536a98, 32'h3f44e84c,32'h3f59a270, 32'h3f3a8b3b,32'h3f63ff81,// invsqrt(1.5255) = 0.8097 +32'h3f7b043e,32'h3f7d5bae,32'h3f83d981, 32'h3f759a2d,32'h3f87ba41, 32'h3f68ad02,32'h3f8e30d7,// invsqrt(0.9805) = 1.0099 +32'h4006f80e,32'h3f2cc224,32'h3f33cf4a, 32'h3f277847,32'h3f391927, 32'h3f1ea7d8,32'h3f41e996,// invsqrt(2.1089) = 0.6886 +32'h40445ea7,32'h3f0f3998,32'h3f151226, 32'h3f0ad72d,32'h3f197491, 32'h3f03887c,32'h3f20c342,// invsqrt(3.0683) = 0.5709 +32'h3f66235f,32'h3f844cf2,32'h3f89b35a, 32'h3f804024,32'h3f8dc028, 32'h3f730044,32'h3f94802a,// invsqrt(0.8990) = 1.0547 +32'h3d1c12a7,32'h40a0a780,32'h40a7362c, 32'h409bbc7e,32'h40ac212e, 32'h40938a27,32'h40b45385,// invsqrt(0.0381) = 5.1229 +32'h3dc91688,32'h40482909,32'h40505481, 32'h4042086e,32'h4056751c, 32'h4037d218,32'h4060ab72,// invsqrt(0.0982) = 3.1913 +32'h3ef6bfd0,32'h3fb4b198,32'h3fbc11a9, 32'h3faf298c,32'h3fc199b6, 32'h3fa5f177,32'h3fcad1cb,// invsqrt(0.4819) = 1.4405 +32'h3f8e50a4,32'h3f6ded96,32'h3f77a3b2, 32'h3f66a502,32'h3f7eec46, 32'h3f5a8160,32'h3f8587f4,// invsqrt(1.1118) = 0.9484 +32'h3ddfec01,32'h403dae37,32'h40456c2f, 32'h4037dfbd,32'h404b3aa9, 32'h402e3248,32'h4054e81f,// invsqrt(0.1093) = 3.0242 +32'h3e6e68d3,32'h4001fc3d,32'h40074a74, 32'h3ffc0329,32'h400b451d, 32'h3feebfa0,32'h4011e6e2,// invsqrt(0.2328) = 2.0725 +32'h3f9f810b,32'h3f60be12,32'h3f69ea66, 32'h3f59dcd3,32'h3f70cba5, 32'h3f4e6569,32'h3f7c430f,// invsqrt(1.2461) = 0.8958 +32'h3fd02cdc,32'h3f44b93f,32'h3f4cc0cf, 32'h3f3eb393,32'h3f52c67b, 32'h3f34aa20,32'h3f5ccfee,// invsqrt(1.6264) = 0.7841 +32'h3f4c9819,32'h3f8c5115,32'h3f920b3f, 32'h3f880575,32'h3f9656df, 32'h3f80dcbf,32'h3f9d7f95,// invsqrt(0.7992) = 1.1186 +32'h3f81e6b6,32'h3f79098d,32'h3f8199de, 32'h3f7169ea,32'h3f8569b0, 32'h3f64b52e,32'h3f8bc40e,// invsqrt(1.0149) = 0.9927 +32'h3e365fe3,32'h40149e6d,32'h401aaf57, 32'h401011bd,32'h401f3c07, 32'h40087c98,32'h4026d12c,// invsqrt(0.1781) = 2.3696 +32'h3d72d170,32'h4080ccc5,32'h40860e99, 32'h4079b6cc,32'h4089fff8, 32'h406c923b,32'h40909240,// invsqrt(0.0593) = 4.1071 +32'h403173f8,32'h3f16aa6a,32'h3f1cd0b8, 32'h3f120db0,32'h3f216d72, 32'h3f0a5dcf,32'h3f291d53,// invsqrt(2.7727) = 0.6005 +32'h3ecb216b,32'h3fc726c4,32'h3fcf47b2, 32'h3fc10e12,32'h3fd56064, 32'h3fb6e4e8,32'h3fdf898e,// invsqrt(0.3967) = 1.5876 +32'h3fe1a805,32'h3f3cf33e,32'h3f44a994, 32'h3f372a7d,32'h3f4a7255, 32'h3f2d8692,32'h3f541640,// invsqrt(1.7629) = 0.7531 +32'h3e9b2f50,32'h3fe3d940,32'h3fed2609, 32'h3fdcdfa9,32'h3ff41f9f, 32'h3fd13fad,32'h3fffbf9b,// invsqrt(0.3031) = 1.8164 +32'h3eab583a,32'h3fd8d694,32'h3fe1b050, 32'h3fd23346,32'h3fe8539e, 32'h3fc7231a,32'h3ff363ca,// invsqrt(0.3347) = 1.7286 +32'h3f7ff173,32'h3f7ae869,32'h3f829312, 32'h3f733a1c,32'h3f866a38, 32'h3f666cf2,32'h3f8cd0cd,// invsqrt(0.9998) = 1.0001 +32'h3f724e1e,32'h3f80efa8,32'h3f8632e8, 32'h3f79fa6f,32'h3f8a2558, 32'h3f6cd24e,32'h3f90b969,// invsqrt(0.9465) = 1.0279 +32'h41125ca2,32'h3ea5e5fb,32'h3eacab73, 32'h3ea0d1e1,32'h3eb1bf8d, 32'h3e985b0b,32'h3eba3663,// invsqrt(9.1476) = 0.3306 +32'h3f8b0415,32'h3f70bc16,32'h3f7a8f84, 32'h3f695d83,32'h3f80f70c, 32'h3f5d1538,32'h3f871b31,// invsqrt(1.0861) = 0.9596 +32'h424a9f56,32'h3e0cff6f,32'h3e12c0b7, 32'h3e08ae78,32'h3e1711ae, 32'h3e017cde,32'h3e1e4348,// invsqrt(50.6556) = 0.1405 +32'h3f82d8d4,32'h3f7822ba,32'h3f8121bf, 32'h3f708a27,32'h3f84ee08, 32'h3f63e133,32'h3f8b4283,// invsqrt(1.0222) = 0.9891 +32'h3eb95e36,32'h3fd0798f,32'h3fd8fbe8, 32'h3fca17cb,32'h3fdf5dab, 32'h3fbf74dc,32'h3fea009b,// invsqrt(0.3620) = 1.6619 +32'h412b27b1,32'h3e9969a4,32'h3e9faca5, 32'h3e94b762,32'h3ea45ee6, 32'h3e8ce3a0,32'h3eac32a8,// invsqrt(10.6972) = 0.3057 +32'h3e36acc6,32'h40147f23,32'h401a8ec7, 32'h400ff369,32'h401f1a81, 32'h40085fdc,32'h4026ae0e,// invsqrt(0.1784) = 2.3676 +32'h3e217fd4,32'h401deea4,32'h402460df, 32'h401918f8,32'h4029368c, 32'h40110a2f,32'h40314555,// invsqrt(0.1577) = 2.5181 +32'h3f87d221,32'h3f738cc3,32'h3f7d7d9b, 32'h3f6c1820,32'h3f82791f, 32'h3f5fab11,32'h3f88afa7,// invsqrt(1.0611) = 0.9708 +32'h3f33fcc9,32'h3f9599e5,32'h3f9bb513, 32'h3f910583,32'h3fa04975, 32'h3f896389,32'h3fa7eb6f,// invsqrt(0.7031) = 1.1926 +32'h3daeee09,32'h40569ac9,32'h405f5d2f, 32'h405008fc,32'h4065eefc, 32'h404515fc,32'h4070e1fc,// invsqrt(0.0854) = 3.4216 +32'h3f3e5596,32'h3f917a62,32'h3f976a7a, 32'h3f8d064f,32'h3f9bde8d, 32'h3f859a30,32'h3fa34aac,// invsqrt(0.7435) = 1.1597 +32'h3f91d5b9,32'h3f6b0a0b,32'h3f74a1f7, 32'h3f63d81b,32'h3f7bd3e7, 32'h3f57da34,32'h3f83e8e7,// invsqrt(1.1393) = 0.9369 +32'h3fcdf786,32'h3f45c682,32'h3f4dd910, 32'h3f3fb899,32'h3f53e6f9, 32'h3f35a168,32'h3f5dfe2a,// invsqrt(1.6091) = 0.7883 +32'h3ea48d09,32'h3fdd44e7,32'h3fe64cf1, 32'h3fd67ee0,32'h3fed12f8, 32'h3fcb34d4,32'h3ff85d04,// invsqrt(0.3214) = 1.7639 +32'h3edf95f6,32'h3fbdd2b3,32'h3fc59228, 32'h3fb8031b,32'h3fcb61bf, 32'h3fae53c8,32'h3fd51112,// invsqrt(0.4367) = 1.5133 +32'h3f917f95,32'h3f6b4f94,32'h3f74ea56, 32'h3f641b82,32'h3f7c1e68, 32'h3f581a10,32'h3f840fed,// invsqrt(1.1367) = 0.9379 +32'h3dd433a7,32'h4042d927,32'h404acd1f, 32'h403ce22e,32'h4050c418, 32'h4032f139,32'h405ab50d,// invsqrt(0.1036) = 3.1066 +32'h41042193,32'h3eae9a8c,32'h3eb5bafa, 32'h3ea94239,32'h3ebb134d, 32'h3ea059b0,32'h3ec3fbd7,// invsqrt(8.2582) = 0.3480 +32'h3f1006ba,32'h3fa73cf3,32'h3fae106a, 32'h3fa21e58,32'h3fb32f04, 32'h3f999603,32'h3fbbb759,// invsqrt(0.5626) = 1.3332 +32'h3fbc9ff8,32'h3f4eaacd,32'h3f571a43, 32'h3f485734,32'h3f5d6ddc, 32'h3f3dcbe1,32'h3f67f92f,// invsqrt(1.4736) = 0.8238 +32'h3ff21166,32'h3f366ebd,32'h3f3de0f9, 32'h3f30d910,32'h3f4376a6, 32'h3f278a45,32'h3f4cc571,// invsqrt(1.8912) = 0.7272 +32'h3f8143bf,32'h3f79a657,32'h3f81eb76, 32'h3f7201e7,32'h3f85bdaf, 32'h3f65452b,32'h3f8c1c0c,// invsqrt(1.0099) = 0.9951 +32'h3f3f87db,32'h3f9105e2,32'h3f96f13a, 32'h3f8c9560,32'h3f9b61bc, 32'h3f852f33,32'h3fa2c7e9,// invsqrt(0.7482) = 1.1561 +32'h3f1dc03e,32'h3f9fcc2a,32'h3fa651e3, 32'h3f9ae7e0,32'h3fab362e, 32'h3f92c0ba,32'h3fb35d55,// invsqrt(0.6162) = 1.2739 +32'h3eb1d06f,32'h3fd4db60,32'h3fdd8b84, 32'h3fce5746,32'h3fe40f9e, 32'h3fc37b1a,32'h3feeebca,// invsqrt(0.3473) = 1.6969 +32'h3f1ee0de,32'h3f9f3ac3,32'h3fa5ba8c, 32'h3f9a5aeb,32'h3faa9a63, 32'h3f923b30,32'h3fb2ba1e,// invsqrt(0.6206) = 1.2694 +32'h3ec80744,32'h3fc8b094,32'h3fd0e195, 32'h3fc28bd4,32'h3fd70656, 32'h3fb84e93,32'h3fe14397,// invsqrt(0.3907) = 1.5999 +32'h4020af15,32'h3f1e5519,32'h3f24cb83, 32'h3f197c4a,32'h3f29a452, 32'h3f116846,32'h3f31b856,// invsqrt(2.5107) = 0.6311 +32'h402ee6fb,32'h3f17c2a6,32'h3f1df464, 32'h3f131d58,32'h3f2299b2, 32'h3f0b5f2b,32'h3f2a57df,// invsqrt(2.7328) = 0.6049 +32'h3f2ee9a2,32'h3f97c17f,32'h3f9df331, 32'h3f931c3a,32'h3fa29876, 32'h3f8b5e1c,32'h3faa5694,// invsqrt(0.6833) = 1.2098 +32'h3e87bb9f,32'h3ff3a0f3,32'h3ffd929f, 32'h3fec2bb2,32'h400283f0, 32'h3fdfbd9b,32'h4008bafb,// invsqrt(0.2651) = 1.9422 +32'h3f695596,32'h3f83642e,32'h3f88c116, 32'h3f7ebd01,32'h3f8cc6c4, 32'h3f7154bd,32'h3f937ae5,// invsqrt(0.9115) = 1.0474 +32'h3f593c01,32'h3f882c59,32'h3f8dbb38, 32'h3f840131,32'h3f91e65f, 32'h3f7a1d35,32'h3f98d8f6,// invsqrt(0.8486) = 1.0856 +32'h3f3782f4,32'h3f942862,32'h3f9a347a, 32'h3f8f9f4f,32'h3f9ebd8d, 32'h3f881030,32'h3fa64cac,// invsqrt(0.7168) = 1.1811 +32'h4049854a,32'h3f0d61f8,32'h3f132746, 32'h3f090dfd,32'h3f177b41, 32'h3f01d75c,32'h3f1eb1e2,// invsqrt(3.1488) = 0.5635 +32'h3f715122,32'h3f81332c,32'h3f86792e, 32'h3f7a7d56,32'h3f8a6daf, 32'h3f6d4e51,32'h3f910532,// invsqrt(0.9426) = 1.0300 +32'h3edeaae5,32'h3fbe36cb,32'h3fc5fa55, 32'h3fb86422,32'h3fcbccfe, 32'h3faeafb5,32'h3fd5816b,// invsqrt(0.4349) = 1.5164 +32'h3f33c6b7,32'h3f95b062,32'h3f9bcc7b, 32'h3f911b50,32'h3fa0618e, 32'h3f897831,32'h3fa804ad,// invsqrt(0.7023) = 1.1933 +32'h3e203a0e,32'h401e8ee1,32'h402507a6, 32'h4019b44c,32'h4029e23a, 32'h40119d56,32'h4031f930,// invsqrt(0.1565) = 2.5280 +32'h3f4a6322,32'h3f8d1466,32'h3f92d68a, 32'h3f88c2cc,32'h3f972824, 32'h3f81901f,32'h3f9e5ad1,// invsqrt(0.7906) = 1.1247 +32'h3f7be65c,32'h3f7ce9de,32'h3f839e47, 32'h3f752bda,32'h3f877d49, 32'h3f68447d,32'h3f8df0f7,// invsqrt(0.9840) = 1.0081 +32'h3f00c88c,32'h3fb0dbcb,32'h3fb813c9, 32'h3fab71cd,32'h3fbd7dc7, 32'h3fa26bcf,32'h3fc683c5,// invsqrt(0.5031) = 1.4099 +32'h3eeccb6b,32'h3fb873e7,32'h3fbffb3f, 32'h3fb2ce65,32'h3fc5a0c1, 32'h3fa96538,32'h3fcf09ef,// invsqrt(0.4625) = 1.4704 +32'h401e30aa,32'h3f1f9358,32'h3f2616bf, 32'h3f1ab0cb,32'h3f2af94d, 32'h3f128c8b,32'h3f331d8d,// invsqrt(2.4717) = 0.6361 +32'h3f6c7701,32'h3f8284c9,32'h3f87d893, 32'h3f7d0be4,32'h3f8bd76a, 32'h3f6fba6c,32'h3f928026,// invsqrt(0.9237) = 1.0405 +32'h3fab2c81,32'h3f58f243,32'h3f61cd21, 32'h3f524e1c,32'h3f687148, 32'h3f473c87,32'h3f7382dd,// invsqrt(1.3373) = 0.8647 +32'h3f2b6656,32'h3f994d98,32'h3f9f8f74, 32'h3f949c32,32'h3fa440da, 32'h3f8cc9df,32'h3fac132d,// invsqrt(0.6695) = 1.2221 +32'h3fabc65a,32'h3f589106,32'h3f6167ec, 32'h3f51efd9,32'h3f680919, 32'h3f46e33a,32'h3f7315b8,// invsqrt(1.3420) = 0.8632 +32'h3fb49107,32'h3f533a7c,32'h3f5bd99c, 32'h3f4cc325,32'h3f6250f3, 32'h3f41fc3e,32'h3f6d17da,// invsqrt(1.4107) = 0.8420 +32'h3f654202,32'h3f848de9,32'h3f89f6f7, 32'h3f807f1e,32'h3f8e05c2, 32'h3f737796,32'h3f94c915,// invsqrt(0.8955) = 1.0567 +32'h40b409a4,32'h3ed389d9,32'h3edc2c35, 32'h3ecd1013,32'h3ee2a5fb, 32'h3ec24520,32'h3eed70ee,// invsqrt(5.6262) = 0.4216 +32'h3f8f8a89,32'h3f6ce8e1,32'h3f769457, 32'h3f65a847,32'h3f7dd4f1, 32'h3f5991f3,32'h3f84f5a3,// invsqrt(1.1214) = 0.9443 +32'h3f21547f,32'h3f9e03d8,32'h3fa476f1, 32'h3f992d86,32'h3fa94d44, 32'h3f911da8,32'h3fb15d22,// invsqrt(0.6302) = 1.2597 +32'h3ea66f34,32'h3fdc037a,32'h3fe4fe65, 32'h3fd5474a,32'h3febba96, 32'h3fca0da5,32'h3ff6f43b,// invsqrt(0.3251) = 1.7539 +32'h3f01a86f,32'h3fb042d7,32'h3fb77497, 32'h3faadd87,32'h3fbcd9e7, 32'h3fa1df58,32'h3fc5d816,// invsqrt(0.5065) = 1.4051 +32'h3ffcd357,32'h3f328259,32'h3f39cb97, 32'h3f2d0b6c,32'h3f3f4284, 32'h3f23efdf,32'h3f485e11,// invsqrt(1.9752) = 0.7115 +32'h3ed4175d,32'h3fc2e626,32'h3fcadaa4, 32'h3fbceec6,32'h3fd0d204, 32'h3fb2fd28,32'h3fdac3a2,// invsqrt(0.4142) = 1.5537 +32'h3f91641b,32'h3f6b65cf,32'h3f75017a, 32'h3f643110,32'h3f7c363a, 32'h3f582e7b,32'h3f841c68,// invsqrt(1.1359) = 0.9383 +32'h3f635f45,32'h3f851a55,32'h3f8a891f, 32'h3f81073e,32'h3f8e9c36, 32'h3f747982,32'h3f9566b3,// invsqrt(0.8882) = 1.0611 +32'h3f739270,32'h3f8099b4,32'h3f85d972, 32'h3f7953ca,32'h3f89c941, 32'h3f6c346f,32'h3f9058ee,// invsqrt(0.9515) = 1.0252 +32'h3f929dd0,32'h3f6a6973,32'h3f73fad1, 32'h3f633c6d,32'h3f7b27d7, 32'h3f5746b8,32'h3f838ec6,// invsqrt(1.1454) = 0.9344 +32'h428932b0,32'h3df2530c,32'h3dfc3716, 32'h3deae803,32'h3e01d10f, 32'h3dde8af6,32'h3e07ff96,// invsqrt(68.5990) = 0.1207 +32'h41a96ea0,32'h3e5a0efe,32'h3e62f57c, 32'h3e536220,32'h3e69a25a, 32'h3e484204,32'h3e74c276,// invsqrt(21.1790) = 0.2173 +32'h3ffa47d3,32'h3f336a1b,32'h3f3abccd, 32'h3f2dec15,32'h3f403ad3, 32'h3f24c4b5,32'h3f496233,// invsqrt(1.9553) = 0.7151 +32'h40387c1b,32'h3f13c434,32'h3f19cc36, 32'h3f0f3e32,32'h3f1e5238, 32'h3f07b430,32'h3f25dc3a,// invsqrt(2.8826) = 0.5890 +32'h3e8cb8c8,32'h3fef456a,32'h3ff9098e, 32'h3fe7f24f,32'h40002e54, 32'h3fdbbd23,32'h400648eb,// invsqrt(0.2748) = 1.9075 +32'h3fa56bf0,32'h3f5cafa1,32'h3f65b193, 32'h3f55ee2c,32'h3f6c7308, 32'h3f4aabbe,32'h3f77b576,// invsqrt(1.2924) = 0.8796 +32'h3fb68273,32'h3f5219de,32'h3f5aad36, 32'h3f4bab5d,32'h3f611bb7, 32'h3f40f32f,32'h3f6bd3e5,// invsqrt(1.4259) = 0.8375 +32'h42411b72,32'h3e106e07,32'h3e16532b, 32'h3e0c022b,32'h3e1abf07, 32'h3e04a3bd,32'h3e221d75,// invsqrt(48.2768) = 0.1439 +32'h3ebe2c63,32'h3fcdd2f6,32'h3fd6399c, 32'h3fc785f9,32'h3fdc8699, 32'h3fbd05a8,32'h3fe706ea,// invsqrt(0.3714) = 1.6408 +32'h3f44cf00,32'h3f8f10b1,32'h3f94e793, 32'h3f8aaf87,32'h3f9948bd, 32'h3f8362eb,32'h3fa09559,// invsqrt(0.7688) = 1.1405 +32'h3d155c70,32'h40a43969,32'h40aaed63, 32'h409f326e,32'h40aff45e, 32'h4096d175,32'h40b85557,// invsqrt(0.0365) = 5.2367 +32'h3e4219f8,32'h40100f36,32'h4015f07c, 32'h400ba641,32'h401a5971, 32'h40044caa,32'h4021b308,// invsqrt(0.1896) = 2.2969 +32'h3fa59e57,32'h3f5c8e0a,32'h3f658e9c, 32'h3f55cd9c,32'h3f6c4f0a, 32'h3f4a8ce4,32'h3f778fc2,// invsqrt(1.2939) = 0.8791 +32'h3f24992e,32'h3f9c7039,32'h3fa2d2d8, 32'h3f97a641,32'h3fa79ccf, 32'h3f8faafa,32'h3faf9816,// invsqrt(0.6430) = 1.2471 +32'h4010b2ca,32'h3f26d966,32'h3f2da8ce, 32'h3f21bdd9,32'h3f32c45b, 32'h3f193a97,32'h3f3b479d,// invsqrt(2.2609) = 0.6651 +32'h3f18ef25,32'h3fa24b64,32'h3fa8eb34, 32'h3f9d5388,32'h3fade310, 32'h3f950bc4,32'h3fb62ad4,// invsqrt(0.5974) = 1.2938 +32'h3f89b0b0,32'h3f71e412,32'h3f7bc396, 32'h3f6a7c70,32'h3f81959c, 32'h3f5e250c,32'h3f87c14e,// invsqrt(1.0757) = 0.9642 +32'h3f20d8aa,32'h3f9e40a0,32'h3fa4b634, 32'h3f996872,32'h3fa98e62, 32'h3f915579,32'h3fb1a15b,// invsqrt(0.6283) = 1.2616 +32'h3eb00e22,32'h3fd5eaea,32'h3fdea622, 32'h3fcf5e7f,32'h3fe5328d, 32'h3fc47479,32'h3ff01c93,// invsqrt(0.3439) = 1.7053 +32'h3f84c6b0,32'h3f765392,32'h3f8030b7, 32'h3f6ec92d,32'h3f83f5ea, 32'h3f6237da,32'h3f8a3e93,// invsqrt(1.0373) = 0.9818 +32'h3f9c0cad,32'h3f63376b,32'h3f6c7d99, 32'h3f5c42c8,32'h3f73723c, 32'h3f50ab0f,32'h3f7f09f5,// invsqrt(1.2191) = 0.9057 +32'h3f4ead51,32'h3f8b9b9e,32'h3f914e60, 32'h3f87558c,32'h3f959472, 32'h3f803619,32'h3f9cb3e5,// invsqrt(0.8073) = 1.1129 +32'h404a454a,32'h3f0d1ece,32'h3f12e15e, 32'h3f08cce2,32'h3f17334a, 32'h3f0199ad,32'h3f1e667f,// invsqrt(3.1605) = 0.5625 +32'h3ea08540,32'h3fe007a0,32'h3fe92c82, 32'h3fd92bf7,32'h3ff0082b, 32'h3fcdbddc,32'h3ffb7646,// invsqrt(0.3135) = 1.7860 +32'h3f93400f,32'h3f69e82b,32'h3f737441, 32'h3f62bf1a,32'h3f7a9d52, 32'h3f56cffd,32'h3f834637,// invsqrt(1.1504) = 0.9323 +32'h3e812735,32'h3ff9c1eb,32'h4001f9d0, 32'h3ff21ca2,32'h4005cc75, 32'h3fe55e7f,32'h400c2b86,// invsqrt(0.2523) = 1.9911 +32'h40c4fe7a,32'h3eca3abf,32'h3ed27bd7, 32'h3ec409ee,32'h3ed8aca8, 32'h3eb9b890,32'h3ee2fe06,// invsqrt(6.1561) = 0.4030 +32'h3de95b75,32'h4039ce68,32'h404163e4, 32'h40341e4a,32'h40471402, 32'h402aa36f,32'h40508edd,// invsqrt(0.1139) = 2.9625 +32'h40418d16,32'h3f10439a,32'h3f162704, 32'h3f0bd90b,32'h3f1a9193, 32'h3f047cc7,32'h3f21edd7,// invsqrt(3.0242) = 0.5750 +32'h405e44b4,32'h3f069f53,32'h3f0c1dfd, 32'h3f028053,32'h3f103cfd, 32'h3ef743fb,32'h3f171b52,// invsqrt(3.4729) = 0.5366 +32'h40d1f91f,32'h3ec3e12c,32'h3ecbdfea, 32'h3ebde21e,32'h3ed1def8, 32'h3eb3e3b0,32'h3edbdd66,// invsqrt(6.5617) = 0.3904 +32'h3e0bcddf,32'h4029be9e,32'h4030ac46, 32'h40248c60,32'h4035de84, 32'h401be34d,32'h403e8797,// invsqrt(0.1365) = 2.7064 +32'h3f4bd6a9,32'h3f8c9399,32'h3f92507b, 32'h3f8845f0,32'h3f969e24, 32'h3f8119d6,32'h3f9dca3e,// invsqrt(0.7962) = 1.1207 +32'h418f0e13,32'h3e6d4fd9,32'h3e76ff84, 32'h3e660c19,32'h3e7e4345, 32'h3e59f084,32'h3e852f6d,// invsqrt(17.8819) = 0.2365 +32'h3f4a5c51,32'h3f8d16c6,32'h3f92d902, 32'h3f88c519,32'h3f972aaf, 32'h3f81924d,32'h3f9e5d7b,// invsqrt(0.7905) = 1.1248 +32'h3e5be58e,32'h400758ad,32'h400cdee9, 32'h40033401,32'h40110395, 32'h3ff8986d,32'h4017eb5f,// invsqrt(0.2147) = 2.1579 +32'h3e31b4b3,32'h40168ef7,32'h401cb425, 32'h4011f314,32'h40215008, 32'h400a4499,32'h4028fe83,// invsqrt(0.1735) = 2.4005 +32'h3fd37e8e,32'h3f432c82,32'h3f4b23e0, 32'h3f3d32fb,32'h3f511d67, 32'h3f333dc6,32'h3f5b129c,// invsqrt(1.6523) = 0.7780 +32'h3fa13752,32'h3f5f8bc4,32'h3f68ab98, 32'h3f58b3e5,32'h3f6f8377, 32'h3f4d4c1d,32'h3f7aeb3f,// invsqrt(1.2595) = 0.8910 +32'h3fd14bdb,32'h3f443230,32'h3f4c343c, 32'h3f3e30a6,32'h3f5235c6, 32'h3f342e17,32'h3f5c3855,// invsqrt(1.6351) = 0.7820 +32'h3fbb6ff3,32'h3f4f5224,32'h3f57c86f, 32'h3f48f96d,32'h3f5e2127, 32'h3f3e658f,32'h3f68b505,// invsqrt(1.4644) = 0.8264 +32'h3fb6093f,32'h3f525fc4,32'h3f5af5f6, 32'h3f4bef1f,32'h3f61669b, 32'h3f413360,32'h3f6c225a,// invsqrt(1.4222) = 0.8385 +32'h408bc288,32'h3ef017d8,32'h3ef9e492, 32'h3ee8be4c,32'h3f009f0f, 32'h3edc7e63,32'h3f06bf04,// invsqrt(4.3675) = 0.4785 +32'h3e10c931,32'h4026cc7d,32'h402d9b5e, 32'h4021b155,32'h4032b687, 32'h40192ebd,32'h403b391f,// invsqrt(0.1414) = 2.6594 +32'h3fffcfa3,32'h3f3176e6,32'h3f38b538, 32'h3f2c0828,32'h3f3e23f6, 32'h3f22fa41,32'h3f4731dd,// invsqrt(1.9985) = 0.7074 +32'h3f117c81,32'h3fa66593,32'h3fad3041, 32'h3fa14d92,32'h3fb24842, 32'h3f98d039,32'h3fbac59b,// invsqrt(0.5683) = 1.3265 +32'h3f9193ce,32'h3f6b3f3c,32'h3f74d953, 32'h3f640baa,32'h3f7c0ce4, 32'h3f580b0d,32'h3f8406c1,// invsqrt(1.1373) = 0.9377 +32'h3e013bad,32'h40308cf2,32'h4037c1b8, 32'h402b255e,32'h403d294c, 32'h40222366,32'h40462b44,// invsqrt(0.1262) = 2.8149 +32'h3f6891c3,32'h3f839b74,32'h3f88fa9d, 32'h3f7f2829,32'h3f8d01fc, 32'h3f71ba42,32'h3f93b8ef,// invsqrt(0.9085) = 1.0492 +32'h3fa6f69c,32'h3f5baa31,32'h3f64a177, 32'h3f54f0bd,32'h3f6b5aeb, 32'h3f49bba5,32'h3f769003,// invsqrt(1.3044) = 0.8756 +32'h3ec54c65,32'h3fca12cd,32'h3fd25243, 32'h3fc3e334,32'h3fd881dc, 32'h3fb993e1,32'h3fe2d12f,// invsqrt(0.3853) = 1.6109 +32'h3ff323ab,32'h3f3607ba,32'h3f3d75c2, 32'h3f307534,32'h3f430848, 32'h3f272bab,32'h3f4c51d1,// invsqrt(1.8995) = 0.7256 +32'h3fce70ce,32'h3f458c61,32'h3f4d9c8f, 32'h3f3f803f,32'h3f53a8b1, 32'h3f356c06,32'h3f5dbcea,// invsqrt(1.6128) = 0.7874 +32'h3f575850,32'h3f88c4f2,32'h3f8e5a0b, 32'h3f84951e,32'h3f9289de, 32'h3f7b357c,32'h3f99843e,// invsqrt(0.8412) = 1.0903 +32'h3f405b71,32'h3f90b60a,32'h3f969e20, 32'h3f8c47fa,32'h3f9b0c30, 32'h3f84e5e0,32'h3fa26e4a,// invsqrt(0.7514) = 1.1536 +32'h3fe074d8,32'h3f3d745d,32'h3f452ff8, 32'h3f37a7a8,32'h3f4afcac, 32'h3f2dfd26,32'h3f54a72e,// invsqrt(1.7536) = 0.7552 +32'h3fa53dc6,32'h3f5cce72,32'h3f65d1a6, 32'h3f560c0b,32'h3f6c940d, 32'h3f4ac80b,32'h3f77d80d,// invsqrt(1.2909) = 0.8801 +32'h3d099053,32'h40ab1f0c,32'h40b21b16, 32'h40a5e203,32'h40b7581f, 32'h409d26f6,32'h40c0132c,// invsqrt(0.0336) = 5.4567 +32'h3e149704,32'h4024a65d,32'h402b5eca, 32'h401f9c0d,32'h4030691b, 32'h40173585,32'h4038cfa3,// invsqrt(0.1451) = 2.6252 +32'h4008c59c,32'h3f2b9dad,32'h3f329ee3, 32'h3f265cc4,32'h3f37dfcc, 32'h3f1d9b41,32'h3f40a14f,// invsqrt(2.1371) = 0.6841 +32'h40a0b22f,32'h3edfe84c,32'h3ee90be6, 32'h3ed90d98,32'h3eefe69a, 32'h3ecda116,32'h3efb531c,// invsqrt(5.0218) = 0.4462 +32'h3f885f03,32'h3f730ed4,32'h3f7cfa8a, 32'h3f6b9e0d,32'h3f8235a9, 32'h3f5f376a,32'h3f8868fa,// invsqrt(1.0654) = 0.9688 +32'h3ef9c282,32'h3fb399f7,32'h3fbaee9d, 32'h3fae1a7a,32'h3fc06e1a, 32'h3fa4f0a9,32'h3fc997eb,// invsqrt(0.4878) = 1.4318 +32'h3fb0b4bf,32'h3f5585f9,32'h3f5e3d13, 32'h3f4efca6,32'h3f64c666, 32'h3f4417c5,32'h3f6fab47,// invsqrt(1.3805) = 0.8511 +32'h402ce028,32'h3f18a5b6,32'h3f1ee0b8, 32'h3f13f974,32'h3f238cfa, 32'h3f0c2fb2,32'h3f2b56bc,// invsqrt(2.7012) = 0.6084 +32'h3f1700b4,32'h3fa35441,32'h3fa9fee0, 32'h3f9e5449,32'h3faefed7, 32'h3f95ff02,32'h3fb7541e,// invsqrt(0.5899) = 1.3020 +32'h3ff1c628,32'h3f368b1e,32'h3f3dfe82, 32'h3f30f492,32'h3f43950e, 32'h3f27a455,32'h3f4ce54b,// invsqrt(1.8889) = 0.7276 +32'h3fa0f055,32'h3f5fbd0c,32'h3f68dee2, 32'h3f58e3ab,32'h3f6fb843, 32'h3f4d795e,32'h3f7b2290,// invsqrt(1.2573) = 0.8918 +32'h3f9101e0,32'h3f6bb57d,32'h3f755468, 32'h3f647e4d,32'h3f7c8b99, 32'h3f5877a8,32'h3f84491f,// invsqrt(1.1329) = 0.9395 +32'h3eecec5c,32'h3fb86714,32'h3fbfede6, 32'h3fb2c1f7,32'h3fc59303, 32'h3fa95970,32'h3fcefb8a,// invsqrt(0.4627) = 1.4700 +32'h3d29cd5c,32'h409a05c8,32'h40a04f28, 32'h40954ebf,32'h40a50631, 32'h408d7306,32'h40ace1ea,// invsqrt(0.0415) = 4.9114 +32'h3f38a1f1,32'h3f93b50f,32'h3f99bc73, 32'h3f8f2f84,32'h3f9e41fe, 32'h3f87a647,32'h3fa5cb3b,// invsqrt(0.7212) = 1.1775 +32'h3fc98d83,32'h3f47edec,32'h3f5016fb, 32'h3f41cf21,32'h3f5635c7, 32'h3f379bcf,32'h3f606919,// invsqrt(1.5746) = 0.7969 +32'h3eeed827,32'h3fb7a8d9,32'h3fbf27e7, 32'h3fb2098e,32'h3fc4c732, 32'h3fa8aabd,32'h3fce2603,// invsqrt(0.4665) = 1.4641 +32'h3f44a6ef,32'h3f8f1f43,32'h3f94f6bd, 32'h3f8abda6,32'h3f99585a, 32'h3f83704d,32'h3fa0a5b3,// invsqrt(0.7682) = 1.1410 +32'h3f5c9c01,32'h3f8720aa,32'h3f8ca49c, 32'h3f82fdb4,32'h3f90c792, 32'h3f78318c,32'h3f97ac80,// invsqrt(0.8618) = 1.0772 +32'h41a31207,32'h3e5e4575,32'h3e6757f7, 32'h3e577793,32'h3e6e25d9, 32'h3e4c2071,32'h3e797cfb,// invsqrt(20.3838) = 0.2215 +32'h40ce45c2,32'h3ec5a0fd,32'h3ecdb203, 32'h3ebf943a,32'h3ed3bec6, 32'h3eb57ef3,32'h3eddd40d,// invsqrt(6.4460) = 0.3939 +32'h3f3da037,32'h3f91bfe4,32'h3f97b2d3, 32'h3f8d49b0,32'h3f9c2906, 32'h3f85da05,32'h3fa398b1,// invsqrt(0.7407) = 1.1619 +32'h3e54e898,32'h40098cb5,32'h400f29f6, 32'h400556c5,32'h40135fe7, 32'h3ffca468,32'h401a6478,// invsqrt(0.2079) = 2.1931 +32'h3fa45852,32'h3f5d6861,32'h3f6671dd, 32'h3f56a144,32'h3f6d38fa, 32'h3f4b5569,32'h3f7884d5,// invsqrt(1.2839) = 0.8825 +32'h40f4a6ef,32'h3eb5776f,32'h3ebcdf93, 32'h3eafe954,32'h3ec26dae, 32'h3ea6a727,32'h3ecbafdb,// invsqrt(7.6454) = 0.3617 +32'h3f180c98,32'h3fa2c41f,32'h3fa968dd, 32'h3f9dc891,32'h3fae646b, 32'h3f957aa5,32'h3fb6b257,// invsqrt(0.5939) = 1.2976 +32'h3fd64a17,32'h3f41e595,32'h3f49cf9b, 32'h3f3bf610,32'h3f4fbf20, 32'h3f321189,32'h3f59a3a7,// invsqrt(1.6741) = 0.7729 +32'h4059efee,32'h3f07f417,32'h3f0d80ab, 32'h3f03caa9,32'h3f11aa19, 32'h3ef9b5e2,32'h3f1899d1,// invsqrt(3.4053) = 0.5419 +32'h41d2bfc6,32'h3e4384c5,32'h3e4b7fbd, 32'h3e3d888b,32'h3e517bf7, 32'h3e338ed4,32'h3e5b75ae,// invsqrt(26.3436) = 0.1948 +32'h400ffcd3,32'h3f2742b3,32'h3f2e1666, 32'h3f2223eb,32'h3f33352d, 32'h3f199b4b,32'h3f3bbdcd,// invsqrt(2.2498) = 0.6667 +32'h417e78ba,32'h3e7ba1de,32'h3e82f395, 32'h3e73ede4,32'h3e86cd92, 32'h3e671744,32'h3e8d38e2,// invsqrt(15.9045) = 0.2507 +32'h411c5c66,32'h3ea08199,32'h3ea70eb9, 32'h3e9b97c1,32'h3eabf891, 32'h3e936758,32'h3eb428fa,// invsqrt(9.7726) = 0.3199 +32'h3f29fc9d,32'h3f99f05e,32'h3fa038df, 32'h3f9539fc,32'h3fa4ef40, 32'h3f8d5f5b,32'h3facc9e1,// invsqrt(0.6640) = 1.2272 +32'h3f47ce43,32'h3f8dfcf7,32'h3f93c899, 32'h3f89a43e,32'h3f982152, 32'h3f8265b4,32'h3f9f5fdc,// invsqrt(0.7805) = 1.1319 +32'h3c590c99,32'h41083b37,32'h410dcab1, 32'h41040f9b,32'h4111f64d, 32'h40fa3884,32'h4118e9a6,// invsqrt(0.0132) = 8.6882 +32'h3f8d245c,32'h3f6eea29,32'h3f78aa93, 32'h3f6799d9,32'h3f7ffae3, 32'h3f5b6955,32'h3f8615b4,// invsqrt(1.1027) = 0.9523 +32'h3ffc8f86,32'h3f329a4f,32'h3f39e487, 32'h3f2d22a6,32'h3f3f5c30, 32'h3f2405e0,32'h3f4878f6,// invsqrt(1.9731) = 0.7119 +32'h3f1e1670,32'h3f9fa094,32'h3fa62486, 32'h3f9abda0,32'h3fab077a, 32'h3f9298b2,32'h3fb32c68,// invsqrt(0.6175) = 1.2725 +32'h40178878,32'h3f230b06,32'h3f29b2a8, 32'h3f1e0d4c,32'h3f2eb062, 32'h3f15bbc2,32'h3f3701ed,// invsqrt(2.3677) = 0.6499 +32'h40f2234b,32'h3eb667ff,32'h3ebdd9f5, 32'h3eb0d287,32'h3ec36f6d, 32'h3ea78414,32'h3eccbde0,// invsqrt(7.5668) = 0.3635 +32'h3ed22ac6,32'h3fc3ca07,32'h3fcbc7d3, 32'h3fbdcbae,32'h3fd1c62c, 32'h3fb3ce6f,32'h3fdbc36b,// invsqrt(0.4105) = 1.5608 +32'h3e0c32b7,32'h40298186,32'h40306cb0, 32'h40245126,32'h40359d10, 32'h401bab32,32'h403e4304,// invsqrt(0.1369) = 2.7026 +32'h3f8bd638,32'h3f7006f1,32'h3f79d2fb, 32'h3f68adea,32'h3f809601, 32'h3f5c6edd,32'h3f86b587,// invsqrt(1.0925) = 0.9567 +32'h3f7c7c23,32'h3f7c9ece,32'h3f837737, 32'h3f74e316,32'h3f875513, 32'h3f67ff8e,32'h3f8dc6d7,// invsqrt(0.9863) = 1.0069 +32'h402065ce,32'h3f1e7940,32'h3f24f123, 32'h3f199f55,32'h3f29cb0d, 32'h3f118979,32'h3f31e0e9,// invsqrt(2.5062) = 0.6317 +32'h3efab049,32'h3fb344b5,32'h3fba95e1, 32'h3fadc7d4,32'h3fc012c2, 32'h3fa4a25d,32'h3fc93839,// invsqrt(0.4896) = 1.4291 +32'h3e97d473,32'h3fe65a2b,32'h3fefc11d, 32'h3fdf4cf5,32'h3ff6ce53, 32'h3fd38c47,32'h40014781,// invsqrt(0.2965) = 1.8364 +32'h3fb76579,32'h3f5197ab,32'h3f5a25b2, 32'h3f4b2d26,32'h3f609038, 32'h3f407b9e,32'h3f6b41c1,// invsqrt(1.4328) = 0.8354 +32'h3f21c2bd,32'h3f9dcdf7,32'h3fa43edd, 32'h3f98f94b,32'h3fa91389, 32'h3f90ec2c,32'h3fb120a8,// invsqrt(0.6319) = 1.2580 +32'h3f926b84,32'h3f6a91b2,32'h3f7424b4, 32'h3f636370,32'h3f7b52f6, 32'h3f576bae,32'h3f83a55c,// invsqrt(1.1439) = 0.9350 +32'h3e04f1c0,32'h402e11a1,32'h40352c79, 32'h4028bd80,32'h403a809a, 32'h401fdbf2,32'h40436228,// invsqrt(0.1298) = 2.7753 +32'h3feb5ff9,32'h3f390219,32'h3f408f3f, 32'h3f33583d,32'h3f46391b, 32'h3f29e7ce,32'h3f4fa98a,// invsqrt(1.8389) = 0.7374 +32'h40495e66,32'h3f0d6f9e,32'h3f13357b, 32'h3f091b39,32'h3f1789e1, 32'h3f01e3e5,32'h3f1ec135,// invsqrt(3.1464) = 0.5638 +32'h3dbb8a4d,32'h404f4393,32'h4057b945, 32'h4048eb4d,32'h405e118b, 32'h403e582e,32'h4068a4aa,// invsqrt(0.0916) = 3.3046 +32'h40b843a0,32'h3ed1192d,32'h3ed9a20b, 32'h3ecab287,32'h3ee008b1, 32'h3ec00773,32'h3eeab3c5,// invsqrt(5.7583) = 0.4167 +32'h4002bd48,32'h3f2f87d5,32'h3f36b1f3, 32'h3f2a283f,32'h3f3c1189, 32'h3f21339a,32'h3f45062e,// invsqrt(2.0428) = 0.6997 +32'h3f943523,32'h3f692675,32'h3f72aaa3, 32'h3f620352,32'h3f79cdc6, 32'h3f561e18,32'h3f82d980,// invsqrt(1.1579) = 0.9293 +32'h3f99e7cf,32'h3f64cb2c,32'h3f6e21d6, 32'h3f5dca2e,32'h3f7522d4, 32'h3f521dda,32'h3f806794,// invsqrt(1.2024) = 0.9120 +32'h3fa5cbbb,32'h3f5c6fd7,32'h3f656f2e, 32'h3f55b055,32'h3f6c2eaf, 32'h3f4a7128,32'h3f776ddc,// invsqrt(1.2953) = 0.8787 +32'h409d48af,32'h3ee252b6,32'h3eeb8f8e, 32'h3edb6514,32'h3ef27d30, 32'h3ecfd905,32'h3efe093f,// invsqrt(4.9151) = 0.4511 +32'h3f7baed8,32'h3f7d05c1,32'h3f83acca, 32'h3f7546e2,32'h3f878c39, 32'h3f685e19,32'h3f8e009e,// invsqrt(0.9831) = 1.0085 +32'h415b903c,32'h3e8772f6,32'h3e8cfa44, 32'h3e834d7c,32'h3e911fbe, 32'h3e78c8b4,32'h3e9808e0,// invsqrt(13.7227) = 0.2699 +32'h3fb5db8f,32'h3f527a2f,32'h3f5b1175, 32'h3f4c08bb,32'h3f6182e9, 32'h3f414ba3,32'h3f6c4001,// invsqrt(1.4208) = 0.8390 +32'h408682db,32'h3ef4bb8e,32'h3efeb8c2, 32'h3eed3da6,32'h3f031b55, 32'h3ee0c124,32'h3f095996,// invsqrt(4.2035) = 0.4877 +32'h3f1090c9,32'h3fa6ed04,32'h3fadbd39, 32'h3fa1d0dd,32'h3fb2d961, 32'h3f994c9c,32'h3fbb5da2,// invsqrt(0.5647) = 1.3307 +32'h403090de,32'h3f170b30,32'h3f1d3571, 32'h3f126b80,32'h3f21d522, 32'h3f0ab6af,32'h3f2989f3,// invsqrt(2.7588) = 0.6021 +32'h3eea2c29,32'h3fb97b89,32'h3fc10da3, 32'h3fb3cdf5,32'h3fc6bb37, 32'h3faa5754,32'h3fd031d8,// invsqrt(0.4574) = 1.4787 +32'h3e4f978a,32'h400b4cc6,32'h4010fc50, 32'h4007091e,32'h40153ff8, 32'h3fffdb61,32'h401c5b66,// invsqrt(0.2027) = 2.2210 +32'h3f97cf8b,32'h3f665de4,32'h3f6fc4fc, 32'h3f5f5091,32'h3f76d24f, 32'h3f538fb2,32'h3f814997,// invsqrt(1.1860) = 0.9182 +32'h3f995d84,32'h3f65323c,32'h3f6e8d1a, 32'h3f5e2e16,32'h3f759140, 32'h3f527c80,32'h3f80a16b,// invsqrt(1.1982) = 0.9136 +32'h3fb2abbd,32'h3f545896,32'h3f5d0363, 32'h3f4dd87d,32'h3f63837d, 32'h3f4302fd,32'h3f6e58fd,// invsqrt(1.3959) = 0.8464 +32'h3f3c1dee,32'h3f92553c,32'h3f984e44, 32'h3f8dda76,32'h3f9cc90a, 32'h3f86632d,32'h3fa44053,// invsqrt(0.7348) = 1.1666 +32'h4017b1e7,32'h3f22f4c0,32'h3f299b7a, 32'h3f1df7b5,32'h3f2e9885, 32'h3f15a74d,32'h3f36e8ed,// invsqrt(2.3702) = 0.6495 +32'h40123d51,32'h3f25f7bd,32'h3f2cbdef, 32'h3f20e318,32'h3f31d294, 32'h3f186b5a,32'h3f3a4a52,// invsqrt(2.2850) = 0.6615 +32'h3fdae25e,32'h3f3fd9d3,32'h3f47ae78, 32'h3f39fa56,32'h3f4d8df4, 32'h3f303088,32'h3f5757c2,// invsqrt(1.7100) = 0.7647 +32'h3f3d243c,32'h3f91efa1,32'h3f97e483, 32'h3f8d77f8,32'h3f9c5c2c, 32'h3f8605dd,32'h3fa3ce47,// invsqrt(0.7388) = 1.1634 +32'h40077dd5,32'h3f2c6cc5,32'h3f33766f, 32'h3f272586,32'h3f38bdae, 32'h3f1e5971,32'h3f4189c3,// invsqrt(2.1171) = 0.6873 +32'h3c19f3ce,32'h4121c1c5,32'h41285bf7, 32'h411cce20,32'h412d4f9c, 32'h41148d61,32'h4135905b,// invsqrt(0.0094) = 10.3161 +32'h3f72e3fc,32'h3f80c7da,32'h3f86097b, 32'h3f79ad45,32'h3f89fab4, 32'h3f6c8934,32'h3f908cbc,// invsqrt(0.9488) = 1.0266 +32'h3ee01d32,32'h3fbd9965,32'h3fc55683, 32'h3fb7cb8e,32'h3fcb245a, 32'h3fae1f28,32'h3fd4d0c0,// invsqrt(0.4377) = 1.5115 +32'h3f86b1af,32'h3f7490ff,32'h3f7e8c77, 32'h3f6d1465,32'h3f830489, 32'h3f609a0f,32'h3f8941b4,// invsqrt(1.0523) = 0.9748 +32'h40100397,32'h3f273ec5,32'h3f2e124f, 32'h3f22201d,32'h3f3330f7, 32'h3f1997af,32'h3f3bb965,// invsqrt(2.2502) = 0.6666 +32'h3f970cb6,32'h3f66f246,32'h3f705f6e, 32'h3f5fe069,32'h3f77714b, 32'h3f5417f7,32'h3f819cde,// invsqrt(1.1801) = 0.9205 +32'h411e9b7c,32'h3e9f5d93,32'h3ea5dec8, 32'h3e9a7cab,32'h3eaabfaf, 32'h3e925b28,32'h3eb2e132,// invsqrt(9.9130) = 0.3176 +32'h408fb1f5,32'h3eecc85f,32'h3ef67283, 32'h3ee588c5,32'h3efdb21d, 32'h3ed97419,32'h3f04e365,// invsqrt(4.4905) = 0.4719 +32'h3f353a65,32'h3f951694,32'h3f9b2c66, 32'h3f908637,32'h3f9fbcc3, 32'h3f88eaf0,32'h3fa7580a,// invsqrt(0.7079) = 1.1885 +32'h3f976a45,32'h3f66aae1,32'h3f70151f, 32'h3f5f9b33,32'h3f7724cd, 32'h3f53d666,32'h3f8174cd,// invsqrt(1.1829) = 0.9194 +32'h4342a492,32'h3d8fdbe2,32'h3d95bb10, 32'h3d8b7480,32'h3d9a2272, 32'h3d841d86,32'h3da1796c,// invsqrt(194.6429) = 0.0717 +32'h3f1af58d,32'h3fa13b06,32'h3fa7cfb8, 32'h3f9c4b81,32'h3facbf3d, 32'h3f9411a2,32'h3fb4f91c,// invsqrt(0.6053) = 1.2853 +32'h3fae737f,32'h3f56e61b,32'h3f5fab95, 32'h3f505200,32'h3f663fb0, 32'h3f455b29,32'h3f713687,// invsqrt(1.3629) = 0.8566 +32'h3fa941ee,32'h3f5a2bc6,32'h3f631370, 32'h3f537e06,32'h3f69c130, 32'h3f485c72,32'h3f74e2c4,// invsqrt(1.3223) = 0.8696 +32'h3f972071,32'h3f66e332,32'h3f704fbc, 32'h3f5fd1cb,32'h3f776123, 32'h3f540a1e,32'h3f819468,// invsqrt(1.1807) = 0.9203 +32'h3eae256a,32'h3fd71643,32'h3fdfddb3, 32'h3fd080ae,32'h3fe67348, 32'h3fc58762,32'h3ff16c94,// invsqrt(0.3401) = 1.7147 +32'h4115f80e,32'h3ea3e41e,32'h3eaa949d, 32'h3e9edfc0,32'h3eaf98fc, 32'h3e968321,32'h3eb7f59b,// invsqrt(9.3731) = 0.3266 +32'h3fdb7844,32'h3f3f9843,32'h3f476a3b, 32'h3f39bac9,32'h3f4d47b5, 32'h3f2ff452,32'h3f570e2c,// invsqrt(1.7146) = 0.7637 +32'h415cdfc1,32'h3e870bef,32'h3e8c8f08, 32'h3e82e99b,32'h3e90b15b, 32'h3e780b77,32'h3e97953a,// invsqrt(13.8046) = 0.2691 +32'h3e878523,32'h3ff3d1e8,32'h3ffdc593, 32'h3fec5b27,32'h40029e2a, 32'h3fdfea90,32'h4008d675,// invsqrt(0.2647) = 1.9437 +32'h3f1fbb0c,32'h3f9ecdde,32'h3fa54936, 32'h3f99f15d,32'h3faa25b7, 32'h3f91d72f,32'h3fb23fe5,// invsqrt(0.6239) = 1.2660 +32'h3f1318f3,32'h3fa57ba7,32'h3fac3cc8, 32'h3fa06acf,32'h3fb14da1, 32'h3f97f966,32'h3fb9bf0a,// invsqrt(0.5746) = 1.3192 +32'h40088d3d,32'h3f2bc116,32'h3f32c3be, 32'h3f267f18,32'h3f3805bc, 32'h3f1dbbc6,32'h3f40c90e,// invsqrt(2.1336) = 0.6846 +32'h4118a5d0,32'h3ea2725b,32'h3ea913c1, 32'h3e9d794d,32'h3eae0ccf, 32'h3e952f8d,32'h3eb6568f,// invsqrt(9.5405) = 0.3238 +32'h3ffd3aee,32'h3f325dd3,32'h3f39a592, 32'h3f2ce803,32'h3f3f1b61, 32'h3f23ce53,32'h3f483511,// invsqrt(1.9784) = 0.7110 +32'h3dd19b9b,32'h40440cd9,32'h404c0d5f, 32'h403e0c74,32'h40520dc4, 32'h40340bcc,32'h405c0e6c,// invsqrt(0.1023) = 3.1258 +32'h3ed8bc0f,32'h3fc0ccc9,32'h3fc8ab59, 32'h3fbae5dd,32'h3fce9245, 32'h3fb10fa9,32'h3fd86879,// invsqrt(0.4233) = 1.5370 +32'h3f657ca5,32'h3f847cf8,32'h3f89e556, 32'h3f806eb2,32'h3f8df39c, 32'h3f735879,32'h3f94b611,// invsqrt(0.8964) = 1.0562 +32'h3f4c82f3,32'h3f8c5856,32'h3f9212cc, 32'h3f880c7d,32'h3f965ea5, 32'h3f80e369,32'h3f9d87b9,// invsqrt(0.7989) = 1.1188 +32'h3f55ec5d,32'h3f893918,32'h3f8ed2f0, 32'h3f8505b7,32'h3f930651, 32'h3f7c0ad4,32'h3f9a069e,// invsqrt(0.8356) = 1.0939 +32'h3f9f9850,32'h3f60adaf,32'h3f69d959, 32'h3f59ccf1,32'h3f70ba17, 32'h3f4e565d,32'h3f7c30ab,// invsqrt(1.2468) = 0.8956 +32'h3f2cd74e,32'h3f98a99f,32'h3f9ee4ca, 32'h3f93fd3e,32'h3fa3912a, 32'h3f8c3348,32'h3fab5b20,// invsqrt(0.6752) = 1.2170 +32'h4042027b,32'h3f1017ee,32'h3f15f990, 32'h3f0baeb5,32'h3f1a62c9, 32'h3f0454ac,32'h3f21bcd2,// invsqrt(3.0314) = 0.5744 +32'h3ec5cdf6,32'h3fc9d093,32'h3fd20d55, 32'h3fc3a301,32'h3fd83ae7, 32'h3fb9570f,32'h3fe286d9,// invsqrt(0.3863) = 1.6089 +32'h3f4e336b,32'h3f8bc4dc,32'h3f91794e, 32'h3f877d87,32'h3f95c0a3, 32'h3f805bf9,32'h3f9ce231,// invsqrt(0.8055) = 1.1142 +32'h40dd053e,32'h3ebeebe5,32'h3ec6b6d5, 32'h3eb913b2,32'h3ecc8f08, 32'h3eaf5607,32'h3ed64cb3,// invsqrt(6.9069) = 0.3805 +32'h3f531e75,32'h3f8a21a3,32'h3f8fc4f9, 32'h3f85e724,32'h3f93ff78, 32'h3f7db5f3,32'h3f9b0ba3,// invsqrt(0.8247) = 1.1012 +32'h3e06afca,32'h402cf076,32'h4033ff80, 32'h4027a52e,32'h40394ac8, 32'h401ed262,32'h40421d94,// invsqrt(0.1315) = 2.7573 +32'h3f5488c4,32'h3f89abb4,32'h3f8f4a38, 32'h3f8574d0,32'h3f93811c, 32'h3f7cdd54,32'h3f9a8742,// invsqrt(0.8302) = 1.0975 +32'h400e2c3d,32'h3f28531d,32'h3f2f31ef, 32'h3f232bff,32'h3f34590d, 32'h3f1a9579,32'h3f3cef93,// invsqrt(2.2215) = 0.6709 +32'h3f22fde4,32'h3f9d351d,32'h3fa39fc6, 32'h3f986520,32'h3fa86fc4, 32'h3f905fcd,32'h3fb07517,// invsqrt(0.6367) = 1.2532 +32'h3e67b829,32'h4003d931,32'h40093adf, 32'h3fff9fdc,32'h400d4422, 32'h3ff22ba8,32'h4013fe3c,// invsqrt(0.2263) = 2.1022 +32'h3f4efbe8,32'h3f8b811b,32'h3f9132c8, 32'h3f873bd8,32'h3f95780a, 32'h3f801dbf,32'h3f9c9623,// invsqrt(0.8085) = 1.1121 +32'h3f5f60c0,32'h3f8649a0,32'h3f8bc4cb, 32'h3f822d3f,32'h3f8fe12b, 32'h3f76a693,32'h3f96bb21,// invsqrt(0.8726) = 1.0705 +32'h3f84c6d9,32'h3f76536c,32'h3f8030a3, 32'h3f6ec908,32'h3f83f5d5, 32'h3f6237b6,32'h3f8a3e7e,// invsqrt(1.0373) = 0.9818 +32'h400bf3d9,32'h3f29a794,32'h3f30944c, 32'h3f24760a,32'h3f35c5d6, 32'h3f1bce25,32'h3f3e6dbb,// invsqrt(2.1868) = 0.6762 +32'h3f529e6b,32'h3f8a4b99,32'h3f8ff0a5, 32'h3f860fd1,32'h3f942c6d, 32'h3f7e0305,32'h3f9b3abc,// invsqrt(0.8227) = 1.1025 +32'h3f11a11f,32'h3fa650a7,32'h3fad1a79, 32'h3fa13949,32'h3fb231d7, 32'h3f98bd02,32'h3fbaae1e,// invsqrt(0.5689) = 1.3259 +32'h3e64bd5d,32'h4004b452,32'h400a1ef2, 32'h4000a45a,32'h400e2eea, 32'h3ff3be24,32'h4014f432,// invsqrt(0.2234) = 2.1158 +32'h3f32f2cc,32'h3f9608eb,32'h3f9c28a1, 32'h3f917122,32'h3fa0c06a, 32'h3f89c97f,32'h3fa8680d,// invsqrt(0.6990) = 1.1961 +32'h4078e3d0,32'h3efe7031,32'h3f046968, 32'h3ef6a63a,32'h3f084e63, 32'h3ee9aaf3,32'h3f0ecc06,// invsqrt(3.8889) = 0.5071 +32'h3f0cd67c,32'h3fa91edc,32'h3fb00600, 32'h3fa3f182,32'h3fb5335a, 32'h3f9b5096,32'h3fbdd446,// invsqrt(0.5501) = 1.3482 +32'h4001b4f5,32'h3f303a55,32'h3f376bbb, 32'h3f2ad548,32'h3f3cd0c8, 32'h3f21d787,32'h3f45ce89,// invsqrt(2.0267) = 0.7024 +32'h3d630744,32'h4085341f,32'h408aa3f7, 32'h4081203e,32'h408eb7d8, 32'h4074a8e1,32'h409583a6,// invsqrt(0.0554) = 4.2476 +32'h42044cbd,32'h3e2e7e0e,32'h3e359d52, 32'h3e29269a,32'h3e3af4c6, 32'h3e203f85,32'h3e43dbdb,// invsqrt(33.0749) = 0.1739 +32'h3f42d09d,32'h3f8fcb9e,32'h3f95aa22, 32'h3f8b64bb,32'h3f9a1105, 32'h3f840e96,32'h3fa1672a,// invsqrt(0.7610) = 1.1463 +32'h3fd16e3c,32'h3f442215,32'h3f4c2379, 32'h3f3e210a,32'h3f522484, 32'h3f341f4d,32'h3f5c2641,// invsqrt(1.6362) = 0.7818 +32'h3ea4253a,32'h3fdd8ad4,32'h3fe695b8, 32'h3fd6c2a9,32'h3fed5de3, 32'h3fcb750c,32'h3ff8ab80,// invsqrt(0.3206) = 1.7661 +32'h3f819160,32'h3f795b82,32'h3f81c485, 32'h3f71b95c,32'h3f859598, 32'h3f650072,32'h3f8bf20d,// invsqrt(1.0122) = 0.9939 +32'h3f3f5178,32'h3f911a7e,32'h3f9706ac, 32'h3f8ca95a,32'h3f9b77d0, 32'h3f854220,32'h3fa2df0a,// invsqrt(0.7473) = 1.1568 +32'h3f86fbfc,32'h3f744da7,32'h3f7e465f, 32'h3f6cd31c,32'h3f82e075, 32'h3f605c36,32'h3f891be8,// invsqrt(1.0546) = 0.9738 +32'h3f9d5675,32'h3f6248ce,32'h3f6b853e, 32'h3f5b5b79,32'h3f727293, 32'h3f4fcfec,32'h3f7dfe20,// invsqrt(1.2292) = 0.9020 +32'h422e6c56,32'h3e17f7f7,32'h3e1e2be2, 32'h3e135108,32'h3e22d2d2, 32'h3e0b9022,32'h3e2a93b8,// invsqrt(43.6058) = 0.1514 +32'h3ea45609,32'h3fdd69eb,32'h3fe67377, 32'h3fd6a2c2,32'h3fed3aa0, 32'h3fcb56d2,32'h3ff88690,// invsqrt(0.3210) = 1.7651 +32'h3eb86f20,32'h3fd10083,32'h3fd9885f, 32'h3fca9a9f,32'h3fdfee43, 32'h3fbff0cc,32'h3fea9816,// invsqrt(0.3602) = 1.6662 +32'h422e1a4c,32'h3e181bc1,32'h3e1e5122, 32'h3e1373b9,32'h3e22f92b, 32'h3e0bb100,32'h3e2abbe4,// invsqrt(43.5257) = 0.1516 +32'h4194017e,32'h3e694f1f,32'h3e72d4f7, 32'h3e622abe,32'h3e79f958, 32'h3e564370,32'h3e82f053,// invsqrt(18.5007) = 0.2325 +32'h3dcc4645,32'h404697cf,32'h404eb2e8, 32'h4040837e,32'h4054c73a, 32'h403661a0,32'h405ee918,// invsqrt(0.0997) = 3.1663 +32'h4047d81c,32'h3f0df977,32'h3f13c4f5, 32'h3f09a0da,32'h3f181d92, 32'h3f02627d,32'h3f1f5bef,// invsqrt(3.1226) = 0.5659 +32'h40c79167,32'h3ec8ebcf,32'h3ed11f3b, 32'h3ec2c53e,32'h3ed745cc, 32'h3eb884f8,32'h3ee18612,// invsqrt(6.2365) = 0.4004 +32'h3efce965,32'h3fb27a91,32'h3fb9c37d, 32'h3fad03e0,32'h3fbf3a2e, 32'h3fa3e8ba,32'h3fc85555,// invsqrt(0.4940) = 1.4228 +32'h3ef6b84d,32'h3fb4b459,32'h3fbc1486, 32'h3faf2c36,32'h3fc19ca8, 32'h3fa5f3fe,32'h3fcad4e1,// invsqrt(0.4819) = 1.4406 +32'h3fa5bdf2,32'h3f5c7901,32'h3f6578b8, 32'h3f55b938,32'h3f6c3882, 32'h3f4a7994,32'h3f777826,// invsqrt(1.2949) = 0.8788 +32'h3fb0faa2,32'h3f555bcc,32'h3f5e112e, 32'h3f4ed3c4,32'h3f649936, 32'h3f43f10a,32'h3f6f7bf0,// invsqrt(1.3826) = 0.8504 +32'h3f827229,32'h3f78844d,32'h3f815486, 32'h3f70e8bd,32'h3f85224e, 32'h3f643ace,32'h3f8b7945,// invsqrt(1.0191) = 0.9906 +32'h423070d5,32'h3e1718e6,32'h3e1d43b6, 32'h3e1278ca,32'h3e21e3d2, 32'h3e0ac346,32'h3e299956,// invsqrt(44.1102) = 0.1506 +32'h41edf238,32'h3e380180,32'h3e3f842d, 32'h3e325f7f,32'h3e45262f, 32'h3e28fc28,32'h3e4e8986,// invsqrt(29.7433) = 0.1834 +32'h3fba9d0b,32'h3f4fc72b,32'h3f58423d, 32'h3f496ade,32'h3f5e9e8a, 32'h3f3ed108,32'h3f693860,// invsqrt(1.4579) = 0.8282 +32'h3f0fbe74,32'h3fa766f8,32'h3fae3c27, 32'h3fa24716,32'h3fb35c0a, 32'h3f99bc9b,32'h3fbbe685,// invsqrt(0.5615) = 1.3345 +32'h40b5f3bc,32'h3ed26c33,32'h3edb02e7, 32'h3ecbfb2c,32'h3ee173ee, 32'h3ec13ecc,32'h3eec304e,// invsqrt(5.6860) = 0.4194 +32'h3e376340,32'h4014352f,32'h401a41ce, 32'h400fabb9,32'h401ecb45, 32'h40081bf2,32'h40265b0c,// invsqrt(0.1791) = 2.3630 +32'h400e580a,32'h3f283935,32'h3f2f16f9, 32'h3f2312e3,32'h3f343d4b, 32'h3f1a7dae,32'h3f3cd280,// invsqrt(2.2241) = 0.6705 +32'h3f18091d,32'h3fa2c5fc,32'h3fa96acd, 32'h3f9dca60,32'h3fae666a, 32'h3f957c5b,32'h3fb6b46f,// invsqrt(0.5939) = 1.2976 +32'h3e89c6ba,32'h3ff1d0b9,32'h3ffbaf72, 32'h3fea69ae,32'h40018b3e, 32'h3fde1346,32'h4007b672,// invsqrt(0.2691) = 1.9277 +32'h3fa19f34,32'h3f5f43e1,32'h3f6860c5, 32'h3f586e35,32'h3f6f3671, 32'h3f4d0a18,32'h3f7a9a8f,// invsqrt(1.2627) = 0.8899 +32'h41ec59df,32'h3e38a031,32'h3e402957, 32'h3e32f954,32'h3e45d034, 32'h3e298de4,32'h3e4f3ba4,// invsqrt(29.5439) = 0.1840 +32'h3f5bfce3,32'h3f87517f,32'h3f8cd76f, 32'h3f832d0b,32'h3f90fbe3, 32'h3f788b3d,32'h3f97e350,// invsqrt(0.8593) = 1.0787 +32'h3ceb498c,32'h40b90aea,32'h40c0986c, 32'h40b360c9,32'h40c6428d, 32'h40a9efe7,32'h40cfb36f,// invsqrt(0.0287) = 5.9006 +32'h3ef7345b,32'h3fb486fc,32'h3fbbe54f, 32'h3faf003d,32'h3fc16c0d, 32'h3fa5ca54,32'h3fcaa1f6,// invsqrt(0.4828) = 1.4392 +32'h410a3129,32'h3eaabb5a,32'h3eb1b354, 32'h3ea5815f,32'h3eb6ed4f, 32'h3e9ccb68,32'h3ebfa346,// invsqrt(8.6370) = 0.3403 +32'h3ea1c53e,32'h3fdf299f,32'h3fe84572, 32'h3fd854c2,32'h3fef1a50, 32'h3fccf1fb,32'h3ffa7d17,// invsqrt(0.3160) = 1.7790 +32'h3f371059,32'h3f9456bb,32'h3f9a64b8, 32'h3f8fcc3d,32'h3f9eef35, 32'h3f883ac0,32'h3fa680b2,// invsqrt(0.7151) = 1.1825 +32'h3f86935b,32'h3f74ac8c,32'h3f7ea924, 32'h3f6d2f1a,32'h3f83134b, 32'h3f60b35c,32'h3f89512a,// invsqrt(1.0514) = 0.9753 +32'h402db960,32'h3f18462a,32'h3f1e7d46, 32'h3f139cd5,32'h3f23269b, 32'h3f0bd7f2,32'h3f2aeb7e,// invsqrt(2.7144) = 0.6070 +32'h3f3c581e,32'h3f923e9f,32'h3f9836bb, 32'h3f8dc48b,32'h3f9cb0cf, 32'h3f864e68,32'h3fa426f2,// invsqrt(0.7357) = 1.1659 +32'h40032c0a,32'h3f2f3daa,32'h3f3664c0, 32'h3f29e059,32'h3f3bc211, 32'h3f20ef7c,32'h3f44b2ee,// invsqrt(2.0496) = 0.6985 +32'h3ea76ef1,32'h3fdb5b34,32'h3fe44f40, 32'h3fd4a42a,32'h3feb064a, 32'h3fc9731b,32'h3ff63759,// invsqrt(0.3270) = 1.7487 +32'h3fa17734,32'h3f5f5f87,32'h3f687d8d, 32'h3f588903,32'h3f6f5411, 32'h3f4d237c,32'h3f7ab998,// invsqrt(1.2615) = 0.8904 +32'h3f92115d,32'h3f6ada0a,32'h3f747000, 32'h3f63a992,32'h3f7ba078, 32'h3f57ae1e,32'h3f83cdf6,// invsqrt(1.1412) = 0.9361 +32'h3d0f1dcd,32'h40a7c4d3,32'h40ae9dd7, 32'h40a2a211,32'h40b3c099, 32'h409a12cc,32'h40bc4fde,// invsqrt(0.0349) = 5.3498 +32'h409b4d73,32'h3ee3c323,32'h3eed0f05, 32'h3edcca39,32'h3ef407ef, 32'h3ed12b5f,32'h3effa6c9,// invsqrt(4.8532) = 0.4539 +32'h3f80ab9c,32'h3f7a39c3,32'h3f82382f, 32'h3f7290cf,32'h3f860ca8, 32'h3f65cc8e,32'h3f8c6ec9,// invsqrt(1.0052) = 0.9974 +32'h3e14f894,32'h4024706a,32'h402b26a3, 32'h401f67c0,32'h40302f4e, 32'h401703fa,32'h40389315,// invsqrt(0.1455) = 2.6218 +32'h3fa901bf,32'h3f5a5530,32'h3f633e8a, 32'h3f53a62c,32'h3f69ed8e, 32'h3f48827a,32'h3f751140,// invsqrt(1.3204) = 0.8703 +32'h3f6df9da,32'h3f821a88,32'h3f8769fc, 32'h3f7c3de4,32'h3f8b6592, 32'h3f6ef744,32'h3f9208e2,// invsqrt(0.9296) = 1.0372 +32'h403eaa14,32'h3f115a22,32'h3f1748ea, 32'h3f0ce70c,32'h3f1bbc00, 32'h3f057c92,32'h3f23267a,// invsqrt(2.9791) = 0.5794 +32'h3e1de857,32'h401fb7df,32'h40263cc3, 32'h401ad433,32'h402b206f, 32'h4012ae16,32'h4033468c,// invsqrt(0.1542) = 2.5465 +32'h3e13d13f,32'h4025145e,32'h402bd148, 32'h402006af,32'h4030def7, 32'h40179a8b,32'h40394b1b,// invsqrt(0.1444) = 2.6320 +32'h3f34074c,32'h3f959587,32'h3f9bb087, 32'h3f910147,32'h3fa044c7, 32'h3f895f86,32'h3fa7e688,// invsqrt(0.7032) = 1.1925 +32'h3f0257b5,32'h3fafcc2d,32'h3fb6f914, 32'h3faa6a7e,32'h3fbc5ac2, 32'h3fa1725d,32'h3fc552e3,// invsqrt(0.5092) = 1.4014 +32'h3fc807f3,32'h3f48b03c,32'h3f50e13a, 32'h3f428b7e,32'h3f5705f8, 32'h3f384e42,32'h3f614334,// invsqrt(1.5627) = 0.7999 +32'h3fd04f50,32'h3f44a8f9,32'h3f4cafdf, 32'h3f3ea3cd,32'h3f52b50b, 32'h3f349b2e,32'h3f5cbdaa,// invsqrt(1.6274) = 0.7839 +32'h4124576e,32'h3e9c8f81,32'h3ea2f367, 32'h3e97c495,32'h3ea7be53, 32'h3e8fc7b5,32'h3eafbb33,// invsqrt(10.2713) = 0.3120 +32'h3f35dca5,32'h3f94d404,32'h3f9ae71e, 32'h3f9045b0,32'h3f9f7572, 32'h3f88adcf,32'h3fa70d53,// invsqrt(0.7104) = 1.1864 +32'h3dc8673d,32'h40488080,32'h4050af8b, 32'h40425d39,32'h4056d2d3, 32'h4038226c,32'h40610da0,// invsqrt(0.0979) = 3.1968 +32'h3f38f017,32'h3f9395d7,32'h3f999bf5, 32'h3f8f1141,32'h3f9e208b, 32'h3f87899c,32'h3fa5a830,// invsqrt(0.7224) = 1.1765 +32'h401a39d1,32'h3f219d0a,32'h3f2835bc, 32'h3f1caa84,32'h3f2d2842, 32'h3f146ba6,32'h3f356720,// invsqrt(2.4098) = 0.6442 +32'h3f278b5e,32'h3f9b0e90,32'h3fa162c0, 32'h3f964f6c,32'h3fa621e4, 32'h3f8e6631,32'h3fae0b1f,// invsqrt(0.6545) = 1.2361 +32'h3f74d808,32'h3f804416,32'h3f858056, 32'h3f78adcd,32'h3f896d86, 32'h3f6b972e,32'h3f8ff8d5,// invsqrt(0.9564) = 1.0225 +32'h3f1a5560,32'h3fa18e9b,32'h3fa826b7, 32'h3f9c9c87,32'h3fad18cb, 32'h3f945e65,32'h3fb556ed,// invsqrt(0.6029) = 1.2879 +32'h3fed99c2,32'h3f3823be,32'h3f3fa7d0, 32'h3f3280b0,32'h3f454ade, 32'h3f291b9a,32'h3f4eaff5,// invsqrt(1.8563) = 0.7340 +32'h3fac19c9,32'h3f585c81,32'h3f613143, 32'h3f51bcf0,32'h3f67d0d4, 32'h3f46b2ff,32'h3f72dac5,// invsqrt(1.3445) = 0.8624 +32'h3fd12579,32'h3f444430,32'h3f4c46f8, 32'h3f3e4219,32'h3f52490f, 32'h3f343e9f,32'h3f5c4c89,// invsqrt(1.6340) = 0.7823 +32'h3f8a3564,32'h3f716fd6,32'h3f7b4a9a, 32'h3f6a0bc2,32'h3f815757, 32'h3f5dba4c,32'h3f878012,// invsqrt(1.0798) = 0.9624 +32'h3f4c0753,32'h3f8c82d4,32'h3f923f07, 32'h3f8835af,32'h3f968c2d, 32'h3f810a70,32'h3f9db76c,// invsqrt(0.7970) = 1.1201 +32'h426bb1f0,32'h3e02bb4e,32'h3e081152, 32'h3dfd7598,32'h3e0c11d4, 32'h3df01e90,32'h3e12bd58,// invsqrt(58.9238) = 0.1303 +32'h402ff963,32'h3f174c25,32'h3f1d790c, 32'h3f12aa77,32'h3f221ab9, 32'h3f0af256,32'h3f29d2da,// invsqrt(2.7496) = 0.6031 +32'h3f9f6751,32'h3f60d034,32'h3f69fd46, 32'h3f59ee67,32'h3f70df13, 32'h3f4e7610,32'h3f7c576a,// invsqrt(1.2453) = 0.8961 +32'h3e8c78fb,32'h3fef7bba,32'h3ff94216, 32'h3fe826f6,32'h40004b6d, 32'h3fdbef04,32'h40066766,// invsqrt(0.2744) = 1.9091 +32'h3f97dab2,32'h3f66556e,32'h3f6fbc2f, 32'h3f5f485e,32'h3f76c940, 32'h3f5387ee,32'h3f8144d8,// invsqrt(1.1864) = 0.9181 +32'h3f6e82a6,32'h3f81f534,32'h3f874321, 32'h3f7bf583,32'h3f8b3d92, 32'h3f6eb2b2,32'h3f91defb,// invsqrt(0.9317) = 1.0360 +32'h3f3459f6,32'h3f95733b,32'h3f9b8cd5, 32'h3f90e008,32'h3fa02008, 32'h3f894007,32'h3fa7c009,// invsqrt(0.7045) = 1.1914 +32'h3f8cc36a,32'h3f6f3c60,32'h3f790026, 32'h3f67e98c,32'h3f80297d, 32'h3f5bb4d6,32'h3f8643d8,// invsqrt(1.0997) = 0.9536 +32'h3f7d29fe,32'h3f7c4802,32'h3f834a0b, 32'h3f748ef2,32'h3f872693, 32'h3f67afd8,32'h3f8d9620,// invsqrt(0.9889) = 1.0056 +32'h3fec0522,32'h3f38c152,32'h3f404bd3, 32'h3f331972,32'h3f45f3b4, 32'h3f29ac51,32'h3f4f60d5,// invsqrt(1.8439) = 0.7364 +32'h4018da1a,32'h3f22568f,32'h3f28f6d4, 32'h3f1d5e5c,32'h3f2def08, 32'h3f151607,32'h3f36375d,// invsqrt(2.3883) = 0.6471 +32'h3f849db2,32'h3f7679a1,32'h3f804485, 32'h3f6eee12,32'h3f840a4d, 32'h3f625acd,32'h3f8a53ef,// invsqrt(1.0361) = 0.9824 +32'h3fdb82fe,32'h3f3f9395,32'h3f47655c, 32'h3f39b63f,32'h3f4d42b1, 32'h3f2ff006,32'h3f5708ea,// invsqrt(1.7149) = 0.7636 +32'h3f33d5f1,32'h3f95aa0c,32'h3f9bc5e2, 32'h3f91152b,32'h3fa05ac3, 32'h3f89725e,32'h3fa7fd90,// invsqrt(0.7025) = 1.1931 +32'h3e9d0c94,32'h3fe27e01,32'h3febbc9d, 32'h3fdb8f0b,32'h3ff2ab93, 32'h3fd000c7,32'h3ffe39d7,// invsqrt(0.3067) = 1.8056 +32'h3f49dc86,32'h3f8d4368,32'h3f930776, 32'h3f88f05d,32'h3f975a81, 32'h3f81bb4a,32'h3f9e8f94,// invsqrt(0.7885) = 1.1261 +32'h3fb77f48,32'h3f5188ed,32'h3f5a165a, 32'h3f4b1edc,32'h3f60806c, 32'h3f406e14,32'h3f6b3134,// invsqrt(1.4336) = 0.8352 +32'h3f8564b6,32'h3f75c17f,32'h3f7fc965, 32'h3f6e3b93,32'h3f83a7a9, 32'h3f61b1b3,32'h3f89ec98,// invsqrt(1.0421) = 0.9796 +32'h410a7412,32'h3eaa9214,32'h3eb1885e, 32'h3ea5595d,32'h3eb6c115, 32'h3e9ca580,32'h3ebf74f2,// invsqrt(8.6533) = 0.3399 +32'h3e39c1ef,32'h40134263,32'h40194519, 32'h400ec05b,32'h401dc721, 32'h40073cf8,32'h40254a84,// invsqrt(0.1814) = 2.3479 +32'h41119568,32'h3ea65757,32'h3ead2170, 32'h3ea13fc5,32'h3eb23903, 32'h3e98c327,32'h3ebab5a1,// invsqrt(9.0990) = 0.3315 +32'h3fb792ce,32'h3f517dc9,32'h3f5a0ac1, 32'h3f4b140e,32'h3f60747c, 32'h3f4063d8,32'h3f6b24b2,// invsqrt(1.4342) = 0.8350 +32'h40d70efb,32'h3ec18cbf,32'h3ec97325, 32'h3ebb9ff3,32'h3ecf5ff1, 32'h3eb1bff3,32'h3ed93ff1,// invsqrt(6.7206) = 0.3857 +32'h3fe8c335,32'h3f3a0b23,32'h3f41a319, 32'h3f345929,32'h3f475513, 32'h3f2adb35,32'h3f50d307,// invsqrt(1.8185) = 0.7416 +32'h3f0a6e43,32'h3faa95a8,32'h3fb18c18, 32'h3fa55cd5,32'h3fb6c4eb, 32'h3f9ca8ca,32'h3fbf78f6,// invsqrt(0.5407) = 1.3599 +32'h3e82579a,32'h3ff89d9d,32'h400161b2, 32'h3ff10147,32'h40052fdd, 32'h3fe4520e,32'h400b877a,// invsqrt(0.2546) = 1.9819 +32'h3ec540f6,32'h3fca18a8,32'h3fd2585c, 32'h3fc3e8e2,32'h3fd88822, 32'h3fb99942,32'h3fe2d7c2,// invsqrt(0.3853) = 1.6111 +32'h3f194d26,32'h3fa2199a,32'h3fa8b762, 32'h3f9d2344,32'h3fadadb8, 32'h3f94de0b,32'h3fb5f2f1,// invsqrt(0.5988) = 1.2923 +32'h42010586,32'h3e30b1fb,32'h3e37e844, 32'h3e2b4945,32'h3e3d50fb, 32'h3e22456a,32'h3e4654d6,// invsqrt(32.2554) = 0.1761 +32'h3f9b65c9,32'h3f63b14d,32'h3f6cfc75, 32'h3f5cb8ef,32'h3f73f4d3, 32'h3f511afe,32'h3f7f92c4,// invsqrt(1.2140) = 0.9076 +32'h3fed1f88,32'h3f38532d,32'h3f3fd92f, 32'h3f32aeac,32'h3f457db0, 32'h3f294729,32'h3f4ee533,// invsqrt(1.8525) = 0.7347 +32'h406be4d5,32'h3f02ad33,32'h3f0802a3, 32'h3efd5a3e,32'h3f0c02b7, 32'h3ef004a7,32'h3f12ad82,// invsqrt(3.6858) = 0.5209 +32'h4314017b,32'h3da4f975,32'h3dabb546, 32'h3d9fec99,32'h3db0c223, 32'h3d9781d5,32'h3db92ce7,// invsqrt(148.0058) = 0.0822 +32'h422e9e34,32'h3e17e243,32'h3e1e154b, 32'h3e133bfd,32'h3e22bb91, 32'h3e0b7c33,32'h3e2a7b5b,// invsqrt(43.6545) = 0.1514 +32'h3f492440,32'h3f8d840f,32'h3f934ac1, 32'h3f892f09,32'h3f979fc7, 32'h3f81f6aa,32'h3f9ed826,// invsqrt(0.7857) = 1.1282 +32'h3f7d5a67,32'h3f7c2fe6,32'h3f833d7f, 32'h3f747793,32'h3f8719a9, 32'h3f6799b3,32'h3f8d8898,// invsqrt(0.9897) = 1.0052 +32'h3dff0a2e,32'h4031bb8b,32'h4038fcab, 32'h402c4ab3,32'h403e6d83, 32'h4023394c,32'h40477eeb,// invsqrt(0.1245) = 2.8337 +32'h3f8f6e23,32'h3f6d0054,32'h3f76acc0, 32'h3f65bf03,32'h3f7dee11, 32'h3f59a77c,32'h3f8502cc,// invsqrt(1.1205) = 0.9447 +32'h3f9f26bc,32'h3f60fdcd,32'h3f6a2cbb, 32'h3f5a1a9a,32'h3f710fee, 32'h3f4e9ff0,32'h3f7c8a98,// invsqrt(1.2434) = 0.8968 +32'h3d118525,32'h40a660a3,32'h40ad2b1c, 32'h40a148c7,32'h40b242f7, 32'h4098cbaf,32'h40bac00f,// invsqrt(0.0355) = 5.3054 +32'h3d661ec3,32'h40844e45,32'h4089b4bb, 32'h4080416d,32'h408dc193, 32'h407302b3,32'h409481a6,// invsqrt(0.0562) = 4.2189 +32'h3fc5ebf7,32'h3f49c147,32'h3f51fd69, 32'h3f43942d,32'h3f582a83, 32'h3f394902,32'h3f6275ae,// invsqrt(1.5463) = 0.8042 +32'h3eb8998f,32'h3fd0e87c,32'h3fd96f5c, 32'h3fca8353,32'h3fdfd485, 32'h3fbfdabb,32'h3fea7d1d,// invsqrt(0.3605) = 1.6654 +32'h409a8a80,32'h3ee4529e,32'h3eeda45c, 32'h3edd5550,32'h3ef4a1aa, 32'h3ed1af24,32'h3f0023eb,// invsqrt(4.8294) = 0.4550 +32'h3eaea055,32'h3fd6ca83,32'h3fdf8edb, 32'h3fd03740,32'h3fe6221e, 32'h3fc541d1,32'h3ff1178d,// invsqrt(0.3411) = 1.7123 +32'h3ee88f90,32'h3fba1fca,32'h3fc1b898, 32'h3fb46d2f,32'h3fc76b33, 32'h3faaee2c,32'h3fd0ea36,// invsqrt(0.4542) = 1.4838 +32'h406a1971,32'h3f032d2c,32'h3f0887d6, 32'h3efe525c,32'h3f0c8bd4, 32'h3ef0efb5,32'h3f133d28,// invsqrt(3.6578) = 0.5229 +32'h3c9c0c20,32'h40e337d1,32'h40ec7e04, 32'h40dc432c,32'h40f372aa, 32'h40d0ab6d,32'h40ff0a69,// invsqrt(0.0190) = 7.2455 +32'h3e6b497c,32'h4002d850,32'h40082f82, 32'h3ffdadd4,32'h400c30e8, 32'h3ff053d7,32'h4012dde7,// invsqrt(0.2298) = 2.0862 +32'h3ff347e1,32'h3f35fa2e,32'h3f3d67a8, 32'h3f306812,32'h3f42f9c4, 32'h3f271f3a,32'h3f4c429c,// invsqrt(1.9006) = 0.7254 +32'h40ed674b,32'h3eb8374f,32'h3ebfbc2e, 32'h3eb293a9,32'h3ec55fd5, 32'h3ea92d92,32'h3ecec5ec,// invsqrt(7.4189) = 0.3671 +32'h402f62f6,32'h3f178cf9,32'h3f1dbc85, 32'h3f12e94f,32'h3f22602f, 32'h3f0b2ddf,32'h3f2a1b9f,// invsqrt(2.7404) = 0.6041 +32'h3f24d31f,32'h3f9c54b7,32'h3fa2b637, 32'h3f978b97,32'h3fa77f57, 32'h3f8f91b8,32'h3faf7936,// invsqrt(0.6438) = 1.2463 +32'h3f28f43d,32'h3f9a689f,32'h3fa0b609, 32'h3f95ae90,32'h3fa57018, 32'h3f8dcdcc,32'h3fad50dc,// invsqrt(0.6600) = 1.2309 +32'h3f543c73,32'h3f89c472,32'h3f8f63fa, 32'h3f858ccd,32'h3f939b9f, 32'h3f7d0ac8,32'h3f9aa308,// invsqrt(0.8290) = 1.0983 +32'h3f485940,32'h3f8dcbae,32'h3f93954d, 32'h3f897478,32'h3f97ec84, 32'h3f823871,32'h3f9f288b,// invsqrt(0.7826) = 1.1304 +32'h3fd2deda,32'h3f43765c,32'h3f4b70be, 32'h3f3d7a93,32'h3f516c87, 32'h3f338198,32'h3f5b6582,// invsqrt(1.6474) = 0.7791 +32'h401d5eb7,32'h3f1ffda7,32'h3f268565, 32'h3f1b17d9,32'h3f2b6b33, 32'h3f12ee2c,32'h3f3394e0,// invsqrt(2.4589) = 0.6377 +32'h3e41c9f8,32'h40102cef,32'h40160f6b, 32'h400bc311,32'h401a7949, 32'h400467f5,32'h4021d465,// invsqrt(0.1892) = 2.2987 +32'h3f4000b8,32'h3f90d836,32'h3f96c1b0, 32'h3f8c691a,32'h3f9b30cc, 32'h3f850541,32'h3fa294a5,// invsqrt(0.7500) = 1.1547 +32'h3d477e27,32'h408e1976,32'h4093e642, 32'h4089bfde,32'h40983fda, 32'h40827fe0,32'h409f7fd8,// invsqrt(0.0487) = 4.5312 +32'h3eadd03c,32'h3fd74af1,32'h3fe01487, 32'h3fd0b3bf,32'h3fe6abb9, 32'h3fc5b7c3,32'h3ff1a7b5,// invsqrt(0.3395) = 1.7163 +32'h404dd1de,32'h3f0be5f7,32'h3f119bc3, 32'h3f079d9f,32'h3f15e41b, 32'h3f007a60,32'h3f1d075a,// invsqrt(3.2159) = 0.5576 +32'h3f35be77,32'h3f94e05f,32'h3f9af3fa, 32'h3f9051aa,32'h3f9f82ae, 32'h3f88b928,32'h3fa71b30,// invsqrt(0.7099) = 1.1868 +32'h3e1c74b9,32'h4020751e,32'h402701bc, 32'h401b8ba8,32'h402beb32, 32'h40135be2,32'h40341af8,// invsqrt(0.1528) = 2.5583 +32'h409263a6,32'h3eea9800,32'h3ef42b44, 32'h3ee3698d,32'h3efb59b7, 32'h3ed77178,32'h3f03a8e6,// invsqrt(4.5747) = 0.4675 +32'h3e8835b6,32'h3ff333ab,32'h3ffd20e1, 32'h3febc1c2,32'h40024965, 32'h3fdf593f,32'h40087da6,// invsqrt(0.2660) = 1.9388 +32'h40cc0113,32'h3ec6b97b,32'h3eced5f3, 32'h3ec0a421,32'h3ed4eb4d, 32'h3eb6808b,32'h3edf0ee3,// invsqrt(6.3751) = 0.3961 +32'h3f88ae1b,32'h3f72c877,32'h3f7cb14d, 32'h3f6b59d7,32'h3f820ff7, 32'h3f5ef6cb,32'h3f88417c,// invsqrt(1.0678) = 0.9677 +32'h3e701d77,32'h400185d8,32'h4006cf39, 32'h3ffb1d9c,32'h400ac642, 32'h3fede628,32'h401161fc,// invsqrt(0.2345) = 2.0651 +32'h3ff37d10,32'h3f35e64d,32'h3f3d52f7, 32'h3f3054cd,32'h3f42e477, 32'h3f270cf8,32'h3f4c2c4c,// invsqrt(1.9023) = 0.7250 +32'h3f8f8890,32'h3f6cea82,32'h3f76960a, 32'h3f65a9dc,32'h3f7dd6b0, 32'h3f599372,32'h3f84f68d,// invsqrt(1.1214) = 0.9443 +32'h3f15ccf2,32'h3fa3fbb2,32'h3faaad26, 32'h3f9ef69a,32'h3fafb23e, 32'h3f9698c8,32'h3fb81010,// invsqrt(0.5852) = 1.3073 +32'h3fe7714d,32'h3f3a92c1,32'h3f423041, 32'h3f34dca1,32'h3f47e661, 32'h3f2b57c1,32'h3f516b41,// invsqrt(1.8081) = 0.7437 +32'h3e023d3f,32'h402fde07,32'h40370baa, 32'h402a7bce,32'h403c6de4, 32'h402182c3,32'h404566ef,// invsqrt(0.1272) = 2.8040 +32'h40a3ec68,32'h3eddb136,32'h3ee6bdab, 32'h3ed6e7de,32'h3eed8702, 32'h3ecb984b,32'h3ef8d695,// invsqrt(5.1226) = 0.4418 +32'h3f2ac5c7,32'h3f999598,32'h3f9fda65, 32'h3f94e1ff,32'h3fa48dff, 32'h3f8d0bff,32'h3fac63ff,// invsqrt(0.6671) = 1.2244 +32'h3f13b1a7,32'h3fa52605,32'h3fabe3a7, 32'h3fa017cb,32'h3fb0f1e1, 32'h3f97aac1,32'h3fb95eeb,// invsqrt(0.5769) = 1.3166 +32'h3f0f5736,32'h3fa7a337,32'h3fae7adb, 32'h3fa2817c,32'h3fb39c96, 32'h3f99f3ee,32'h3fbc2a24,// invsqrt(0.5599) = 1.3364 +32'h40379f23,32'h3f141d02,32'h3f1a28a4, 32'h3f0f9448,32'h3f1eb15e, 32'h3f0805be,32'h3f263fe8,// invsqrt(2.8691) = 0.5904 +32'h3fcbbbbc,32'h3f46db49,32'h3f4ef923, 32'h3f40c4e6,32'h3f550f86, 32'h3f369f97,32'h3f5f34d5,// invsqrt(1.5917) = 0.7926 +32'h3ee7622e,32'h3fba98da,32'h3fc23699, 32'h3fb4e289,32'h3fc7ece9, 32'h3fab5d5a,32'h3fd17218,// invsqrt(0.4519) = 1.4875 +32'h3f7ecbf9,32'h3f7b78bf,32'h3f82de30, 32'h3f73c609,32'h3f86b78c, 32'h3f66f182,32'h3f8d21cf,// invsqrt(0.9953) = 1.0024 +32'h40b96168,32'h3ed077c3,32'h3ed8fa09, 32'h3eca160e,32'h3edf5bbe, 32'h3ebf7335,32'h3ee9fe97,// invsqrt(5.7931) = 0.4155 +32'h4010e178,32'h3f26be83,32'h3f2d8cd1, 32'h3f21a3c8,32'h3f32a78c, 32'h3f1921e6,32'h3f3b296e,// invsqrt(2.2638) = 0.6646 +32'h4084a06f,32'h3ef67715,32'h3f004332, 32'h3eeeeb9a,32'h3f0408f0, 32'h3ee25877,32'h3f0a5282,// invsqrt(4.1446) = 0.4912 +32'h3f82fc6f,32'h3f7800fe,32'h3f811031, 32'h3f706974,32'h3f84dbf6, 32'h3f63c238,32'h3f8b2f94,// invsqrt(1.0233) = 0.9885 +32'h3fcb6d23,32'h3f4701b0,32'h3f4f211a, 32'h3f40ea20,32'h3f5538aa, 32'h3f36c2db,32'h3f5f5fef,// invsqrt(1.5893) = 0.7932 +32'h3f1c1d54,32'h3fa0a201,32'h3fa73074, 32'h3f9bb72b,32'h3fac1b4b, 32'h3f93851c,32'h3fb44d5b,// invsqrt(0.6098) = 1.2806 +32'h3f359bbb,32'h3f94ee9b,32'h3f9b02cb, 32'h3f905f77,32'h3f9f91ef, 32'h3f88c63b,32'h3fa72b2b,// invsqrt(0.7094) = 1.1873 +32'h3f872548,32'h3f742850,32'h3f7e1f82, 32'h3f6caeea,32'h3f82cc74, 32'h3f6039eb,32'h3f8906f3,// invsqrt(1.0558) = 0.9732 +32'h3f887b66,32'h3f72f58c,32'h3f7ce039, 32'h3f6b858b,32'h3f82281e, 32'h3f5f2033,32'h3f885aca,// invsqrt(1.0663) = 0.9684 +32'h40b51f14,32'h3ed2e797,32'h3edb8354, 32'h3ecc72c9,32'h3ee1f821, 32'h3ec1b01c,32'h3eecbace,// invsqrt(5.6600) = 0.4203 +32'h41f62092,32'h3e34ec03,32'h3e3c4e77, 32'h3e2f622d,32'h3e41d84d, 32'h3e26271d,32'h3e4b135d,// invsqrt(30.7659) = 0.1803 +32'h3f008a6a,32'h3fb10685,32'h3fb84041, 32'h3fab9b38,32'h3fbdab8e, 32'h3fa2930c,32'h3fc6b3ba,// invsqrt(0.5021) = 1.4112 +32'h3f8bd576,32'h3f700797,32'h3f79d3a8, 32'h3f68ae8b,32'h3f80965a, 32'h3f5c6f76,32'h3f86b5e5,// invsqrt(1.0925) = 0.9568 +32'h3f85960c,32'h3f759419,32'h3f7f9a25, 32'h3f6e0f91,32'h3f838f57, 32'h3f618802,32'h3f89d31e,// invsqrt(1.0436) = 0.9789 +32'h3ec26112,32'h3fcb95ce,32'h3fd3e510, 32'h3fc55a5d,32'h3fda2081, 32'h3fbaf74a,32'h3fe48394,// invsqrt(0.3796) = 1.6230 +32'h3f98456c,32'h3f6604a8,32'h3f6f681c, 32'h3f5efa10,32'h3f7672b4, 32'h3f533dbf,32'h3f811783,// invsqrt(1.1896) = 0.9168 +32'h3f83f9f7,32'h3f771255,32'h3f8093fd, 32'h3f6f8219,32'h3f845c1b, 32'h3f62e70a,32'h3f8aa9a3,// invsqrt(1.0311) = 0.9848 +32'h3f944ac5,32'h3f691573,32'h3f7298ef, 32'h3f61f2d5,32'h3f79bb8d, 32'h3f560e79,32'h3f82cff5,// invsqrt(1.1585) = 0.9291 +32'h3f3903f4,32'h3f938dea,32'h3f9993b5, 32'h3f8f0992,32'h3f9e180e, 32'h3f878255,32'h3fa59f4b,// invsqrt(0.7227) = 1.1763 +32'h408decc8,32'h3eee413c,32'h3ef7fac1, 32'h3ee6f618,32'h3eff45e4, 32'h3edace31,32'h3f05b6e5,// invsqrt(4.4352) = 0.4748 +32'h3efc52e0,32'h3fb2afc5,32'h3fb9fadd, 32'h3fad3773,32'h3fbf732f, 32'h3fa41996,32'h3fc8910c,// invsqrt(0.4928) = 1.4245 +32'h3f44bb1d,32'h3f8f17ec,32'h3f94ef1a, 32'h3f8ab689,32'h3f99507d, 32'h3f836990,32'h3fa09d76,// invsqrt(0.7685) = 1.1407 +32'h400471fc,32'h3f2e6583,32'h3f3583c7, 32'h3f290ed0,32'h3f3ada7a, 32'h3f2028fb,32'h3f43c04f,// invsqrt(2.0695) = 0.6951 +32'h4010765b,32'h3f26fc49,32'h3f2dcd1d, 32'h3f21dfaa,32'h3f32e9bc, 32'h3f195aa1,32'h3f3b6ec5,// invsqrt(2.2572) = 0.6656 +32'h3e9e195c,32'h3fe1bd28,32'h3feaf3e6, 32'h3fdad41a,32'h3ff1dcf4, 32'h3fcf4fad,32'h3ffd6161,// invsqrt(0.3088) = 1.7996 +32'h40e2e20b,32'h3ebc704e,32'h3ec4214c, 32'h3eb6ab90,32'h3ec9e60a, 32'h3ead0e52,32'h3ed38348,// invsqrt(7.0901) = 0.3756 +32'h3f3969db,32'h3f936559,32'h3f99697b, 32'h3f8ee23e,32'h3f9dec96, 32'h3f875d13,32'h3fa571c1,// invsqrt(0.7243) = 1.1750 +32'h4058fde3,32'h3f083fd5,32'h3f0dcf7f, 32'h3f041415,32'h3f11fb3f, 32'h3efa40ff,32'h3f18eed5,// invsqrt(3.3905) = 0.5431 +32'h3edadfb7,32'h3fbfdafc,32'h3fc7afae, 32'h3fb9fb77,32'h3fcd8f33, 32'h3fb03199,32'h3fd75911,// invsqrt(0.4275) = 1.5295 +32'h3d105ac6,32'h40a70c3c,32'h40adddb6, 32'h40a1ef20,32'h40b2fad2, 32'h40996946,32'h40bb80ac,// invsqrt(0.0352) = 5.3268 +32'h3f1d9d25,32'h3f9fddf4,32'h3fa66466, 32'h3f9af91e,32'h3fab493c, 32'h3f92d10f,32'h3fb3714b,// invsqrt(0.6157) = 1.2744 +32'h3fcec448,32'h3f45647d,32'h3f4d7309, 32'h3f3f5993,32'h3f537df3, 32'h3f354763,32'h3f5d9023,// invsqrt(1.6154) = 0.7868 +32'h40fd0676,32'h3eb27050,32'h3eb9b8d0, 32'h3eacf9ef,32'h3ebf2f31, 32'h3ea3df4e,32'h3ec849d2,// invsqrt(7.9070) = 0.3556 +32'h42840000,32'h3df70caf,32'h3e00910d, 32'h3def7c9f,32'h3e045914, 32'h3de2e1da,32'h3e0aa677,// invsqrt(66.0000) = 0.1231 +32'h3f4dafe6,32'h3f8bf184,32'h3f91a7c8, 32'h3f87a8d1,32'h3f95f07b, 32'h3f8084fc,32'h3f9d1450,// invsqrt(0.8035) = 1.1156 +32'h3dc61a9a,32'h4049a986,32'h4051e4b0, 32'h40437d26,32'h40581110, 32'h40393332,32'h40625b04,// invsqrt(0.0967) = 3.2153 +32'h3e80e808,32'h3ff9ff17,32'h400219a6, 32'h3ff257f0,32'h4005ed3a, 32'h3fe596ad,32'h400c4ddc,// invsqrt(0.2518) = 1.9930 +32'h3d6ddf3c,32'h408221d0,32'h4087718f, 32'h407c4c00,32'h408b6d5e, 32'h406f04a1,32'h4092110d,// invsqrt(0.0581) = 4.1496 +32'h3ffeb3f4,32'h3f31d99e,32'h3f391bf8, 32'h3f2c67da,32'h3f3e8dbc, 32'h3f2354ea,32'h3f47a0ac,// invsqrt(1.9899) = 0.7089 +32'h401f44a1,32'h3f1f08dc,32'h3f25869c, 32'h3f1a2a8c,32'h3f2a64ec, 32'h3f120d5c,32'h3f32821c,// invsqrt(2.4886) = 0.6339 +32'h3e40cdbf,32'h40108b1e,32'h40167173, 32'h400c1e5f,32'h401ade33, 32'h4004be75,32'h40223e1d,// invsqrt(0.1883) = 2.3046 +32'h3f5ae617,32'h3f87a790,32'h3f8d3104, 32'h3f83807a,32'h3f91581a, 32'h3f792952,32'h3f9843eb,// invsqrt(0.8551) = 1.0814 +32'h3f095bad,32'h3fab3fd4,32'h3fb23d36, 32'h3fa601cb,32'h3fb77b3f, 32'h3f9d4511,32'h3fc037f9,// invsqrt(0.5366) = 1.3652 +32'h3eeb1b5c,32'h3fb91d16,32'h3fc0ab56, 32'h3fb37266,32'h3fc65606, 32'h3faa0097,32'h3fcfc7d5,// invsqrt(0.4592) = 1.4757 +32'h3f21d276,32'h3f9dc64c,32'h3fa436e2, 32'h3f98f1dc,32'h3fa90b52, 32'h3f90e522,32'h3fb1180d,// invsqrt(0.6321) = 1.2578 +32'h3ded83a4,32'h40382c51,32'h403fb0bd, 32'h40328900,32'h4045540e, 32'h4029237a,32'h404eb995,// invsqrt(0.1160) = 2.9364 +32'h4083b7c9,32'h3ef7505f,32'h3f00b446, 32'h3eefbe3d,32'h3f047d58, 32'h3ee32004,32'h3f0acc74,// invsqrt(4.1162) = 0.4929 +32'h3fa84bcc,32'h3f5acb16,32'h3f63b940, 32'h3f541876,32'h3f6a6be0, 32'h3f48eec0,32'h3f759596,// invsqrt(1.3148) = 0.8721 +32'h40391557,32'h3f1386fc,32'h3f198c7e, 32'h3f0f02da,32'h3f1e10a0, 32'h3f077bf7,32'h3f259783,// invsqrt(2.8919) = 0.5880 +32'h3ed13176,32'h3fc43e90,32'h3fcc411e, 32'h3fbe3ca6,32'h3fd24308, 32'h3fb43975,32'h3fdc4639,// invsqrt(0.4086) = 1.5644 +32'h3e21f3b2,32'h401db61b,32'h40242607, 32'h4018e22a,32'h4028f9f8, 32'h4010d642,32'h403105e0,// invsqrt(0.1582) = 2.5145 +32'h3e943e57,32'h3fe91f38,32'h3ff2a31b, 32'h3fe1fc4e,32'h3ff9c606, 32'h3fd61773,32'h4002d571,// invsqrt(0.2895) = 1.8584 +32'h4009e17a,32'h3f2aeca9,32'h3f31e6a5, 32'h3f25b12b,32'h3f372223, 32'h3f1cf8b0,32'h3f3fda9e,// invsqrt(2.1544) = 0.6813 +32'h3c7ae0d2,32'h40fd6d90,32'h4103e2d0, 32'h40f5ab84,32'h4107c3d6, 32'h40e8bd6f,32'h410e3ae0,// invsqrt(0.0153) = 8.0812 +32'h3f787d1f,32'h3f7ea4bf,32'h3f8484c1, 32'h3f76d92b,32'h3f886a8a, 32'h3f69db36,32'h3f8ee985,// invsqrt(0.9707) = 1.0150 +32'h3efb8090,32'h3fb2fa6b,32'h3fba488f, 32'h3fad7fd0,32'h3fbfc32a, 32'h3fa45e24,32'h3fc8e4d6,// invsqrt(0.4912) = 1.4268 +32'h3f179e0d,32'h3fa2ff6a,32'h3fa9a693, 32'h3f9e020c,32'h3faea3f2, 32'h3f95b119,32'h3fb6f4e5,// invsqrt(0.5923) = 1.2994 +32'h40357e56,32'h3f14faaa,32'h3f1b0f58, 32'h3f106b27,32'h3f1f9edb, 32'h3f08d14e,32'h3f2738b4,// invsqrt(2.8358) = 0.5938 +32'h400b6478,32'h3f29febf,32'h3f30ef05, 32'h3f24ca8a,32'h3f36233a, 32'h3f1c1e32,32'h3f3ecf92,// invsqrt(2.1780) = 0.6776 +32'h417c310c,32'h3e7cc467,32'h3e838ac8, 32'h3e750789,32'h3e876938, 32'h3e682216,32'h3e8ddbf1,// invsqrt(15.7620) = 0.2519 +32'h3f5661de,32'h3f891378,32'h3f8eabc6, 32'h3f84e13d,32'h3f92de01, 32'h3f7bc5b8,32'h3f99dc62,// invsqrt(0.8374) = 1.0928 +32'h4119ec2e,32'h3ea1c5c7,32'h3ea86023, 32'h3e9cd202,32'h3ead53e8, 32'h3e949110,32'h3eb594da,// invsqrt(9.6202) = 0.3224 +32'h3fe5cf6e,32'h3f3b3c14,32'h3f42e07e, 32'h3f3580c5,32'h3f489bcd, 32'h3f2bf342,32'h3f522950,// invsqrt(1.7954) = 0.7463 +32'h3dad0385,32'h4057ca2a,32'h406098f2, 32'h40512f14,32'h40673408, 32'h40462c99,32'h40723683,// invsqrt(0.0845) = 3.4405 +32'h4197710d,32'h3e66a5b7,32'h3e700fbf, 32'h3e5f9632,32'h3e771f44, 32'h3e53d1a8,32'h3e8171e7,// invsqrt(18.9302) = 0.2298 +32'h3f49e018,32'h3f8d4228,32'h3f93062a, 32'h3f88ef27,32'h3f97592b, 32'h3f81ba25,32'h3f9e8e2d,// invsqrt(0.7886) = 1.1261 +32'h40dc4692,32'h3ebf3e75,32'h3ec70cc3, 32'h3eb963bb,32'h3ecce77d, 32'h3eafa1d9,32'h3ed6a95f,// invsqrt(6.8836) = 0.3811 +32'h3f5d1d57,32'h3f86f91f,32'h3f8c7b73, 32'h3f82d75f,32'h3f909d33, 32'h3f77e8ea,32'h3f97801d,// invsqrt(0.8637) = 1.0760 +32'h3e88b760,32'h3ff2c03c,32'h3ffca8bb, 32'h3feb51db,32'h40020b8d, 32'h3fdeef3c,32'h40083cdd,// invsqrt(0.2670) = 1.9352 +32'h3f6ce4d6,32'h3f826684,32'h3f87b912, 32'h3f7cd135,32'h3f8bb6fc, 32'h3f6f82d4,32'h3f925e2c,// invsqrt(0.9254) = 1.0395 +32'h402ac35c,32'h3f1996af,32'h3f1fdb87, 32'h3f14e30d,32'h3f248f29, 32'h3f0d0cff,32'h3f2c6537,// invsqrt(2.6682) = 0.6122 +32'h3f853bfc,32'h3f75e70c,32'h3f7ff07a, 32'h3f6e5ff9,32'h3f83bbc6, 32'h3f61d430,32'h3f8a01ab,// invsqrt(1.0409) = 0.9802 +32'h408514f9,32'h3ef60b14,32'h3f000afd, 32'h3eee82e7,32'h3f03cf14, 32'h3ee1f546,32'h3f0a15e4,// invsqrt(4.1588) = 0.4904 +32'h3f834a3a,32'h3f77b77a,32'h3f80e9ee, 32'h3f70222f,32'h3f84b493, 32'h3f637eb4,32'h3f8b0651,// invsqrt(1.0257) = 0.9874 +32'h3e7e67fb,32'h3ffbaa26,32'h4002f7e5, 32'h3ff3f5ec,32'h4006d202, 32'h3fe71edf,32'h400d3d88,// invsqrt(0.2484) = 2.0063 +32'h3f155555,32'h3fa43d51,32'h3faaf173, 32'h3f9f3637,32'h3faff88d, 32'h3f96d50b,32'h3fb859b9,// invsqrt(0.5833) = 1.3093 +32'h3f836e57,32'h3f77956f,32'h3f80d837, 32'h3f700130,32'h3f84a257, 32'h3f635f71,32'h3f8af337,// invsqrt(1.0268) = 0.9869 +32'h3eef8fa2,32'h3fb76276,32'h3fbedea5, 32'h3fb1c554,32'h3fc47bc8, 32'h3fa86a19,32'h3fcdd703,// invsqrt(0.4679) = 1.4619 +32'h3f8bce1d,32'h3f700de6,32'h3f79da38, 32'h3f68b4a8,32'h3f8099bb, 32'h3f5c7541,32'h3f86b96f,// invsqrt(1.0922) = 0.9568 +32'h3db12f40,32'h40553c1c,32'h405df032, 32'h404eb50b,32'h40647743, 32'h4043d3f0,32'h406f585e,// invsqrt(0.0865) = 3.3998 +32'h3db81523,32'h40513392,32'h4059bd84, 32'h404acc1e,32'h406024f8, 32'h40401fb0,32'h406ad166,// invsqrt(0.0899) = 3.3355 +32'h3ec89af4,32'h3fc866a7,32'h3fd094a3, 32'h3fc2442a,32'h3fd6b720, 32'h3fb80aae,32'h3fe0f09c,// invsqrt(0.3918) = 1.5976 +32'h3ec34103,32'h3fcb20ed,32'h3fd36b69, 32'h3fc4e90f,32'h3fd9a347, 32'h3fba8bf4,32'h3fe40063,// invsqrt(0.3814) = 1.6193 +32'h3f2e56c0,32'h3f980160,32'h3f9e35ad, 32'h3f935a26,32'h3fa2dce6, 32'h3f8b98c5,32'h3faa9e47,// invsqrt(0.6810) = 1.2118 +32'h3fa741a3,32'h3f5b78e7,32'h3f646e29, 32'h3f54c0f4,32'h3f6b261c, 32'h3f498e61,32'h3f7658af,// invsqrt(1.3067) = 0.8748 +32'h3ee6039a,32'h3fbb26d7,32'h3fc2ca63, 32'h3fb56c2f,32'h3fc8850b, 32'h3fabdfc0,32'h3fd2117a,// invsqrt(0.4492) = 1.4920 +32'h3e2891e8,32'h401a95a2,32'h4020e4e2, 32'h4015da32,32'h4025a052, 32'h400df722,32'h402d8362,// invsqrt(0.1646) = 2.4647 +32'h3fe9f7aa,32'h3f399057,32'h3f41234b, 32'h3f33e220,32'h3f46d182, 32'h3f2a6a6f,32'h3f504933,// invsqrt(1.8279) = 0.7397 +32'h3fcd39a7,32'h3f4621ea,32'h3f4e3832, 32'h3f401134,32'h3f5448e8, 32'h3f35f559,32'h3f5e64c3,// invsqrt(1.6033) = 0.7897 +32'h4111f9b3,32'h3ea61e29,32'h3eace5ec, 32'h3ea10857,32'h3eb1fbbf, 32'h3e988ea4,32'h3eba7573,// invsqrt(9.1235) = 0.3311 +32'h3ff86b54,32'h3f3415da,32'h3f3b6f90, 32'h3f2e9292,32'h3f40f2d8, 32'h3f256270,32'h3f4a22fa,// invsqrt(1.9408) = 0.7178 +32'h3f558c13,32'h3f895805,32'h3f8ef31f, 32'h3f8523b1,32'h3f932773, 32'h3f7c43a0,32'h3f9a2954,// invsqrt(0.8342) = 1.0949 +32'h3f6cb45b,32'h3f8273de,32'h3f87c6f6, 32'h3f7ceb16,32'h3f8bc549, 32'h3f6f9b58,32'h3f926d28,// invsqrt(0.9246) = 1.0400 +32'h3fa7d59e,32'h3f5b1810,32'h3f640960, 32'h3f546315,32'h3f6abe5b, 32'h3f493572,32'h3f75ebfe,// invsqrt(1.3112) = 0.8733 +32'h3f9bcaa2,32'h3f63678f,32'h3f6cafb4, 32'h3f5c7173,32'h3f73a5cf, 32'h3f50d744,32'h3f7f3ffe,// invsqrt(1.2171) = 0.9064 +32'h41103d0a,32'h3ea71d73,32'h3eadefa1, 32'h3ea1ffd0,32'h3eb30d44, 32'h3e997916,32'h3ebb93fe,// invsqrt(9.0149) = 0.3331 +32'h3ff0d8ea,32'h3f36e4ef,32'h3f3e5bfe, 32'h3f314ba4,32'h3f43f54a, 32'h3f27f6d2,32'h3f4d4a1d,// invsqrt(1.8816) = 0.7290 +32'h41f13d4c,32'h3e36bede,32'h3e3e3460, 32'h3e3126bd,32'h3e43cc81, 32'h3e27d3dc,32'h3e4d1f62,// invsqrt(30.1549) = 0.1821 +32'h3f905491,32'h3f6c42d7,32'h3f75e787, 32'h3f650753,32'h3f7d230b, 32'h3f58f977,32'h3f849873,// invsqrt(1.1276) = 0.9417 +32'h3f616c44,32'h3f85ad56,32'h3f8b2220, 32'h3f8195be,32'h3f8f39b8, 32'h3f758784,32'h3f960bb4,// invsqrt(0.8806) = 1.0657 +32'h4012a23d,32'h3f25be96,32'h3f2c8272, 32'h3f20abb1,32'h3f319557, 32'h3f1836dd,32'h3f3a0a2b,// invsqrt(2.2912) = 0.6607 +32'h3f8ef4be,32'h3f6d64df,32'h3f771565, 32'h3f66207a,32'h3f7e59ca, 32'h3f5a03d2,32'h3f853b39,// invsqrt(1.1168) = 0.9462 +32'h3ecd60e7,32'h3fc60efb,32'h3fce247d, 32'h3fbffed9,32'h3fd4349f, 32'h3fb5e3f6,32'h3fde4f82,// invsqrt(0.4011) = 1.5789 +32'h3f583bc1,32'h3f887cf1,32'h3f8e0f1a, 32'h3f844f52,32'h3f923cb8, 32'h3f7ab13c,32'h3f99336c,// invsqrt(0.8447) = 1.0881 +32'h3f6b70d1,32'h3f82cd61,32'h3f882421, 32'h3f7d98a2,32'h3f8c2531, 32'h3f703fc2,32'h3f92d1a1,// invsqrt(0.9197) = 1.0427 +32'h3f885f53,32'h3f730e8d,32'h3f7cfa3f, 32'h3f6b9dc7,32'h3f823582, 32'h3f5f3729,32'h3f8868d2,// invsqrt(1.0654) = 0.9688 +32'h44098edb,32'h3d2b1ff6,32'h3d321c0a, 32'h3d25e2e6,32'h3d37591a, 32'h3d1d27cd,32'h3d401433,// invsqrt(550.2321) = 0.0426 +32'h3d26f0dd,32'h409b5640,32'h40a1ad5d, 32'h409694eb,32'h40a66eb3, 32'h408ea807,32'h40ae5b97,// invsqrt(0.0408) = 4.9533 +32'h3f54fc7b,32'h3f898649,32'h3f8f2347, 32'h3f85508b,32'h3f935905, 32'h3f7c989b,32'h3f9a5d42,// invsqrt(0.8320) = 1.0963 +32'h3f7b820b,32'h3f7d1c49,32'h3f83b884, 32'h3f755cb9,32'h3f87984b, 32'h3f6872ca,32'h3f8e0d43,// invsqrt(0.9825) = 1.0089 +32'h3f8820d1,32'h3f734654,32'h3f7d344e, 32'h3f6bd3da,32'h3f825364, 32'h3f5f6a62,32'h3f888820,// invsqrt(1.0635) = 0.9697 +32'h3f0ec16c,32'h3fa7fb13,32'h3faed64d, 32'h3fa2d6a7,32'h3fb3fab9, 32'h3f9a449e,32'h3fbc8cc2,// invsqrt(0.5576) = 1.3391 +32'h3db91351,32'h4050a3b9,32'h405927cb, 32'h404a40ac,32'h405f8ad8, 32'h403f9b95,32'h406a2fef,// invsqrt(0.0904) = 3.3265 +32'h3ece9ceb,32'h3fc57749,32'h3fcd869b, 32'h3fbf6bcc,32'h3fd39218, 32'h3fb558a7,32'h3fdda53d,// invsqrt(0.4035) = 1.5742 +32'h4019328e,32'h3f2227ab,32'h3f28c605, 32'h3f1d30e7,32'h3f2dbcc9, 32'h3f14eaf6,32'h3f3602ba,// invsqrt(2.3937) = 0.6463 +32'h3f792bae,32'h3f7e4b7d,32'h3f84564e, 32'h3f7682a5,32'h3f883aba, 32'h3f69893e,32'h3f8eb76d,// invsqrt(0.9733) = 1.0136 +32'h3ef19851,32'h3fb69c6e,32'h3fbe1088, 32'h3fb1055b,32'h3fc3a79b, 32'h3fa7b43c,32'h3fccf8bb,// invsqrt(0.4719) = 1.4558 +32'h3ff14700,32'h3f36bb31,32'h3f3e308c, 32'h3f31232d,32'h3f43c891, 32'h3f27d07c,32'h3f4d1b42,// invsqrt(1.8850) = 0.7284 +32'h3fc1fdff,32'h3f4bc9c4,32'h3f541b24, 32'h3f458cbb,32'h3f5a582d, 32'h3f3b2702,32'h3f64bde6,// invsqrt(1.5156) = 0.8123 +32'h3da7437f,32'h405b77af,32'h40646ce5, 32'h4054bfc6,32'h406b24ce, 32'h40498d43,32'h40765751,// invsqrt(0.0817) = 3.4992 +32'h3e3f7911,32'h40110b7c,32'h4016f70e, 32'h400c9ace,32'h401b67bc, 32'h40053458,32'h4022ce32,// invsqrt(0.1870) = 2.3126 +32'h41afb649,32'h3e56205d,32'h3e5eddc4, 32'h3e4f924f,32'h3e656bd1, 32'h3e44a58e,32'h3e705892,// invsqrt(21.9640) = 0.2134 +32'h40b6bec8,32'h3ed1f72d,32'h3eda8919, 32'h3ecb89bb,32'h3ee0f68b, 32'h3ec0d353,32'h3eebacf3,// invsqrt(5.7108) = 0.4185 +32'h3f9c87d9,32'h3f62ddf4,32'h3f6c207b, 32'h3f5bec0e,32'h3f731260, 32'h3f5058e5,32'h3f7ea589,// invsqrt(1.2229) = 0.9043 +32'h3f87de55,32'h3f7381d2,32'h3f7d7239, 32'h3f6c0d86,32'h3f827343, 32'h3f5fa105,32'h3f88a983,// invsqrt(1.0615) = 0.9706 +32'h3f452abc,32'h3f8eef65,32'h3f94c4eb, 32'h3f8a8f40,32'h3f992510, 32'h3f834457,32'h3fa06ff9,// invsqrt(0.7702) = 1.1395 +32'h3f9fb684,32'h3f609870,32'h3f69c33b, 32'h3f59b857,32'h3f70a353, 32'h3f4e42d9,32'h3f7c18d1,// invsqrt(1.2478) = 0.8952 +32'h3e82baab,32'h3ff83f58,32'h400130a3, 32'h3ff0a5e5,32'h4004fd5d, 32'h3fe3fb7a,32'h400b5292,// invsqrt(0.2553) = 1.9790 +32'h3dc47642,32'h404a80cf,32'h4052c4c3, 32'h40444dd9,32'h4058f7b9, 32'h4039f8e8,32'h40634caa,// invsqrt(0.0959) = 3.2287 +32'h40404960,32'h3f10bcd6,32'h3f16a532, 32'h3f0c4e91,32'h3f1b1377, 32'h3f04ec1d,32'h3f2275eb,// invsqrt(3.0045) = 0.5769 +32'h3efa9359,32'h3fb34f0f,32'h3fbaa0a7, 32'h3fadd1dd,32'h3fc01dd9, 32'h3fa4abdf,32'h3fc943d7,// invsqrt(0.4894) = 1.4294 +32'h3fa8edce,32'h3f5a6213,32'h3f634bf4, 32'h3f53b2a9,32'h3f69fb5d, 32'h3f488e50,32'h3f751fb7,// invsqrt(1.3198) = 0.8705 +32'h4079784f,32'h3efe246c,32'h3f0441fa, 32'h3ef65cc7,32'h3f0825cc, 32'h3ee9655e,32'h3f0ea181,// invsqrt(3.8980) = 0.5065 +32'h3f91ee58,32'h3f6af636,32'h3f748d53, 32'h3f63c4e2,32'h3f7bbea8, 32'h3f57c7fe,32'h3f83ddc6,// invsqrt(1.1401) = 0.9366 +32'h40027f00,32'h3f2fb1b3,32'h3f36dd87, 32'h3f2a50d5,32'h3f3c3e65, 32'h3f215a0d,32'h3f45352d,// invsqrt(2.0390) = 0.7003 +32'h3dd55d1f,32'h40425124,32'h404a3f8e, 32'h403c5e55,32'h4050325d, 32'h40327450,32'h405a1c62,// invsqrt(0.1042) = 3.0982 +32'h4126ece5,32'h3e9b5819,32'h3ea1af49, 32'h3e9696b5,32'h3ea670ad, 32'h3e8ea9b9,32'h3eae5da9,// invsqrt(10.4328) = 0.3096 +32'h3f29a0b5,32'h3f9a1a0c,32'h3fa06440, 32'h3f956264,32'h3fa51be8, 32'h3f8d85a2,32'h3facf8aa,// invsqrt(0.6626) = 1.2285 +32'h3ed969f5,32'h3fc07f9f,32'h3fc85b08, 32'h3fba9b0f,32'h3fce3f97, 32'h3fb0c8cb,32'h3fd811db,// invsqrt(0.4246) = 1.5346 +32'h3f231095,32'h3f9d2c1b,32'h3fa39665, 32'h3f985c63,32'h3fa8661d, 32'h3f905786,32'h3fb06afa,// invsqrt(0.6370) = 1.2530 +32'h4099037a,32'h3ee575a2,32'h3eeed340, 32'h3ede6f6b,32'h3ef5d977, 32'h3ed2ba66,32'h3f00c73e,// invsqrt(4.7817) = 0.4573 +32'h3f410fab,32'h3f90726f,32'h3f9657c1, 32'h3f8c0670,32'h3f9ac3c0, 32'h3f84a7c9,32'h3fa22267,// invsqrt(0.7541) = 1.1515 +32'h3fc20f12,32'h3f4bc0cd,32'h3f5411d0, 32'h3f45840a,32'h3f5a4e92, 32'h3f3b1ec6,32'h3f64b3d6,// invsqrt(1.5161) = 0.8122 +32'h3ea8a30a,32'h3fda9276,32'h3fe37e52, 32'h3fd3e192,32'h3fea2f36, 32'h3fc8bac0,32'h3ff55608,// invsqrt(0.3294) = 1.7424 +32'h3f1bb331,32'h3fa0d8b8,32'h3fa76966, 32'h3f9bec35,32'h3fac55e9, 32'h3f93b75a,32'h3fb48ac4,// invsqrt(0.6082) = 1.2823 +32'h3ee49436,32'h3fbbbd02,32'h3fc366ae, 32'h3fb5fdc0,32'h3fc925f0, 32'h3fac69a9,32'h3fd2ba07,// invsqrt(0.4464) = 1.4966 +32'h3f572eb6,32'h3f88d22a,32'h3f8e67cd, 32'h3f84a1ee,32'h3f929808, 32'h3f7b4dc4,32'h3f999314,// invsqrt(0.8406) = 1.0907 +32'h403a8e21,32'h3f12f1b5,32'h3f18f11f, 32'h3f0e7225,32'h3f1d70af, 32'h3f06f2df,32'h3f24eff5,// invsqrt(2.9149) = 0.5857 +32'h3f6f6910,32'h3f81b69b,32'h3f8701fb, 32'h3f7b7c28,32'h3f8afa82, 32'h3f6e3fba,32'h3f9198b9,// invsqrt(0.9352) = 1.0341 +32'h3ecf221d,32'h3fc537c2,32'h3fcd447c, 32'h3fbf2e37,32'h3fd34e07, 32'h3fb51e4f,32'h3fdd5def,// invsqrt(0.4046) = 1.5722 +32'h3f13bbf8,32'h3fa52041,32'h3fabdda7, 32'h3fa01235,32'h3fb0ebb3, 32'h3f97a575,32'h3fb95873,// invsqrt(0.5771) = 1.3164 +32'h3f90c449,32'h3f6be79c,32'h3f758892, 32'h3f64aee3,32'h3f7cc14b, 32'h3f58a5ae,32'h3f846540,// invsqrt(1.1310) = 0.9403 +32'h400e43f8,32'h3f284513,32'h3f2f2353, 32'h3f231e64,32'h3f344a02, 32'h3f1a8894,32'h3f3cdfd2,// invsqrt(2.2229) = 0.6707 +32'h3fb47f52,32'h3f5344d8,32'h3f5be464, 32'h3f4ccd30,32'h3f625c0c, 32'h3f4205c1,32'h3f6d237b,// invsqrt(1.4101) = 0.8421 +32'h3f0fca77,32'h3fa75ffa,32'h3fae34e0, 32'h3fa2404e,32'h3fb3548c, 32'h3f99b62f,32'h3fbbdeab,// invsqrt(0.5617) = 1.3343 +32'h3f4753e6,32'h3f8e2885,32'h3f93f5ee, 32'h3f89ce77,32'h3f984ffd, 32'h3f828db4,32'h3f9f90c0,// invsqrt(0.7786) = 1.1333 +32'h3fffc5d3,32'h3f317a4d,32'h3f38b8c3, 32'h3f2c0b74,32'h3f3e279c, 32'h3f22fd61,32'h3f4735af,// invsqrt(1.9982) = 0.7074 +32'h3f3a93b9,32'h3f92ef81,32'h3f98eed5, 32'h3f8e7002,32'h3f9d6e54, 32'h3f86f0da,32'h3fa4ed7c,// invsqrt(0.7288) = 1.1714 +32'h3f482da3,32'h3f8ddb20,32'h3f93a560, 32'h3f898370,32'h3f97fd10, 32'h3f8246a0,32'h3f9f39e0,// invsqrt(0.7819) = 1.1309 +32'h3e9907db,32'h3fe57259,32'h3feecfd5, 32'h3fde6c3c,32'h3ff5d5f2, 32'h3fd2b762,32'h4000c566,// invsqrt(0.2989) = 1.8291 +32'h3eba6279,32'h3fcfe7ce,32'h3fd86434, 32'h3fc98a81,32'h3fdec181, 32'h3fbeef01,32'h3fe95d01,// invsqrt(0.3640) = 1.6574 +32'h4194e44a,32'h3e689d2a,32'h3e721bbe, 32'h3e617e3b,32'h3e793aad, 32'h3e55a002,32'h3e828c73,// invsqrt(18.6115) = 0.2318 +32'h3f88cbb9,32'h3f72ae2d,32'h3f7c95f1, 32'h3f6b405b,32'h3f8201e1, 32'h3f5edea7,32'h3f8832bb,// invsqrt(1.0687) = 0.9673 +32'h3f7d3666,32'h3f7c41d4,32'h3f8346d4, 32'h3f7488f4,32'h3f872344, 32'h3f67aa2b,32'h3f8d92a8,// invsqrt(0.9891) = 1.0055 +32'h3f0b078e,32'h3faa3783,32'h3fb12a1b, 32'h3fa50191,32'h3fb6600d, 32'h3f9c5254,32'h3fbf0f4a,// invsqrt(0.5431) = 1.3570 +32'h409f4fb0,32'h3ee0e0e0,32'h3eea0ea0, 32'h3ed9fe90,32'h3ef0f0f0, 32'h3ece8560,32'h3efc6a20,// invsqrt(4.9785) = 0.4482 +32'h40aa9300,32'h3ed953cb,32'h3ee232a5, 32'h3ed2aca8,32'h3ee8d9c8, 32'h3ec79619,32'h3ef3f057,// invsqrt(5.3304) = 0.4331 +32'h3fa1678a,32'h3f5f6a5d,32'h3f6888d4, 32'h3f589385,32'h3f6f5fad, 32'h3f4d2d70,32'h3f7ac5c2,// invsqrt(1.2610) = 0.8905 +32'h4087a09e,32'h3ef3b933,32'h3efdabdd, 32'h3eec4334,32'h3f0290ee, 32'h3edfd3e1,32'h3f08c898,// invsqrt(4.2384) = 0.4857 +32'h3f07d448,32'h3fac35de,32'h3fb33d4a, 32'h3fa6f04d,32'h3fb882db, 32'h3f9e2705,32'h3fc14c23,// invsqrt(0.5306) = 1.3729 +32'h402f3339,32'h3f17a19d,32'h3f1dd201, 32'h3f12fd51,32'h3f22764d, 32'h3f0b40d4,32'h3f2a32ca,// invsqrt(2.7375) = 0.6044 +32'h4002c202,32'h3f2f84a9,32'h3f36aea5, 32'h3f2a252b,32'h3f3c0e23, 32'h3f2130b0,32'h3f45029e,// invsqrt(2.0431) = 0.6996 +32'h3e4f9d64,32'h400b4acf,32'h4010fa45, 32'h40070736,32'h40153dde, 32'h3fffd7c5,32'h401c5931,// invsqrt(0.2027) = 2.2209 +32'h3fdfcdba,32'h3f3dbb0b,32'h3f457989, 32'h3f37ec2d,32'h3f4b4867, 32'h3f2e3e0f,32'h3f54f685,// invsqrt(1.7485) = 0.7563 +32'h40451102,32'h3f0ef8b9,32'h3f14cea1, 32'h3f0a984b,32'h3f192f0f, 32'h3f034ce8,32'h3f207a72,// invsqrt(3.0792) = 0.5699 +32'h3f70610f,32'h3f8173a0,32'h3f86bc44, 32'h3f7afa4c,32'h3f8ab2be, 32'h3f6dc4b4,32'h3f914d8a,// invsqrt(0.9390) = 1.0320 +32'h3ec9f91c,32'h3fc7b8a4,32'h3fcfdf86, 32'h3fc19b7a,32'h3fd5fcb0, 32'h3fb76ae0,32'h3fe02d4a,// invsqrt(0.3945) = 1.5922 +32'h3f17a5a1,32'h3fa2fb58,32'h3fa9a256, 32'h3f9dfe19,32'h3fae9f95, 32'h3f95ad5b,32'h3fb6f053,// invsqrt(0.5924) = 1.2993 +32'h402c38aa,32'h3f18efdf,32'h3f1f2de8, 32'h3f144158,32'h3f23dc6e, 32'h3f0c73cc,32'h3f2ba9fa,// invsqrt(2.6910) = 0.6096 +32'h3f9c5ed1,32'h3f62fbb5,32'h3f6c3f74, 32'h3f5c08e7,32'h3f733243, 32'h3f507439,32'h3f7ec6f1,// invsqrt(1.2216) = 0.9047 +32'h3dfc99c3,32'h403296b1,32'h4039e0c2, 32'h402d1f23,32'h403f584f, 32'h4024028d,32'h404874e5,// invsqrt(0.1233) = 2.8474 +32'h3f1ae7af,32'h3fa1423d,32'h3fa7d73b, 32'h3f9c527f,32'h3facc6f9, 32'h3f941843,32'h3fb50135,// invsqrt(0.6051) = 1.2855 +32'h3e85582a,32'h3ff5cd0f,32'h3fffd56d, 32'h3fee46c8,32'h4003adda, 32'h3fe1bc51,32'h4009f315,// invsqrt(0.2604) = 1.9595 +32'h3f6e985d,32'h3f81ef4a,32'h3f873cf9, 32'h3f7bea0c,32'h3f8b373c, 32'h3f6ea7d5,32'h3f91d858,// invsqrt(0.9320) = 1.0358 +32'h3ffe627d,32'h3f31f616,32'h3f39399a, 32'h3f2c8374,32'h3f3eac3c, 32'h3f236f0f,32'h3f47c0a1,// invsqrt(1.9874) = 0.7093 +32'h407bcbdd,32'h3efcf72c,32'h3f03a534, 32'h3ef538c0,32'h3f07846a, 32'h3ee850b6,32'h3f0df86f,// invsqrt(3.9343) = 0.5042 +32'h3f32a4c2,32'h3f9629ac,32'h3f9c4ab8, 32'h3f9190e3,32'h3fa0e381, 32'h3f89e793,32'h3fa88cd1,// invsqrt(0.6978) = 1.1971 +32'h40654dc8,32'h3f048a82,32'h3f09f36d, 32'h3f007bd1,32'h3f0e021d, 32'h3ef37156,32'h3f14c543,// invsqrt(3.5829) = 0.5283 +32'h40863fcd,32'h3ef4f8a5,32'h3efef858, 32'h3eed78de,32'h3f033c0f, 32'h3ee0f93e,32'h3f097bdf,// invsqrt(4.1953) = 0.4882 +32'h4000eb6d,32'h3f30c3dd,32'h3f37fae1, 32'h3f2b5a9a,32'h3f3d6424, 32'h3f2255d6,32'h3f4668e9,// invsqrt(2.0144) = 0.7046 +32'h3fb32ca3,32'h3f540c27,32'h3f5cb3d5, 32'h3f4d8e64,32'h3f633198, 32'h3f42bccb,32'h3f6e0331,// invsqrt(1.3998) = 0.8452 +32'h3f477d32,32'h3f8e19ce,32'h3f93e69c, 32'h3f89c032,32'h3f984038, 32'h3f828030,32'h3f9f803a,// invsqrt(0.7793) = 1.1328 +32'h3e3ecf55,32'h40114bf1,32'h40173a25, 32'h400cd94a,32'h401baccc, 32'h40056f8a,32'h4023168c,// invsqrt(0.1863) = 2.3166 +32'h3f9e105d,32'h3f61c394,32'h3f6afa95, 32'h3f5ada54,32'h3f71e3d6, 32'h3f4f5593,32'h3f7d6897,// invsqrt(1.2349) = 0.8999 +32'h4168ae9a,32'h3e83934b,32'h3e88f21f, 32'h3e7f1858,32'h3e8cf93e, 32'h3e71ab46,32'h3e93afc7,// invsqrt(14.5426) = 0.2622 +32'h3e17b87b,32'h4022f137,32'h402997cc, 32'h401df448,32'h402e94bc, 32'h4015a40f,32'h4036e4f5,// invsqrt(0.1482) = 2.5979 +32'h3e3f13b1,32'h401131f1,32'h40171f15, 32'h400cc016,32'h401b90f0, 32'h400557a9,32'h4022f95d,// invsqrt(0.1866) = 2.3150 +32'h3d17105f,32'h40a34bc8,32'h40a9f60f, 32'h409e4c13,32'h40aef5c5, 32'h4095f73b,32'h40b74a9d,// invsqrt(0.0369) = 5.2071 +32'h4069c41e,32'h3f03451b,32'h3f08a0be, 32'h3efe80c0,32'h3f0ca578, 32'h3ef11ba9,32'h3f135804,// invsqrt(3.6526) = 0.5232 +32'h3f7a515e,32'h3f7db624,32'h3f840896, 32'h3f75f1e0,32'h3f87eab8, 32'h3f690017,32'h3f8e639c,// invsqrt(0.9778) = 1.0113 +32'h4064bd1c,32'h3f04b465,32'h3f0a1f05, 32'h3f00a46c,32'h3f0e2efe, 32'h3ef3be46,32'h3f14f447,// invsqrt(3.5740) = 0.5290 +32'h3f706e04,32'h3f817023,32'h3f86b8a2, 32'h3f7af389,32'h3f8aaf02, 32'h3f6dbe4c,32'h3f9149a0,// invsqrt(0.9392) = 1.0319 +32'h3f0cb15a,32'h3fa9352c,32'h3fb01d38, 32'h3fa40723,32'h3fb54b41, 32'h3f9b6513,32'h3fbded51,// invsqrt(0.5496) = 1.3489 +32'h3f22a1cf,32'h3f9d6198,32'h3fa3ce12, 32'h3f98903e,32'h3fa89f6c, 32'h3f9088a6,32'h3fb0a704,// invsqrt(0.6353) = 1.2546 +32'h3fd2edef,32'h3f436f5f,32'h3f4b6977, 32'h3f3d73cc,32'h3f51650a, 32'h3f337b2d,32'h3f5b5da9,// invsqrt(1.6479) = 0.7790 +32'h40684b59,32'h3f03af64,32'h3f090f5e, 32'h3eff4ed2,32'h3f0d1759, 32'h3ef1dee2,32'h3f13cf51,// invsqrt(3.6296) = 0.5249 +32'h3f932d4e,32'h3f69f711,32'h3f7383c4, 32'h3f62cd8c,32'h3f7aad4a, 32'h3f56ddad,32'h3f834e94,// invsqrt(1.1498) = 0.9326 +32'h415e1a3d,32'h3e86ac31,32'h3e8c2b62, 32'h3e828ccc,32'h3e904ac6, 32'h3e775b9d,32'h3e9729c4,// invsqrt(13.8814) = 0.2684 +32'h3e661308,32'h400451a4,32'h4009b83e, 32'h400044b2,32'h400dc530, 32'h3ff308e5,32'h40148570,// invsqrt(0.2247) = 2.1097 +32'h400c7aa1,32'h3f29561e,32'h3f303f82, 32'h3f242712,32'h3f356e8e, 32'h3f1b8355,32'h3f3e124b,// invsqrt(2.1950) = 0.6750 +32'h3e84729e,32'h3ff6a1b3,32'h40005960, 32'h3fef14e9,32'h40041fc4, 32'h3fe27f9a,32'h400a6a6c,// invsqrt(0.2587) = 1.9661 +32'h3ca5480c,32'h40dcc795,32'h40e5ca81, 32'h40d60564,32'h40ec8cb2, 32'h40cac1bd,32'h40f7d059,// invsqrt(0.0202) = 7.0402 +32'h3f471b85,32'h3f8e3ca4,32'h3f940adf, 32'h3f89e1f8,32'h3f98658c, 32'h3f82a02f,32'h3f9fa755,// invsqrt(0.7778) = 1.1339 +32'h3ecf5d87,32'h3fc51b7f,32'h3fcd2711, 32'h3fbf12d1,32'h3fd32fbf, 32'h3fb5045a,32'h3fdd3e36,// invsqrt(0.4050) = 1.5713 +32'h3f47377c,32'h3f8e32a8,32'h3f94007a, 32'h3f89d84a,32'h3f985ad8, 32'h3f829702,32'h3f9f9c20,// invsqrt(0.7782) = 1.1336 +32'h3fa7a5f2,32'h3f5b3735,32'h3f6429c9, 32'h3f548145,32'h3f6adfb9, 32'h3f49520c,32'h3f760ef2,// invsqrt(1.3098) = 0.8738 +32'h3eacd6c8,32'h3fd7e616,32'h3fe0b602, 32'h3fd14a25,32'h3fe751f3, 32'h3fc6463e,32'h3ff255da,// invsqrt(0.3376) = 1.7211 +32'h3eeeb05c,32'h3fb7b828,32'h3fbf37d6, 32'h3fb21865,32'h3fc4d799, 32'h3fa8b8cc,32'h3fce3732,// invsqrt(0.4662) = 1.4646 +32'h40b6b813,32'h3ed1fb07,32'h3eda8d1d, 32'h3ecb8d78,32'h3ee0faac, 32'h3ec0d6dd,32'h3eebb147,// invsqrt(5.7100) = 0.4185 +32'h3e093a32,32'h402b54b7,32'h403252f3, 32'h4026160a,32'h403791a0, 32'h401d5840,32'h40404f6a,// invsqrt(0.1340) = 2.7317 +32'h3d47fe3b,32'h408debef,32'h4093b6df, 32'h408993bb,32'h40980f13, 32'h40825610,32'h409f4cbe,// invsqrt(0.0488) = 4.5256 +32'h404d8102,32'h3f0c017b,32'h3f11b865, 32'h3f07b84a,32'h3f160196, 32'h3f0093a5,32'h3f1d263b,// invsqrt(3.2110) = 0.5581 +32'h3f4461a6,32'h3f8f3880,32'h3f951102, 32'h3f8ad61e,32'h3f997364, 32'h3f83877a,32'h3fa0c208,// invsqrt(0.7671) = 1.1417 +32'h3fb46bab,32'h3f535059,32'h3f5bf05d, 32'h3f4cd856,32'h3f626860, 32'h3f421052,32'h3f6d3064,// invsqrt(1.4095) = 0.8423 +32'h3f11a64f,32'h3fa64db0,32'h3fad1764, 32'h3fa1366a,32'h3fb22eaa, 32'h3f98ba49,32'h3fbaaacb,// invsqrt(0.5689) = 1.3258 +32'h3fd4ddde,32'h3f428b31,32'h3f4a7bf9, 32'h3f3c969a,32'h3f507090, 32'h3f32a9a0,32'h3f5a5d8a,// invsqrt(1.6630) = 0.7754 +32'h3f82aae5,32'h3f784e53,32'h3f81386f, 32'h3f70b46b,32'h3f850564, 32'h3f64093d,32'h3f8b5afb,// invsqrt(1.0208) = 0.9897 +32'h3fae237d,32'h3f571774,32'h3f5fdef0, 32'h3f5081d6,32'h3f66748e, 32'h3f45887a,32'h3f716dea,// invsqrt(1.3605) = 0.8573 +32'h3e8a728f,32'h3ff13a7a,32'h3ffb1311, 32'h3fe9d808,32'h40013ac1, 32'h3fdd894b,32'h40076220,// invsqrt(0.2704) = 1.9231 +32'h40344d1c,32'h3f15788e,32'h3f1b9260, 32'h3f10e531,32'h3f2025bd, 32'h3f0944eb,32'h3f27c603,// invsqrt(2.8172) = 0.5958 +32'h3ea5d9c9,32'h3fdc667f,32'h3fe56575, 32'h3fd5a747,32'h3fec24ad, 32'h3fca6894,32'h3ff76360,// invsqrt(0.3239) = 1.7570 +32'h3fc86166,32'h3f48836c,32'h3f50b296, 32'h3f42600e,32'h3f56d5f4, 32'h3f38251a,32'h3f6110e8,// invsqrt(1.5655) = 0.7992 +32'h3f870cc5,32'h3f743e77,32'h3f7e3691, 32'h3f6cc464,32'h3f82d852, 32'h3f604e44,32'h3f891362,// invsqrt(1.0551) = 0.9735 +32'h3f720985,32'h3f8101ec,32'h3f8645ec, 32'h3f7a1dda,32'h3f8a38eb, 32'h3f6cf3dc,32'h3f90cdea,// invsqrt(0.9455) = 1.0284 +32'h3fb0cfae,32'h3f5575b5,32'h3f5e2c25, 32'h3f4eece1,32'h3f64b4f9, 32'h3f4408d5,32'h3f6f9905,// invsqrt(1.3813) = 0.8508 +32'h3fb3e4ad,32'h3f539f94,32'h3f5c42d3, 32'h3f4d2524,32'h3f62bd42, 32'h3f425914,32'h3f6d8952,// invsqrt(1.4054) = 0.8435 +32'h3fd87b6d,32'h3f40e98f,32'h3f48c94b, 32'h3f3b01c1,32'h3f4eb119, 32'h3f312a15,32'h3f5888c5,// invsqrt(1.6913) = 0.7689 +32'h3ef5af94,32'h3fb51599,32'h3fbc79bf, 32'h3faf8a7d,32'h3fc204db, 32'h3fa64d4e,32'h3fcb420a,// invsqrt(0.4799) = 1.4436 +32'h410e8faa,32'h3ea81861,32'h3eaef4cd, 32'h3ea2f30f,32'h3eb41a1f, 32'h3e9a5f88,32'h3ebcada6,// invsqrt(8.9101) = 0.3350 +32'h3f0a8fad,32'h3faa8115,32'h3fb176ad, 32'h3fa548e3,32'h3fb6aedf, 32'h3f9c95e4,32'h3fbf61de,// invsqrt(0.5413) = 1.3592 +32'h3f409e8c,32'h3f909cd3,32'h3f9683e1, 32'h3f8c2f89,32'h3f9af12b, 32'h3f84ceb7,32'h3fa251fd,// invsqrt(0.7524) = 1.1528 +32'h3ef7c42c,32'h3fb4528f,32'h3fbbaebf, 32'h3faecd6b,32'h3fc133e3, 32'h3fa59a30,32'h3fca671e,// invsqrt(0.4839) = 1.4375 +32'h4039846b,32'h3f135acb,32'h3f195e7f, 32'h3f0ed803,32'h3f1de147, 32'h3f075361,32'h3f2565e9,// invsqrt(2.8987) = 0.5874 +32'h40fdd59f,32'h3eb22771,32'h3eb96cf8, 32'h3eacb34b,32'h3ebee11d, 32'h3ea39c62,32'h3ec7f806,// invsqrt(7.9323) = 0.3551 +32'h3e606605,32'h4005fb5c,32'h400b7356, 32'h4001e161,32'h400f8d51, 32'h3ff616d3,32'h40166348,// invsqrt(0.2191) = 2.1362 +32'h3ec9795a,32'h3fc7f7ed,32'h3fd02164, 32'h3fc1d8d3,32'h3fd6407d, 32'h3fb7a4fe,32'h3fe07452,// invsqrt(0.3935) = 1.5941 +32'h3e580c13,32'h40088c00,32'h400e1ec6, 32'h40045deb,32'h40124cdb, 32'h3ffacce5,32'h40194453,// invsqrt(0.2110) = 2.1771 +32'h3fda6d84,32'h3f400d1d,32'h3f47e3db, 32'h3f3a2c0f,32'h3f4dc4e9, 32'h3f305fa3,32'h3f579155,// invsqrt(1.7065) = 0.7655 +32'h403d1fa4,32'h3f11f166,32'h3f17e65a, 32'h3f0d79ae,32'h3f1c5e12, 32'h3f06077d,32'h3f23d043,// invsqrt(2.9551) = 0.5817 +32'h3f1a36a2,32'h3fa19eb5,32'h3fa83779, 32'h3f9cac23,32'h3fad2a0b, 32'h3f946d2e,32'h3fb56900,// invsqrt(0.6024) = 1.2884 +32'h3d720a7d,32'h408101aa,32'h408645a6, 32'h407a1d59,32'h408a38a4, 32'h406cf362,32'h4090cd9f,// invsqrt(0.0591) = 4.1137 +32'h3f315305,32'h3f96b869,32'h3f9cdf49, 32'h3f921b41,32'h3fa17c71, 32'h3f8a6aaa,32'h3fa92d08,// invsqrt(0.6927) = 1.2015 +32'h3faef222,32'h3f569846,32'h3f5f5a92, 32'h3f50068d,32'h3f65ec4b, 32'h3f4513ae,32'h3f70df2a,// invsqrt(1.3668) = 0.8554 +32'h3e211bb4,32'h401e1fb0,32'h402493ec, 32'h40194884,32'h40296b18, 32'h40113739,32'h40317c63,// invsqrt(0.1573) = 2.5211 +32'h3d14f209,32'h40a47407,32'h40ab2a65, 32'h409f6b40,32'h40b0332c, 32'h4097074a,32'h40b89722,// invsqrt(0.0364) = 5.2440 +32'h3fe0dc70,32'h3f3d48b3,32'h3f450287, 32'h3f377d55,32'h3f4acde5, 32'h3f2dd50d,32'h3f54762d,// invsqrt(1.7567) = 0.7545 +32'h4046b230,32'h3f0e6253,32'h3f143217, 32'h3f0a067f,32'h3f188deb, 32'h3f02c2c9,32'h3f1fd1a1,// invsqrt(3.1046) = 0.5675 +32'h3f55251a,32'h3f89792e,32'h3f8f15a2, 32'h3f8543d6,32'h3f934afa, 32'h3f7c8088,32'h3f9a4e8c,// invsqrt(0.8326) = 1.0959 +32'h3f45282c,32'h3f8ef053,32'h3f94c5e3, 32'h3f8a9026,32'h3f992610, 32'h3f834532,32'h3fa07104,// invsqrt(0.7701) = 1.1395 +32'h3e938eae,32'h3fe9a9d2,32'h3ff3335d, 32'h3fe282a9,32'h3ffa5a85, 32'h3fd696bb,32'h40032339,// invsqrt(0.2882) = 1.8627 +32'h3f6f48de,32'h3f81bf55,32'h3f870b0f, 32'h3f7b8d12,32'h3f8b03db, 32'h3f6e4fc0,32'h3f91a284,// invsqrt(0.9347) = 1.0343 +32'h3fa6b2a4,32'h3f5bd6f5,32'h3f64d00f, 32'h3f551c22,32'h3f6b8ae2, 32'h3f49e4c2,32'h3f76c242,// invsqrt(1.3023) = 0.8763 +32'h3f32dedb,32'h3f961147,32'h3f9c3155, 32'h3f91793d,32'h3fa0c95f, 32'h3f89d12d,32'h3fa8716f,// invsqrt(0.6987) = 1.1963 +32'h3f8f65db,32'h3f6d072c,32'h3f76b3df, 32'h3f65c5a5,32'h3f7df565, 32'h3f59adc4,32'h3f8506a3,// invsqrt(1.1203) = 0.9448 +32'h3ee11c1b,32'h3fbd2ded,32'h3fc4e6a9, 32'h3fb76361,32'h3fcab135, 32'h3fadbc77,32'h3fd4581f,// invsqrt(0.4397) = 1.5081 +32'h3f139654,32'h3fa5354e,32'h3fabf390, 32'h3fa0269d,32'h3fb10241, 32'h3f97b8ca,32'h3fb97014,// invsqrt(0.5765) = 1.3170 +32'h3f7d67a1,32'h3f7c2951,32'h3f833a13, 32'h3f747133,32'h3f871623, 32'h3f6793a9,32'h3f8d84e7,// invsqrt(0.9899) = 1.0051 +32'h3e9f7c86,32'h3fe0c141,32'h3fe9edb7, 32'h3fd9dfe9,32'h3ff0cf0f, 32'h3fce6856,32'h3ffc46a2,// invsqrt(0.3115) = 1.7917 +32'h403a03e6,32'h3f132844,32'h3f1929e8, 32'h3f0ea708,32'h3f1dab24, 32'h3f0724fa,32'h3f252d32,// invsqrt(2.9065) = 0.5866 +32'h3fb75789,32'h3f519fa3,32'h3f5a2dfd, 32'h3f4b34df,32'h3f6098c1, 32'h3f4082ee,32'h3f6b4ab2,// invsqrt(1.4324) = 0.8356 +32'h418dbceb,32'h3e6e6973,32'h3e78249c, 32'h3e671d13,32'h3e7f70fb, 32'h3e5af320,32'h3e85cd77,// invsqrt(17.7172) = 0.2376 +32'h3f6be9ff,32'h3f82abc5,32'h3f880125, 32'h3f7d5778,32'h3f8c012e, 32'h3f700206,32'h3f92abe7,// invsqrt(0.9215) = 1.0417 +32'h3f979eb6,32'h3f6682fa,32'h3f6feb96, 32'h3f5f7484,32'h3f76fa0c, 32'h3f53b1c1,32'h3f815e68,// invsqrt(1.1845) = 0.9188 +32'h3e8e9c36,32'h3fedae83,32'h3ff7620b, 32'h3fe667dd,32'h3ffea8b1, 32'h3fda4773,32'h4005648d,// invsqrt(0.2785) = 1.8948 +32'h3f559298,32'h3f8955ec,32'h3f8ef0f0, 32'h3f8521a8,32'h3f932534, 32'h3f7c3fc6,32'h3f9a26f9,// invsqrt(0.8343) = 1.0948 +32'h3fab3477,32'h3f58ed38,32'h3f61c7e2, 32'h3f524939,32'h3f686be1, 32'h3f4737e5,32'h3f737d35,// invsqrt(1.3375) = 0.8647 +32'h3f69d660,32'h3f833ffb,32'h3f889b68, 32'h3f7e76d0,32'h3f8c9ffa, 32'h3f71123f,32'h3f935243,// invsqrt(0.9134) = 1.0463 +32'h3ffbbf8d,32'h3f32e406,32'h3f3a3140, 32'h3f2d6a1b,32'h3f3fab2b, 32'h3f244993,32'h3f48cbb3,// invsqrt(1.9668) = 0.7131 +32'h3cf0d9ea,32'h40b6e48e,32'h40be5b9a, 32'h40b14b46,32'h40c3f4e2, 32'h40a7f678,32'h40cd49b0,// invsqrt(0.0294) = 5.8320 +32'h405d44af,32'h3f06ed1e,32'h3f0c6ef6, 32'h3f02cbbd,32'h3f109057, 32'h3ef7d2df,32'h3f1772a5,// invsqrt(3.4573) = 0.5378 +32'h3f59b805,32'h3f88058b,32'h3f8d92d5, 32'h3f83db94,32'h3f91bccc, 32'h3f79d5f0,32'h3f98ad68,// invsqrt(0.8505) = 1.0844 +32'h406358f5,32'h3f051c2e,32'h3f0a8b0c, 32'h3f010908,32'h3f0e9e32, 32'h3ef47ce7,32'h3f1568c6,// invsqrt(3.5523) = 0.5306 +32'h3f0e8fd7,32'h3fa81846,32'h3faef4b2, 32'h3fa2f2f6,32'h3fb41a02, 32'h3f9a5f70,32'h3fbcad88,// invsqrt(0.5569) = 1.3400 +32'h3fee45ce,32'h3f37e137,32'h3f3f6293, 32'h3f324033,32'h3f450397, 32'h3f28de81,32'h3f4e6549,// invsqrt(1.8615) = 0.7329 +32'h3faea4db,32'h3f56c7bb,32'h3f5f8bf7, 32'h3f50348e,32'h3f661f24, 32'h3f453f43,32'h3f71146f,// invsqrt(1.3644) = 0.8561 +32'h40a025fe,32'h3ee04a37,32'h3ee971d1, 32'h3ed96c84,32'h3ef04f84, 32'h3ecdfb04,32'h3efbc104,// invsqrt(5.0046) = 0.4470 +32'h3fe3f753,32'h3f3bfd91,32'h3f43a9e0, 32'h3f363c55,32'h3f496b1b, 32'h3f2ca4f2,32'h3f53027e,// invsqrt(1.7810) = 0.7493 +32'h3fd9ef4c,32'h3f4044b2,32'h3f481db4, 32'h3f3a61f0,32'h3f4e0076, 32'h3f3092ae,32'h3f57cfb8,// invsqrt(1.7026) = 0.7664 +32'h41eae056,32'h3e393457,32'h3e40c389, 32'h3e3388f1,32'h3e466eef, 32'h3e2a15f2,32'h3e4fe1ee,// invsqrt(29.3595) = 0.1846 +32'h3ff1e21b,32'h3f368091,32'h3f3df388, 32'h3f30ea59,32'h3f4389c1, 32'h3f279aa5,32'h3f4cd975,// invsqrt(1.8897) = 0.7274 +32'h3f8b4989,32'h3f70800a,32'h3f7a5105, 32'h3f69234d,32'h3f80d6e0, 32'h3f5cde13,32'h3f86f97d,// invsqrt(1.0882) = 0.9586 +32'h3fbcd86c,32'h3f4e8be7,32'h3f56fa1a, 32'h3f483940,32'h3f5d4cc0, 32'h3f3daf80,32'h3f67d680,// invsqrt(1.4754) = 0.8233 +32'h3f2a0d79,32'h3f99e8bc,32'h3fa030ee, 32'h3f953297,32'h3fa4e713, 32'h3f8d5859,32'h3facc151,// invsqrt(0.6643) = 1.2270 +32'h3fb00c7c,32'h3f55ebeb,32'h3f5ea72e, 32'h3f4f5f78,32'h3f6533a0, 32'h3f447564,32'h3f701db4,// invsqrt(1.3754) = 0.8527 +32'h403b85be,32'h3f129091,32'h3f188c05, 32'h3f0e13fa,32'h3f1d089c, 32'h3f0699aa,32'h3f2482ec,// invsqrt(2.9300) = 0.5842 +32'h3f63a0d2,32'h3f85072a,32'h3f8a752b, 32'h3f80f4a8,32'h3f8e87ac, 32'h3f74564c,32'h3f95512e,// invsqrt(0.8892) = 1.0605 +32'h3f477b70,32'h3f8e1a6e,32'h3f93e744, 32'h3f89c0ce,32'h3f9840e4, 32'h3f8280c3,32'h3f9f80ef,// invsqrt(0.7792) = 1.1328 +32'h41202fad,32'h3e9e9404,32'h3ea50cfe, 32'h3e99b947,32'h3ea9e7bb, 32'h3e91a20e,32'h3eb1fef4,// invsqrt(10.0116) = 0.3160 +32'h40a7e3c5,32'h3edb0ed4,32'h3ee3ffc2, 32'h3ed45a21,32'h3eeab475, 32'h3ec92cf7,32'h3ef5e19f,// invsqrt(5.2466) = 0.4366 +32'h40262dca,32'h3f1bb152,32'h3f220c26, 32'h3f16ed33,32'h3f26d045, 32'h3f0efba9,32'h3f2ec1cf,// invsqrt(2.5965) = 0.6206 +32'h400765e2,32'h3f2c7c05,32'h3f33864e, 32'h3f27344d,32'h3f38ce05, 32'h3f1e6772,32'h3f419ae0,// invsqrt(2.1156) = 0.6875 +32'h3f976058,32'h3f66b271,32'h3f701cfd, 32'h3f5fa287,32'h3f772ce7, 32'h3f53dd58,32'h3f81790b,// invsqrt(1.1826) = 0.9196 +32'h3e1b326f,32'h40211b63,32'h4027aecb, 32'h401c2cd6,32'h402c9d58, 32'h4013f495,32'h4034d599,// invsqrt(0.1516) = 2.5687 +32'h3f506be0,32'h3f8b05be,32'h3f90b262, 32'h3f86c442,32'h3f94f3de, 32'h3f7f58ea,32'h3f9c0bab,// invsqrt(0.8141) = 1.1083 +32'h42ce6795,32'h3dc590cb,32'h3dcda127, 32'h3dbf8486,32'h3dd3ad6c, 32'h3db57013,32'h3dddc1df,// invsqrt(103.2023) = 0.0984 +32'h3fc1f293,32'h3f4bcfc4,32'h3f542164, 32'h3f45928d,32'h3f5a5e9b, 32'h3f3b2c85,32'h3f64c4a3,// invsqrt(1.5152) = 0.8124 +32'h406086c8,32'h3f05f195,32'h3f0b6929, 32'h3f01d7e7,32'h3f0f82d7, 32'h3ef604de,32'h3f16584f,// invsqrt(3.5082) = 0.5339 +32'h3e950383,32'h3fe884ca,32'h3ff20260, 32'h3fe1669a,32'h3ff92090, 32'h3fd589a0,32'h40027ec5,// invsqrt(0.2910) = 1.8536 +32'h4252f55b,32'h3e0a2f17,32'h3e0fd2f9, 32'h3e05f42e,32'h3e140de2, 32'h3dfdcea8,32'h3e1b1abc,// invsqrt(52.7396) = 0.1377 +32'h40116b27,32'h3f266f80,32'h3f2d3a95, 32'h3f215731,32'h3f3252e5, 32'h3f18d957,32'h3f3ad0bf,// invsqrt(2.2722) = 0.6634 +32'h3ffc0e74,32'h3f32c804,32'h3f3a1419, 32'h3f2d4ef4,32'h3f3f8d28, 32'h3f242fd9,32'h3f48ac43,// invsqrt(1.9692) = 0.7126 +32'h3f21f1a8,32'h3f9db719,32'h3fa42710, 32'h3f98e321,32'h3fa8fb09, 32'h3f90d72c,32'h3fb106fe,// invsqrt(0.6326) = 1.2573 +32'h3f832f6f,32'h3f77d0c4,32'h3f80f718, 32'h3f703ab4,32'h3f84c220, 32'h3f6395ee,32'h3f8b1483,// invsqrt(1.0249) = 0.9878 +32'h3fcc1881,32'h3f46ae12,32'h3f4eca13, 32'h3f409912,32'h3f54df14, 32'h3f367611,32'h3f5f0215,// invsqrt(1.5945) = 0.7919 +32'h3f7addaf,32'h3f7d6f26,32'h3f83e3a3, 32'h3f75ad0d,32'h3f87c4b0, 32'h3f68bee4,32'h3f8e3bc4,// invsqrt(0.9799) = 1.0102 +32'h4003de2b,32'h3f2ec726,32'h3f35e966, 32'h3f296d76,32'h3f3b4316, 32'h3f2082a5,32'h3f442de7,// invsqrt(2.0604) = 0.6967 +32'h3f7fdbbe,32'h3f7af30e,32'h3f82989c, 32'h3f73446d,32'h3f866feb, 32'h3f6676b8,32'h3f8cd6c6,// invsqrt(0.9994) = 1.0003 +32'h3ede9c79,32'h3fbe3cf4,32'h3fc600c0, 32'h3fb86a1c,32'h3fcbd398, 32'h3faeb55e,32'h3fd58856,// invsqrt(0.4348) = 1.5166 +32'h4135eb8b,32'h3e94cdeb,32'h3e9ae0c6, 32'h3e903fc8,32'h3e9f6eea, 32'h3e88a836,32'h3ea7067c,// invsqrt(11.3700) = 0.2966 +32'h414b1cc7,32'h3e8cd3de,32'h3e929360, 32'h3e88843d,32'h3e96e301, 32'h3e8154dc,32'h3e9e1262,// invsqrt(12.6945) = 0.2807 +32'h3feb1248,32'h3f3920a9,32'h3f40af0d, 32'h3f3375dd,32'h3f4659d9, 32'h3f2a03df,32'h3f4fcbd7,// invsqrt(1.8365) = 0.7379 +32'h3fdc4733,32'h3f3f3e2f,32'h3f470c7b, 32'h3f396377,32'h3f4ce733, 32'h3f2fa199,32'h3f56a911,// invsqrt(1.7209) = 0.7623 +32'h412c8c5b,32'h3e98cac3,32'h3e9f0749, 32'h3e941d5f,32'h3ea3b4ad, 32'h3e8c51b9,32'h3eab8053,// invsqrt(10.7843) = 0.3045 +32'h3fbd3c89,32'h3f4e553d,32'h3f56c135, 32'h3f480443,32'h3f5d122f, 32'h3f3d7d4d,32'h3f679925,// invsqrt(1.4784) = 0.8224 +32'h3fee44b6,32'h3f37e1a3,32'h3f3f6303, 32'h3f32409c,32'h3f45040a, 32'h3f28dee4,32'h3f4e65c2,// invsqrt(1.8615) = 0.7329 +32'h3e7ab3c6,32'h3ffd8454,32'h4003eea9, 32'h3ff5c194,32'h4007d008, 32'h3fe8d257,32'h400e47a7,// invsqrt(0.2448) = 2.0210 +32'h409459d7,32'h3ee9099c,32'h3ef28c9e, 32'h3ee1e75c,32'h3ef9aede, 32'h3ed6039a,32'h3f02c950,// invsqrt(4.6360) = 0.4644 +32'h3f77e493,32'h3f7ef30c,32'h3f84ad82, 32'h3f772514,32'h3f88947e, 32'h3f6a2321,32'h3f8f1578,// invsqrt(0.9683) = 1.0162 +32'h418d571c,32'h3e6ebf41,32'h3e787dea, 32'h3e677041,32'h3e7fcce9, 32'h3e5b41ec,32'h3e85fd9f,// invsqrt(17.6675) = 0.2379 +32'h3ec4717e,32'h3fca8344,32'h3fd2c752, 32'h3fc4503a,32'h3fd8fa5c, 32'h3fb9fb2a,32'h3fe34f6c,// invsqrt(0.3837) = 1.6144 +32'h3f0e680e,32'h3fa82fc0,32'h3faf0d20, 32'h3fa309b7,32'h3fb43329, 32'h3f9a74fe,32'h3fbcc7e2,// invsqrt(0.5563) = 1.3408 +32'h4013d362,32'h3f25132c,32'h3f2bd00a, 32'h3f200586,32'h3f30ddb0, 32'h3f179972,32'h3f3949c4,// invsqrt(2.3098) = 0.6580 +32'h3f06b91d,32'h3facea7a,32'h3fb3f946, 32'h3fa79f62,32'h3fb9445e, 32'h3f9ecce3,32'h3fc216dd,// invsqrt(0.5263) = 1.3785 +32'h3d8bcb1b,32'h4070107b,32'h4079dce9, 32'h4068b729,32'h40809b1d, 32'h405c77a0,32'h4086bae2,// invsqrt(0.0683) = 3.8276 +32'h40f02852,32'h3eb72821,32'h3ebea1ef, 32'h3eb18cc8,32'h3ec43d48, 32'h3ea83487,32'h3ecd9589,// invsqrt(7.5049) = 0.3650 +32'h400aaefb,32'h3f2a6dd6,32'h3f3162a5, 32'h3f25363a,32'h3f369a40, 32'h3f1c8437,32'h3f3f4c43,// invsqrt(2.1669) = 0.6793 +32'h40ed3019,32'h3eb84cbd,32'h3ebfd27c, 32'h3eb2a86f,32'h3ec576cb, 32'h3ea94140,32'h3eceddfa,// invsqrt(7.4121) = 0.3673 +32'h3faa51e3,32'h3f597d52,32'h3f625dde, 32'h3f52d4ea,32'h3f690646, 32'h3f47bc3c,32'h3f741ef4,// invsqrt(1.3306) = 0.8669 +32'h3eb6b033,32'h3fd1ff8e,32'h3fda91d2, 32'h3fcb91da,32'h3fe0ff86, 32'h3fc0db05,32'h3febb65b,// invsqrt(0.3568) = 1.6741 +32'h3f01094e,32'h3fb0af65,32'h3fb7e593, 32'h3fab46c3,32'h3fbd4e35, 32'h3fa24309,32'h3fc651ef,// invsqrt(0.5040) = 1.4085 +32'h3e1a2300,32'h4021a8ff,32'h4028422e, 32'h401cb61c,32'h402d3512, 32'h401476a2,32'h4035748d,// invsqrt(0.1505) = 2.5775 +32'h3f8c7d51,32'h3f6f7808,32'h3f793e3c, 32'h3f682360,32'h3f804972, 32'h3f5beb9f,32'h3f866553,// invsqrt(1.0976) = 0.9545 +32'h3fa73b65,32'h3f5b7cff,32'h3f64726d, 32'h3f54c4ed,32'h3f6b2a7f, 32'h3f499224,32'h3f765d48,// invsqrt(1.3065) = 0.8749 +32'h3f3c4a40,32'h3f924402,32'h3f983c56, 32'h3f8dc9c3,32'h3f9cb695, 32'h3f86535b,32'h3fa42cfd,// invsqrt(0.7355) = 1.1660 +32'h3f5c5635,32'h3f87360f,32'h3f8cbae1, 32'h3f831272,32'h3f90de7e, 32'h3f7858d8,32'h3f97c484,// invsqrt(0.8607) = 1.0779 +32'h3f32e43e,32'h3f960f05,32'h3f9c2efb, 32'h3f91770d,32'h3fa0c6f3, 32'h3f89cf1a,32'h3fa86ee6,// invsqrt(0.6988) = 1.1963 +32'h3febaa73,32'h3f38e4db,32'h3f4070cf, 32'h3f333be4,32'h3f4619c6, 32'h3f29ccf3,32'h3f4f88b7,// invsqrt(1.8411) = 0.7370 +32'h3ede0434,32'h3fbe7e26,32'h3fc6449a, 32'h3fb8a94e,32'h3fcc1972, 32'h3faef13d,32'h3fd5d183,// invsqrt(0.4336) = 1.5186 +32'h3ec7aa9a,32'h3fc8df21,32'h3fd11208, 32'h3fc2b8f3,32'h3fd73835, 32'h3fb87952,32'h3fe177d6,// invsqrt(0.3900) = 1.6013 +32'h41021d15,32'h3eaff3c3,32'h3eb72248, 32'h3eaa90de,32'h3ebc852c, 32'h3ea196b8,32'h3ec57f52,// invsqrt(8.1321) = 0.3507 +32'h3fdf1619,32'h3f3e0911,32'h3f45cabf, 32'h3f3837d0,32'h3f4b9c00, 32'h3f2e85b7,32'h3f554e19,// invsqrt(1.7429) = 0.7575 +32'h3ea5f60d,32'h3fdc53ba,32'h3fe551eb, 32'h3fd59514,32'h3fec1090, 32'h3fca5757,32'h3ff74e4d,// invsqrt(0.3241) = 1.7564 +32'h40a2e9f6,32'h3ede60c9,32'h3ee77469, 32'h3ed79211,32'h3eee4321, 32'h3ecc398a,32'h3ef99ba8,// invsqrt(5.0911) = 0.4432 +32'h3f0ea1d6,32'h3fa80dab,32'h3faee9a7, 32'h3fa2e8ad,32'h3fb40ea5, 32'h3f9a55b2,32'h3fbca1a0,// invsqrt(0.5572) = 1.3397 +32'h3fa94d7d,32'h3f5a2454,32'h3f630bb0, 32'h3f5376cf,32'h3f69b935, 32'h3f48559b,32'h3f74da69,// invsqrt(1.3227) = 0.8695 +32'h3fbf8479,32'h3f4d19be,32'h3f5578d5, 32'h3f46d26c,32'h3f5bc026, 32'h3f3c5b8e,32'h3f663704,// invsqrt(1.4962) = 0.8175 +32'h40243342,32'h3f1ca0bf,32'h3f230559, 32'h3f17d54b,32'h3f27d0cd, 32'h3f0fd78b,32'h3f2fce8d,// invsqrt(2.5656) = 0.6243 +32'h3d0c0f42,32'h40a996f9,32'h40b08304, 32'h40a465f2,32'h40b5b40c, 32'h409bbee6,32'h40be5b19,// invsqrt(0.0342) = 5.4078 +32'h3f7b0da4,32'h3f7d56f0,32'h3f83d70a, 32'h3f759595,32'h3f87b7b7, 32'h3f68a8a8,32'h3f8e2e2e,// invsqrt(0.9807) = 1.0098 +32'h3f2e4b42,32'h3f980662,32'h3f9e3ae4, 32'h3f935f01,32'h3fa2e245, 32'h3f8b9d60,32'h3faaa3e6,// invsqrt(0.6808) = 1.2119 +32'h412141d1,32'h3e9e0cff,32'h3ea48077, 32'h3e993665,32'h3ea95711, 32'h3e91260f,32'h3eb16767,// invsqrt(10.0786) = 0.3150 +32'h3f3801c9,32'h3f93f549,32'h3f99ff4c, 32'h3f8f6dc7,32'h3f9e86cf, 32'h3f87e144,32'h3fa61352,// invsqrt(0.7188) = 1.1795 +32'h3f151b8d,32'h3fa45d20,32'h3fab1290, 32'h3f9f550d,32'h3fb01aa3, 32'h3f96f242,32'h3fb87d6e,// invsqrt(0.5825) = 1.3103 +32'h400243fc,32'h3f2fd97b,32'h3f3706ed, 32'h3f2a7765,32'h3f3c6903, 32'h3f217e95,32'h3f4561d3,// invsqrt(2.0354) = 0.7009 +32'h4188e38e,32'h3e72990c,32'h3e7c7ff2, 32'h3e6b2bdf,32'h3e81f68f, 32'h3e5ecb3f,32'h3e8826df,// invsqrt(17.1111) = 0.2417 +32'h3e53eefa,32'h4009dd9e,32'h400f7e2c, 32'h4005a533,32'h4013b697, 32'h3ffd3903,32'h401abf49,// invsqrt(0.2070) = 2.1981 +32'h3e03ea06,32'h402ebf4b,32'h4035e139, 32'h402965d8,32'h403b3aac, 32'h40207b6f,32'h40442515,// invsqrt(0.1288) = 2.7861 +32'h3ee17a70,32'h3fbd0656,32'h3fc4bd74, 32'h3fb73d00,32'h3fca86ca, 32'h3fad981b,32'h3fd42baf,// invsqrt(0.4404) = 1.5069 +32'h3e80b265,32'h3ffa332a,32'h400234c0, 32'h3ff28a6a,32'h40060920, 32'h3fe5c680,32'h400c6b15,// invsqrt(0.2514) = 1.9946 +32'h3f9877f7,32'h3f65de84,32'h3f6f406b, 32'h3f5ed518,32'h3f7649d8, 32'h3f531ab9,32'h3f81021c,// invsqrt(1.1912) = 0.9163 +32'h40d1f6ee,32'h3ec3e232,32'h3ecbe0fa, 32'h3ebde31b,32'h3ed1e011, 32'h3eb3e4a1,32'h3edbde8b,// invsqrt(6.5614) = 0.3904 +32'h3fb6ad28,32'h3f52014d,32'h3f5a93a4, 32'h3f4b938d,32'h3f610165, 32'h3f40dca0,32'h3f6bb852,// invsqrt(1.4272) = 0.8371 +32'h3ede3b6e,32'h3fbe6679,32'h3fc62bf6, 32'h3fb8925b,32'h3fcc0013, 32'h3faedb7e,32'h3fd5b6f0,// invsqrt(0.4340) = 1.5179 +32'h3f918ab2,32'h3f6b4698,32'h3f74e0fc, 32'h3f6412cd,32'h3f7c14c7, 32'h3f5811cf,32'h3f840ae2,// invsqrt(1.1370) = 0.9378 +32'h3f65f912,32'h3f84591c,32'h3f89c004, 32'h3f804bef,32'h3f8dcd31, 32'h3f73169d,32'h3f948dd2,// invsqrt(0.8983) = 1.0551 +32'h3f5b86f4,32'h3f8775d3,32'h3f8cfd3f, 32'h3f835042,32'h3f9122d0, 32'h3f78cdf7,32'h3f980c17,// invsqrt(0.8575) = 1.0799 +32'h40dee68c,32'h3ebe1d55,32'h3ec5dfd6, 32'h3eb84b75,32'h3ecbb1b7, 32'h3eae9854,32'h3ed564d8,// invsqrt(6.9656) = 0.3789 +32'h3f44c764,32'h3f8f1375,32'h3f94ea75, 32'h3f8ab236,32'h3f994bb4, 32'h3f836576,32'h3fa09874,// invsqrt(0.7687) = 1.1406 +32'h3f99fbf2,32'h3f64bc36,32'h3f6e1242, 32'h3f5dbbac,32'h3f7512cc, 32'h3f52101c,32'h3f805f2e,// invsqrt(1.2030) = 0.9117 +32'h3fa4f2b7,32'h3f5d00aa,32'h3f6605ea, 32'h3f563cba,32'h3f6cc9da, 32'h3f4af629,32'h3f78106b,// invsqrt(1.2887) = 0.8809 +32'h3e0fbf11,32'h4027669d,32'h402e3bc7, 32'h402246bc,32'h40335ba8, 32'h4019bc47,32'h403be61d,// invsqrt(0.1404) = 2.6690 +32'h400e6623,32'h3f2830e2,32'h3f2f0e4e, 32'h3f230ad0,32'h3f343460, 32'h3f1a7609,32'h3f3cc927,// invsqrt(2.2250) = 0.6704 +32'h3ebf0674,32'h3fcd5d5a,32'h3fd5bf34, 32'h3fc713f7,32'h3fdc0897, 32'h3fbc99a6,32'h3fe682e8,// invsqrt(0.3731) = 1.6372 +32'h3fe89c73,32'h3f3a1aa2,32'h3f41b33a, 32'h3f34682f,32'h3f4765ad, 32'h3f2ae970,32'h3f50e46c,// invsqrt(1.8173) = 0.7418 +32'h3e8d84cb,32'h3fee98b4,32'h3ff855cc, 32'h3fe74ae3,32'h3fffa39d, 32'h3fdb1e86,32'h4005e7fd,// invsqrt(0.2764) = 1.9021 +32'h3e9cd393,32'h3fe2a727,32'h3febe771, 32'h3fdbb6ef,32'h3ff2d7a9, 32'h3fd02691,32'h3ffe6807,// invsqrt(0.3063) = 1.8069 +32'h3fa08e3b,32'h3f60015c,32'h3f6925fc, 32'h3f5925e3,32'h3f700175, 32'h3f4db81b,32'h3f7b6f3d,// invsqrt(1.2543) = 0.8929 +32'h3fea3cad,32'h3f3974ff,32'h3f4106d5, 32'h3f33c79e,32'h3f46b436, 32'h3f2a5153,32'h3f502a81,// invsqrt(1.8300) = 0.7392 +32'h3e829763,32'h3ff860de,32'h40014216, 32'h3ff0c665,32'h40050f53, 32'h3fe41a44,32'h400b6563,// invsqrt(0.2551) = 1.9801 +32'h419e87c2,32'h3e616e80,32'h3e6aa208, 32'h3e5a87da,32'h3e7188ae, 32'h3e4f0770,32'h3e7d0918,// invsqrt(19.8163) = 0.2246 +32'h3fa2da4c,32'h3f5e6b7a,32'h3f677f8a, 32'h3f579c6f,32'h3f6e4e95, 32'h3f4c435b,32'h3f79a7a9,// invsqrt(1.2723) = 0.8866 +32'h3fff5b1e,32'h3f319f5e,32'h3f38df58, 32'h3f2c2f63,32'h3f3e4f53, 32'h3f231f6c,32'h3f475f4b,// invsqrt(1.9950) = 0.7080 +32'h40715d18,32'h3f012ff8,32'h3f0675d8, 32'h3efa771f,32'h3f0a6a40, 32'h3eed486e,32'h3f110199,// invsqrt(3.7713) = 0.5149 +32'h3fb2daa2,32'h3f543cbe,32'h3f5ce668, 32'h3f4dbd7f,32'h3f6365a7, 32'h3f42e96b,32'h3f6e39bb,// invsqrt(1.3973) = 0.8460 +32'h3e72094f,32'h400101fa,32'h400645fa, 32'h3ffa1df5,32'h400a38fa, 32'h3fecf3f5,32'h4010cdf9,// invsqrt(0.2364) = 2.0569 +32'h40359a4d,32'h3f14ef31,32'h3f1b0367, 32'h3f106008,32'h3f1f9290, 32'h3f08c6c4,32'h3f272bd4,// invsqrt(2.8375) = 0.5936 +32'h3fb8b0f8,32'h3f50db3e,32'h3f596194, 32'h3f4a767d,32'h3f5fc655, 32'h3f3fce92,32'h3f6a6e40,// invsqrt(1.4429) = 0.8325 +32'h3f3b11b5,32'h3f92bdfe,32'h3f98bb4d, 32'h3f8e4004,32'h3f9d3948, 32'h3f86c362,32'h3fa4b5ea,// invsqrt(0.7307) = 1.1698 +32'h3fcd75b2,32'h3f4604f5,32'h3f4e1a0f, 32'h3f3ff522,32'h3f5429e2, 32'h3f35dac2,32'h3f5e4442,// invsqrt(1.6052) = 0.7893 +32'h3f7e053f,32'h3f7bdb0a,32'h3f831157, 32'h3f742551,32'h3f86ec34, 32'h3f674bc6,32'h3f8d58f9,// invsqrt(0.9923) = 1.0039 +32'h40c44270,32'h3eca9b89,32'h3ed2e094, 32'h3ec467c1,32'h3ed9145d, 32'h3eba1174,32'h3ee36aaa,// invsqrt(6.1331) = 0.4038 +32'h3f7d8109,32'h3f7c1cae,32'h3f83337f, 32'h3f7464f2,32'h3f870f5d, 32'h3f67880d,32'h3f8d7dcf,// invsqrt(0.9903) = 1.0049 +32'h3eb87414,32'h3fd0fdb5,32'h3fd98573, 32'h3fca97e6,32'h3fdfeb42, 32'h3fbfee38,32'h3fea94f0,// invsqrt(0.3603) = 1.6661 +32'h405429e0,32'h3f09ca7a,32'h3f0f6a40, 32'h3f0592a5,32'h3f13a215, 32'h3efd15db,32'h3f1aa9cd,// invsqrt(3.3151) = 0.5492 +32'h3feaa837,32'h3f394a7b,32'h3f40da95, 32'h3f339e68,32'h3f4686a8, 32'h3f2a2a47,32'h3f4ffac9,// invsqrt(1.8333) = 0.7386 +32'h3e0ecfed,32'h4027f28b,32'h402ecd6c, 32'h4022ce62,32'h4033f194, 32'h401a3cc8,32'h403c832e,// invsqrt(0.1395) = 2.6777 +32'h3f922b95,32'h3f6ac4f9,32'h3f745a13, 32'h3f639526,32'h3f7b89e6, 32'h3f579ac5,32'h3f83c223,// invsqrt(1.1420) = 0.9358 +32'h3e2b332f,32'h4019647d,32'h401fa749, 32'h4014b264,32'h40245962, 32'h400cdee6,32'h402c2ce0,// invsqrt(0.1672) = 2.4457 +32'h3fd7965f,32'h3f414fef,32'h3f4933d9, 32'h3f3b64ff,32'h3f4f1ec9, 32'h3f31881a,32'h3f58fbae,// invsqrt(1.6843) = 0.7705 +32'h3f03442e,32'h3faf2d8c,32'h3fb653fa, 32'h3fa9d0b9,32'h3fbbb0cd, 32'h3fa0e0b0,32'h3fc4a0d7,// invsqrt(0.5128) = 1.3965 +32'h3fa0eeb5,32'h3f5fbe2d,32'h3f68e00f, 32'h3f58e4c3,32'h3f6fb979, 32'h3f4d7a68,32'h3f7b23d4,// invsqrt(1.2573) = 0.8918 +32'h40591f08,32'h3f08356e,32'h3f0dc4ac, 32'h3f040a00,32'h3f11f01a, 32'h3efa2de4,32'h3f18e328,// invsqrt(3.3925) = 0.5429 +32'h3efa8d75,32'h3fb3512b,32'h3fbaa2d9, 32'h3fadd3e8,32'h3fc0201c, 32'h3fa4adcf,32'h3fc94635,// invsqrt(0.4894) = 1.4295 +32'h3eb30624,32'h3fd422f2,32'h3fdccb8e, 32'h3fcda47d,32'h3fe34a03, 32'h3fc2d1ba,32'h3fee1cc6,// invsqrt(0.3497) = 1.6911 +32'h4083adf9,32'h3ef75996,32'h3f00b912, 32'h3eefc72c,32'h3f048247, 32'h3ee3287a,32'h3f0ad1a0,// invsqrt(4.1150) = 0.4930 +32'h3fbd26ca,32'h3f4e6119,32'h3f56cd8d, 32'h3f480fc2,32'h3f5d1ee4, 32'h3f3d8831,32'h3f67a675,// invsqrt(1.4777) = 0.8226 +32'h40b5b09b,32'h3ed2930f,32'h3edb2b59, 32'h3ecc20d8,32'h3ee19d90, 32'h3ec1627c,32'h3eec5bec,// invsqrt(5.6778) = 0.4197 +32'h3f937fb2,32'h3f69b5b0,32'h3f733fb8, 32'h3f628e2b,32'h3f7a673d, 32'h3f56a1a2,32'h3f8329e3,// invsqrt(1.1523) = 0.9316 +32'h3ed9be75,32'h3fc05a41,32'h3fc83425, 32'h3fba76d7,32'h3fce178f, 32'h3fb0a67b,32'h3fd7e7eb,// invsqrt(0.4253) = 1.5334 +32'h4019efb4,32'h3f21c3ed,32'h3f285e35, 32'h3f1cd037,32'h3f2d51eb, 32'h3f148f5c,32'h3f3592c6,// invsqrt(2.4053) = 0.6448 +32'h3f1ec760,32'h3f9f478a,32'h3fa5c7d9, 32'h3f9a6750,32'h3faaa814, 32'h3f9246ed,32'h3fb2c877,// invsqrt(0.6202) = 1.2698 +32'h3f98d6e7,32'h3f659715,32'h3f6ef611, 32'h3f5e8fd8,32'h3f75fd4e, 32'h3f52d91e,32'h3f80da04,// invsqrt(1.1941) = 0.9151 +32'h3e4c3732,32'h400c725b,32'h40122de2, 32'h400825b7,32'h40167a87, 32'h4000fb4f,32'h401da4ef,// invsqrt(0.1994) = 2.2393 +32'h3f9c2789,32'h3f6323df,32'h3f6c6941, 32'h3f5c2fd6,32'h3f735d4a, 32'h3f50991b,32'h3f7ef405,// invsqrt(1.2200) = 0.9054 +32'h3f636006,32'h3f851a1d,32'h3f8a88e5, 32'h3f810707,32'h3f8e9bfb, 32'h3f74791b,32'h3f956674,// invsqrt(0.8882) = 1.0611 +32'h400406b6,32'h3f2eac4e,32'h3f35cd76, 32'h3f295370,32'h3f3b2654, 32'h3f2069ff,32'h3f440fc5,// invsqrt(2.0629) = 0.6962 +32'h3f248cc4,32'h3f9c761f,32'h3fa2d8fc, 32'h3f97abfa,32'h3fa7a322, 32'h3f8fb066,32'h3faf9eb6,// invsqrt(0.6428) = 1.2473 +32'h3f810741,32'h3f79e0d6,32'h3f8209e7, 32'h3f723a9b,32'h3f85dd05, 32'h3f657ae3,32'h3f8c3ce0,// invsqrt(1.0080) = 0.9960 +32'h3fcb3282,32'h3f471e64,32'h3f4f3efa, 32'h3f4105f3,32'h3f55576b, 32'h3f36dd37,32'h3f5f8027,// invsqrt(1.5875) = 0.7937 +32'h3f86fef7,32'h3f744af4,32'h3f7e4390, 32'h3f6cd07f,32'h3f82df03, 32'h3f6059bb,32'h3f891a64,// invsqrt(1.0547) = 0.9737 +32'h3f858592,32'h3f75a340,32'h3f7fa9e9, 32'h3f6e1e40,32'h3f839774, 32'h3f6195ec,32'h3f89db9e,// invsqrt(1.0431) = 0.9791 +32'h3ed10a5e,32'h3fc450e9,32'h3fcc5436, 32'h3fbe4e6e,32'h3fd256b0, 32'h3fb44a4e,32'h3fdc5ad1,// invsqrt(0.4083) = 1.5650 +32'h4157c523,32'h3e88a270,32'h3e8e3620, 32'h3e8473ab,32'h3e9264e5, 32'h3e7af61b,32'h3e995d82,// invsqrt(13.4856) = 0.2723 +32'h406c2f8c,32'h3f029886,32'h3f07ed1e, 32'h3efd3229,32'h3f0bec90, 32'h3eefdead,32'h3f12964d,// invsqrt(3.6904) = 0.5206 +32'h3e1e6aeb,32'h401f75fe,32'h4025f833, 32'h401a9458,32'h402ad9da, 32'h40127196,32'h4032fc9c,// invsqrt(0.1547) = 2.5424 +32'h40f8b286,32'h3eb3fc11,32'h3ebb54b9, 32'h3eae7993,32'h3ec0d737, 32'h3ea54ac1,32'h3eca0609,// invsqrt(7.7718) = 0.3587 +32'h405b5431,32'h3f07857f,32'h3f0d0d8f, 32'h3f035f73,32'h3f11339b, 32'h3ef8eac0,32'h3f181dae,// invsqrt(3.4270) = 0.5402 +32'h3fb934fd,32'h3f5090c1,32'h3f59140d, 32'h3f4a2e48,32'h3f5f7686, 32'h3f3f8a2a,32'h3f6a1aa5,// invsqrt(1.4469) = 0.8313 +32'h3f36c15f,32'h3f9476c5,32'h3f9a8611, 32'h3f8feb4c,32'h3f9f118a, 32'h3f88582d,32'h3fa6a4a9,// invsqrt(0.7139) = 1.1835 +32'h3eb26dc7,32'h3fd47d72,32'h3fdd29c0, 32'h3fcdfc38,32'h3fe3aafa, 32'h3fc324d6,32'h3fee825c,// invsqrt(0.3485) = 1.6940 +32'h41962952,32'h3e67a0e1,32'h3e711529, 32'h3e6089ab,32'h3e782c5f, 32'h3e54b851,32'h3e81fedc,// invsqrt(18.7702) = 0.2308 +32'h3eaf1fe8,32'h3fd67c39,32'h3fdf3d5f, 32'h3fcfeb5b,32'h3fe5ce3d, 32'h3fc4f9eb,32'h3ff0bfad,// invsqrt(0.3420) = 1.7099 +32'h3f36e0e9,32'h3f9469f7,32'h3f9a78bd, 32'h3f8fdee2,32'h3f9f03d2, 32'h3f884c6b,32'h3fa69649,// invsqrt(0.7144) = 1.1831 +32'h40410d3e,32'h3f107357,32'h3f1658b3, 32'h3f0c0752,32'h3f1ac4b8, 32'h3f04a89e,32'h3f22236c,// invsqrt(3.0164) = 0.5758 +32'h3fdd6e92,32'h3f3ebe78,32'h3f46878c, 32'h3f38e7a8,32'h3f4c5e5c, 32'h3f2f2c4f,32'h3f5619b5,// invsqrt(1.7299) = 0.7603 +32'h409732b5,32'h3ee6d53f,32'h3ef04138, 32'h3edfc446,32'h3ef75232, 32'h3ed3fd50,32'h3f018c94,// invsqrt(4.7249) = 0.4600 +32'h4042b085,32'h3f0fd778,32'h3f15b678, 32'h3f0b7038,32'h3f1a1db8, 32'h3f041979,32'h3f217477,// invsqrt(3.0420) = 0.5733 +32'h3f5f3da4,32'h3f86542f,32'h3f8bcfc8, 32'h3f82377b,32'h3f8fec7b, 32'h3f76b9f7,32'h3f96c6fa,// invsqrt(0.8720) = 1.0709 +32'h3f048ac6,32'h3fae5533,32'h3fb572cd, 32'h3fa8ff00,32'h3fbac900, 32'h3fa01a00,32'h3fc3ae00,// invsqrt(0.5177) = 1.3898 +32'h3f1f8db8,32'h3f9ee46b,32'h3fa560af, 32'h3f9a0739,32'h3faa3de1, 32'h3f91ebe5,32'h3fb25935,// invsqrt(0.6233) = 1.2667 +32'h3eea538b,32'h3fb96bf2,32'h3fc0fd6a, 32'h3fb3bed8,32'h3fc6aa84, 32'h3faa4903,32'h3fd02059,// invsqrt(0.4577) = 1.4782 +32'h40672166,32'h3f04042a,32'h3f096799, 32'h3efff32c,32'h3f0d722c, 32'h3ef27a95,32'h3f142e78,// invsqrt(3.6114) = 0.5262 +32'h3e280cea,32'h401ad2c1,32'h4021247f, 32'h40161572,32'h4025e1ce, 32'h400e2f43,32'h402dc7fd,// invsqrt(0.1641) = 2.4685 +32'h3f05ed3a,32'h3fad6de7,32'h3fb48210, 32'h3fa81ec9,32'h3fb9d12f, 32'h3f9f4596,32'h3fc2aa62,// invsqrt(0.5232) = 1.3826 +32'h41fe08df,32'h3e321577,32'h3e395a43, 32'h3e2ca1df,32'h3e3ecddb, 32'h3e238be0,32'h3e47e3da,// invsqrt(31.7543) = 0.1775 +32'h4167335b,32'h3e83ff09,32'h3e896243, 32'h3e7fe93b,32'h3e8d6cae, 32'h3e72712b,32'h3e9428b7,// invsqrt(14.4500) = 0.2631 +32'h3f484e83,32'h3f8dcf7b,32'h3f939941, 32'h3f897826,32'h3f97f096, 32'h3f823bee,32'h3f9f2cce,// invsqrt(0.7824) = 1.1305 +32'h4051faf0,32'h3f0a8164,32'h3f1028a2, 32'h3f0643f6,32'h3f146610, 32'h3efe65d2,32'h3f1b771d,// invsqrt(3.2809) = 0.5521 +32'h40bfcd47,32'h3eccf2cd,32'h3ed5504d, 32'h3ec6acac,32'h3edb966e, 32'h3ebc37cc,32'h3ee60b4e,// invsqrt(5.9938) = 0.4085 +32'h3f367397,32'h3f949667,32'h3f9aa6fd, 32'h3f9009f6,32'h3f9f336e, 32'h3f88753a,32'h3fa6c82a,// invsqrt(0.7127) = 1.1845 +32'h3eaf1a7a,32'h3fd67f8c,32'h3fdf40d6, 32'h3fcfee95,32'h3fe5d1cd, 32'h3fc4fcf9,32'h3ff0c369,// invsqrt(0.3420) = 1.7100 +32'h3edfbcff,32'h3fbdc223,32'h3fc580eb, 32'h3fb7f30d,32'h3fcb5001, 32'h3fae4493,32'h3fd4fe7b,// invsqrt(0.4370) = 1.5127 +32'h3f29f253,32'h3f99f507,32'h3fa03db9, 32'h3f953e82,32'h3fa4f43e, 32'h3f8d63a3,32'h3faccf1d,// invsqrt(0.6639) = 1.2273 +32'h3f853631,32'h3f75ec64,32'h3f7ff60a, 32'h3f6e6527,32'h3f83bea3, 32'h3f61d918,32'h3f8a04ab,// invsqrt(1.0407) = 0.9802 +32'h3f5b97f3,32'h3f877095,32'h3f8cf7cb, 32'h3f834b2e,32'h3f911d32, 32'h3f78c456,32'h3f980635,// invsqrt(0.8578) = 1.0797 +32'h40046381,32'h3f2e6f0c,32'h3f358db4, 32'h3f29180e,32'h3f3ae4b2, 32'h3f2031bd,32'h3f43cb03,// invsqrt(2.0686) = 0.6953 +32'h4028bc3e,32'h3f1a823c,32'h3f20d0b2, 32'h3f15c764,32'h3f258b8a, 32'h3f0de552,32'h3f2d6d9c,// invsqrt(2.6365) = 0.6159 +32'h4090e609,32'h3eebcc21,32'h3ef56bf9, 32'h3ee49440,32'h3efca3da, 32'h3ed88c72,32'h3f0455d4,// invsqrt(4.5281) = 0.4699 +32'h404db0d2,32'h3f0bf134,32'h3f11a774, 32'h3f07a883,32'h3f15f025, 32'h3f0084b2,32'h3f1d13f6,// invsqrt(3.2139) = 0.5578 +32'h41050242,32'h3eae06d4,32'h3eb5213a, 32'h3ea8b307,32'h3eba7507, 32'h3e9fd206,32'h3ec35608,// invsqrt(8.3131) = 0.3468 +32'h3fa5ccfa,32'h3f5c6f03,32'h3f656e51, 32'h3f55af88,32'h3f6c2dcc, 32'h3f4a7066,32'h3f776cee,// invsqrt(1.2953) = 0.8786 +32'h40546ab9,32'h3f09b570,32'h3f0f545a, 32'h3f057e40,32'h3f138b8a, 32'h3efcef36,32'h3f1a922f,// invsqrt(3.3190) = 0.5489 +32'h40ec45a3,32'h3eb8a818,32'h3ec03192, 32'h3eb300fe,32'h3ec5d8ac, 32'h3ea99526,32'h3ecf4484,// invsqrt(7.3835) = 0.3680 +32'h3f02efa5,32'h3faf6610,32'h3fb68ecc, 32'h3faa0782,32'h3fbbed5a, 32'h3fa11496,32'h3fc4e046,// invsqrt(0.5115) = 1.3983 +32'h4014c231,32'h3f248e77,32'h3f2b45e9, 32'h3f1f84e1,32'h3f304f7f, 32'h3f171f92,32'h3f38b4ce,// invsqrt(2.3244) = 0.6559 +32'h3f0a89f6,32'h3faa8499,32'h3fb17a57, 32'h3fa54c4c,32'h3fb6b2a4, 32'h3f9c991f,32'h3fbf65d1,// invsqrt(0.5412) = 1.3594 +32'h3f49c638,32'h3f8d4b37,32'h3f930f97, 32'h3f88f7ef,32'h3f9762df, 32'h3f81c276,32'h3f9e9858,// invsqrt(0.7882) = 1.1264 +32'h3ec01141,32'h3fccce85,32'h3fd52a8b, 32'h3fc68981,32'h3fdb6f8f, 32'h3fbc167a,32'h3fe5e296,// invsqrt(0.3751) = 1.6327 +32'h3cc7b001,32'h40c8dc69,32'h40d10f33, 32'h40c2b650,32'h40d7354c, 32'h40b876d3,32'h40e174c9,// invsqrt(0.0244) = 6.4050 +32'h3f9d4c82,32'h3f624ff6,32'h3f6b8cb2, 32'h3f5b6269,32'h3f727a3f, 32'h3f4fd67f,32'h3f7e0629,// invsqrt(1.2289) = 0.9021 +32'h3ebccbbf,32'h3fce92d6,32'h3fd70152, 32'h3fc83ff9,32'h3fdd542f, 32'h3fbdb5df,32'h3fe7de49,// invsqrt(0.3687) = 1.6468 +32'h3f5065b1,32'h3f8b07ce,32'h3f90b488, 32'h3f86c642,32'h3f94f614, 32'h3f7f5cb4,32'h3f9c0dfc,// invsqrt(0.8141) = 1.1083 +32'h4059c00b,32'h3f080309,32'h3f0d9039, 32'h3f03d926,32'h3f11ba1c, 32'h3ef9d155,32'h3f18aa98,// invsqrt(3.4023) = 0.5421 +32'h3f04981b,32'h3fae4c6f,32'h3fb569ad, 32'h3fa8f680,32'h3fbabf9c, 32'h3fa011f3,32'h3fc3a429,// invsqrt(0.5179) = 1.3895 +32'h3f8d076b,32'h3f6f02ab,32'h3f78c416, 32'h3f67b19c,32'h3f800a93, 32'h3f5b7fd7,32'h3f862375,// invsqrt(1.1018) = 0.9527 +32'h3d2ca872,32'h4098be55,32'h409efa59, 32'h40941153,32'h40a3a75b, 32'h408c464e,32'h40ab7260,// invsqrt(0.0422) = 4.8706 +32'h3cf12d2d,32'h40b6c4f9,32'h40be3abb, 32'h40b12ca9,32'h40c3d30b, 32'h40a7d977,32'h40cd263d,// invsqrt(0.0294) = 5.8281 +32'h3f538b66,32'h3f89fe0d,32'h3f8f9fef, 32'h3f85c4a4,32'h3f93d958, 32'h3f7d7496,32'h3f9ae3b1,// invsqrt(0.8263) = 1.1001 +32'h40816f07,32'h3ef97c96,32'h3f01d5bc, 32'h3ef1d96d,32'h3f05a750, 32'h3ee51ed3,32'h3f0c049d,// invsqrt(4.0448) = 0.4972 +32'h3f40b2d1,32'h3f909537,32'h3f967bf6, 32'h3f8c2829,32'h3f9ae905, 32'h3f84c7bb,32'h3fa24973,// invsqrt(0.7527) = 1.1526 +32'h3f97559d,32'h3f66ba9f,32'h3f702581, 32'h3f5faa76,32'h3f7735aa, 32'h3f53e4db,32'h3f817da2,// invsqrt(1.1823) = 0.9197 +32'h3fe356b1,32'h3f3c3fef,32'h3f43eef4, 32'h3f367cac,32'h3f49b238, 32'h3f2ce1e7,32'h3f534cfd,// invsqrt(1.7761) = 0.7504 +32'h3f72f911,32'h3f80c244,32'h3f8603aa, 32'h3f79a26f,32'h3f89f4b7, 32'h3f6c7ef0,32'h3f908676,// invsqrt(0.9491) = 1.0265 +32'h3f57c7f5,32'h3f88a18b,32'h3f8e3533, 32'h3f8472cd,32'h3f9263f1, 32'h3f7af478,32'h3f995c82,// invsqrt(0.8429) = 1.0892 +32'h4208b9fd,32'h3e2ba4f8,32'h3e32a67a, 32'h3e2663d6,32'h3e37e79c, 32'h3e1da1f3,32'h3e40a97f,// invsqrt(34.1816) = 0.1710 +32'h3e19e6a7,32'h4021c8ae,32'h40286328, 32'h401cd4d2,32'h402d5704, 32'h401493ba,32'h4035981c,// invsqrt(0.1503) = 2.5795 +32'h3eb5a3f9,32'h3fd29a62,32'h3fdb32f8, 32'h3fcc27f1,32'h3fe1a569, 32'h3fc16935,32'h3fec6425,// invsqrt(0.3548) = 1.6789 +32'h411a8c63,32'h3ea171d8,32'h3ea808c6, 32'h3e9c80a5,32'h3eacf9f9, 32'h3e9443fa,32'h3eb536a4,// invsqrt(9.6593) = 0.3218 +32'h3f3919b8,32'h3f93853d,32'h3f998aad, 32'h3f8f0129,32'h3f9e0ec1, 32'h3f877a5c,32'h3fa5958e,// invsqrt(0.7230) = 1.1760 +32'h3e34e783,32'h401538b7,32'h401b4fed, 32'h4010a74e,32'h401fe156, 32'h40090a4a,32'h40277e5a,// invsqrt(0.1767) = 2.3792 +32'h4001b475,32'h3f303aac,32'h3f376c16, 32'h3f2ad59c,32'h3f3cd126, 32'h3f21d7d7,32'h3f45ceeb,// invsqrt(2.0266) = 0.7024 +32'h3f873133,32'h3f741d8d,32'h3f7e144f, 32'h3f6ca47c,32'h3f82c6b0, 32'h3f603009,32'h3f8900e9,// invsqrt(1.0562) = 0.9730 +32'h4052a1bd,32'h3f0a4a82,32'h3f0fef82, 32'h3f060ec2,32'h3f142b42, 32'h3efe0104,32'h3f1b3982,// invsqrt(3.2911) = 0.5512 +32'h3f293b59,32'h3f9a482b,32'h3fa09442, 32'h3f958f1b,32'h3fa54d53, 32'h3f8daffe,32'h3fad2c70,// invsqrt(0.6611) = 1.2299 +32'h3fb32a8d,32'h3f540d63,32'h3f5cb51d, 32'h3f4d8f96,32'h3f6332ea, 32'h3f42bded,32'h3f6e0493,// invsqrt(1.3997) = 0.8452 +32'h3f70728e,32'h3f816eeb,32'h3f86b75d, 32'h3f7af12b,32'h3f8aadb3, 32'h3f6dbc0e,32'h3f914841,// invsqrt(0.9392) = 1.0318 +32'h3f600794,32'h3f861797,32'h3f8b90b7, 32'h3f81fcbf,32'h3f8fab8f, 32'h3f764aad,32'h3f9682f8,// invsqrt(0.8751) = 1.0690 +32'h3f093f6f,32'h3fab5172,32'h3fb24f8b, 32'h3fa612de,32'h3fb78e1e, 32'h3f9d553e,32'h3fc04bbe,// invsqrt(0.5361) = 1.3657 +32'h3ec48d86,32'h3fca74d3,32'h3fd2b849, 32'h3fc4423a,32'h3fd8eae2, 32'h3fb9ede6,32'h3fe33f36,// invsqrt(0.3839) = 1.6140 +32'h40ff3894,32'h3eb1ab63,32'h3eb8ebd9, 32'h3eac3b09,32'h3ebe5c33, 32'h3ea32a75,32'h3ec76cc7,// invsqrt(7.9757) = 0.3541 +32'h3f8a937d,32'h3f711dcf,32'h3f7af53b, 32'h3f69bc3e,32'h3f812b66, 32'h3f5d6ef8,32'h3f875209,// invsqrt(1.0826) = 0.9611 +32'h3dfb6cce,32'h40330173,32'h403a4fe1, 32'h402d86a2,32'h403fcab2, 32'h40246499,32'h4048ecbb,// invsqrt(0.1228) = 2.8540 +32'h3f509c5c,32'h3f8af595,32'h3f90a191, 32'h3f86b498,32'h3f94e28e, 32'h3f7f3b3c,32'h3f9bf988,// invsqrt(0.8149) = 1.1078 +32'h3f88a0be,32'h3f72d456,32'h3f7cbda8, 32'h3f6b6559,32'h3f821653, 32'h3f5f01b2,32'h3f884826,// invsqrt(1.0674) = 0.9679 +32'h3fd2c00a,32'h3f4384a5,32'h3f4b7f9d, 32'h3f3d886c,32'h3f517bd6, 32'h3f338eb7,32'h3f5b758b,// invsqrt(1.6465) = 0.7793 +32'h3faf7260,32'h3f5649ca,32'h3f5f08e2, 32'h3f4fba78,32'h3f659834, 32'h3f44cb9a,32'h3f708712,// invsqrt(1.3707) = 0.8541 +32'h3e1aa32e,32'h402165f1,32'h4027fc64, 32'h401c751c,32'h402ced3a, 32'h4014390d,32'h40352949,// invsqrt(0.1510) = 2.5733 +32'h3e91840b,32'h3feb4bf9,32'h3ff4e695, 32'h3fe41803,32'h3ffc1a8b, 32'h3fd816c0,32'h40040de7,// invsqrt(0.2842) = 1.8758 +32'h3e7881ee,32'h3ffea248,32'h4004837a, 32'h3ff6d6c9,32'h40086939, 32'h3fe9d8f5,32'h400ee824,// invsqrt(0.2427) = 2.0299 +32'h3fce9943,32'h3f457909,32'h3f4d886c, 32'h3f3f6d7e,32'h3f5393f6, 32'h3f355a41,32'h3f5da733,// invsqrt(1.6141) = 0.7871 +32'h3fe01c21,32'h3f3d99d8,32'h3f4556fb, 32'h3f37cbfe,32'h3f4b24d6, 32'h3f2e1f93,32'h3f54d141,// invsqrt(1.7509) = 0.7557 +32'h3ff028ff,32'h3f3727df,32'h3f3ea1aa, 32'h3f318c88,32'h3f443d02, 32'h3f28344b,32'h3f4d953f,// invsqrt(1.8763) = 0.7301 +32'h3ec6547c,32'h3fc98c16,32'h3fd1c60d, 32'h3fc3609e,32'h3fd7f186, 32'h3fb9182a,32'h3fe239fa,// invsqrt(0.3874) = 1.6067 +32'h3f8a539a,32'h3f715577,32'h3f7b2f28, 32'h3f69f231,32'h3f814936, 32'h3f5da214,32'h3f877145,// invsqrt(1.0807) = 0.9619 +32'h3f5b48ca,32'h3f878905,32'h3f8d113a, 32'h3f8362de,32'h3f913762, 32'h3f78f13a,32'h3f9821a3,// invsqrt(0.8566) = 1.0805 +32'h3ea01264,32'h3fe057f2,32'h3fe9801c, 32'h3fd979d3,32'h3ff05e3b, 32'h3fce07a0,32'h3ffbd06e,// invsqrt(0.3126) = 1.7885 +32'h4019e5df,32'h3f21c917,32'h3f286396, 32'h3f1cd539,32'h3f2d5775, 32'h3f14941b,32'h3f359893,// invsqrt(2.4047) = 0.6449 +32'h3f9a917f,32'h3f644d73,32'h3f6d9efb, 32'h3f5d504e,32'h3f749c20, 32'h3f51aa65,32'h3f802105,// invsqrt(1.2076) = 0.9100 +32'h3f8da1aa,32'h3f6e8062,32'h3f783c7a, 32'h3f67334f,32'h3f7f898d, 32'h3f5b0830,32'h3f85da56,// invsqrt(1.1065) = 0.9507 +32'h3ed5c4e7,32'h3fc221f3,32'h3fca0e70, 32'h3fbc3096,32'h3fcfffce, 32'h3fb248fa,32'h3fd9e76a,// invsqrt(0.4175) = 1.5476 +32'h4058c867,32'h3f0850a3,32'h3f0de0fd, 32'h3f04245f,32'h3f120d41, 32'h3efa5fdd,32'h3f1901b2,// invsqrt(3.3872) = 0.5433 +32'h3f13bb3f,32'h3fa520a8,32'h3fabde12, 32'h3fa01298,32'h3fb0ec22, 32'h3f97a5d4,32'h3fb958e6,// invsqrt(0.5771) = 1.3164 +32'h3fa133de,32'h3f5f8e29,32'h3f68ae15, 32'h3f58b637,32'h3f6f8607, 32'h3f4d4e4f,32'h3f7aedef,// invsqrt(1.2594) = 0.8911 +32'h3ed6b05a,32'h3fc1b762,32'h3fc99f86, 32'h3fbbc948,32'h3fcf8da0, 32'h3fb1e71c,32'h3fd96fcc,// invsqrt(0.4193) = 1.5443 +32'h400a4d27,32'h3f2aaa12,32'h3f31a156, 32'h3f25709e,32'h3f36daca, 32'h3f1cbb88,32'h3f3f8fe0,// invsqrt(2.1610) = 0.6803 +32'h41000ec9,32'h3eb15be4,32'h3eb8991c, 32'h3eabedfa,32'h3ebe0706, 32'h3ea2e173,32'h3ec7138d,// invsqrt(8.0036) = 0.3535 +32'h3f72f2da,32'h3f80c3ea,32'h3f860561, 32'h3f79a5a0,32'h3f89f67a, 32'h3f6c81f6,32'h3f90884f,// invsqrt(0.9490) = 1.0265 +32'h3e863308,32'h3ff5044c,32'h3fff0478, 32'h3fed842a,32'h4003424d, 32'h3fe103f2,32'h40098269,// invsqrt(0.2621) = 1.9533 +32'h3cabcf36,32'h40d88b71,32'h40e1621d, 32'h40d1ea70,32'h40e8031e, 32'h40c6de1a,32'h40f30f75,// invsqrt(0.0210) = 6.9051 +32'h3f584643,32'h3f8879a0,32'h3f8e0ba6, 32'h3f844c1b,32'h3f92392b, 32'h3f7aab25,32'h3f992fb3,// invsqrt(0.8448) = 1.0880 +32'h3d20e3ee,32'h409e3b16,32'h40a4b070, 32'h40996313,32'h40a98873, 32'h40915063,32'h40b19b23,// invsqrt(0.0393) = 5.0456 +32'h3e7782e3,32'h3fff2557,32'h4004c7ad, 32'h3ff755d4,32'h4008af6e, 32'h3fea514f,32'h400f31b0,// invsqrt(0.2417) = 2.0340 +32'h3eb93fd9,32'h3fd08aa4,32'h3fd90db0, 32'h3fca285b,32'h3fdf6ff9, 32'h3fbf848c,32'h3fea13c8,// invsqrt(0.3618) = 1.6625 +32'h3f1dc95c,32'h3f9fc78c,32'h3fa64d15, 32'h3f9ae367,32'h3fab313b, 32'h3f92bc7c,32'h3fb35826,// invsqrt(0.6164) = 1.2738 +32'h3f9049ed,32'h3f6c4b8d,32'h3f75f098, 32'h3f650fc5,32'h3f7d2c61, 32'h3f590178,32'h3f849d57,// invsqrt(1.1273) = 0.9419 +32'h3edb26e7,32'h3fbfbbd1,32'h3fc78f3c, 32'h3fb9dd3f,32'h3fcd6dcd, 32'h3fb014f9,32'h3fd73613,// invsqrt(0.4280) = 1.5285 +32'h3f6aaaab,32'h3f83048f,32'h3f885d8f, 32'h3f7e039c,32'h3f8c6050, 32'h3f70a51b,32'h3f930f90,// invsqrt(0.9167) = 1.0445 +32'h3f87a1ea,32'h3f73b809,32'h3f7daaa6, 32'h3f6c4213,32'h3f82904e, 32'h3f5fd2ce,32'h3f88c7f0,// invsqrt(1.0596) = 0.9715 +32'h3f733f13,32'h3f80afbb,32'h3f85f060, 32'h3f797e81,32'h3f89e0dc, 32'h3f6c5ce6,32'h3f9071a9,// invsqrt(0.9502) = 1.0259 +32'h3f83ad41,32'h3f775a42,32'h3f80b96c, 32'h3f6fc7d3,32'h3f8482a4, 32'h3f632918,32'h3f8ad201,// invsqrt(1.0287) = 0.9859 +32'h40b0ba11,32'h3ed582c2,32'h3ede39ba, 32'h3ecef988,32'h3ee4c2f4, 32'h3ec414d1,32'h3eefa7ab,// invsqrt(5.5227) = 0.4255 +32'h408e4e1c,32'h3eedefb4,32'h3ef7a5e5, 32'h3ee6a70f,32'h3efeee89, 32'h3eda8351,32'h3f058923,// invsqrt(4.4470) = 0.4742 +32'h3fcce863,32'h3f464930,32'h3f4e6113, 32'h3f403747,32'h3f5472fd, 32'h3f36196b,32'h3f5e90d9,// invsqrt(1.6008) = 0.7904 +32'h3f88b1aa,32'h3f72c54e,32'h3f7cae02, 32'h3f6b56c6,32'h3f820e45, 32'h3f5ef3e4,32'h3f883fb6,// invsqrt(1.0679) = 0.9677 +32'h3f774ae2,32'h3f7f423a,32'h3f84d6b5, 32'h3f7771d4,32'h3f88bee8, 32'h3f6a6bd7,32'h3f8f41e7,// invsqrt(0.9660) = 1.0175 +32'h3f424423,32'h3f8fff92,32'h3f95e035, 32'h3f8b9719,32'h3f9a48af, 32'h3f843e4d,32'h3fa1a17b,// invsqrt(0.7589) = 1.1479 +32'h3ffe1c9b,32'h3f320e8d,32'h3f395311, 32'h3f2c9b2b,32'h3f3ec673, 32'h3f238587,32'h3f47dc17,// invsqrt(1.9852) = 0.7097 +32'h422fb319,32'h3e176a65,32'h3e1d9889, 32'h3e12c7cb,32'h3e223b23, 32'h3e0b0e1e,32'h3e29f4d0,// invsqrt(43.9249) = 0.1509 +32'h3f83cda8,32'h3f773bd9,32'h3f80a998, 32'h3f6faa58,32'h3f847259, 32'h3f630d2b,32'h3f8ac0f0,// invsqrt(1.0297) = 0.9855 +32'h42576c70,32'h3e08be8e,32'h3e0e5364, 32'h3e048eed,32'h3e128305, 32'h3dfb29c0,32'h3e197d12,// invsqrt(53.8559) = 0.1363 +32'h3f0c3439,32'h3fa9809d,32'h3fb06bbd, 32'h3fa45044,32'h3fb59c16, 32'h3f9baa5c,32'h3fbe41fe,// invsqrt(0.5477) = 1.3513 +32'h3e9b5f6e,32'h3fe3b5f5,32'h3fed014d, 32'h3fdcbd73,32'h3ff3f9cf, 32'h3fd11f44,32'h3fff97fe,// invsqrt(0.3035) = 1.8153 +32'h41eddca6,32'h3e3809d8,32'h3e3f8cdc, 32'h3e326796,32'h3e452f1e, 32'h3e2903d1,32'h3e4e92e3,// invsqrt(29.7327) = 0.1834 +32'h3f5997af,32'h3f880fa6,32'h3f8d9d59, 32'h3f83e55f,32'h3f91c79f, 32'h3f79e87e,32'h3f98b8bf,// invsqrt(0.8500) = 1.0847 +32'h400a6736,32'h3f2a9a00,32'h3f31909d, 32'h3f25610b,32'h3f36c993, 32'h3f1cacc7,32'h3f3f7dd7,// invsqrt(2.1625) = 0.6800 +32'h3fcb96cf,32'h3f46ed51,32'h3f4f0be7, 32'h3f40d661,32'h3f5522d7, 32'h3f36b026,32'h3f5f4912,// invsqrt(1.5905) = 0.7929 +32'h3ea2d117,32'h3fde71c4,32'h3fe78616, 32'h3fd7a288,32'h3fee5552, 32'h3fcc4922,32'h3ff9aeb8,// invsqrt(0.3180) = 1.7733 +32'h3f003ce2,32'h3fb13c00,32'h3fb877ec, 32'h3fabcf10,32'h3fbde4dc, 32'h3fa2c42a,32'h3fc6efc2,// invsqrt(0.5009) = 1.4129 +32'h3f274bc5,32'h3f9b2c06,32'h3fa1816a, 32'h3f966bfc,32'h3fa64174, 32'h3f8e813f,32'h3fae2c31,// invsqrt(0.6535) = 1.2370 +32'h3e9f994a,32'h3fe0acff,32'h3fe9d8a1, 32'h3fd9cc46,32'h3ff0b95a, 32'h3fce55bb,32'h3ffc2fe5,// invsqrt(0.3117) = 1.7911 +32'h40636b0c,32'h3f0516e3,32'h3f0a8589, 32'h3f0103e6,32'h3f0e9886, 32'h3ef4732e,32'h3f1562d5,// invsqrt(3.5534) = 0.5305 +32'h3fcd2cca,32'h3f462820,32'h3f4e3eaa, 32'h3f40173a,32'h3f544f90, 32'h3f35fb0e,32'h3f5e6bbc,// invsqrt(1.6029) = 0.7898 +32'h4245e16f,32'h3e0ead5a,32'h3e14802e, 32'h3e0a4f3a,32'h3e18de4e, 32'h3e0307b0,32'h3e2025d8,// invsqrt(49.4701) = 0.1422 +32'h3f3b7c6a,32'h3f929436,32'h3f988fd0, 32'h3f8e1783,32'h3f9d0c83, 32'h3f869d03,32'h3fa48703,// invsqrt(0.7324) = 1.1685 +32'h408f6948,32'h3eed0457,32'h3ef6b0ed, 32'h3ee5c2e7,32'h3efdf25d, 32'h3ed9ab2b,32'h3f05050c,// invsqrt(4.4816) = 0.4724 +32'h3f3944a7,32'h3f937424,32'h3f9978e2, 32'h3f8ef096,32'h3f9dfc70, 32'h3f876aa9,32'h3fa5825d,// invsqrt(0.7237) = 1.1755 +32'h400c46e2,32'h3f297556,32'h3f306001, 32'h3f244557,32'h3f359001, 32'h3f1ba001,32'h3f3e3557,// invsqrt(2.1918) = 0.6755 +32'h3f9f0af5,32'h3f611171,32'h3f6a412d, 32'h3f5a2da5,32'h3f7124f9, 32'h3f4eb1fa,32'h3f7ca0a4,// invsqrt(1.2425) = 0.8971 +32'h3e81672c,32'h3ff98429,32'h4001d9ad, 32'h3ff1e0c4,32'h4005ab5f, 32'h3fe525c7,32'h400c08dd,// invsqrt(0.2527) = 1.9891 +32'h40213c6a,32'h3f1e0fa5,32'h3f248339, 32'h3f1938f6,32'h3f2959e8, 32'h3f11287e,32'h3f316a61,// invsqrt(2.5193) = 0.6300 +32'h3ed9a4eb,32'h3fc0658a,32'h3fc83fe3, 32'h3fba81c6,32'h3fce23a6, 32'h3fb0b0d7,32'h3fd7f495,// invsqrt(0.4251) = 1.5338 +32'h441ae000,32'h3d21463d,32'h3d27db65, 32'h3d1c5660,32'h3d2ccb42, 32'h3d141bef,32'h3d3505b3,// invsqrt(619.5000) = 0.0402 +32'h4029adfc,32'h3f1a1404,32'h3f205dfa, 32'h3f155c8c,32'h3f251572, 32'h3f0d8019,32'h3f2cf1e5,// invsqrt(2.6512) = 0.6142 +32'h3f9849f5,32'h3f66013b,32'h3f6f648b, 32'h3f5ef6be,32'h3f766f08, 32'h3f533a99,32'h3f811596,// invsqrt(1.1898) = 0.9168 +32'h3e9ded77,32'h3fe1dc85,32'h3feb148a, 32'h3fdaf280,32'h3ff1fe8e, 32'h3fcf6c7a,32'h3ffd8495,// invsqrt(0.3085) = 1.8006 +32'h3f421c9a,32'h3f900e3c,32'h3f95ef78, 32'h3f8ba54f,32'h3f9a5865, 32'h3f844bc4,32'h3fa1b1f0,// invsqrt(0.7582) = 1.1484 +32'h40313dc2,32'h3f16c173,32'h3f1ce8b1, 32'h3f122404,32'h3f218620, 32'h3f0a72f7,32'h3f29372d,// invsqrt(2.7694) = 0.6009 +32'h3f677036,32'h3f83edae,32'h3f895032, 32'h3f7fc795,32'h3f8d5a16, 32'h3f72514a,32'h3f94153b,// invsqrt(0.9041) = 1.0517 +32'h3e890921,32'h3ff277c7,32'h3ffc5d52, 32'h3feb0ba0,32'h4001e4bd, 32'h3fdeacb2,32'h40081434,// invsqrt(0.2676) = 1.9329 +32'h3f2683cb,32'h3f9b8918,32'h3fa1e248, 32'h3f96c634,32'h3fa6a52c, 32'h3f8ed6b8,32'h3fae94a8,// invsqrt(0.6504) = 1.2399 +32'h40061bb1,32'h3f2d4fd9,32'h3f3462c8, 32'h3f2801a7,32'h3f39b0fb, 32'h3f1f29fc,32'h3f4288a6,// invsqrt(2.0954) = 0.6908 +32'h3f20a6c2,32'h3f9e5933,32'h3fa4cfc7, 32'h3f998044,32'h3fa9a8b6, 32'h3f916c0a,32'h3fb1bcf0,// invsqrt(0.6275) = 1.2623 +32'h3e604ab3,32'h40060385,32'h400b7bd3, 32'h4001e94a,32'h400f960e, 32'h3ff625cf,32'h40166c70,// invsqrt(0.2190) = 2.1367 +32'h3f0a6147,32'h3faa9da9,32'h3fb1946b, 32'h3fa56496,32'h3fb6cd7e, 32'h3f9cb023,32'h3fbf81f1,// invsqrt(0.5405) = 1.3601 +32'h40720237,32'h3f0103de,32'h3f0647f2, 32'h3efa219f,32'h3f0a3b00, 32'h3eecf76e,32'h3f10d019,// invsqrt(3.7814) = 0.5143 +32'h3fb4fa98,32'h3f52fcd8,32'h3f5b9973, 32'h3f4c8763,32'h3f620ee7, 32'h3f41c3a1,32'h3f6cd2a9,// invsqrt(1.4139) = 0.8410 +32'h3ecf1ee8,32'h3fc53949,32'h3fcd4612, 32'h3fbf2fb1,32'h3fd34fa9, 32'h3fb51fb5,32'h3fdd5fa5,// invsqrt(0.4045) = 1.5723 +32'h3d9dad6b,32'h40620a5f,32'h406b4443, 32'h405b1ef3,32'h40722faf, 32'h404f9696,32'h407db80c,// invsqrt(0.0770) = 3.6040 +32'h3d8254be,32'h4078a057,32'h4081631e, 32'h407103ec,32'h40853153, 32'h4064548e,32'h408b8902,// invsqrt(0.0636) = 3.9641 +32'h3f1c326e,32'h3fa09727,32'h3fa72529, 32'h3f9baca6,32'h3fac0faa, 32'h3f937b24,32'h3fb4412c,// invsqrt(0.6101) = 1.2802 +32'h3e96e9c7,32'h3fe70cff,32'h3ff07b3e, 32'h3fdffa51,32'h3ff78ded, 32'h3fd43082,32'h4001abde,// invsqrt(0.2948) = 1.8419 +32'h3f5f21a3,32'h3f865c9c,32'h3f8bd88e, 32'h3f823fa7,32'h3f8ff583, 32'h3f76c973,32'h3f96d071,// invsqrt(0.8716) = 1.0711 +32'h3eb409bb,32'h3fd389cb,32'h3fdc2c27, 32'h3fcd1006,32'h3fe2a5ec, 32'h3fc24513,32'h3fed70df,// invsqrt(0.3516) = 1.6864 +32'h3f30e2fe,32'h3f96e81c,32'h3f9d10ee, 32'h3f92497e,32'h3fa1af8c, 32'h3f8a9678,32'h3fa96292,// invsqrt(0.6910) = 1.2030 +32'h3e9d4193,32'h3fe257d4,32'h3feb94e2, 32'h3fdb6a0a,32'h3ff282ac, 32'h3fcfddb8,32'h3ffe0efe,// invsqrt(0.3071) = 1.8044 +32'h3f3996b3,32'h3f935389,32'h3f9956f1, 32'h3f8ed0fa,32'h3f9dd980, 32'h3f874cb7,32'h3fa55dc3,// invsqrt(0.7250) = 1.1745 +32'h3f4a95bb,32'h3f8d02c7,32'h3f92c432, 32'h3f88b1b6,32'h3f971542, 32'h3f817ff0,32'h3f9e4708,// invsqrt(0.7913) = 1.1241 +32'h3f36d107,32'h3f947069,32'h3f9a7f73, 32'h3f8fe522,32'h3f9f0aba, 32'h3f885256,32'h3fa69d86,// invsqrt(0.7141) = 1.1833 +32'h3ef7bc15,32'h3fb45581,32'h3fbbb1cf, 32'h3faed046,32'h3fc1370a, 32'h3fa59ce4,32'h3fca6a6c,// invsqrt(0.4839) = 1.4376 +32'h3f708006,32'h3f816b4b,32'h3f86b397, 32'h3f7aea24,32'h3f8aa9d0, 32'h3f6db565,32'h3f914430,// invsqrt(0.9395) = 1.0317 +32'h3fa4c7f9,32'h3f5d1d51,32'h3f6623bd, 32'h3f565880,32'h3f6ce88e, 32'h3f4b107a,32'h3f783095,// invsqrt(1.2874) = 0.8814 +32'h3e6d9ad4,32'h4002348a,32'h4007850c, 32'h3ffc704e,32'h400b816f, 32'h3fef2707,32'h40122612,// invsqrt(0.2320) = 2.0760 +32'h3db95650,32'h40507e00,32'h40590088, 32'h404a1c1a,32'h405f626e, 32'h403f78f0,32'h406a0598,// invsqrt(0.0905) = 3.3242 +32'h3f89a9e2,32'h3f71ea0d,32'h3f7bc9ce, 32'h3f6a823b,32'h3f8198d0, 32'h3f5e2a88,32'h3f87c4a9,// invsqrt(1.0755) = 0.9643 +32'h3ea19c39,32'h3fdf45f0,32'h3fe862ea, 32'h3fd87034,32'h3fef38a6, 32'h3fcd0bfc,32'h3ffa9cde,// invsqrt(0.3156) = 1.7799 +32'h40db0bb2,32'h3ebfc7b9,32'h3ec79ba1, 32'h3eb9e8cb,32'h3ecd7a8f, 32'h3eb01fe8,32'h3ed74372,// invsqrt(6.8452) = 0.3822 +32'h3ef60ac1,32'h3fb4f408,32'h3fbc56cf, 32'h3faf69f3,32'h3fc1e0e5, 32'h3fa62e7b,32'h3fcb1c5d,// invsqrt(0.4806) = 1.4425 +32'h3ef21b75,32'h3fb66af2,32'h3fbddd07, 32'h3fb0d564,32'h3fc37296, 32'h3fa786ca,32'h3fccc130,// invsqrt(0.4729) = 1.4542 +32'h3d3a0a36,32'h409325c5,32'h4099274f, 32'h408ea49d,32'h409da877, 32'h408722af,32'h40a52a65,// invsqrt(0.0454) = 4.6922 +32'h3f916c06,32'h3f6b5f67,32'h3f74facf, 32'h3f642ada,32'h3f7c2f5c, 32'h3f582898,32'h3f8418cf,// invsqrt(1.1361) = 0.9382 +32'h40193da6,32'h3f2221cc,32'h3f28bfea, 32'h3f1d2b36,32'h3f2db680, 32'h3f14e592,32'h3f35fc24,// invsqrt(2.3944) = 0.6463 +32'h3f884a2c,32'h3f732169,32'h3f7d0de0, 32'h3f6bb00f,32'h3f823f9c, 32'h3f5f487a,32'h3f887367,// invsqrt(1.0648) = 0.9691 +32'h3e335012,32'h4015e1e0,32'h401bfffe, 32'h40114b49,32'h40209695, 32'h4009a5a4,32'h40283c3a,// invsqrt(0.1751) = 2.3897 +32'h4011e6ad,32'h3f2628fd,32'h3f2cf131, 32'h3f2112d6,32'h3f320758, 32'h3f189895,32'h3f3a8199,// invsqrt(2.2797) = 0.6623 +32'h3f1b27a9,32'h3fa120fb,32'h3fa7b49d, 32'h3f9c3242,32'h3faca356, 32'h3f93f9b8,32'h3fb4dbe0,// invsqrt(0.6061) = 1.2845 +32'h406fd783,32'h3f0198ba,32'h3f06e2e0, 32'h3efb4239,32'h3f0ada7e, 32'h3eee08d7,32'h3f11772e,// invsqrt(3.7475) = 0.5166 +32'h3fbfd88b,32'h3f4cecc8,32'h3f554a0a, 32'h3f46a6d7,32'h3f5b8ffb, 32'h3f3c3245,32'h3f66048d,// invsqrt(1.4988) = 0.8168 +32'h3d474298,32'h408e2eb1,32'h4093fc5b, 32'h4089d472,32'h4098569a, 32'h4082935f,32'h409f97ad,// invsqrt(0.0486) = 4.5339 +32'h3e54f857,32'h4009879f,32'h400f24ab, 32'h400551d6,32'h40135a74, 32'h3ffc9b0f,32'h401a5ec2,// invsqrt(0.2080) = 2.1928 +32'h411f83bb,32'h3e9ee965,32'h3ea565dc, 32'h3e9a0c0b,32'h3eaa4335, 32'h3e91f076,32'h3eb25eca,// invsqrt(9.9697) = 0.3167 +32'h3f1abd4b,32'h3fa15853,32'h3fa7ee37, 32'h3f9c67e8,32'h3facdea2, 32'h3f942c8b,32'h3fb519ff,// invsqrt(0.6045) = 1.2862 +32'h3ffb8f22,32'h3f32f53c,32'h3f3a432a, 32'h3f2d7aca,32'h3f3fbd9c, 32'h3f245961,32'h3f48df05,// invsqrt(1.9653) = 0.7133 +32'h3ef8c0be,32'h3fb3f6ec,32'h3fbb4f5e, 32'h3fae7496,32'h3fc0d1b4, 32'h3fa54608,32'h3fca0042,// invsqrt(0.4858) = 1.4347 +32'h411741fb,32'h3ea330ff,32'h3ea9da2d, 32'h3e9e321b,32'h3eaed911, 32'h3e95dea1,32'h3eb72c8b,// invsqrt(9.4536) = 0.3252 +32'h3f7be82b,32'h3f7ce8f5,32'h3f839dce, 32'h3f752af8,32'h3f877ccc, 32'h3f6843a7,32'h3f8df074,// invsqrt(0.9840) = 1.0081 +32'h3eb525c6,32'h3fd2e3b1,32'h3fdb7f45, 32'h3fcc6f02,32'h3fe1f3f4, 32'h3fc1ac88,32'h3fecb66e,// invsqrt(0.3538) = 1.6812 +32'h3eff52ff,32'h3fb1a231,32'h3fb8e248, 32'h3fac3220,32'h3fbe525a, 32'h3fa32204,32'h3fc76276,// invsqrt(0.4987) = 1.4161 +32'h3f7d3250,32'h3f7c43dd,32'h3f8347e3, 32'h3f748aee,32'h3f87245b, 32'h3f67ac0a,32'h3f8d93cd,// invsqrt(0.9890) = 1.0055 +32'h3f3250dc,32'h3f964cfc,32'h3f9c6f79, 32'h3f91b31e,32'h3fa10956, 32'h3f8a0801,32'h3fa8b473,// invsqrt(0.6965) = 1.1982 +32'h401ca630,32'h3f205bc7,32'h3f26e75c, 32'h3f1b7317,32'h3f2bd00b, 32'h3f13449c,32'h3f33fe86,// invsqrt(2.4476) = 0.6392 +32'h4070b556,32'h3f015cf5,32'h3f06a4ab, 32'h3eface58,32'h3f0a9a74, 32'h3eed9b10,32'h3f113418,// invsqrt(3.7611) = 0.5156 +32'h3e8e4886,32'h3fedf45f,32'h3ff7aac1, 32'h3fe6ab96,32'h3ffef38a, 32'h3fda879b,32'h40058bc2,// invsqrt(0.2779) = 1.8970 +32'h3eeb3d3f,32'h3fb90fc0,32'h3fc09d74, 32'h3fb36579,32'h3fc647bb, 32'h3fa9f457,32'h3fcfb8dd,// invsqrt(0.4595) = 1.4753 +32'h3f848bf5,32'h3f768a1e,32'h3f804d1a, 32'h3f6efe0d,32'h3f841322, 32'h3f6269f2,32'h3f8a5d30,// invsqrt(1.0355) = 0.9827 +32'h3f939f47,32'h3f699caf,32'h3f7325b1, 32'h3f6275ee,32'h3f7a4c72, 32'h3f568aab,32'h3f831bda,// invsqrt(1.1533) = 0.9312 +32'h3e82e7f2,32'h3ff81466,32'h40011a4a, 32'h3ff07c44,32'h4004e65b, 32'h3fe3d40a,32'h400b3a78,// invsqrt(0.2557) = 1.9777 +32'h3fa7399b,32'h3f5b7e2c,32'h3f6473a6, 32'h3f54c611,32'h3f6b2bc1, 32'h3f499338,32'h3f765e9a,// invsqrt(1.3064) = 0.8749 +32'h3f11dc33,32'h3fa62ef5,32'h3facf767, 32'h3fa1189f,32'h3fb20dbd, 32'h3f989e10,32'h3fba884c,// invsqrt(0.5698) = 1.3248 +32'h3e35fbc3,32'h4014c74a,32'h401ad9df, 32'h40103959,32'h401f67cf, 32'h4008a21f,32'h4026ff09,// invsqrt(0.1777) = 2.3721 +32'h3fcf9924,32'h3f44ff30,32'h3f4d099a, 32'h3f3ef760,32'h3f53116a, 32'h3f34ea5b,32'h3f5d1e6f,// invsqrt(1.6219) = 0.7852 +32'h3ec15114,32'h3fcc24d4,32'h3fd479ec, 32'h3fc5e502,32'h3fdab9be, 32'h3fbb7aa3,32'h3fe5241d,// invsqrt(0.3776) = 1.6274 +32'h3eff676a,32'h3fb19b18,32'h3fb8dae4, 32'h3fac2b3e,32'h3fbe4abe, 32'h3fa31b7e,32'h3fc75a7e,// invsqrt(0.4988) = 1.4159 +32'h3fba397d,32'h3f4ffead,32'h3f587c03, 32'h3f49a0ad,32'h3f5eda03, 32'h3f3f0402,32'h3f6976ae,// invsqrt(1.4549) = 0.8291 +32'h3f1dd694,32'h3f9fc0dc,32'h3fa6461e, 32'h3f9adcea,32'h3fab2a10, 32'h3f92b657,32'h3fb350a3,// invsqrt(0.6166) = 1.2735 +32'h40513f2d,32'h3f0abf7b,32'h3f106941, 32'h3f068026,32'h3f14a896, 32'h3efed7dc,32'h3f1bbcce,// invsqrt(3.2695) = 0.5530 +32'h3f4a7a2f,32'h3f8d0c5e,32'h3f92ce2e, 32'h3f88bb02,32'h3f971f8a, 32'h3f8188bf,32'h3f9e51cd,// invsqrt(0.7909) = 1.1244 +32'h40891d6e,32'h3ef265d4,32'h3efc4aa3, 32'h3eeafa38,32'h3f01db1f, 32'h3ede9c35,32'h3f080a20,// invsqrt(4.2848) = 0.4831 +32'h3fb8cedd,32'h3f50ca59,32'h3f594fff, 32'h3f4a661d,32'h3f5fb43b, 32'h3f3fbf0e,32'h3f6a5b4a,// invsqrt(1.4438) = 0.8322 +32'h3fbcbc17,32'h3f4e9b67,32'h3f570a3d, 32'h3f484848,32'h3f5d5d5c, 32'h3f3dbdbd,32'h3f67e7e7,// invsqrt(1.4745) = 0.8235 +32'h3f09951c,32'h3fab1c12,32'h3fb217fe, 32'h3fa5df21,32'h3fb754ef, 32'h3f9d243a,32'h3fc00fd6,// invsqrt(0.5374) = 1.3641 +32'h4082d736,32'h3ef82443,32'h3f01228b, 32'h3ef08ba3,32'h3f04eeda, 32'h3ee3e29b,32'h3f0b435f,// invsqrt(4.0888) = 0.4945 +32'h400ef665,32'h3f27dbf1,32'h3f2eb5e5, 32'h3f22b879,32'h3f33d95d, 32'h3f1a2807,32'h3f3c69cf,// invsqrt(2.2338) = 0.6691 +32'h3f98c56d,32'h3f65a436,32'h3f6f03bb, 32'h3f5e9c93,32'h3f760b5f, 32'h3f52e52d,32'h3f80e163,// invsqrt(1.1935) = 0.9153 +32'h3f8a5efd,32'h3f714b88,32'h3f7b24d2, 32'h3f69e891,32'h3f8143e4, 32'h3f5d98f5,32'h3f876bb2,// invsqrt(1.0810) = 0.9618 +32'h3e2bacc4,32'h40192e22,32'h401f6eb6, 32'h40147db3,32'h40241f25, 32'h400cacfb,32'h402befdd,// invsqrt(0.1677) = 2.4423 +32'h40393fbb,32'h3f13761a,32'h3f197aec, 32'h3f0ef27c,32'h3f1dfe8a, 32'h3f076c76,32'h3f258490,// invsqrt(2.8945) = 0.5878 +32'h3f9b34f6,32'h3f63d51a,32'h3f6d21b8, 32'h3f5cdba4,32'h3f741b2e, 32'h3f513bde,32'h3f7fbaf4,// invsqrt(1.2126) = 0.9081 +32'h3f88f842,32'h3f7286b6,32'h3f7c6cdc, 32'h3f6b1a19,32'h3f81ecbd, 32'h3f5eba68,32'h3f881c95,// invsqrt(1.0701) = 0.9667 +32'h3f9a5d23,32'h3f647428,32'h3f6dc744, 32'h3f5d75d3,32'h3f74c599, 32'h3f51cdf1,32'h3f8036be,// invsqrt(1.2060) = 0.9106 +32'h4021b245,32'h3f1dd600,32'h3f24473a, 32'h3f190115,32'h3f291c25, 32'h3f10f38d,32'h3f3129ad,// invsqrt(2.5265) = 0.6291 +32'h3f2ab236,32'h3f999e65,32'h3f9fe38e, 32'h3f94ea87,32'h3fa4976d, 32'h3f8d1414,32'h3fac6de0,// invsqrt(0.6668) = 1.2246 +32'h417e4a0a,32'h3e7bb8f6,32'h3e82ff9b, 32'h3e740448,32'h3e86d9f2, 32'h3e672c7a,32'h3e8d45d9,// invsqrt(15.8931) = 0.2508 +32'h3f470f3a,32'h3f8e4108,32'h3f940f71, 32'h3f89e63a,32'h3f986a40, 32'h3f82a437,32'h3f9fac43,// invsqrt(0.7776) = 1.1340 +32'h3e613457,32'h4005bdee,32'h400b3366, 32'h4001a5d5,32'h400f4b7f, 32'h3ff5a5ff,32'h40161e55,// invsqrt(0.2199) = 2.1324 +32'h3f582a87,32'h3f888261,32'h3f8e14c3, 32'h3f845498,32'h3f92428c, 32'h3f7abb3a,32'h3f993987,// invsqrt(0.8444) = 1.0882 +32'h3f05fb71,32'h3fad64b4,32'h3fb4787c, 32'h3fa815dd,32'h3fb9c753, 32'h3f9f3d22,32'h3fc2a00e,// invsqrt(0.5234) = 1.3823 +32'h41160a2c,32'h3ea3da39,32'h3eaa8a50, 32'h3e9ed627,32'h3eaf8e61, 32'h3e967a0a,32'h3eb7ea7e,// invsqrt(9.3775) = 0.3266 +32'h3fe87802,32'h3f3a2937,32'h3f41c269, 32'h3f347652,32'h3f47754e, 32'h3f2af6d5,32'h3f50f4cb,// invsqrt(1.8162) = 0.7420 +32'h3f0bf448,32'h3fa9a751,32'h3fb09405, 32'h3fa475c9,32'h3fb5c58d, 32'h3f9bcde7,32'h3fbe6d6f,// invsqrt(0.5467) = 1.3525 +32'h3f6045eb,32'h3f8604f2,32'h3f8b7d50, 32'h3f81eaac,32'h3f8f9796, 32'h3f76286f,32'h3f966e0b,// invsqrt(0.8761) = 1.0684 +32'h400921e1,32'h3f2b63e7,32'h3f3262c1, 32'h3f2624c3,32'h3f37a1e5, 32'h3f1d6632,32'h3f406076,// invsqrt(2.1427) = 0.6832 +32'h3f7201d7,32'h3f8103f8,32'h3f86480c, 32'h3f7a21d1,32'h3f8a3b1c, 32'h3f6cf79d,32'h3f90d035,// invsqrt(0.9453) = 1.0285 +32'h3e5f8261,32'h40063f85,32'h400bba47, 32'h40022374,32'h400fd658, 32'h3ff69404,32'h4016afca,// invsqrt(0.2183) = 2.1404 +32'h3f30495d,32'h3f9729cf,32'h3f9d554f, 32'h3f92892e,32'h3fa1f5f0, 32'h3f8ad2ce,32'h3fa9ac50,// invsqrt(0.6886) = 1.2051 +32'h3d4d2c1f,32'h408c1e6e,32'h4091d688, 32'h4087d45b,32'h4096209b, 32'h4080ae3c,32'h409d46ba,// invsqrt(0.0501) = 4.4681 +32'h3ee26ac8,32'h3fbca1e8,32'h3fc454ec, 32'h3fb6dba5,32'h3fca1b2f, 32'h3fad3bdf,32'h3fd3baf5,// invsqrt(0.4422) = 1.5038 +32'h3f031370,32'h3faf4e1b,32'h3fb675dd, 32'h3fa9f049,32'h3fbbd3af, 32'h3fa0fe96,32'h3fc4c562,// invsqrt(0.5120) = 1.3975 +32'h3f653d82,32'h3f848f36,32'h3f89f852, 32'h3f808061,32'h3f8e0727, 32'h3f7379fa,32'h3f94ca8b,// invsqrt(0.8955) = 1.0568 +32'h3fa043f5,32'h3f60353e,32'h3f695bfc, 32'h3f59582f,32'h3f70390b, 32'h3f4de7c0,32'h3f7ba97a,// invsqrt(1.2521) = 0.8937 +32'h3f08b7f7,32'h3faba63d,32'h3fb2a7cd, 32'h3fa66512,32'h3fb7e8f8, 32'h3f9da31e,32'h3fc0aaec,// invsqrt(0.5341) = 1.3684 +32'h3e058e2c,32'h402dab94,32'h4034c242, 32'h40285a92,32'h403a1344, 32'h401f7e3a,32'h4042ef9c,// invsqrt(0.1304) = 2.7690 +32'h3de5b233,32'h403b47fe,32'h4042ece4, 32'h40358c52,32'h4048a890, 32'h402bfe32,32'h405236b0,// invsqrt(0.1122) = 2.9860 +32'h3d24f0da,32'h409c46a0,32'h40a2a78c, 32'h40977dee,32'h40a7703e, 32'h408f84c7,32'h40af6965,// invsqrt(0.0403) = 4.9833 +32'h3ef80cc7,32'h3fb43829,32'h3fbb9345, 32'h3faeb3d4,32'h3fc1179a, 32'h3fa581f2,32'h3fca497d,// invsqrt(0.4845) = 1.4367 +32'h3dca8245,32'h404774f6,32'h404f9914, 32'h404159de,32'h4055b42c, 32'h40372cb8,32'h405fe152,// invsqrt(0.0989) = 3.1801 +32'h3f580b2b,32'h3f888c49,32'h3f8e1f13, 32'h3f845e32,32'h3f924d2a, 32'h3f7acd6c,32'h3f9944a6,// invsqrt(0.8439) = 1.0886 +32'h3e5f0a8f,32'h40066390,32'h400bdfca, 32'h40024664,32'h400ffcf6, 32'h3ff6d637,32'h4016d83e,// invsqrt(0.2178) = 2.1427 +32'h3f50a9a0,32'h3f8af12b,32'h3f909cf8, 32'h3f86b050,32'h3f94ddd2, 32'h3f7f331f,32'h3f9bf493,// invsqrt(0.8151) = 1.1076 +32'h401ca5ca,32'h3f205bfb,32'h3f26e792, 32'h3f1b7349,32'h3f2bd043, 32'h3f1344cc,32'h3f33fec0,// invsqrt(2.4476) = 0.6392 +32'h3f01e177,32'h3fb01c20,32'h3fb74c4c, 32'h3faab800,32'h3fbcb06c, 32'h3fa1bbca,32'h3fc5aca2,// invsqrt(0.5073) = 1.4039 +32'h3f6550ab,32'h3f8489ac,32'h3f89f28e, 32'h3f807b02,32'h3f8e0138, 32'h3f736fce,32'h3f94c453,// invsqrt(0.8958) = 1.0566 +32'h3f2dff32,32'h3f982799,32'h3f9e5d76, 32'h3f937f34,32'h3fa305dc, 32'h3f8bbbe1,32'h3faac92f,// invsqrt(0.6797) = 1.2130 +32'h3e165ca7,32'h4023ad42,32'h402a5b84, 32'h401eaa91,32'h402f5e35, 32'h401650c0,32'h4037b807,// invsqrt(0.1468) = 2.6096 +32'h3f9772a7,32'h3f66a47f,32'h3f700e79, 32'h3f5f9503,32'h3f771df5, 32'h3f53d089,32'h3f817137,// invsqrt(1.1832) = 0.9193 +32'h3f4ee4f2,32'h3f8b88d8,32'h3f913ad6, 32'h3f874359,32'h3f958055, 32'h3f8024db,32'h3f9c9ed3,// invsqrt(0.8082) = 1.1124 +32'h41b6cdc5,32'h3e51ee91,32'h3e5a8023, 32'h3e4b8162,32'h3e60ed52, 32'h3e40cb6b,32'h3e6ba349,// invsqrt(22.8505) = 0.2092 +32'h4166ee30,32'h3e8412cc,32'h3e8976d4, 32'h3e8007c6,32'h3e8d81da, 32'h3e729576,32'h3e943ee5,// invsqrt(14.4332) = 0.2632 +32'h4000c7c5,32'h3f30dc54,32'h3f381458, 32'h3f2b7252,32'h3f3d7e5a, 32'h3f226c4d,32'h3f46845f,// invsqrt(2.0122) = 0.7050 +32'h3f679780,32'h3f83e27c,32'h3f89448c, 32'h3f7fb1e1,32'h3f8d4e17, 32'h3f723cba,32'h3f9408ab,// invsqrt(0.9047) = 1.0514 +32'h3f267ed9,32'h3f9b8b67,32'h3fa1e4af, 32'h3f96c871,32'h3fa6a7a5, 32'h3f8ed8d7,32'h3fae973f,// invsqrt(0.6504) = 1.2400 +32'h3f01b599,32'h3fb039e5,32'h3fb76b47, 32'h3faad4db,32'h3fbcd051, 32'h3fa1d721,32'h3fc5ce0b,// invsqrt(0.5067) = 1.4049 +32'h3e8a37a9,32'h3ff16dda,32'h3ffb488a, 32'h3fea09d6,32'h40015647, 32'h3fddb87a,32'h40077ef5,// invsqrt(0.2700) = 1.9247 +32'h3f6c3240,32'h3f8297c7,32'h3f87ec57, 32'h3f7d30b6,32'h3f8bebc3, 32'h3f6fdd4e,32'h3f929577,// invsqrt(0.9226) = 1.0411 +32'h40a3d7d6,32'h3eddbf20,32'h3ee6cc26, 32'h3ed6f55b,32'h3eed95eb, 32'h3ecba513,32'h3ef8e633,// invsqrt(5.1201) = 0.4419 +32'h3f5f51c6,32'h3f864e21,32'h3f8bc97b, 32'h3f82319d,32'h3f8fe5ff, 32'h3f76aed9,32'h3f96c02f,// invsqrt(0.8723) = 1.0707 +32'h3ec3cb42,32'h3fcad92a,32'h3fd320b8, 32'h3fc4a37f,32'h3fd95663, 32'h3fba4a0c,32'h3fe3afd6,// invsqrt(0.3824) = 1.6171 +32'h3be35885,32'h413c3f2e,32'h4143ee2a, 32'h41367bf0,32'h4149b168, 32'h412ce134,32'h41534c24,// invsqrt(0.0069) = 12.0055 +32'h3f8bea44,32'h3f6ff5be,32'h3f79c114, 32'h3f689d3d,32'h3f808cca, 32'h3f5c5f12,32'h3f86abe0,// invsqrt(1.0931) = 0.9565 +32'h3f2e1e8b,32'h3f9819e6,32'h3f9e4f34, 32'h3f9371ec,32'h3fa2f72e, 32'h3f8baf4c,32'h3faab9ce,// invsqrt(0.6802) = 1.2125 +32'h4052c0ac,32'h3f0a405c,32'h3f0fe4f2, 32'h3f0604eb,32'h3f142063, 32'h3efdee60,32'h3f1b2e1e,// invsqrt(3.2930) = 0.5511 +32'h3f7df696,32'h3f7be24f,32'h3f83151f, 32'h3f742c5c,32'h3f86f018, 32'h3f675272,32'h3f8d5d0d,// invsqrt(0.9920) = 1.0040 +32'h3fb71e3c,32'h3f51c06c,32'h3f5a501d, 32'h3f4b54a8,32'h3f60bbe2, 32'h3f40a10b,32'h3f6b6f7f,// invsqrt(1.4306) = 0.8361 +32'h3f4dfb89,32'h3f8bd7d0,32'h3f918d08, 32'h3f878fe7,32'h3f95d4f1, 32'h3f806d61,32'h3f9cf777,// invsqrt(0.8046) = 1.1148 +32'h3fef445f,32'h3f377f4c,32'h3f3efca8, 32'h3f31e147,32'h3f449aad, 32'h3f288494,32'h3f4df760,// invsqrt(1.8693) = 0.7314 +32'h3f46be9c,32'h3f8e5de0,32'h3f942d76, 32'h3f8a022f,32'h3f988927, 32'h3f82beb4,32'h3f9fcca2,// invsqrt(0.7763) = 1.1349 +32'h3ec02ecd,32'h3fccbec6,32'h3fd51a27, 32'h3fc67a3e,32'h3fdb5eb0, 32'h3fbc0805,32'h3fe5d0e9,// invsqrt(0.3754) = 1.6322 +32'h3f6befd5,32'h3f82aa27,32'h3f87ff77, 32'h3f7d5456,32'h3f8bff73, 32'h3f6fff0e,32'h3f92aa17,// invsqrt(0.9216) = 1.0417 +32'h3fab1985,32'h3f58fe4c,32'h3f61d9a8, 32'h3f5259c7,32'h3f687e2d, 32'h3f474794,32'h3f739060,// invsqrt(1.3367) = 0.8649 +32'h3fcc66af,32'h3f468810,32'h3f4ea284, 32'h3f40743a,32'h3f54b65a, 32'h3f365329,32'h3f5ed76b,// invsqrt(1.5969) = 0.7913 +32'h3eb4e3ac,32'h3fd30a36,32'h3fdba75c, 32'h3fcc9459,32'h3fe21d39, 32'h3fc1cfe8,32'h3fece1aa,// invsqrt(0.3533) = 1.6824 +32'h3f8db05f,32'h3f6e7401,32'h3f782f98, 32'h3f67274f,32'h3f7f7c49, 32'h3f5afcd1,32'h3f85d363,// invsqrt(1.1069) = 0.9505 +32'h3f8a60fe,32'h3f7149c9,32'h3f7b2301, 32'h3f69e6e0,32'h3f8142f5, 32'h3f5d975b,32'h3f876ab8,// invsqrt(1.0811) = 0.9618 +32'h4043c8f8,32'h3f0f704d,32'h3f154b17, 32'h3f0b0c36,32'h3f19af2e, 32'h3f03baba,32'h3f2100aa,// invsqrt(3.0591) = 0.5717 +32'h3f281d72,32'h3f9acb24,32'h3fa11c94, 32'h3f960e11,32'h3fa5d9a7, 32'h3f8e2846,32'h3fadbf72,// invsqrt(0.6567) = 1.2340 +32'h3ff3f289,32'h3f35ba7c,32'h3f3d255c, 32'h3f302a53,32'h3f42b585, 32'h3f26e4bb,32'h3f4bfb1d,// invsqrt(1.9058) = 0.7244 +32'h3f316b9b,32'h3f96adf7,32'h3f9cd46a, 32'h3f921122,32'h3fa17140, 32'h3f8a6112,32'h3fa92150,// invsqrt(0.6930) = 1.2012 +32'h404d6331,32'h3f0c0ba4,32'h3f11c2f9, 32'h3f07c224,32'h3f160c78, 32'h3f009cf9,32'h3f1d31a3,// invsqrt(3.2092) = 0.5582 +32'h3e73f989,32'h40007e85,32'h4005bd27, 32'h3ff91f17,32'h4009ac21, 32'h3fec0281,32'h40103a6b,// invsqrt(0.2383) = 2.0487 +32'h3f6cc54a,32'h3f826f34,32'h3f87c21c, 32'h3f7ce20c,32'h3f8bc04a, 32'h3f6f92c8,32'h3f9267ec,// invsqrt(0.9249) = 1.0398 +32'h3e818aa4,32'h3ff961fe,32'h4001c7e4, 32'h3ff1bfa4,32'h40059911, 32'h3fe50666,32'h400bf5b0,// invsqrt(0.2530) = 1.9881 +32'h40da6a9a,32'h3ec00e65,32'h3ec7e52f, 32'h3eba2d4d,32'h3ecdc647, 32'h3eb060cf,32'h3ed792c5,// invsqrt(6.8255) = 0.3828 +32'h3fa7fcde,32'h3f5afe76,32'h3f63eeba, 32'h3f544a44,32'h3f6aa2ec, 32'h3f491def,32'h3f75cf41,// invsqrt(1.3124) = 0.8729 +32'h3d108ddc,32'h40a6eeb5,32'h40adbefb, 32'h40a1d280,32'h40b2db30, 32'h40994e29,32'h40bb5f87,// invsqrt(0.0353) = 5.3231 +32'h3f962916,32'h3f67a10f,32'h3f711559, 32'h3f6089d8,32'h3f782c90, 32'h3f54b87c,32'h3f81fef6,// invsqrt(1.1731) = 0.9233 +32'h3f3f85c3,32'h3f9106ad,32'h3f96f20d, 32'h3f8c9625,32'h3f9b6295, 32'h3f852fed,32'h3fa2c8cd,// invsqrt(0.7481) = 1.1561 +32'h3f3cd859,32'h3f920cf0,32'h3f980304, 32'h3f8d9461,32'h3f9c7b93, 32'h3f8620c7,32'h3fa3ef2d,// invsqrt(0.7377) = 1.1643 +32'h3f35b88d,32'h3f94e2cb,32'h3f9af67f, 32'h3f905403,32'h3f9f8547, 32'h3f88bb61,32'h3fa71de9,// invsqrt(0.7098) = 1.1869 +32'h3e215435,32'h401e03fd,32'h40247717, 32'h40192daa,32'h40294d6a, 32'h40111dc9,32'h40315d4b,// invsqrt(0.1575) = 2.5194 +32'h3e45865b,32'h400ece3b,32'h4014a267, 32'h400a6f1a,32'h40190188, 32'h400325e2,32'h40204ac0,// invsqrt(0.1929) = 2.2769 +32'h3d9e433e,32'h40619f47,32'h406ad4cd, 32'h405ab723,32'h4071bcf1, 32'h404f343c,32'h407d3fd8,// invsqrt(0.0773) = 3.5973 +32'h4094596a,32'h3ee909f2,32'h3ef28cf6, 32'h3ee1e7ae,32'h3ef9af3a, 32'h3ed603e8,32'h3f02c980,// invsqrt(4.6359) = 0.4644 +32'h3e8160be,32'h3ff98a5c,32'h4001dce7, 32'h3ff1e6c7,32'h4005aeb1, 32'h3fe52b79,32'h400c0c58,// invsqrt(0.2527) = 1.9893 +32'h3d95ef6b,32'h4067cd96,32'h407143b2, 32'h4060b502,32'h40785c46, 32'h4054e160,32'h408217f4,// invsqrt(0.0732) = 3.6958 +32'h3d1b5072,32'h40a10bd2,32'h40a79e96, 32'h409c1dbe,32'h40ac8caa, 32'h4093e648,32'h40b4c420,// invsqrt(0.0379) = 5.1354 +32'h3f9ae670,32'h3f640ed2,32'h3f6d5dcb, 32'h3f5d1398,32'h3f745906, 32'h3f5170e1,32'h3f7ffbbd,// invsqrt(1.2102) = 0.9090 +32'h40f8f9ff,32'h3eb3e23a,32'h3ebb39d4, 32'h3eae6087,32'h3ec0bb87, 32'h3ea53306,32'h3ec9e908,// invsqrt(7.7805) = 0.3585 +32'h3ef2e807,32'h3fb61e12,32'h3fbd8d03, 32'h3fb08add,32'h3fc32037, 32'h3fa7402f,32'h3fcc6ae5,// invsqrt(0.4744) = 1.4518 +32'h3ed55cdb,32'h3fc25143,32'h3fca3faf, 32'h3fbc5e73,32'h3fd0327f, 32'h3fb2746d,32'h3fda1c85,// invsqrt(0.4167) = 1.5491 +32'h3f47d316,32'h3f8dfb40,32'h3f93c6d0, 32'h3f89a294,32'h3f981f7c, 32'h3f826421,32'h3f9f5def,// invsqrt(0.7806) = 1.1319 +32'h40405520,32'h3f10b86a,32'h3f16a098, 32'h3f0c4a47,32'h3f1b0ebb, 32'h3f04e80e,32'h3f2270f4,// invsqrt(3.0052) = 0.5769 +32'h3f911384,32'h3f6ba728,32'h3f75457e, 32'h3f647068,32'h3f7c7c3e, 32'h3f586a7e,32'h3f844114,// invsqrt(1.1334) = 0.9393 +32'h40cfb788,32'h3ec4f0c6,32'h3eccfa9a, 32'h3ebee967,32'h3ed301f9, 32'h3eb4dd1e,32'h3edd0e42,// invsqrt(6.4912) = 0.3925 +32'h42af211c,32'h3dd67b7c,32'h3ddf3c9b, 32'h3dcfeaa4,32'h3de5cd72, 32'h3dc4f93d,32'h3df0bed9,// invsqrt(87.5647) = 0.1069 +32'h41b00b5c,32'h3e55ec9a,32'h3e5ea7e4, 32'h3e4f6022,32'h3e65345c, 32'h3e447606,32'h3e701e79,// invsqrt(22.0055) = 0.2132 +32'h3facb971,32'h3f57f86b,32'h3f60c917, 32'h3f515beb,32'h3f676597, 32'h3f465714,32'h3f726a6e,// invsqrt(1.3494) = 0.8609 +32'h3d8d2a7b,32'h406ee4fb,32'h4078a52f, 32'h406794d4,32'h407ff556, 32'h405b6493,32'h408612cc,// invsqrt(0.0689) = 3.8089 +32'h40940fc1,32'h3ee943e2,32'h3ef2c944, 32'h3ee21fd8,32'h3ef9ed4e, 32'h3ed6391e,32'h3f02ea04,// invsqrt(4.6269) = 0.4649 +32'h4002566a,32'h3f2fcd0c,32'h3f36f9fc, 32'h3f2a6b57,32'h3f3c5bb1, 32'h3f21732a,32'h3f4553de,// invsqrt(2.0365) = 0.7007 +32'h40994a1a,32'h3ee540bf,32'h3eee9c35, 32'h3ede3c27,32'h3ef5a0cd, 32'h3ed289d4,32'h3f00a990,// invsqrt(4.7903) = 0.4569 +32'h410f8f7d,32'h3ea78258,32'h3eae58a4, 32'h3ea2619e,32'h3eb3795e, 32'h3e99d5be,32'h3ebc053e,// invsqrt(8.9725) = 0.3338 +32'h3f817a89,32'h3f797180,32'h3f81cff7, 32'h3f71ceae,32'h3f85a160, 32'h3f6514a5,32'h3f8bfe65,// invsqrt(1.0116) = 0.9943 +32'h3fa6d176,32'h3f5bc2a5,32'h3f64baeb, 32'h3f550871,32'h3f6b751f, 32'h3f49d21a,32'h3f76ab76,// invsqrt(1.3033) = 0.8760 +32'h3e56159e,32'h40092bdf,32'h400ec52c, 32'h4004f8e5,32'h4012f825, 32'h3ffbf289,32'h4019f7c6,// invsqrt(0.2091) = 2.1870 +32'h3ec40f22,32'h3fcab60a,32'h3fd2fc2a, 32'h3fc48172,32'h3fd930c2, 32'h3fba29cb,32'h3fe38869,// invsqrt(0.3829) = 1.6160 +32'h3fc225f3,32'h3f4bb4cb,32'h3f540551, 32'h3f457867,32'h3f5a41b5, 32'h3f3b13c0,32'h3f64a65c,// invsqrt(1.5168) = 0.8120 +32'h410e9ac0,32'h3ea811d8,32'h3eaeee00, 32'h3ea2ecba,32'h3eb4131e, 32'h3e9a5988,32'h3ebca650,// invsqrt(8.9128) = 0.3350 +32'h3e8d26fa,32'h3feee7f2,32'h3ff8a844, 32'h3fe797b3,32'h3ffff883, 32'h3fdb674c,32'h40061475,// invsqrt(0.2757) = 1.9045 +32'h3f318400,32'h3f96a39d,32'h3f9cc9a3, 32'h3f920718,32'h3fa16628, 32'h3f8a5790,32'h3fa915b0,// invsqrt(0.6934) = 1.2009 +32'h4125e6bc,32'h3e9bd2a6,32'h3ea22ed6, 32'h3e970d81,32'h3ea6f3fb, 32'h3e8f1a45,32'h3eaee737,// invsqrt(10.3688) = 0.3106 +32'h3ec8d1f8,32'h3fc84b31,32'h3fd0780f, 32'h3fc2298b,32'h3fd699b5, 32'h3fb7f176,32'h3fe0d1ca,// invsqrt(0.3922) = 1.5967 +32'h415db952,32'h3e86c99d,32'h3e8c4a01, 32'h3e82a951,32'h3e906a4d, 32'h3e7791a8,32'h3e974aca,// invsqrt(13.8577) = 0.2686 +32'h3d4e7b90,32'h408bac6f,32'h40915fe1, 32'h408765d9,32'h4095a677, 32'h4080458a,32'h409cc6c6,// invsqrt(0.0504) = 4.4539 +32'h40205a7e,32'h3f1e7ed6,32'h3f24f6f4, 32'h3f19a4c0,32'h3f29d10a, 32'h3f118e9b,32'h3f31e72f,// invsqrt(2.5055) = 0.6318 +32'h401e398f,32'h3f1f8edc,32'h3f261214, 32'h3f1aac72,32'h3f2af47e, 32'h3f12886c,32'h3f331884,// invsqrt(2.4723) = 0.6360 +32'h4141ff01,32'h3e901939,32'h3e95fae7, 32'h3e8baff6,32'h3e9a642a, 32'h3e8455db,32'h3ea1be45,// invsqrt(12.1248) = 0.2872 +32'h3f3ab5cc,32'h3f92e218,32'h3f98e0e0, 32'h3f8e6303,32'h3f9d5ff5, 32'h3f86e489,32'h3fa4de6f,// invsqrt(0.7293) = 1.1709 +32'h3f54de9c,32'h3f898fef,32'h3f8f2d51, 32'h3f8559e5,32'h3f93635b, 32'h3f7caa53,32'h3f9a6816,// invsqrt(0.8315) = 1.0966 +32'h3fc9cd04,32'h3f47ce75,32'h3f4ff63b, 32'h3f41b0a0,32'h3f561410, 32'h3f377ee9,32'h3f6045c7,// invsqrt(1.5766) = 0.7964 +32'h401240e1,32'h3f25f5b8,32'h3f2cbbd4, 32'h3f20e122,32'h3f31d06a, 32'h3f18697f,32'h3f3a480d,// invsqrt(2.2852) = 0.6615 +32'h3f40fb7d,32'h3f9079fc,32'h3f965f9e, 32'h3f8c0dc3,32'h3f9acbd7, 32'h3f84aeb8,32'h3fa22ae2,// invsqrt(0.7538) = 1.1518 +32'h3fcd4b61,32'h3f46195c,32'h3f4e2f4b, 32'h3f4008e9,32'h3f543fbf, 32'h3f35ed7f,32'h3f5e5b29,// invsqrt(1.6039) = 0.7896 +32'h40ab35eb,32'h3ed8ec4c,32'h3ee1c6ec, 32'h3ed24854,32'h3ee86ae4, 32'h3ec7370c,32'h3ef37c2c,// invsqrt(5.3503) = 0.4323 +32'h3f6351c6,32'h3f851e49,32'h3f8a8d3c, 32'h3f810b12,32'h3f8ea072, 32'h3f7480c4,32'h3f956b22,// invsqrt(0.8880) = 1.0612 +32'h3f1155e8,32'h3fa67baa,32'h3fad473e, 32'h3fa162fb,32'h3fb25fed, 32'h3f98e482,32'h3fbade66,// invsqrt(0.5677) = 1.3272 +32'h42c39382,32'h3dcaf611,32'h3dd33ece, 32'h3dc4bf84,32'h3dd9755c, 32'h3dba6498,32'h3de3d048,// invsqrt(97.7881) = 0.1011 +32'h3d82f6f6,32'h4078062d,32'h408112e3, 32'h40706e79,32'h4084debc, 32'h4063c6fa,32'h408b327c,// invsqrt(0.0639) = 3.9545 +32'h3f7618ac,32'h3f7fe0d7,32'h3f852941, 32'h3f780b97,32'h3f8913e1, 32'h3f6afd81,32'h3f8f9aeb,// invsqrt(0.9613) = 1.0199 +32'h3d2603ba,32'h409bc50a,32'h40a220ac, 32'h40970050,32'h40a6e566, 32'h408f0dc5,32'h40aed7f1,// invsqrt(0.0405) = 4.9671 +32'h3f66c858,32'h3f841da0,32'h3f89821a, 32'h3f801245,32'h3f8d8d75, 32'h3f72a95b,32'h3f944b0d,// invsqrt(0.9015) = 1.0532 +32'h3f526495,32'h3f8a5e9a,32'h3f90046c, 32'h3f86223c,32'h3f9440ca, 32'h3f7e25ec,32'h3f9b5010,// invsqrt(0.8218) = 1.1031 +32'h3fd28aa2,32'h3f439d70,32'h3f4b996a, 32'h3f3da074,32'h3f519666, 32'h3f33a57c,32'h3f5b915e,// invsqrt(1.6449) = 0.7797 +32'h3f6dd852,32'h3f8223b4,32'h3f877387, 32'h3f7c4faa,32'h3f8b6f65, 32'h3f6f081b,32'h3f92132d,// invsqrt(0.9291) = 1.0375 +32'h404c2962,32'h3f0c771c,32'h3f1232d4, 32'h3f082a52,32'h3f167f9e, 32'h3f00ffac,32'h3f1daa44,// invsqrt(3.1900) = 0.5599 +32'h3faf9ecc,32'h3f562eae,32'h3f5eecaa, 32'h3f4fa030,32'h3f657b28, 32'h3f44b2b4,32'h3f7068a4,// invsqrt(1.3720) = 0.8537 +32'h3e464fa4,32'h400e85af,32'h401456e5, 32'h400a28c6,32'h4018b3ce, 32'h4002e343,32'h401ff951,// invsqrt(0.1937) = 2.2724 +32'h3ecba466,32'h3fc6e6ae,32'h3fcf04fe, 32'h3fc0cff2,32'h3fd51bba, 32'h3fb6aa0d,32'h3fdf419f,// invsqrt(0.3977) = 1.5856 +32'h3f879573,32'h3f73c33c,32'h3f7db64f, 32'h3f6c4cef,32'h3f82964e, 32'h3f5fdd19,32'h3f88ce3a,// invsqrt(1.0592) = 0.9716 +32'h3cfc17ac,32'h40b2c4bf,32'h40ba10b1, 32'h40ad4bc8,32'h40bf89a8, 32'h40a42cd9,32'h40c8a897,// invsqrt(0.0308) = 5.7005 +32'h3f569e3f,32'h3f89002e,32'h3f8e97b3, 32'h3f84ce8b,32'h3f92c957, 32'h3f7ba24b,32'h3f99c6bc,// invsqrt(0.8384) = 1.0922 +32'h3eadca88,32'h3fd74e79,32'h3fe01835, 32'h3fd0b72c,32'h3fe6af82, 32'h3fc5bb02,32'h3ff1abad,// invsqrt(0.3394) = 1.7164 +32'h41b5403a,32'h3e52d44d,32'h3e5b6f40, 32'h3e4c6016,32'h3e61e376, 32'h3e419e65,32'h3e6ca527,// invsqrt(22.6564) = 0.2101 +32'h3f0a7e4c,32'h3faa8bc8,32'h3fb181d0, 32'h3fa55342,32'h3fb6ba56, 32'h3f9c9fb8,32'h3fbf6de0,// invsqrt(0.5410) = 1.3596 +32'h3fea099d,32'h3f398939,32'h3f411be3, 32'h3f33db3a,32'h3f46c9e2, 32'h3f2a63e6,32'h3f504136,// invsqrt(1.8284) = 0.7395 +32'h3f8534fe,32'h3f75ed80,32'h3f7ff732, 32'h3f6e663b,32'h3f83bf3c, 32'h3f61da1d,32'h3f8a054b,// invsqrt(1.0407) = 0.9803 +32'h3e44d1cd,32'h400f0fac,32'h4014e684, 32'h400aae8a,32'h401947a6, 32'h400361fc,32'h40209434,// invsqrt(0.1922) = 2.2810 +32'h3f227627,32'h3f9d76bc,32'h3fa3e412, 32'h3f98a4bb,32'h3fa8b613, 32'h3f909c10,32'h3fb0bebe,// invsqrt(0.6346) = 1.2553 +32'h3fd8a188,32'h3f40d897,32'h3f48b7a2, 32'h3f3af14e,32'h3f4e9eea, 32'h3f311a80,32'h3f5875b8,// invsqrt(1.6924) = 0.7687 +32'h3f2eee1f,32'h3f97bf8d,32'h3f9df12b, 32'h3f931a57,32'h3fa29661, 32'h3f8b5c53,32'h3faa5465,// invsqrt(0.6833) = 1.2097 +32'h3f7b3321,32'h3f7d4408,32'h3f83cd33, 32'h3f758340,32'h3f87ad96, 32'h3f68974a,32'h3f8e2391,// invsqrt(0.9812) = 1.0095 +32'h40822260,32'h3ef8d070,32'h3f017c25, 32'h3ef1328c,32'h3f054b17, 32'h3ee480ba,32'h3f0ba400,// invsqrt(4.0667) = 0.4959 +32'h401db6fb,32'h3f1fd0dc,32'h3f2656c5, 32'h3f1aec6c,32'h3f2b3b34, 32'h3f12c508,32'h3f336298,// invsqrt(2.4643) = 0.6370 +32'h3fb0d3dc,32'h3f55732f,32'h3f5e2985, 32'h3f4eea6f,32'h3f64b245, 32'h3f440684,32'h3f6f9630,// invsqrt(1.3815) = 0.8508 +32'h3f6831e1,32'h3f83b69d,32'h3f8916e3, 32'h3f7f5cd3,32'h3f8d1f16, 32'h3f71ec26,32'h3f93d76d,// invsqrt(0.9070) = 1.0500 +32'h404f6710,32'h3f0b5d0c,32'h3f110d41, 32'h3f0718e5,32'h3f155169, 32'h3efff946,32'h3f1c6dab,// invsqrt(3.2407) = 0.5555 +32'h3f525a2e,32'h3f8a6206,32'h3f9007fc, 32'h3f86258e,32'h3f944474, 32'h3f7e2c35,32'h3f9b53e8,// invsqrt(0.8217) = 1.1032 +32'h3feeedef,32'h3f37a07a,32'h3f3f1f30, 32'h3f320171,32'h3f44be39, 32'h3f28a30c,32'h3f4e1c9e,// invsqrt(1.8666) = 0.7319 +32'h3e4d3fa0,32'h400c17c6,32'h4011cf99, 32'h4007cde6,32'h40161978, 32'h4000a81e,32'h401d3f40,// invsqrt(0.2004) = 2.2336 +32'h404ec9c4,32'h3f0b9203,32'h3f114461, 32'h3f074c3c,32'h3f158a28, 32'h3f002d47,32'h3f1ca91d,// invsqrt(3.2311) = 0.5563 +32'h3ed56f8f,32'h3fc248bf,32'h3fca36d2, 32'h3fbc5632,32'h3fd02960, 32'h3fb26c9b,32'h3fda12f7,// invsqrt(0.4169) = 1.5488 +32'h3f75c00a,32'h3f80077c,32'h3f854142, 32'h3f78384e,32'h3f892c97, 32'h3f6b27de,32'h3f8fb4cf,// invsqrt(0.9600) = 1.0206 +32'h3ed3997b,32'h3fc32016,32'h3fcb16f2, 32'h3fbd26f1,32'h3fd11017, 32'h3fb3325d,32'h3fdb04ab,// invsqrt(0.4133) = 1.5555 +32'h4433cb61,32'h3d15ae71,32'h3d1bca76, 32'h3d11196e,32'h3d205f7a, 32'h3d097668,32'h3d280280,// invsqrt(719.1778) = 0.0373 +32'h3ce1bca4,32'h40bcea9c,32'h40c4a098, 32'h40b7221f,32'h40ca6915, 32'h40ad7ea4,32'h40d40c90,// invsqrt(0.0276) = 6.0241 +32'h40f0454a,32'h3eb71d16,32'h3ebe9670, 32'h3eb18213,32'h3ec43173, 32'h3ea82a63,32'h3ecd8923,// invsqrt(7.5085) = 0.3649 +32'h3f7ca7b6,32'h3f7c8905,32'h3f836be0, 32'h3f74cdf7,32'h3f874967, 32'h3f67eb8b,32'h3f8dba9c,// invsqrt(0.9869) = 1.0066 +32'h3f3b1695,32'h3f92bc15,32'h3f98b94f, 32'h3f8e3e29,32'h3f9d373b, 32'h3f86c1a0,32'h3fa4b3c4,// invsqrt(0.7308) = 1.1698 +32'h3d03d221,32'h40aecf21,32'h40b5f1b5, 32'h40a97532,32'h40bb4ba4, 32'h40a089fa,32'h40c436dc,// invsqrt(0.0322) = 5.5743 +32'h40ac21e3,32'h3ed8576a,32'h3ee12bf6, 32'h3ed1b801,32'h3ee7cb5f, 32'h3ec6ae52,32'h3ef2d50e,// invsqrt(5.3791) = 0.4312 +32'h40031f48,32'h3f2f4630,32'h3f366da0, 32'h3f29e89c,32'h3f3bcb34, 32'h3f20f751,32'h3f44bc7f,// invsqrt(2.0488) = 0.6986 +32'h4020e0ee,32'h3f1e3c90,32'h3f24b1f9, 32'h3f196481,32'h3f298a07, 32'h3f1151bd,32'h3f319ccb,// invsqrt(2.5137) = 0.6307 +32'h3eedf2f9,32'h3fb80136,32'h3fbf83e0, 32'h3fb25f37,32'h3fc525df, 32'h3fa8fbe4,32'h3fce8933,// invsqrt(0.4647) = 1.4669 +32'h3f42351f,32'h3f900523,32'h3f95e600, 32'h3f8b9c7e,32'h3f9a4ea6, 32'h3f84436a,32'h3fa1a7ba,// invsqrt(0.7586) = 1.1481 +32'h3ebaa27f,32'h3fcfc422,32'h3fd83f14, 32'h3fc967ed,32'h3fde9b49, 32'h3fbece3f,32'h3fe934f7,// invsqrt(0.3645) = 1.6563 +32'h3f966a96,32'h3f676e9b,32'h3f70e0d5, 32'h3f6058ef,32'h3f77f681, 32'h3f548a26,32'h3f81e2a5,// invsqrt(1.1751) = 0.9225 +32'h41017762,32'h3eb06438,32'h3eb79754, 32'h3eaafde2,32'h3ebcfdaa, 32'h3ea1fdff,32'h3ec5fd8d,// invsqrt(8.0916) = 0.3515 +32'h3de3b450,32'h403c1938,32'h4043c6a8, 32'h40365724,32'h404988bc, 32'h402cbe58,32'h40532188,// invsqrt(0.1112) = 2.9990 +32'h3f122b14,32'h3fa60218,32'h3facc8b5, 32'h3fa0ed21,32'h3fb1ddab, 32'h3f9874dc,32'h3fba55f0,// invsqrt(0.5710) = 1.3234 +32'h3ccf2551,32'h40c5363b,32'h40cd42e5, 32'h40bf2cbc,32'h40d34c64, 32'h40b51ce8,32'h40dd5c38,// invsqrt(0.0253) = 6.2886 +32'h4090aca1,32'h3eebfae4,32'h3ef59ca4, 32'h3ee4c194,32'h3efcd5f4, 32'h3ed8b764,32'h3f047012,// invsqrt(4.5211) = 0.4703 +32'h3d332c74,32'h4095f0c5,32'h409c0f7f, 32'h409159ba,32'h40a0a68a, 32'h4089b352,32'h40a84cf2,// invsqrt(0.0437) = 4.7813 +32'h40389575,32'h3f13ba0e,32'h3f19c1a6, 32'h3f0f345c,32'h3f1e4758, 32'h3f07aade,32'h3f25d0d6,// invsqrt(2.8841) = 0.5888 +32'h3f125bad,32'h3fa5e686,32'h3facac03, 32'h3fa0d267,32'h3fb1c021, 32'h3f985b8a,32'h3fba36fe,// invsqrt(0.5717) = 1.3225 +32'h3fdbc671,32'h3f3f762d,32'h3f4746c1, 32'h3f3999be,32'h3f4d2330, 32'h3f2fd505,32'h3f56e7e9,// invsqrt(1.7170) = 0.7632 +32'h3e42b38a,32'h400fd65a,32'h4015b54e, 32'h400b6f23,32'h401a1c85, 32'h40041872,32'h40217336,// invsqrt(0.1901) = 2.2933 +32'h3edf9afb,32'h3fbdd092,32'h3fc58ff0, 32'h3fb8010b,32'h3fcb5f77, 32'h3fae51d4,32'h3fd50eae,// invsqrt(0.4367) = 1.5132 +32'h3fa3b312,32'h3f5dd805,32'h3f66e610, 32'h3f570d7e,32'h3f6db098, 32'h3f4bbbf0,32'h3f790226,// invsqrt(1.2789) = 0.8843 +32'h3f005ce4,32'h3fb125e6,32'h3fb860ea, 32'h3fabb9a3,32'h3fbdcd2d, 32'h3fa2afde,32'h3fc6d6f2,// invsqrt(0.5014) = 1.4122 +32'h3f6ca49c,32'h3f827835,32'h3f87cb7b, 32'h3f7cf381,32'h3f8bc9f0, 32'h3f6fa352,32'h3f927207,// invsqrt(0.9244) = 1.0401 +32'h3ffec138,32'h3f31d4fd,32'h3f391727, 32'h3f2c635e,32'h3f3e88c6, 32'h3f2350aa,32'h3f479b7a,// invsqrt(1.9903) = 0.7088 +32'h3f0c182c,32'h3fa99194,32'h3fb07d66, 32'h3fa460b7,32'h3fb5ae43, 32'h3f9bb9f0,32'h3fbe550a,// invsqrt(0.5472) = 1.3518 +32'h3fe4225d,32'h3f3bebd4,32'h3f43976a, 32'h3f362b24,32'h3f49581a, 32'h3f2c94a9,32'h3f52ee95,// invsqrt(1.7823) = 0.7490 +32'h3f5c7299,32'h3f872d5a,32'h3f8cb1d0, 32'h3f830a01,32'h3f90d529, 32'h3f7848d9,32'h3f97babe,// invsqrt(0.8611) = 1.0776 +32'h3e5f4cea,32'h40064f97,32'h400bcb00, 32'h40023307,32'h400fe78f, 32'h3ff6b187,32'h4016c1d2,// invsqrt(0.2181) = 2.1414 +32'h3deff1b0,32'h40373cfa,32'h403eb7a1, 32'h4031a0fd,32'h4044539f, 32'h402847ad,32'h404dacef,// invsqrt(0.1172) = 2.9215 +32'h3f09e5f8,32'h3faae9e0,32'h3fb1e3bf, 32'h3fa5ae78,32'h3fb71f26, 32'h3f9cf621,32'h3fbfd77d,// invsqrt(0.5387) = 1.3625 +32'h3fb32efd,32'h3f540ac3,32'h3f5cb262, 32'h3f4d8d0b,32'h3f633019, 32'h3f42bb83,32'h3f6e01a1,// invsqrt(1.3999) = 0.8452 +32'h3f5fd083,32'h3f862814,32'h3f8ba1e1, 32'h3f820cbb,32'h3f8fbd3b, 32'h3f7668f7,32'h3f96957a,// invsqrt(0.8743) = 1.0695 +32'h3d83edba,32'h40771dca,32'h408099f4, 32'h406f8d35,32'h4084623f, 32'h4062f190,32'h408ab011,// invsqrt(0.0644) = 3.9400 +32'h3f42178d,32'h3f90101c,32'h3f95f16b, 32'h3f8ba720,32'h3f9a5a66, 32'h3f844d7c,32'h3fa1b40a,// invsqrt(0.7582) = 1.1485 +32'h3e914a8a,32'h3feb7a85,32'h3ff51707, 32'h3fe44522,32'h3ffc4c6a, 32'h3fd8417f,32'h40042806,// invsqrt(0.2838) = 1.8772 +32'h400ffe94,32'h3f2741ae,32'h3f2e1557, 32'h3f2222ef,32'h3f333415, 32'h3f199a5b,32'h3f3bbca9,// invsqrt(2.2499) = 0.6667 +32'h3fb41d5d,32'h3f537e44,32'h3f5c2027, 32'h3f4d04d9,32'h3f629991, 32'h3f423a7c,32'h3f6d63ee,// invsqrt(1.4071) = 0.8430 +32'h3f241b5c,32'h3f9cac26,32'h3fa31137, 32'h3f97e058,32'h3fa7dd04, 32'h3f8fe203,32'h3fafdb59,// invsqrt(0.6410) = 1.2490 +32'h40717a1e,32'h3f012835,32'h3f066dc4, 32'h3efa6812,32'h3f0a61ef, 32'h3eed3a2c,32'h3f10f8e2,// invsqrt(3.7731) = 0.5148 +32'h3dda90c4,32'h403ffda0,32'h4047d3bb, 32'h403a1d0b,32'h404db44f, 32'h40305168,32'h40577ff2,// invsqrt(0.1067) = 3.0611 +32'h3f8b2f28,32'h3f7096d3,32'h3f7a68bd, 32'h3f693964,32'h3f80e316, 32'h3f5cf301,32'h3f870648,// invsqrt(1.0874) = 0.9590 +32'h41e07a21,32'h3e3d7222,32'h3e452da6, 32'h3e37a57f,32'h3e4afa49, 32'h3e2dfb1a,32'h3e54a4ae,// invsqrt(28.0596) = 0.1888 +32'h3fadc2a1,32'h3f57535e,32'h3f601d4d, 32'h3f50bbeb,32'h3f66b4c1, 32'h3f45bf81,32'h3f71b12b,// invsqrt(1.3575) = 0.8583 +32'h3fe1c3ec,32'h3f3ce790,32'h3f449d6c, 32'h3f371f2b,32'h3f4a65d1, 32'h3f2d7bd8,32'h3f540924,// invsqrt(1.7638) = 0.7530 +32'h3f81b0ff,32'h3f793d1a,32'h3f81b4b3, 32'h3f719be3,32'h3f85854e, 32'h3f64e486,32'h3f8be0fd,// invsqrt(1.0132) = 0.9935 +32'h3c9cfc8e,32'h40e28990,32'h40ebc8a5, 32'h40db9a3f,32'h40f2b7f5, 32'h40d00b64,32'h40fe46d0,// invsqrt(0.0192) = 7.2238 +32'h3ead2939,32'h3fd7b2ab,32'h3fe0807d, 32'h3fd1184d,32'h3fe71adb, 32'h3fc61705,32'h3ff21c23,// invsqrt(0.3382) = 1.7195 +32'h3e18597e,32'h40229b06,32'h40293e16, 32'h401da0ba,32'h402e3862, 32'h401554e6,32'h40368436,// invsqrt(0.1488) = 2.5926 +32'h405c889c,32'h3f07269b,32'h3f0caacb, 32'h3f030377,32'h3f10cdef, 32'h3ef83c75,32'h3f17b32b,// invsqrt(3.4458) = 0.5387 +32'h3fb552d6,32'h3f52c97b,32'h3f5b63fd, 32'h3f4c5599,32'h3f61d7df, 32'h3f419476,32'h3f6c9902,// invsqrt(1.4166) = 0.8402 +32'h3fc6580a,32'h3f498a48,32'h3f51c42c, 32'h3f435ede,32'h3f57ef96, 32'h3f391681,32'h3f6237f3,// invsqrt(1.5496) = 0.8033 +32'h3e9edfd3,32'h3fe12ffd,32'h3fea60f7, 32'h3fda4b41,32'h3ff145b3, 32'h3fcece07,32'h3ffcc2ed,// invsqrt(0.3103) = 1.7952 +32'h3f5c6f86,32'h3f872e4b,32'h3f8cb2cb, 32'h3f830aea,32'h3f90d62c, 32'h3f784a94,32'h3f97bbcc,// invsqrt(0.8611) = 1.0777 +32'h404229cd,32'h3f100956,32'h3f15ea5f, 32'h3f0ba090,32'h3f1a5326, 32'h3f044745,32'h3f21ac71,// invsqrt(3.0338) = 0.5741 +32'h3fd34a4a,32'h3f4344a4,32'h3f4b3cfe, 32'h3f3d4a60,32'h3f513742, 32'h3f3353ef,32'h3f5b2db3,// invsqrt(1.6507) = 0.7783 +32'h3f7a3451,32'h3f7dc4de,32'h3f84103f, 32'h3f760025,32'h3f87f29b, 32'h3f690d9d,32'h3f8e6be0,// invsqrt(0.9774) = 1.0115 +32'h3f16c9d2,32'h3fa371f7,32'h3faa1dcd, 32'h3f9e7117,32'h3faf1ead, 32'h3f961a4b,32'h3fb77579,// invsqrt(0.5890) = 1.3030 +32'h3e4b66fb,32'h400cba2c,32'h401278a0, 32'h40086b54,32'h4016c778, 32'h40013d42,32'h401df58a,// invsqrt(0.1986) = 2.2437 +32'h3d9ab6aa,32'h40643205,32'h406d826e, 32'h405d35b7,32'h40747ebd, 32'h40519134,32'h408011a0,// invsqrt(0.0755) = 3.6383 +32'h3f9368e4,32'h3f69c7c3,32'h3f735287, 32'h3f629fb0,32'h3f7a7a9a, 32'h3f56b23b,32'h3f833408,// invsqrt(1.1516) = 0.9318 +32'h4087a300,32'h3ef3b70f,32'h3efda9a1, 32'h3eec4120,32'h3f028fc8, 32'h3edfd1e9,32'h3f08c764,// invsqrt(4.2386) = 0.4857 +32'h3fbcb58f,32'h3f4e9efa,32'h3f570df5, 32'h3f484bbf,32'h3f5d6131, 32'h3f3dc106,32'h3f67ebea,// invsqrt(1.4743) = 0.8236 +32'h3f9a52d5,32'h3f647bc9,32'h3f6dcf35, 32'h3f5d7d39,32'h3f74cdc5, 32'h3f51d4f2,32'h3f803b06,// invsqrt(1.2057) = 0.9107 +32'h3f07a6db,32'h3fac52b1,32'h3fb35b4b, 32'h3fa70c3e,32'h3fb8a1be, 32'h3f9e417e,32'h3fc16c7e,// invsqrt(0.5299) = 1.3737 +32'h41c52c6e,32'h3e4a232d,32'h3e52634f, 32'h3e43f314,32'h3e589368, 32'h3e39a2eb,32'h3e62e391,// invsqrt(24.6467) = 0.2014 +32'h3f29b4cd,32'h3f9a10ec,32'h3fa05ac2, 32'h3f95598c,32'h3fa51222, 32'h3f8d7d42,32'h3facee6c,// invsqrt(0.6629) = 1.2282 +32'h4030dd68,32'h3f16ea7e,32'h3f1d1368, 32'h3f124bcd,32'h3f21b219, 32'h3f0a98a8,32'h3f29653e,// invsqrt(2.7635) = 0.6015 +32'h3da5ad82,32'h405c83f1,32'h4065841a, 32'h4055c3d2,32'h406c4438, 32'h404a839e,32'h4077846c,// invsqrt(0.0809) = 3.5159 +32'h3f94f5ec,32'h3f688f65,32'h3f720d69, 32'h3f6170e2,32'h3f792bec, 32'h3f55935d,32'h3f8284b9,// invsqrt(1.1638) = 0.9270 +32'h3ec9cb5f,32'h3fc7cf45,32'h3fcff713, 32'h3fc1b16a,32'h3fd614ee, 32'h3fb77fa8,32'h3fe046b0,// invsqrt(0.3941) = 1.5929 +32'h3f938d0a,32'h3f69ab1e,32'h3f7334b7, 32'h3f6283ec,32'h3f7a5bea, 32'h3f5697ed,32'h3f8323f4,// invsqrt(1.1527) = 0.9314 +32'h3fa2c399,32'h3f5e7afc,32'h3f678fae, 32'h3f57ab77,32'h3f6e5f33, 32'h3f4c5199,32'h3f79b911,// invsqrt(1.2716) = 0.8868 +32'h3f9240cf,32'h3f6ab3ef,32'h3f744857, 32'h3f6384a1,32'h3f7b77a5, 32'h3f578b20,32'h3f83b893,// invsqrt(1.1426) = 0.9355 +32'h3cd2e8d3,32'h40c371bd,32'h40cb6bef, 32'h40bd7618,32'h40d16794, 32'h40b37d5a,32'h40db6052,// invsqrt(0.0257) = 6.2323 +32'h3fdb8786,32'h3f3f919a,32'h3f47634d, 32'h3f39b455,32'h3f4d4093, 32'h3f2fee35,32'h3f5706b3,// invsqrt(1.7151) = 0.7636 +32'h3fd25b11,32'h3f43b38d,32'h3f4bb06e, 32'h3f3db5e4,32'h3f51ae16, 32'h3f33b9ca,32'h3f5baa30,// invsqrt(1.6434) = 0.7801 +32'h3f6069c6,32'h3f85fa3d,32'h3f8b722b, 32'h3f81e04b,32'h3f8f8c1d, 32'h3f7614c4,32'h3f966206,// invsqrt(0.8766) = 1.0681 +32'h3f8b095f,32'h3f70b782,32'h3f7a8ac0, 32'h3f695913,32'h3f80f498, 32'h3f5d1104,32'h3f87189f,// invsqrt(1.0862) = 0.9595 +32'h3fd78fdb,32'h3f4152db,32'h3f4936e3, 32'h3f3b67d4,32'h3f4f21ea, 32'h3f318ac9,32'h3f58fef5,// invsqrt(1.6841) = 0.7706 +32'h3ea9aa18,32'h3fd9e8c3,32'h3fe2cdb1, 32'h3fd33d11,32'h3fe97963, 32'h3fc81ee7,32'h3ff4978d,// invsqrt(0.3314) = 1.7372 +32'h3ff8ae77,32'h3f33fd89,32'h3f3b5641, 32'h3f2e7b00,32'h3f40d8ca, 32'h3f254c1b,32'h3f4a07af,// invsqrt(1.9428) = 0.7174 +32'h3fcbc120,32'h3f46d8a8,32'h3f4ef666, 32'h3f40c25a,32'h3f550cb4, 32'h3f369d2d,32'h3f5f31e1,// invsqrt(1.5918) = 0.7926 +32'h3f96a228,32'h3f6743e6,32'h3f70b463, 32'h3f602f8a,32'h3f77c8c0, 32'h3f5462ee,32'h3f81caae,// invsqrt(1.1768) = 0.9218 +32'h3f1b4e91,32'h3fa10ccb,32'h3fa79f99, 32'h3f9c1eb0,32'h3fac8db4, 32'h3f93e72d,32'h3fb4c537,// invsqrt(0.6067) = 1.2839 +32'h401a82cf,32'h3f2176d9,32'h3f280dfb, 32'h3f1c857e,32'h3f2cff56, 32'h3f144893,32'h3f353c41,// invsqrt(2.4142) = 0.6436 +32'h413c7a5d,32'h3e923155,32'h3e9828e6, 32'h3e8db7a9,32'h3e9ca293, 32'h3e864235,32'h3ea41807,// invsqrt(11.7799) = 0.2914 +32'h3f05cbd1,32'h3fad838d,32'h3fb49898, 32'h3fa833c5,32'h3fb9e861, 32'h3f9f5978,32'h3fc2c2af,// invsqrt(0.5226) = 1.3832 +32'h3f4ca683,32'h3f8c4c24,32'h3f92061a, 32'h3f8800aa,32'h3f965194, 32'h3f80d836,32'h3f9d7a08,// invsqrt(0.7994) = 1.1184 +32'h4142e7eb,32'h3e8fc305,32'h3e95a12f, 32'h3e8b5c66,32'h3e9a07ce, 32'h3e8406b1,32'h3ea15d83,// invsqrt(12.1816) = 0.2865 +32'h3ed08eda,32'h3fc48b02,32'h3fcc90ae, 32'h3fbe86c0,32'h3fd294f0, 32'h3fb47fa9,32'h3fdc9c07,// invsqrt(0.4073) = 1.5668 +32'h401d5751,32'h3f20016a,32'h3f26894e, 32'h3f1b1b7e,32'h3f2b6f3a, 32'h3f12f1a0,32'h3f339918,// invsqrt(2.4585) = 0.6378 +32'h3f0a15e8,32'h3faacc32,32'h3fb1c4dc, 32'h3fa591b3,32'h3fb6ff5b, 32'h3f9cdae0,32'h3fbfb62e,// invsqrt(0.5394) = 1.3616 +32'h4012fe38,32'h3f258ab3,32'h3f2c4c71, 32'h3f207964,32'h3f315dc0, 32'h3f180737,32'h3f39cfed,// invsqrt(2.2968) = 0.6598 +32'h3f897d06,32'h3f721180,32'h3f7bf2df, 32'h3f6aa87a,32'h3f81adf3, 32'h3f5e4ec5,32'h3f87dace,// invsqrt(1.0741) = 0.9649 +32'h4116bda3,32'h3ea37892,32'h3eaa24ad, 32'h3e9e777e,32'h3eaf25c2, 32'h3e96205d,32'h3eb77ce3,// invsqrt(9.4213) = 0.3258 +32'h3f70c8f6,32'h3f8157af,32'h3f869f2f, 32'h3f7ac420,32'h3f8a94ce, 32'h3f6d9161,32'h3f912e2d,// invsqrt(0.9406) = 1.0311 +32'h3e295a16,32'h401a3a2a,32'h402085ae, 32'h40158187,32'h40253e51, 32'h400da321,32'h402d1cb7,// invsqrt(0.1654) = 2.4590 +32'h4075189e,32'h3f00332e,32'h3f056ebd, 32'h3ef88d07,32'h3f095b69, 32'h3eeb7821,32'h3f0fe5db,// invsqrt(3.8296) = 0.5110 +32'h3f8a3ceb,32'h3f716943,32'h3f7b43c3, 32'h3f6a0563,32'h3f8153d2, 32'h3f5db443,32'h3f877c62,// invsqrt(1.0800) = 0.9623 +32'h3f9ad621,32'h3f641ad5,32'h3f6d6a4b, 32'h3f5d1f3c,32'h3f7465e4, 32'h3f517be8,32'h3f80049c,// invsqrt(1.2097) = 0.9092 +32'h3e97d541,32'h3fe6598f,32'h3fefc07b, 32'h3fdf4c5e,32'h3ff6cdac, 32'h3fd38bb8,32'h40014729,// invsqrt(0.2965) = 1.8363 +32'h3fa3f5de,32'h3f5daad0,32'h3f66b702, 32'h3f56e1aa,32'h3f6d8028, 32'h3f4b926b,32'h3f78cf67,// invsqrt(1.2809) = 0.8836 +32'h3f7e488a,32'h3f7bb9b4,32'h3f82fffe, 32'h3f740500,32'h3f86da58, 32'h3f672d29,32'h3f8d4644,// invsqrt(0.9933) = 1.0034 +32'h3ecde1cd,32'h3fc5d0f1,32'h3fcde3eb, 32'h3fbfc2b5,32'h3fd3f227, 32'h3fb5aafd,32'h3fde09df,// invsqrt(0.4021) = 1.5770 +32'h3f6b696e,32'h3f82cf6f,32'h3f882644, 32'h3f7d9c9c,32'h3f8c2764, 32'h3f704387,32'h3f92d3ef,// invsqrt(0.9196) = 1.0428 +32'h3ec621ae,32'h3fc9a5ec,32'h3fd1e0f0, 32'h3fc379a8,32'h3fd80d34, 32'h3fb92fe3,32'h3fe256f9,// invsqrt(0.3870) = 1.6075 +32'h3f3cc256,32'h3f921574,32'h3f980be1, 32'h3f8d9ca2,32'h3f9c84b2, 32'h3f862899,32'h3fa3f8bb,// invsqrt(0.7373) = 1.1646 +32'h42b79a38,32'h3dd1798e,32'h3dda065a, 32'h3dcb0ff5,32'h3de06ff3, 32'h3dc05ff5,32'h3deb1ff3,// invsqrt(91.8012) = 0.1044 +32'h3cb7a1cf,32'h40d1753a,32'h40da01d9, 32'h40cb0bc2,32'h40e06b50, 32'h40c05bfb,32'h40eb1b17,// invsqrt(0.0224) = 6.6791 +32'h3f29b456,32'h3f9a1122,32'h3fa05afa, 32'h3f9559c0,32'h3fa5125c, 32'h3f8d7d73,32'h3faceea9,// invsqrt(0.6629) = 1.2282 +32'h3edc1cb6,32'h3fbf50a4,32'h3fc71fb0, 32'h3fb9755b,32'h3fccfaf9, 32'h3fafb28c,32'h3fd6bdc8,// invsqrt(0.4299) = 1.5252 +32'h3f10d16f,32'h3fa6c7be,32'h3fad966d, 32'h3fa1acbb,32'h3fb2b171, 32'h3f992a61,32'h3fbb33cb,// invsqrt(0.5657) = 1.3296 +32'h3f942c4b,32'h3f692d6a,32'h3f72b1e2, 32'h3f620a11,32'h3f79d53b, 32'h3f56247c,32'h3f82dd68,// invsqrt(1.1576) = 0.9294 +32'h4024ecd4,32'h3f1c4888,32'h3f22a988, 32'h3f177fc8,32'h3f277248, 32'h3f0f8687,32'h3f2f6b89,// invsqrt(2.5770) = 0.6229 +32'h3f4f0d3c,32'h3f8b7b44,32'h3f912cb4, 32'h3f87362f,32'h3f9571c9, 32'h3f801863,32'h3f9c8f95,// invsqrt(0.8088) = 1.1119 +32'h3efb4d98,32'h3fb30c91,32'h3fba5b72, 32'h3fad9167,32'h3fbfd69b, 32'h3fa46ece,32'h3fc8f934,// invsqrt(0.4908) = 1.4274 +32'h40032e09,32'h3f2f3c55,32'h3f36635d, 32'h3f29df0e,32'h3f3bc0a4, 32'h3f20ee43,32'h3f44b16f,// invsqrt(2.0497) = 0.6985 +32'h3f577139,32'h3f88bd09,32'h3f8e51d0, 32'h3f848d74,32'h3f928166, 32'h3f7b26f7,32'h3f997b5e,// invsqrt(0.8416) = 1.0901 +32'h4131956b,32'h3e969c39,32'h3e9cc1f3, 32'h3e91ffee,32'h3ea15e3e, 32'h3e8a50c7,32'h3ea90d65,// invsqrt(11.0990) = 0.3002 +32'h3ea00670,32'h3fe06053,32'h3fe988d3, 32'h3fd981f2,32'h3ff06734, 32'h3fce0f51,32'h3ffbd9d5,// invsqrt(0.3125) = 1.7887 +32'h3d4aa0a8,32'h408cfef9,32'h4092c03d, 32'h4088ae06,32'h40971130, 32'h40817c72,32'h409e42c4,// invsqrt(0.0495) = 4.4960 +32'h3fa8be5d,32'h3f5a80c3,32'h3f636be5, 32'h3f53d069,32'h3f6a1c3f, 32'h3f48aa7f,32'h3f754229,// invsqrt(1.3183) = 0.8709 +32'h3f74aa66,32'h3f80500b,32'h3f858cc8, 32'h3f78c4fd,32'h3f897a56, 32'h3f6bad25,32'h3f900641,// invsqrt(0.9557) = 1.0229 +32'h3e346138,32'h40157039,32'h401b89b3, 32'h4010dd1d,32'h40201ccf, 32'h40093d44,32'h4027bca8,// invsqrt(0.1762) = 2.3826 +32'h3d140eb1,32'h40a4f219,32'h40abad9d, 32'h409fe576,32'h40b0ba40, 32'h40977b12,32'h40b924a4,// invsqrt(0.0361) = 5.2597 +32'h411378a4,32'h3ea545ee,32'h3eac04de, 32'h3ea036ba,32'h3eb11412, 32'h3e97c80f,32'h3eb982bd,// invsqrt(9.2170) = 0.3294 +32'h4071276a,32'h3f013e58,32'h3f0684cf, 32'h3efa9300,32'h3f0a79a8, 32'h3eed62d7,32'h3f1111bc,// invsqrt(3.7680) = 0.5152 +32'h3f6d496b,32'h3f824ade,32'h3f879c4a, 32'h3f7c9b99,32'h3f8b995b, 32'h3f6f500a,32'h3f923f23,// invsqrt(0.9269) = 1.0387 +32'h3edcede7,32'h3fbef5fb,32'h3fc6c153, 32'h3fb91d78,32'h3fcc99d6, 32'h3faf5f4a,32'h3fd65805,// invsqrt(0.4315) = 1.5223 +32'h3f738132,32'h3f809e41,32'h3f85de2f, 32'h3f795c9e,32'h3f89ce21, 32'h3f6c3ccb,32'h3f905e0a,// invsqrt(0.9512) = 1.0253 +32'h3f640565,32'h3f84e9d0,32'h3f8a569e, 32'h3f80d834,32'h3f8e683a, 32'h3f742063,32'h3f95303c,// invsqrt(0.8907) = 1.0596 +32'h3f6455e4,32'h3f84d260,32'h3f8a3e3a, 32'h3f80c17c,32'h3f8e4f1e, 32'h3f73f557,32'h3f9515ee,// invsqrt(0.8919) = 1.0588 +32'h3fc339c2,32'h3f4b24b3,32'h3f536f57, 32'h3f44ecb8,32'h3f59a752, 32'h3f3a8f6b,32'h3f64049f,// invsqrt(1.5252) = 0.8097 +32'h3efa7113,32'h3fb35b53,32'h3fbaad6c, 32'h3fadddc2,32'h3fc02afe, 32'h3fa4b723,32'h3fc9519d,// invsqrt(0.4891) = 1.4298 +32'h3fb83590,32'h3f512128,32'h3f59aa58, 32'h3f4aba43,32'h3f60113d, 32'h3f400ec6,32'h3f6abcba,// invsqrt(1.4391) = 0.8336 +32'h3e8444a1,32'h3ff6cc8f,32'h40006fae, 32'h3fef3e76,32'h400436ba, 32'h3fe2a6f6,32'h400a827a,// invsqrt(0.2583) = 1.9675 +32'h3f8fd4c4,32'h3f6cabb7,32'h3f7654af, 32'h3f656cfd,32'h3f7d9369, 32'h3f5959c8,32'h3f84d34f,// invsqrt(1.1237) = 0.9434 +32'h3f7c9369,32'h3f7c932b,32'h3f837129, 32'h3f74d7ce,32'h3f874ed7, 32'h3f67f4de,32'h3f8dc04f,// invsqrt(0.9866) = 1.0068 +32'h3f1860df,32'h3fa29716,32'h3fa939fd, 32'h3f9d9cea,32'h3fae342a, 32'h3f955149,32'h3fb67fcb,// invsqrt(0.5952) = 1.2962 +32'h3daa5508,32'h40597b50,32'h40625bc6, 32'h4052d2f7,32'h4069041f, 32'h4047ba64,32'h40741cb3,// invsqrt(0.0832) = 3.4675 +32'h3e2a4cd0,32'h4019cc1a,32'h40201320, 32'h401516d5,32'h4024c865, 32'h400d3e0d,32'h402ca12d,// invsqrt(0.1663) = 2.4521 +32'h3f1261f4,32'h3fa5e2f7,32'h3faca84f, 32'h3fa0cef4,32'h3fb1bc52, 32'h3f985846,32'h3fba3300,// invsqrt(0.5718) = 1.3224 +32'h3fb0aeb2,32'h3f5589a1,32'h3f5e40e1, 32'h3f4f0031,32'h3f64ca51, 32'h3f441b21,32'h3f6faf61,// invsqrt(1.3803) = 0.8512 +32'h3f48f39d,32'h3f8d952e,32'h3f935c93, 32'h3f893fa2,32'h3f97b21e, 32'h3f820663,32'h3f9eeb5d,// invsqrt(0.7850) = 1.1287 +32'h3f3e5a0d,32'h3f9178ad,32'h3f9768b4, 32'h3f8d04a7,32'h3f9bdcb9, 32'h3f85989e,32'h3fa348c2,// invsqrt(0.7436) = 1.1597 +32'h3fad612b,32'h3f578fdb,32'h3f605c42, 32'h3f50f68e,32'h3f66f590, 32'h3f45f70e,32'h3f71f511,// invsqrt(1.3545) = 0.8592 +32'h3c7361c9,32'h4100a68e,32'h4105e6d2, 32'h40f96cb5,32'h4109d706, 32'h40ec4c0a,32'h4110675b,// invsqrt(0.0149) = 8.2048 +32'h3e89d1f0,32'h3ff1c6e3,32'h3ffba535, 32'h3fea6025,32'h400185fa, 32'h3fde0a3e,32'h4007b0ed,// invsqrt(0.2692) = 1.9274 +32'h3fbca940,32'h3f4ea5b8,32'h3f5714f8, 32'h3f485247,32'h3f5d6869, 32'h3f3dc736,32'h3f67f37a,// invsqrt(1.4739) = 0.8237 +32'h3f775e77,32'h3f7f381f,32'h3f84d173, 32'h3f776808,32'h3f88b97e, 32'h3f6a628f,32'h3f8f3c3b,// invsqrt(0.9663) = 1.0173 +32'h3f5b302c,32'h3f8790a2,32'h3f8d1926, 32'h3f836a3f,32'h3f913f89, 32'h3f78ff34,32'h3f982a2e,// invsqrt(0.8562) = 1.0807 +32'h3ff4a22c,32'h3f357933,32'h3f3ce16a, 32'h3f2feb0b,32'h3f426f93, 32'h3f26a8c7,32'h3f4bb1d7,// invsqrt(1.9112) = 0.7233 +32'h3f013164,32'h3fb093f9,32'h3fb7c909, 32'h3fab2c2e,32'h3fbd30d4, 32'h3fa229da,32'h3fc63328,// invsqrt(0.5047) = 1.4077 +32'h40432d8f,32'h3f0fa95d,32'h3f15867b, 32'h3f0b4387,32'h3f19ec51, 32'h3f03ef21,32'h3f2140b7,// invsqrt(3.0497) = 0.5726 +32'h3fd7e38c,32'h3f412d5e,32'h3f490fe0, 32'h3f3b437d,32'h3f4ef9c1, 32'h3f31685c,32'h3f58d4e2,// invsqrt(1.6866) = 0.7700 +32'h3fbcb21c,32'h3f4ea0de,32'h3f570fec, 32'h3f484d93,32'h3f5d6337, 32'h3f3dc2c1,32'h3f67ee09,// invsqrt(1.4742) = 0.8236 +32'h40c8b51e,32'h3ec85996,32'h3ed0870a, 32'h3ec2377f,32'h3ed6a921, 32'h3eb7feae,32'h3ee0e1f2,// invsqrt(6.2721) = 0.3993 +32'h3f2075c3,32'h3f9e715e,32'h3fa4e8ef, 32'h3f9997b2,32'h3fa9c29c, 32'h3f91823d,32'h3fb1d811,// invsqrt(0.6268) = 1.2631 +32'h4158beaf,32'h3e8853b1,32'h3e8de42b, 32'h3e842755,32'h3e921087, 32'h3e7a6579,32'h3e99051f,// invsqrt(13.5466) = 0.2717 +32'h3e0a3ed7,32'h402ab2e7,32'h4031aa88, 32'h4025792f,32'h4036e441, 32'h401cc3a6,32'h403f99ca,// invsqrt(0.1350) = 2.7216 +32'h3f84cfd6,32'h3f764b16,32'h3f802c4c, 32'h3f6ec0f3,32'h3f83f15e, 32'h3f62300e,32'h3f8a39d0,// invsqrt(1.0376) = 0.9817 +32'h407c3ae3,32'h3efcbf79,32'h3f038837, 32'h3ef502c1,32'h3f076693, 32'h3ee81d8f,32'h3f0dd92d,// invsqrt(3.9411) = 0.5037 +32'h408cb3dc,32'h3eef4999,32'h3ef90de9, 32'h3ee7f65e,32'h3f003092, 32'h3edbc0fa,32'h3f064b44,// invsqrt(4.3970) = 0.4769 +32'h3e5089e9,32'h400afbbb,32'h4010a7f7, 32'h4006ba8e,32'h4014e924, 32'h3fff4687,32'h401c006f,// invsqrt(0.2037) = 2.2159 +32'h3f975113,32'h3f66be15,32'h3f70291b, 32'h3f5fadd0,32'h3f773960, 32'h3f53e809,32'h3f817f94,// invsqrt(1.1822) = 0.9197 +32'h3e978a63,32'h3fe6926e,32'h3feffbac, 32'h3fdf8380,32'h3ff70a9a, 32'h3fd3bff2,32'h40016714,// invsqrt(0.2960) = 1.8381 +32'h3f334828,32'h3f95e52f,32'h3f9c036f, 32'h3f914e7e,32'h3fa09a20, 32'h3f89a8ae,32'h3fa83ff0,// invsqrt(0.7003) = 1.1950 +32'h4014709b,32'h3f24bba9,32'h3f2b74f4, 32'h3f1fb0b1,32'h3f307fed, 32'h3f174914,32'h3f38e78a,// invsqrt(2.3194) = 0.6566 +32'h3f9f0918,32'h3f6112c3,32'h3f6a428d, 32'h3f5a2eec,32'h3f712664, 32'h3f4eb331,32'h3f7ca21f,// invsqrt(1.2425) = 0.8971 +32'h3f758b33,32'h3f801541,32'h3f854f98, 32'h3f785302,32'h3f893b59, 32'h3f6b412b,32'h3f8fc445,// invsqrt(0.9592) = 1.0211 +32'h3fadbe6f,32'h3f5755f8,32'h3f602002, 32'h3f50be70,32'h3f66b78a, 32'h3f45c1e4,32'h3f71b416,// invsqrt(1.3574) = 0.8583 +32'h3fa8153d,32'h3f5aee95,32'h3f63de33, 32'h3f543adf,32'h3f6a91e9, 32'h3f490f5a,32'h3f75bd6e,// invsqrt(1.3131) = 0.8727 +32'h3ebe9476,32'h3fcd9abb,32'h3fd5ff17, 32'h3fc74f77,32'h3fdc4a5b, 32'h3fbcd205,32'h3fe6c7cd,// invsqrt(0.3722) = 1.6391 +32'h4123c50a,32'h3e9cd56b,32'h3ea33c2b, 32'h3e98085a,32'h3ea8093c, 32'h3e9007ea,32'h3eb009ac,// invsqrt(10.2356) = 0.3126 +32'h401b0bda,32'h3f212f6d,32'h3f27c3a6, 32'h3f1c4043,32'h3f2cb2d1, 32'h3f1406fc,32'h3f34ec18,// invsqrt(2.4226) = 0.6425 +32'h3e88862f,32'h3ff2ebf3,32'h3ffcd63c, 32'h3feb7c3d,32'h400222f9, 32'h3fdf1762,32'h40085567,// invsqrt(0.2666) = 1.9366 +32'h3e720699,32'h400102b3,32'h400646bb, 32'h3ffa1f5c,32'h400a39c0, 32'h3fecf549,32'h4010cec9,// invsqrt(0.2364) = 2.0569 +32'h3fc0bc52,32'h3f4c738d,32'h3f54cbdb, 32'h3f463151,32'h3f5b0e17, 32'h3f3bc2ef,32'h3f657c79,// invsqrt(1.5057) = 0.8149 +32'h3f74b0d6,32'h3f804e5b,32'h3f858b06, 32'h3f78c1b7,32'h3f897887, 32'h3f6baa0b,32'h3f90045c,// invsqrt(0.9558) = 1.0228 +32'h3fdf1a92,32'h3f3e072a,32'h3f45c8c3, 32'h3f3835f6,32'h3f4b99f6, 32'h3f2e83f7,32'h3f554bf5,// invsqrt(1.7430) = 0.7574 +32'h3e894879,32'h3ff23fd1,32'h3ffc2313, 32'h3fead560,32'h4001c6c2, 32'h3fde794d,32'h4007f4cc,// invsqrt(0.2681) = 1.9312 +32'h3e8a7be1,32'h3ff1325c,32'h3ffb0a9e, 32'h3fe9d02a,32'h40013668, 32'h3fdd81d7,32'h40075d92,// invsqrt(0.2705) = 1.9228 +32'h4177201f,32'h3e7f584e,32'h3e84e233, 32'h3e77873c,32'h3e88cabc, 32'h3e6a801e,32'h3e8f4e4b,// invsqrt(15.4453) = 0.2544 +32'h3f12df7c,32'h3fa59c04,32'h3fac5e77, 32'h3fa08a2d,32'h3fb1704d, 32'h3f98171d,32'h3fb9e35d,// invsqrt(0.5737) = 1.3202 +32'h3efcbfa1,32'h3fb2894f,32'h3fb9d2d5, 32'h3fad122b,32'h3fbf49f9, 32'h3fa3f643,32'h3fc865e1,// invsqrt(0.4936) = 1.4233 +32'h41bae527,32'h3e4f9f12,32'h3e581880, 32'h3e4943ff,32'h3e5e7393, 32'h3e3eac35,32'h3e690b5d,// invsqrt(23.3619) = 0.2069 +32'h424e0000,32'h3e0bd64c,32'h3e118b74, 32'h3e078e6e,32'h3e15d352, 32'h3e006bfd,32'h3e1cf5c3,// invsqrt(51.5000) = 0.1393 +32'h3d7f415c,32'h407b3ee6,32'h4082c015, 32'h40738df4,32'h4086988e, 32'h4066bc61,32'h408d0158,// invsqrt(0.0623) = 4.0058 +32'h3f33c92f,32'h3f95af5b,32'h3f9bcb69, 32'h3f911a50,32'h3fa06074, 32'h3f89773f,32'h3fa80385,// invsqrt(0.7023) = 1.1933 +32'h4092c094,32'h3eea4dad,32'h3ef3dde9, 32'h3ee32181,32'h3efb0a15, 32'h3ed72d37,32'h3f037f30,// invsqrt(4.5860) = 0.4670 +32'h3fe517eb,32'h3f3b8703,32'h3f432e7b, 32'h3f35c969,32'h3f48ec15, 32'h3f2c3812,32'h3f527d6c,// invsqrt(1.7898) = 0.7475 +32'h3fa32bcc,32'h3f5e33e7,32'h3f6745b2, 32'h3f576690,32'h3f6e130a, 32'h3f4c1052,32'h3f796948,// invsqrt(1.2748) = 0.8857 +32'h3f5a837c,32'h3f87c628,32'h3f8d50dc, 32'h3f839e22,32'h3f9178e2, 32'h3f796184,32'h3f986642,// invsqrt(0.8536) = 1.0824 +32'h3d85793d,32'h4075ae98,32'h407fb5b8, 32'h406e2940,32'h40839d88, 32'h4061a057,32'h4089e1fc,// invsqrt(0.0652) = 3.9171 +32'h3ed631e3,32'h3fc1f08a,32'h3fc9db02, 32'h3fbc00af,32'h3fcfcadd, 32'h3fb21b99,32'h3fd9aff3,// invsqrt(0.4183) = 1.5461 +32'h3fc4a629,32'h3f4a6824,32'h3f52ab16, 32'h3f4435ef,32'h3f58dd4b, 32'h3f39e240,32'h3f6330fa,// invsqrt(1.5363) = 0.8068 +32'h3fdfb68b,32'h3f3dc4e0,32'h3f4583c4, 32'h3f37f5b4,32'h3f4b52f0, 32'h3f2e4717,32'h3f55018d,// invsqrt(1.7478) = 0.7564 +32'h3f5dcb7a,32'h3f86c418,32'h3f8c4443, 32'h3f82a3f8,32'h3f906464, 32'h3f778786,32'h3f974499,// invsqrt(0.8664) = 1.0743 +32'h42dab8a0,32'h3dbfec20,32'h3dc7c185, 32'h3dba0c15,32'h3dcda191, 32'h3db04158,32'h3dd76c4f,// invsqrt(109.3606) = 0.0956 +32'h401c0fda,32'h3f20a8f1,32'h3f2737ac, 32'h3f1bbde4,32'h3f2c22b8, 32'h3f138b79,32'h3f345523,// invsqrt(2.4385) = 0.6404 +32'h40727f4b,32'h3f00e294,32'h3f06254c, 32'h3ef9e115,32'h3f0a1756, 32'h3eecba4a,32'h3f10aabb,// invsqrt(3.7890) = 0.5137 +32'h3ed158bf,32'h3fc42c25,32'h3fcc2df3, 32'h3fbe2acb,32'h3fd22f4d, 32'h3fb4288b,32'h3fdc318d,// invsqrt(0.4089) = 1.5639 +32'h3f26dd80,32'h3f9b5f43,32'h3fa1b6be, 32'h3f969da7,32'h3fa6785b, 32'h3f8eb04e,32'h3fae65b4,// invsqrt(0.6518) = 1.2386 +32'h3ff285c9,32'h3f3642f1,32'h3f3db363, 32'h3f30ae9b,32'h3f4347b9, 32'h3f27620c,32'h3f4c9448,// invsqrt(1.8947) = 0.7265 +32'h406424ea,32'h3f04e0a1,32'h3f0a4d11, 32'h3f00cf4e,32'h3f0e5e64, 32'h3ef40f87,32'h3f1525ef,// invsqrt(3.5648) = 0.5296 +32'h3f92f8c9,32'h3f6a20db,32'h3f73af42, 32'h3f62f60e,32'h3f7ada10, 32'h3f57040e,32'h3f836608,// invsqrt(1.1482) = 0.9332 +32'h3ed59fe2,32'h3fc232c5,32'h3fca1ff1, 32'h3fbc40e3,32'h3fd011d3, 32'h3fb2586c,32'h3fd9fa4b,// invsqrt(0.4172) = 1.5481 +32'h3f06cd94,32'h3facdd5a,32'h3fb3eb9c, 32'h3fa792a8,32'h3fb9364e, 32'h3f9ec0d5,32'h3fc20821,// invsqrt(0.5266) = 1.3781 +32'h3ea729d5,32'h3fdb8887,32'h3fe47e6d, 32'h3fd4d01a,32'h3feb36da, 32'h3fc99cbb,32'h3ff66a39,// invsqrt(0.3265) = 1.7501 +32'h3f88cdd7,32'h3f72ac4c,32'h3f7c93fc, 32'h3f6b3e89,32'h3f8200e0, 32'h3f5edced,32'h3f8831ad,// invsqrt(1.0688) = 0.9673 +32'h3d95fece,32'h4067c1b2,32'h40713750, 32'h4060a97b,32'h40784f87, 32'h4054d674,32'h40821147,// invsqrt(0.0732) = 3.6951 +32'h3eb98e24,32'h3fd05ea0,32'h3fd8dfe0, 32'h3fc9fdb0,32'h3fdf40d0, 32'h3fbf5c20,32'h3fe9e260,// invsqrt(0.3624) = 1.6611 +32'h3f9e7506,32'h3f617bd3,32'h3f6aafe7, 32'h3f5a94c5,32'h3f7196f5, 32'h3f4f13ad,32'h3f7d180d,// invsqrt(1.2379) = 0.8988 +32'h414109a9,32'h3e9074ae,32'h3e965a18, 32'h3e8c089e,32'h3e9ac628, 32'h3e84a9d9,32'h3ea224ed,// invsqrt(12.0649) = 0.2879 +32'h3e1fb8fd,32'h401ecee4,32'h40254a46, 32'h4019f25a,32'h402a26d0, 32'h4011d820,32'h4032410a,// invsqrt(0.1560) = 2.5320 +32'h3f419195,32'h3f9041ed,32'h3f962545, 32'h3f8bd76b,32'h3f9a8fc7, 32'h3f847b3d,32'h3fa1ebf5,// invsqrt(0.7561) = 1.1500 +32'h3f86c36e,32'h3f7480e4,32'h3f7e7bb4, 32'h3f6d04c8,32'h3f82fbe8, 32'h3f608b44,32'h3f8938aa,// invsqrt(1.0528) = 0.9746 +32'h3e676d58,32'h4003ee7f,32'h4009510c, 32'h3fffc92a,32'h400d5af5, 32'h3ff252c9,32'h40141626,// invsqrt(0.2260) = 2.1035 +32'h3f80dc4c,32'h3f7a0a79,32'h3f821f93, 32'h3f7262f8,32'h3f85f353, 32'h3f65a121,32'h3f8c543f,// invsqrt(1.0067) = 0.9967 +32'h410301b4,32'h3eaf59f8,32'h3eb68237, 32'h3ea9fbca,32'h3ebbe066, 32'h3ea1097c,32'h3ec4d2b4,// invsqrt(8.1879) = 0.3495 +32'h404c4b82,32'h3f0c6b60,32'h3f12269e, 32'h3f081ef2,32'h3f16730c, 32'h3f00f4e6,32'h3f1d9d18,// invsqrt(3.1921) = 0.5597 +32'h41b47b7b,32'h3e534717,32'h3e5be6ba, 32'h3e4ccf5d,32'h3e625e75, 32'h3e4207d2,32'h3e6d2600,// invsqrt(22.5603) = 0.2105 +32'h3fd45573,32'h3f42c9a5,32'h3f4abcfb, 32'h3f3cd326,32'h3f50b37a, 32'h3f32e2fb,32'h3f5aa3a5,// invsqrt(1.6589) = 0.7764 +32'h3fa6c044,32'h3f5bcdf9,32'h3f64c6b5, 32'h3f55136c,32'h3f6b8142, 32'h3f49dc82,32'h3f76b82d,// invsqrt(1.3027) = 0.8761 +32'h3fc68c4c,32'h3f496fc0,32'h3f51a88f, 32'h3f434526,32'h3f57d32a, 32'h3f38fe24,32'h3f621a2c,// invsqrt(1.5512) = 0.8029 +32'h3ef6b7a8,32'h3fb4b495,32'h3fbc14c5, 32'h3faf2c71,32'h3fc19ce9, 32'h3fa5f435,32'h3fcad525,// invsqrt(0.4819) = 1.4406 +32'h3e9dc888,32'h3fe1f6f2,32'h3feb300c, 32'h3fdb0c1f,32'h3ff21adf, 32'h3fcf84bf,32'h3ffda23f,// invsqrt(0.3082) = 1.8014 +32'h3bcc332d,32'h4146a118,32'h414ebc92, 32'h41408c7e,32'h4154d12c, 32'h41366a26,32'h415ef384,// invsqrt(0.0062) = 12.6677 +32'h3fa9d906,32'h3f59caa6,32'h3f62ae5a, 32'h3f531fe0,32'h3f695920, 32'h3f480340,32'h3f7475c0,// invsqrt(1.3269) = 0.8681 +32'h3e8b5dba,32'h3ff06e9d,32'h3ffa3ee3, 32'h3fe9126a,32'h4000cd8b, 32'h3fdcce13,32'h4006efb6,// invsqrt(0.2722) = 1.9167 +32'h3f9cd395,32'h3f62a726,32'h3f6be770, 32'h3f5bb6ee,32'h3f72d7a8, 32'h3f502690,32'h3f7e6806,// invsqrt(1.2252) = 0.9034 +32'h3f8714a1,32'h3f74375c,32'h3f7e2f2c, 32'h3f6cbd81,32'h3f82d484, 32'h3f6047bd,32'h3f890f65,// invsqrt(1.0553) = 0.9734 +32'h3f6f8f3a,32'h3f81ac46,32'h3f86f739, 32'h3f7b681e,32'h3f8aef6f, 32'h3f6e2cbe,32'h3f918d1f,// invsqrt(0.9358) = 1.0337 +32'h3f2746fc,32'h3f9b2e3f,32'h3fa183b9, 32'h3f966e23,32'h3fa643d5, 32'h3f8e8349,32'h3fae2eaf,// invsqrt(0.6534) = 1.2371 +32'h3fba1cc1,32'h3f500ebb,32'h3f588cb9, 32'h3f49b03e,32'h3f5eeb36, 32'h3f3f12c1,32'h3f6988b3,// invsqrt(1.4540) = 0.8293 +32'h406d2eab,32'h3f025237,32'h3f07a3f0, 32'h3efca9d7,32'h3f0ba13a, 32'h3eef5d89,32'h3f124762,// invsqrt(3.7060) = 0.5195 +32'h3ebda8ef,32'h3fce1a3e,32'h3fd683ce, 32'h3fc7cb12,32'h3fdcd2fa, 32'h3fbd471f,32'h3fe756ed,// invsqrt(0.3704) = 1.6430 +32'h3fc2a39c,32'h3f4b72ff,32'h3f53c0d5, 32'h3f45389e,32'h3f59fb36, 32'h3f3ad753,32'h3f645c81,// invsqrt(1.5206) = 0.8109 +32'h3d002a34,32'h40b148ea,32'h40b8855c, 32'h40abdb94,32'h40bdf2b2, 32'h40a2d006,32'h40c6fe40,// invsqrt(0.0313) = 5.6532 +32'h3dbf1f1a,32'h404d501b,32'h4055b16b, 32'h40470720,32'h405bfa66, 32'h403c8d7c,32'h4066740a,// invsqrt(0.0933) = 3.2735 +32'h3f24b9fc,32'h3f9c60a4,32'h3fa2c2a1, 32'h3f979728,32'h3fa78c1e, 32'h3f8f9cac,32'h3faf869a,// invsqrt(0.6435) = 1.2466 +32'h3e1388e1,32'h40253cd5,32'h402bfb66, 32'h40202de9,32'h40310a53, 32'h4017bfb5,32'h40397887,// invsqrt(0.1441) = 2.6345 +32'h3f8566b7,32'h3f75bfa6,32'h3f7fc779, 32'h3f6e39c9,32'h3f83a6ac, 32'h3f61b002,32'h3f89eb8f,// invsqrt(1.0422) = 0.9795 +32'h3e083640,32'h402bf7e5,32'h4032fcc9, 32'h4026b439,32'h40384075, 32'h401dee1b,32'h40410693,// invsqrt(0.1330) = 2.7418 +32'h3fe689e9,32'h3f3af04b,32'h3f42919d, 32'h3f35374e,32'h3f484a9a, 32'h3f2bada8,32'h3f51d440,// invsqrt(1.8011) = 0.7451 +32'h40ba4175,32'h3ecffa3a,32'h3ed87761, 32'h3ec99c5d,32'h3eded53f, 32'h3ebeffed,32'h3ee971af,// invsqrt(5.8205) = 0.4145 +32'h3f0d9562,32'h3fa8acb2,32'h3faf8f2c, 32'h3fa382d6,32'h3fb4b908, 32'h3f9ae7be,32'h3fbd5421,// invsqrt(0.5531) = 1.3447 +32'h40c74d42,32'h3ec90e25,32'h3ed142f7, 32'h3ec2e687,32'h3ed76a95, 32'h3eb8a480,32'h3ee1ac9c,// invsqrt(6.2282) = 0.4007 +32'h40296fe1,32'h3f1a303f,32'h3f207b5b, 32'h3f1577e9,32'h3f2533b1, 32'h3f0d9a05,32'h3f2d1195,// invsqrt(2.6475) = 0.6146 +32'h3fbb42c7,32'h3f4f6b24,32'h3f57e274, 32'h3f4911a8,32'h3f5e3bf0, 32'h3f3e7c84,32'h3f68d114,// invsqrt(1.4630) = 0.8268 +32'h3ffd054c,32'h3f3270b9,32'h3f39b93e, 32'h3f2cfa56,32'h3f3f2fa2, 32'h3f23dfb0,32'h3f484a48,// invsqrt(1.9767) = 0.7113 +32'h3f4efe29,32'h3f8b8058,32'h3f9131fe, 32'h3f873b1c,32'h3f95773a, 32'h3f801d0d,32'h3f9c9549,// invsqrt(0.8086) = 1.1121 +32'h3ff41a0a,32'h3f35abc7,32'h3f3d160d, 32'h3f301c12,32'h3f42a5c2, 32'h3f26d739,32'h3f4bea9b,// invsqrt(1.9070) = 0.7241 +32'h3dd3d321,32'h40430587,32'h404afb4e, 32'h403d0d32,32'h4050f3a4, 32'h403319fa,32'h405ae6dc,// invsqrt(0.1034) = 3.1094 +32'h40b5c9a4,32'h3ed2848f,32'h3edb1c41, 32'h3ecc12c9,32'h3ee18e07, 32'h3ec1552a,32'h3eec4ba6,// invsqrt(5.6809) = 0.4196 +32'h3f785587,32'h3f7eb90b,32'h3f848f51, 32'h3f76ecd8,32'h3f88756a, 32'h3f69edda,32'h3f8ef4e9,// invsqrt(0.9701) = 1.0153 +32'h3f6b6d05,32'h3f82ce6f,32'h3f88253b, 32'h3f7d9aae,32'h3f8c2653, 32'h3f7041b3,32'h3f92d2d1,// invsqrt(0.9196) = 1.0428 +32'h40a9bbe8,32'h3ed9dd54,32'h3ee2c1ca, 32'h3ed331fb,32'h3ee96d23, 32'h3ec81467,32'h3ef48ab7,// invsqrt(5.3042) = 0.4342 +32'h401fae08,32'h3f1ed457,32'h3f254ff2, 32'h3f19f7a2,32'h3f2a2ca6, 32'h3f11dd20,32'h3f324728,// invsqrt(2.4950) = 0.6331 +32'h3f2e3ee1,32'h3f980bc9,32'h3f9e4083, 32'h3f93643e,32'h3fa2e80e, 32'h3f8ba255,32'h3faaa9f7,// invsqrt(0.6806) = 1.2121 +32'h3f7cec43,32'h3f7c66c9,32'h3f835a10, 32'h3f74acc8,32'h3f873710, 32'h3f67cc1c,32'h3f8da766,// invsqrt(0.9880) = 1.0061 +32'h3f3a7ca4,32'h3f92f899,32'h3f98f84b, 32'h3f8e78d3,32'h3f9d7811, 32'h3f86f933,32'h3fa4f7b1,// invsqrt(0.7285) = 1.1716 +32'h40becb9d,32'h3ecd7d02,32'h3ed5e026, 32'h3ec732a6,32'h3edc2a82, 32'h3ebcb6b8,32'h3ee6a670,// invsqrt(5.9624) = 0.4095 +32'h3fbbd13f,32'h3f4f1c6a,32'h3f579084, 32'h3f48c557,32'h3f5de797, 32'h3f3e3438,32'h3f6878b6,// invsqrt(1.4673) = 0.8255 +32'h3f714b5b,32'h3f8134b8,32'h3f867aca, 32'h3f7a8055,32'h3f8a6f57, 32'h3f6d5128,32'h3f9106ee,// invsqrt(0.9426) = 1.0300 +32'h404ca6d4,32'h3f0c4c08,32'h3f1205fe, 32'h3f080090,32'h3f165176, 32'h3f00d81c,32'h3f1d79ea,// invsqrt(3.1977) = 0.5592 +32'h3f663969,32'h3f84469d,32'h3f89acc2, 32'h3f803a00,32'h3f8db95e, 32'h3f72f4a1,32'h3f94790d,// invsqrt(0.8993) = 1.0545 +32'h3f09d160,32'h3faaf6a4,32'h3fb1f109, 32'h3fa5bad9,32'h3fb72cd5, 32'h3f9d01db,32'h3fbfe5d3,// invsqrt(0.5384) = 1.3629 +32'h3ea3b89f,32'h3fddd443,32'h3fe6e226, 32'h3fd709d8,32'h3fedac90, 32'h3fcbb87c,32'h3ff8fdec,// invsqrt(0.3198) = 1.7684 +32'h3f68111b,32'h3f83bfea,32'h3f892090, 32'h3f7f6eda,32'h3f8d290d, 32'h3f71fd3b,32'h3f93e1dd,// invsqrt(0.9065) = 1.0503 +32'h3eed43dd,32'h3fb84510,32'h3fbfca7e, 32'h3fb2a0fd,32'h3fc56e91, 32'h3fa93a33,32'h3fced55b,// invsqrt(0.4634) = 1.4690 +32'h40310d2c,32'h3f16d621,32'h3f1cfe37, 32'h3f123810,32'h3f219c48, 32'h3f0a85f4,32'h3f294e64,// invsqrt(2.7664) = 0.6012 +32'h400bd090,32'h3f29bcfb,32'h3f30aa93, 32'h3f248aca,32'h3f35dcc4, 32'h3f1be1cd,32'h3f3e85c1,// invsqrt(2.1846) = 0.6766 +32'h3f5e6140,32'h3f8696af,32'h3f8c14ff, 32'h3f8277f3,32'h3f9033bb, 32'h3f77341d,32'h3f9711a0,// invsqrt(0.8687) = 1.0729 +32'h4092959f,32'h3eea6fff,32'h3ef401a1, 32'h3ee342c6,32'h3efb2eda, 32'h3ed74cbb,32'h3f039272,// invsqrt(4.5808) = 0.4672 +32'h3a8728b1,32'h41f4253c,32'h41fe1c4e, 32'h41ecabee,32'h4202cace, 32'h41e03718,32'h42090539,// invsqrt(0.0010) = 31.1410 +32'h3fbc5cd9,32'h3f4ecf9c,32'h3f574093, 32'h3f487ae4,32'h3f5d954c, 32'h3f3dedaf,32'h3f682281,// invsqrt(1.4716) = 0.8243 +32'h3ea1c5db,32'h3fdf2933,32'h3fe84501, 32'h3fd85459,32'h3fef19db, 32'h3fccf197,32'h3ffa7c9d,// invsqrt(0.3160) = 1.7790 +32'h3f8592d7,32'h3f75970c,32'h3f7f9d36, 32'h3f6e126c,32'h3f8390eb, 32'h3f618ab7,32'h3f89d4c5,// invsqrt(1.0435) = 0.9789 +32'h401053b4,32'h3f271053,32'h3f2de1f9, 32'h3f21f317,32'h3f32ff35, 32'h3f196d09,32'h3f3b8543,// invsqrt(2.2551) = 0.6659 +32'h4267de95,32'h3e03ce44,32'h3e092f80, 32'h3dff8aad,32'h3e0d386d, 32'h3df21797,32'h3e13f1f9,// invsqrt(57.9674) = 0.1313 +32'h4037c448,32'h3f140e0a,32'h3f1a190f, 32'h3f0f85c5,32'h3f1ea153, 32'h3f07f7fe,32'h3f262f1a,// invsqrt(2.8714) = 0.5901 +32'h404a0bb7,32'h3f0d32e8,32'h3f12f64a, 32'h3f08e05e,32'h3f1748d4, 32'h3f01ac23,32'h3f1e7d0f,// invsqrt(3.1570) = 0.5628 +32'h3efb453d,32'h3fb30f8b,32'h3fba5e8b, 32'h3fad944a,32'h3fbfd9cc, 32'h3fa4718a,32'h3fc8fc8c,// invsqrt(0.4908) = 1.4275 +32'h3f626e6a,32'h3f85610d,32'h3f8ad2b9, 32'h3f814bcb,32'h3f8ee7fb, 32'h3f74fb65,32'h3f95b613,// invsqrt(0.8845) = 1.0633 +32'h3f2c3070,32'h3f98f386,32'h3f9f31b5, 32'h3f9444e2,32'h3fa3e058, 32'h3f8c7727,32'h3fabae13,// invsqrt(0.6726) = 1.2193 +32'h421b80eb,32'h3e20f2b6,32'h3e278474, 32'h3e1c0567,32'h3e2c71c3, 32'h3e13cf39,32'h3e34a7f1,// invsqrt(38.8759) = 0.1604 +32'h402a70a4,32'h3f19bbef,32'h3f20024d, 32'h3f150729,32'h3f24b713, 32'h3f0d2f35,32'h3f2c8f07,// invsqrt(2.6631) = 0.6128 +32'h3fbd1f16,32'h3f4e654d,32'h3f56d1ed, 32'h3f4813d5,32'h3f5d2365, 32'h3f3d8c0d,32'h3f67ab2d,// invsqrt(1.4775) = 0.8227 +32'h3f9e20e2,32'h3f61b7c9,32'h3f6aee4f, 32'h3f5acee5,32'h3f71d733, 32'h3f4f4abe,32'h3f7d5b5a,// invsqrt(1.2354) = 0.8997 +32'h3f30fca4,32'h3f96dd2c,32'h3f9d058c, 32'h3f923ee4,32'h3fa1a3d4, 32'h3f8a8c6c,32'h3fa9564c,// invsqrt(0.6914) = 1.2027 +32'h3fa55555,32'h3f5cbeb7,32'h3f65c146, 32'h3f55fccb,32'h3f6c8331, 32'h3f4ab998,32'h3f77c664,// invsqrt(1.2917) = 0.8799 +32'h3d348ef8,32'h40955d49,32'h409b75fe, 32'h4090cac2,32'h40a00886, 32'h40892be0,32'h40a7a768,// invsqrt(0.0441) = 4.7629 +32'h3f4a9941,32'h3f8d018d,32'h3f92c2eb, 32'h3f88b086,32'h3f9713f2, 32'h3f817ed0,32'h3f9e45a8,// invsqrt(0.7914) = 1.1241 +32'h3f7bf446,32'h3f7ce2e2,32'h3f839aa5, 32'h3f752515,32'h3f87798c, 32'h3f683e14,32'h3f8ded0c,// invsqrt(0.9842) = 1.0080 +32'h3f3af7c8,32'h3f92c82b,32'h3f98c5e3, 32'h3f8e49e0,32'h3f9d442e, 32'h3f86ccba,32'h3fa4c154,// invsqrt(0.7303) = 1.1701 +32'h3fb0ac05,32'h3f558b3f,32'h3f5e428f, 32'h3f4f01c2,32'h3f64cc0c, 32'h3f441c9d,32'h3f6fb131,// invsqrt(1.3802) = 0.8512 +32'h405381b5,32'h3f0a0136,32'h3f0fa339, 32'h3f05c7b5,32'h3f13dcbb, 32'h3efd7a65,32'h3f1ae73e,// invsqrt(3.3048) = 0.5501 +32'h4053209b,32'h3f0a20ef,32'h3f0fc43d, 32'h3f05e675,32'h3f13feb7, 32'h3efdb4a8,32'h3f1b0ad8,// invsqrt(3.2989) = 0.5506 +32'h3def1cbf,32'h40378e7f,32'h403f0c7a, 32'h4031f004,32'h4044aaf6, 32'h4028928a,32'h404e0870,// invsqrt(0.1168) = 2.9266 +32'h3e86a9ee,32'h3ff49809,32'h3ffe93cb, 32'h3fed1b38,32'h4003084e, 32'h3fe0a086,32'h400945a7,// invsqrt(0.2630) = 1.9499 +32'h3f5b0e2d,32'h3f879b26,32'h3f8d2418, 32'h3f837471,32'h3f914acd, 32'h3f791285,32'h3f9835fc,// invsqrt(0.8557) = 1.0810 +32'h3f2335aa,32'h3f9d1a3f,32'h3fa383ce, 32'h3f984b12,32'h3fa852fa, 32'h3f90471f,32'h3fb056ed,// invsqrt(0.6375) = 1.2524 +32'h3f108011,32'h3fa6f6ac,32'h3fadc746, 32'h3fa1da39,32'h3fb2e3b9, 32'h3f99557a,32'h3fbb6878,// invsqrt(0.5645) = 1.3310 +32'h3f5b2300,32'h3f8794b5,32'h3f8d1d63, 32'h3f836e32,32'h3f9143e6, 32'h3f7906af,32'h3f982ec0,// invsqrt(0.8560) = 1.0808 +32'h3fcfedb2,32'h3f44d71e,32'h3f4cdfe6, 32'h3f3ed088,32'h3f52e67c, 32'h3f34c58f,32'h3f5cf175,// invsqrt(1.6244) = 0.7846 +32'h400ae3f4,32'h3f2a4d52,32'h3f3140ce, 32'h3f2516b6,32'h3f36776a, 32'h3f1c665b,32'h3f3f27c5,// invsqrt(2.1702) = 0.6788 +32'h407dfb45,32'h3efbdffc,32'h3f0313ea, 32'h3ef42a1c,32'h3f06eeda, 32'h3ee75051,32'h3f0d5bc0,// invsqrt(3.9685) = 0.5020 +32'h3ea39fba,32'h3fdde522,32'h3fe6f3b6, 32'h3fd71a33,32'h3fedbea5, 32'h3fcbc7fb,32'h3ff910dd,// invsqrt(0.3196) = 1.7689 +32'h3f9278d1,32'h3f6a870c,32'h3f74199e, 32'h3f63591e,32'h3f7b478c, 32'h3f5761e6,32'h3f839f62,// invsqrt(1.1443) = 0.9348 +32'h3c2d90cf,32'h411857f4,32'h411e8fca, 32'h4113ae14,32'h412339aa, 32'h410be849,32'h412aff75,// invsqrt(0.0106) = 9.7158 +32'h3faae14e,32'h3f5921fa,32'h3f61fecb, 32'h3f527c5e,32'h3f68a468, 32'h3f476859,32'h3f73b86d,// invsqrt(1.3350) = 0.8655 +32'h3f95c862,32'h3f67ebc9,32'h3f71631f, 32'h3f60d248,32'h3f787ca0, 32'h3f54fd1c,32'h3f8228e6,// invsqrt(1.1702) = 0.9244 +32'h3fb78d2a,32'h3f518101,32'h3f5a0e1b, 32'h3f4b172d,32'h3f6077ef, 32'h3f4066cd,32'h3f6b284f,// invsqrt(1.4340) = 0.8351 +32'h3e14909f,32'h4024a9e9,32'h402b627a, 32'h401f9f7b,32'h40306ce7, 32'h401738c6,32'h4038d39c,// invsqrt(0.1451) = 2.6254 +32'h3c96d12b,32'h40e71fd8,32'h40f08edc, 32'h40e00c96,32'h40f7a21e, 32'h40d441d1,32'h4101b672,// invsqrt(0.0184) = 7.3700 +32'h40e2e57b,32'h3ebc6ee0,32'h3ec41fd0, 32'h3eb6aa2d,32'h3ec9e483, 32'h3ead0d02,32'h3ed381ae,// invsqrt(7.0905) = 0.3755 +32'h4059aa0c,32'h3f0809e8,32'h3f0d9760, 32'h3f03dfcf,32'h3f11c179, 32'h3ef9ddf4,32'h3f18b24e,// invsqrt(3.4010) = 0.5422 +32'h3f9c8f79,32'h3f62d86d,32'h3f6c1abb, 32'h3f5be6b3,32'h3f730c75, 32'h3f5053d2,32'h3f7e9f56,// invsqrt(1.2231) = 0.9042 +32'h3e84710f,32'h3ff6a326,32'h40005a21, 32'h3fef1651,32'h4004208b, 32'h3fe280ef,32'h400a6b3d,// invsqrt(0.2587) = 1.9662 +32'h3e88dc9a,32'h3ff29f36,32'h3ffc865c, 32'h3feb31d9,32'h4001f9dd, 32'h3fded0e8,32'h40082a55,// invsqrt(0.2673) = 1.9342 +32'h3cdbdc46,32'h40bf6cab,32'h40c73cdb, 32'h40b99086,32'h40cd1900, 32'h40afcc49,32'h40d6dd3d,// invsqrt(0.0268) = 6.1041 +32'h3fa84638,32'h3f5aceb6,32'h3f63bd06, 32'h3f541bf9,32'h3f6a6fc3, 32'h3f48f215,32'h3f7599a7,// invsqrt(1.3146) = 0.8722 +32'h400992ec,32'h3f2b1d6e,32'h3f321968, 32'h3f25e072,32'h3f375664, 32'h3f1d257a,32'h3f40115c,// invsqrt(2.1496) = 0.6821 +32'h3f40a27e,32'h3f909b58,32'h3f968256, 32'h3f8c2e19,32'h3f9aef95, 32'h3f84cd5b,32'h3fa25053,// invsqrt(0.7525) = 1.1528 +32'h3f8ff20d,32'h3f6c93a2,32'h3f763b9e, 32'h3f6555a5,32'h3f7d799b, 32'h3f5943aa,32'h3f84c5cb,// invsqrt(1.1246) = 0.9430 +32'h4058e355,32'h3f08482c,32'h3f0dd82e, 32'h3f041c2b,32'h3f12042f, 32'h3efa5051,32'h3f18f832,// invsqrt(3.3889) = 0.5432 +32'h3f5b0453,32'h3f879e33,32'h3f8d2745, 32'h3f837766,32'h3f914e12, 32'h3f79181f,32'h3f983968,// invsqrt(0.8555) = 1.0811 +32'h3f898727,32'h3f720896,32'h3f7be997, 32'h3f6a9fd6,32'h3f81a92c, 32'h3f5e4695,32'h3f87d5cd,// invsqrt(1.0744) = 0.9647 +32'h40208323,32'h3f1e6ac4,32'h3f24e210, 32'h3f19914b,32'h3f29bb89, 32'h3f117c2c,32'h3f31d0a8,// invsqrt(2.5080) = 0.6314 +32'h3f8a51e1,32'h3f7156f7,32'h3f7b30b8, 32'h3f69f3a7,32'h3f814a05, 32'h3f5da376,32'h3f87721d,// invsqrt(1.0806) = 0.9620 +32'h40e9f32c,32'h3eb9921f,32'h3ec12525, 32'h3eb3e3da,32'h3ec6d36a, 32'h3eaa6c12,32'h3ed04b32,// invsqrt(7.3109) = 0.3698 +32'h3c7fa4b3,32'h40fb0e10,32'h4102a6aa, 32'h40f35e9c,32'h41067e64, 32'h40e68f87,32'h410ce5ef,// invsqrt(0.0156) = 8.0056 +32'h3e802c7e,32'h3ffab5b9,32'h400278b1, 32'h3ff308fa,32'h40064f11, 32'h3fe63e66,32'h400cb45b,// invsqrt(0.2503) = 1.9986 +32'h3f72bfd4,32'h3f80d171,32'h3f861376, 32'h3f79bfdc,32'h3f8a04fa, 32'h3f6c9ad1,32'h3f909780,// invsqrt(0.9482) = 1.0269 +32'h3f51b6d9,32'h3f8a97df,32'h3f904007, 32'h3f8659c0,32'h3f947e26, 32'h3f7e8f1c,32'h3f9b9058,// invsqrt(0.8192) = 1.1049 +32'h3fa24dbd,32'h3f5ecbb5,32'h3f67e3b1, 32'h3f57f9b7,32'h3f6eb5af, 32'h3f4c9bbb,32'h3f7a13ab,// invsqrt(1.2680) = 0.8881 +32'h3f89bb62,32'h3f71daae,32'h3f7bb9cf, 32'h3f6a7354,32'h3f819094, 32'h3f5e1c6b,32'h3f87bc08,// invsqrt(1.0760) = 0.9640 +32'h4019c88a,32'h3f21d885,32'h3f2873a4, 32'h3f1ce42d,32'h3f2d67fb, 32'h3f14a245,32'h3f35a9e3,// invsqrt(2.4029) = 0.6451 +32'h3f762114,32'h3f7fdc78,32'h3f8526fa, 32'h3f78075a,32'h3f891189, 32'h3f6af97d,32'h3f8f9877,// invsqrt(0.9614) = 1.0199 +32'h40cae718,32'h3ec74361,32'h3ecf657a, 32'h3ec129cf,32'h3ed57f0d, 32'h3eb6ff30,32'h3edfa9ac,// invsqrt(6.3407) = 0.3971 +32'h3f9cc481,32'h3f62b20c,32'h3f6bf2c8, 32'h3f5bc17e,32'h3f72e356, 32'h3f503093,32'h3f7e7441,// invsqrt(1.2247) = 0.9036 +32'h3f6af62f,32'h3f82ef7f,32'h3f8847a4, 32'h3f7ddac9,32'h3f8c49c0, 32'h3f707e6d,32'h3f92f7ed,// invsqrt(0.9178) = 1.0438 +32'h3f9ea9ab,32'h3f615668,32'h3f6a88f4, 32'h3f5a707f,32'h3f716edd, 32'h3f4ef150,32'h3f7cee0c,// invsqrt(1.2396) = 0.8982 +32'h3fd40105,32'h3f42f06b,32'h3f4ae555, 32'h3f3cf8bb,32'h3f50dd05, 32'h3f330696,32'h3f5acf2a,// invsqrt(1.6563) = 0.7770 +32'h3f4b345e,32'h3f8ccbb1,32'h3f928add, 32'h3f887c50,32'h3f96da3e, 32'h3f814d5a,32'h3f9e0934,// invsqrt(0.7938) = 1.1224 +32'h405c3b15,32'h3f073e62,32'h3f0cc38b, 32'h3f031a84,32'h3f10e76a, 32'h3ef86823,32'h3f17cddc,// invsqrt(3.4411) = 0.5391 +32'h40203840,32'h3f1e8fc5,32'h3f250894, 32'h3f19b52b,32'h3f29e32f, 32'h3f119e28,32'h3f31fa32,// invsqrt(2.5034) = 0.6320 +32'h408de7bb,32'h3eee4579,32'h3ef7ff2b, 32'h3ee6fa34,32'h3eff4a70, 32'h3edad217,32'h3f05b947,// invsqrt(4.4345) = 0.4749 +32'h40c5f82f,32'h3ec9bb0d,32'h3ed1f6ee, 32'h3ec38e24,32'h3ed823d6, 32'h3eb9434a,32'h3ee26eb0,// invsqrt(6.1865) = 0.4020 +32'h3fa92ecc,32'h3f5a381c,32'h3f632047, 32'h3f5389fc,32'h3f69ce68, 32'h3f4867c7,32'h3f74f09d,// invsqrt(1.3217) = 0.8698 +32'h3f422678,32'h3f900a93,32'h3f95eba8, 32'h3f8ba1c2,32'h3f9a5478, 32'h3f844867,32'h3fa1add3,// invsqrt(0.7584) = 1.1483 +32'h3f8bdf7d,32'h3f6ffefd,32'h3f79cab3, 32'h3f68a634,32'h3f8091be, 32'h3f5c678f,32'h3f86b110,// invsqrt(1.0928) = 0.9566 +32'h3f8694a3,32'h3f74ab62,32'h3f7ea7ee, 32'h3f6d2df9,32'h3f8312ab, 32'h3f60b24a,32'h3f895083,// invsqrt(1.0514) = 0.9752 +32'h40f013f7,32'h3eb72fe5,32'h3ebeaa03, 32'h3eb1944e,32'h3ec4459a, 32'h3ea83ba8,32'h3ecd9e40,// invsqrt(7.5024) = 0.3651 +32'h3dae7243,32'h4056e6de,32'h405fac60, 32'h405052bd,32'h40664081, 32'h40455bdc,32'h40713762,// invsqrt(0.0852) = 3.4264 +32'h3ec4ad20,32'h3fca648e,32'h3fd2a75a, 32'h3fc43275,32'h3fd8d973, 32'h3fb9def5,32'h3fe32cf3,// invsqrt(0.3841) = 1.6135 +32'h3f895c44,32'h3f722e5c,32'h3f7c10e8, 32'h3f6ac474,32'h3f81bd68, 32'h3f5e6945,32'h3f87eb00,// invsqrt(1.0731) = 0.9653 +32'h3fa5cb1e,32'h3f5c703f,32'h3f656f9b, 32'h3f55b0bb,32'h3f6c2f1f, 32'h3f4a7188,32'h3f776e52,// invsqrt(1.2953) = 0.8787 +32'h4195415f,32'h3e685496,32'h3e71d034, 32'h3e6137e0,32'h3e78ecea, 32'h3e555d5b,32'h3e8263b8,// invsqrt(18.6569) = 0.2315 +32'h3fdac75b,32'h3f3fe5aa,32'h3f47bacc, 32'h3f3a05d2,32'h3f4d9aa4, 32'h3f303b68,32'h3f57650e,// invsqrt(1.7092) = 0.7649 +32'h3f3ee705,32'h3f9142ed,32'h3f9730c3, 32'h3f8cd08d,32'h3f9ba323, 32'h3f856742,32'h3fa30c6e,// invsqrt(0.7457) = 1.1580 +32'h3f17b5c7,32'h3fa2f2ab,32'h3fa9994f, 32'h3f9df5b0,32'h3fae964a, 32'h3f95a564,32'h3fb6e696,// invsqrt(0.5926) = 1.2990 +32'h3fab8cb6,32'h3f58b565,32'h3f618dc8, 32'h3f52131c,32'h3f683012, 32'h3f4704a2,32'h3f733e8d,// invsqrt(1.3402) = 0.8638 +32'h4109fb07,32'h3eaadcd4,32'h3eb1d62c, 32'h3ea5a1d3,32'h3eb7112d, 32'h3e9cea26,32'h3ebfc8da,// invsqrt(8.6238) = 0.3405 +32'h3ef96fcf,32'h3fb3b7ba,32'h3fbb0d98, 32'h3fae3754,32'h3fc08dfe, 32'h3fa50bfe,32'h3fc9b954,// invsqrt(0.4872) = 1.4327 +32'h419371f4,32'h3e69c094,32'h3e734b0c, 32'h3e6298b9,32'h3e7a72e7, 32'h3e56aba2,32'h3e832fff,// invsqrt(18.4306) = 0.2329 +32'h4007d950,32'h3f2c32ad,32'h3f3339f8, 32'h3f26ed35,32'h3f387f71, 32'h3f1e2418,32'h3f41488f,// invsqrt(2.1226) = 0.6864 +32'h3f5a3d14,32'h3f87dc0d,32'h3f8d67a5, 32'h3f83b35b,32'h3f919057, 32'h3f7989ba,32'h3f987ed5,// invsqrt(0.8525) = 1.0831 +32'h3f4a0a0a,32'h3f8d337e,32'h3f92f6e6, 32'h3f88e0f0,32'h3f974974, 32'h3f81acad,32'h3f9e7db7,// invsqrt(0.7892) = 1.1256 +32'h3f9c6fd6,32'h3f62ef5c,32'h3f6c329a, 32'h3f5bfcee,32'h3f732508, 32'h3f5068e2,32'h3f7eb914,// invsqrt(1.2222) = 0.9046 +32'h3fb1e32a,32'h3f54d02b,32'h3f5d7fd9, 32'h3f4e4c68,32'h3f64039c, 32'h3f4370cf,32'h3f6edf35,// invsqrt(1.3897) = 0.8483 +32'h3e4307e7,32'h400fb73b,32'h401594e9, 32'h400b50f8,32'h4019fb2c, 32'h4003fbdd,32'h40215047,// invsqrt(0.1905) = 2.2914 +32'h40a8c504,32'h3eda7c75,32'h3ee3676a, 32'h3ed3cc3d,32'h3eea17a1, 32'h3ec8a68a,32'h3ef53d54,// invsqrt(5.2740) = 0.4354 +32'h3e841e99,32'h3ff6f012,32'h40008229, 32'h3fef60e3,32'h400449c1, 32'h3fe2c793,32'h400a9668,// invsqrt(0.2580) = 1.9686 +32'h3f8ea410,32'h3f6da7f9,32'h3f775b3d, 32'h3f666186,32'h3f7ea1b0, 32'h3f5a4172,32'h3f8560e2,// invsqrt(1.1144) = 0.9473 +32'h4105f351,32'h3ead69f6,32'h3eb47df6, 32'h3ea81af6,32'h3eb9ccf6, 32'h3e9f41f7,32'h3ec2a5f5,// invsqrt(8.3719) = 0.3456 +32'h3f01f092,32'h3fb011e3,32'h3fb741a3, 32'h3faaae13,32'h3fbca573, 32'h3fa1b263,32'h3fc5a123,// invsqrt(0.5076) = 1.4036 +32'h3fac77de,32'h3f582176,32'h3f60f3ce, 32'h3f5183b4,32'h3f679190, 32'h3f467cc5,32'h3f72987f,// invsqrt(1.3474) = 0.8615 +32'h3e3da785,32'h4011bd15,32'h4017afe7, 32'h400d46f8,32'h401c2604, 32'h4005d771,32'h4023958b,// invsqrt(0.1852) = 2.3236 +32'h3ead4e9e,32'h3fd79b64,32'h3fe06844, 32'h3fd101bd,32'h3fe701eb, 32'h3fc601a5,32'h3ff20203,// invsqrt(0.3385) = 1.7188 +32'h3f9f1a21,32'h3f6106b6,32'h3f6a3602, 32'h3f5a233e,32'h3f71197a, 32'h3f4ea820,32'h3f7c9498,// invsqrt(1.2430) = 0.8969 +32'h3f9a09dd,32'h3f64b1e0,32'h3f6e0781, 32'h3f5db1a8,32'h3f7507ba, 32'h3f52069f,32'h3f805961,// invsqrt(1.2034) = 0.9116 +32'h3ec5c71e,32'h3fc9d411,32'h3fd210f8, 32'h3fc3a665,32'h3fd83ea5, 32'h3fb95a44,32'h3fe28ac6,// invsqrt(0.3863) = 1.6090 +32'h408b19b0,32'h3ef0a963,32'h3efa7c0f, 32'h3ee94b63,32'h3f00ed08, 32'h3edd040d,32'h3f0710b3,// invsqrt(4.3469) = 0.4796 +32'h3eb5ce46,32'h3fd281e0,32'h3fdb1976, 32'h3fcc102f,32'h3fe18b27, 32'h3fc152b4,32'h3fec48a3,// invsqrt(0.3551) = 1.6782 +32'h3ff6dd08,32'h3f34a6e7,32'h3f3c0687, 32'h3f2f1f2e,32'h3f418e40, 32'h3f25e7a5,32'h3f4ac5c9,// invsqrt(1.9286) = 0.7201 +32'h402d6107,32'h3f186cf1,32'h3f1ea5a2, 32'h3f13c26c,32'h3f235026, 32'h3f0bfb8e,32'h3f2b1704,// invsqrt(2.7090) = 0.6076 +32'h4000463c,32'h3f31358a,32'h3f387132, 32'h3f2bc8cc,32'h3f3dddf0, 32'h3f22be3b,32'h3f46e881,// invsqrt(2.0043) = 0.7064 +32'h3e0f106b,32'h4027ccac,32'h402ea601, 32'h4022a9ab,32'h4033c901, 32'h401a1a01,32'h403c58ab,// invsqrt(0.1397) = 2.6754 +32'h3f495990,32'h3f8d7151,32'h3f93373f, 32'h3f891cde,32'h3f978bb2, 32'h3f81e574,32'h3f9ec31c,// invsqrt(0.7865) = 1.1276 +32'h3f60604d,32'h3f85fd11,32'h3f8b751d, 32'h3f81e309,32'h3f8f8f25, 32'h3f7619f6,32'h3f966533,// invsqrt(0.8765) = 1.0681 +32'h42663379,32'h3e044851,32'h3e09ae89, 32'h3e003ba8,32'h3e0dbb32, 32'h3df2f7c4,32'h3e147af8,// invsqrt(57.5503) = 0.1318 +32'h4000bc52,32'h3f30e431,32'h3f381c87, 32'h3f2b79f1,32'h3f3d86c7, 32'h3f227386,32'h3f468d32,// invsqrt(2.0115) = 0.7051 +32'h3ed3ca84,32'h3fc3097e,32'h3fcaff6f, 32'h3fbd110b,32'h3fd0f7e3, 32'h3fb31d9e,32'h3fdaeb50,// invsqrt(0.4137) = 1.5548 +32'h404b2730,32'h3f0cd042,32'h3f128f9e, 32'h3f0880be,32'h3f16df22, 32'h3f01518b,32'h3f1e0e55,// invsqrt(3.1743) = 0.5613 +32'h3eedb771,32'h3fb8183f,32'h3fbf9bd9, 32'h3fb2758b,32'h3fc53e8d, 32'h3fa9110b,32'h3fcea30d,// invsqrt(0.4643) = 1.4676 +32'h3eccbf7e,32'h3fc65cfd,32'h3fce75ae, 32'h3fc04a77,32'h3fd48833, 32'h3fb62b99,32'h3fdea711,// invsqrt(0.3999) = 1.5813 +32'h3e57ca6e,32'h4008a0c3,32'h400e3463, 32'h4004720c,32'h4012631a, 32'h3ffaf309,32'h40195ba2,// invsqrt(0.2107) = 2.1784 +32'h3ea6ac8a,32'h3fdbdafb,32'h3fe4d43f, 32'h3fd52008,32'h3feb8f32, 32'h3fc9e874,32'h3ff6c6c6,// invsqrt(0.3255) = 1.7527 +32'h3f9e8a78,32'h3f616c93,32'h3f6aa007, 32'h3f5a85fc,32'h3f71869e, 32'h3f4f05ac,32'h3f7d06ee,// invsqrt(1.2386) = 0.8985 +32'h40087878,32'h3f2bce27,32'h3f32d157, 32'h3f268bc2,32'h3f3813bc, 32'h3f1dc7c6,32'h3f40d7b9,// invsqrt(2.1324) = 0.6848 +32'h3dad9e86,32'h405769c1,32'h40603499, 32'h4050d19e,32'h4066ccbc, 32'h4045d40f,32'h4071ca4b,// invsqrt(0.0848) = 3.4345 +32'h40066072,32'h3f2d237d,32'h3f34349d, 32'h3f27d6a6,32'h3f398174, 32'h3f1f013f,32'h3f4256db,// invsqrt(2.0996) = 0.6901 +32'h3f44773c,32'h3f8f30a2,32'h3f9508d2, 32'h3f8ace7e,32'h3f996af6, 32'h3f838041,32'h3fa0b933,// invsqrt(0.7674) = 1.1415 +32'h3ebac5da,32'h3fcfb077,32'h3fd82a9b, 32'h3fc954dc,32'h3fde8636, 32'h3fbebc2e,32'h3fe91ee4,// invsqrt(0.3648) = 1.6557 +32'h3f3ecbdf,32'h3f914d42,32'h3f973b84, 32'h3f8cda91,32'h3f9bae35, 32'h3f8570c0,32'h3fa31806,// invsqrt(0.7453) = 1.1583 +32'h3e12b350,32'h4025b4f1,32'h402c7868, 32'h4020a257,32'h40318b01, 32'h40182e01,32'h4039ff57,// invsqrt(0.1433) = 2.6420 +32'h3f5b281c,32'h3f879320,32'h3f8d1bbe, 32'h3f836ca9,32'h3f914235, 32'h3f7903c8,32'h3f982cfa,// invsqrt(0.8561) = 1.0808 +32'h3e9bfe07,32'h3fe34216,32'h3fec88b3, 32'h3fdc4d1f,32'h3ff37da9, 32'h3fd0b4da,32'h3fff15ee,// invsqrt(0.3047) = 1.8117 +32'h3f7f7bc7,32'h3f7b222b,32'h3f82b121, 32'h3f73721a,32'h3f868929, 32'h3f66a1fd,32'h3f8cf137,// invsqrt(0.9980) = 1.0010 +32'h3f3b38d8,32'h3f92aea7,32'h3f98ab55, 32'h3f8e3124,32'h3f9d28d8, 32'h3f86b54b,32'h3fa4a4b1,// invsqrt(0.7313) = 1.1693 +32'h40203611,32'h3f1e90da,32'h3f2509b4, 32'h3f19b637,32'h3f29e457, 32'h3f119f26,32'h3f31fb68,// invsqrt(2.5033) = 0.6320 +32'h3fe64e0f,32'h3f3b0894,32'h3f42aae4, 32'h3f354ed9,32'h3f48649f, 32'h3f2bc3f6,32'h3f51ef82,// invsqrt(1.7993) = 0.7455 +32'h41484656,32'h3e8dd260,32'h3e939c44, 32'h3e897af4,32'h3e97f3b0, 32'h3e823e97,32'h3e9f300d,// invsqrt(12.5172) = 0.2826 +32'h3f974e63,32'h3f66c021,32'h3f702b3d, 32'h3f5fafcd,32'h3f773b91, 32'h3f53e9ea,32'h3f8180ba,// invsqrt(1.1821) = 0.9198 +32'h3f2a165a,32'h3f99e4b8,32'h3fa02cbf, 32'h3f952eb2,32'h3fa4e2c4, 32'h3f8d54a8,32'h3facbcce,// invsqrt(0.6644) = 1.2268 +32'h3e2ff19d,32'h40174f7c,32'h401d7c87, 32'h4012adb5,32'h40221e4f, 32'h400af568,32'h4029d69c,// invsqrt(0.1718) = 2.4125 +32'h3ea33b4a,32'h3fde295c,32'h3fe73ab8, 32'h3fd75c56,32'h3fee07be, 32'h3fcc06a3,32'h3ff95d71,// invsqrt(0.3188) = 1.7711 +32'h3ecc4958,32'h3fc69651,32'h3fceb159, 32'h3fc0820a,32'h3fd4c5a0, 32'h3fb66040,32'h3fdee76a,// invsqrt(0.3990) = 1.5831 +32'h3f043e77,32'h3fae8778,32'h3fb5a71e, 32'h3fa92fba,32'h3fbafedc, 32'h3fa0482a,32'h3fc3e66c,// invsqrt(0.5166) = 1.3913 +32'h3e8acc4a,32'h3ff0ec74,32'h3ffac1dc, 32'h3fe98c66,32'h400110f5, 32'h3fdd41a4,32'h40073656,// invsqrt(0.2711) = 1.9206 +32'h3fc5ca5a,32'h3f49d26b,32'h3f520f41, 32'h3f43a4cb,32'h3f583ce1, 32'h3f3958c1,32'h3f6288eb,// invsqrt(1.5452) = 0.8045 +32'h3f6d9211,32'h3f8236f1,32'h3f87878d, 32'h3f7c74f8,32'h3f8b8402, 32'h3f6f2b71,32'h3f9228c5,// invsqrt(0.9280) = 1.0381 +32'h3ef42a8e,32'h3fb5a5a2,32'h3fbd0fa8, 32'h3fb0161d,32'h3fc29f2d, 32'h3fa6d194,32'h3fcbe3b6,// invsqrt(0.4769) = 1.4481 +32'h3e33b531,32'h4015b7af,32'h401bd414, 32'h40112263,32'h4020695f, 32'h40097ee4,32'h40280cde,// invsqrt(0.1755) = 2.3871 +32'h3e937175,32'h3fe9c0f8,32'h3ff34b76, 32'h3fe2991b,32'h3ffa7353, 32'h3fd6abfe,32'h40033038,// invsqrt(0.2880) = 1.8635 +32'h3f1d34f6,32'h3fa012e5,32'h3fa69b80, 32'h3f9b2c70,32'h3fab81f4, 32'h3f9301ad,32'h3fb3acb7,// invsqrt(0.6141) = 1.2761 +32'h402b8a24,32'h3f193d97,32'h3f1f7ecd, 32'h3f148cb0,32'h3f242fb4, 32'h3f0cbb2d,32'h3f2c0137,// invsqrt(2.6803) = 0.6108 +32'h3f514003,32'h3f8abf34,32'h3f9068f8, 32'h3f867fe2,32'h3f94a84a, 32'h3f7ed75b,32'h3f9bbc7f,// invsqrt(0.8174) = 1.1061 +32'h4031b2bd,32'h3f168fcc,32'h3f1cb503, 32'h3f11f3e2,32'h3f2150ec, 32'h3f0a455d,32'h3f28ff71,// invsqrt(2.7765) = 0.6001 +32'h3f48e903,32'h3f8d98ea,32'h3f936076, 32'h3f894341,32'h3f97b61f, 32'h3f8209d2,32'h3f9eef8e,// invsqrt(0.7848) = 1.1288 +32'h3f9d252b,32'h3f626c48,32'h3f6baa2c, 32'h3f5b7dde,32'h3f729896, 32'h3f4ff081,32'h3f7e25f3,// invsqrt(1.2277) = 0.9025 +32'h3f827781,32'h3f787f36,32'h3f8151e0, 32'h3f70e3ce,32'h3f851f94, 32'h3f643622,32'h3f8b766a,// invsqrt(1.0193) = 0.9905 +32'h3ff721a1,32'h3f348dd2,32'h3f3bec6d, 32'h3f2f06de,32'h3f417362, 32'h3f25d09d,32'h3f4aa9a3,// invsqrt(1.9307) = 0.7197 +32'h3f2c4ce0,32'h3f98e6e6,32'h3f9f2492, 32'h3f9438a6,32'h3fa3d2d2, 32'h3f8c6b90,32'h3fab9fe8,// invsqrt(0.6730) = 1.2189 +32'h3fa4b171,32'h3f5d2c71,32'h3f66337b, 32'h3f56672a,32'h3f6cf8c2, 32'h3f4b1e5d,32'h3f78418f,// invsqrt(1.2867) = 0.8816 +32'h3f69f4e9,32'h3f83376a,32'h3f88927e, 32'h3f7e6636,32'h3f8c96cd, 32'h3f710284,32'h3f9348a6,// invsqrt(0.9139) = 1.0460 +32'h3e82a76d,32'h3ff8519f,32'h40013a26, 32'h3ff0b79d,32'h40050728, 32'h3fe40c44,32'h400b5cd4,// invsqrt(0.2552) = 1.9796 +32'h3ff92a31,32'h3f33d0d3,32'h3f3b27b7, 32'h3f2e4fa8,32'h3f40a8e2, 32'h3f25230b,32'h3f49d57f,// invsqrt(1.9466) = 0.7167 +32'h3f0f8181,32'h3fa78a81,32'h3fae6123, 32'h3fa26988,32'h3fb3821c, 32'h3f99dd3d,32'h3fbc0e67,// invsqrt(0.5606) = 1.3356 +32'h3fd9740b,32'h3f407b28,32'h3f485663, 32'h3f3a96bb,32'h3f4e3acf, 32'h3f30c4b1,32'h3f580cd9,// invsqrt(1.6989) = 0.7672 +32'h3f3bcdde,32'h3f927469,32'h3f986eb7, 32'h3f8df8af,32'h3f9cea71, 32'h3f867fce,32'h3fa46352,// invsqrt(0.7336) = 1.1675 +32'h3ef0ee7c,32'h3fb6dcbf,32'h3fbe5379, 32'h3fb143b4,32'h3fc3ec84, 32'h3fa7ef4c,32'h3fcd40ec,// invsqrt(0.4706) = 1.4578 +32'h3e5d6cab,32'h4006e0ef,32'h400c6247, 32'h4002bfed,32'h40108349, 32'h3ff7bc7d,32'h401764f7,// invsqrt(0.2162) = 2.1505 +32'h3f95dabf,32'h3f67dd93,32'h3f715455, 32'h3f60c481,32'h3f786d67, 32'h3f54f00f,32'h3f8220ed,// invsqrt(1.1707) = 0.9242 +32'h3f4ea3c0,32'h3f8b9ed9,32'h3f9151bd, 32'h3f8758ae,32'h3f9597e8, 32'h3f803910,32'h3f9cb786,// invsqrt(0.8072) = 1.1130 +32'h3fd54aa7,32'h3f42598e,32'h3f4a4850, 32'h3f3c667d,32'h3f503b61, 32'h3f327c0a,32'h3f5a25d4,// invsqrt(1.6663) = 0.7747 +32'h3ce0f600,32'h40bd3df2,32'h40c4f754, 32'h40b772e8,32'h40cac25e, 32'h40adcb2c,32'h40d46a1a,// invsqrt(0.0275) = 6.0345 +32'h3f7edf65,32'h3f7b6f2a,32'h3f82d933, 32'h3f73bcbe,32'h3f86b269, 32'h3f66e8b4,32'h3f8d1c6e,// invsqrt(0.9956) = 1.0022 +32'h3eee3055,32'h3fb7e981,32'h3fbf6b33, 32'h3fb2483c,32'h3fc50c78, 32'h3fa8e61e,32'h3fce6e96,// invsqrt(0.4652) = 1.4661 +32'h3f2eeab7,32'h3f97c107,32'h3f9df2b4, 32'h3f931bc6,32'h3fa297f6, 32'h3f8b5dae,32'h3faa560e,// invsqrt(0.6833) = 1.2098 +32'h3f972dd4,32'h3f66d8f9,32'h3f704519, 32'h3f5fc7e2,32'h3f775630, 32'h3f5400bb,32'h3f818eab,// invsqrt(1.1811) = 0.9202 +32'h3ffabf20,32'h3f333f67,32'h3f3a905b, 32'h3f2dc2af,32'h3f400d13, 32'h3f249d7e,32'h3f493244,// invsqrt(1.9590) = 0.7145 +32'h41299d8a,32'h3e9a1b7c,32'h3ea065c0, 32'h3e9563c9,32'h3ea51d73, 32'h3e8d86f5,32'h3eacfa47,// invsqrt(10.6010) = 0.3071 +32'h3e714858,32'h40013586,32'h40067ba0, 32'h3ffa81e4,32'h400a7034, 32'h3fed52a2,32'h401107d5,// invsqrt(0.2356) = 2.0601 +32'h3fdc3e8a,32'h3f3f41f2,32'h3f471064, 32'h3f39671c,32'h3f4ceb3a, 32'h3f2fa50d,32'h3f56ad49,// invsqrt(1.7207) = 0.7623 +32'h3ec337ed,32'h3fcb25a7,32'h3fd37055, 32'h3fc4eda4,32'h3fd9a858, 32'h3fba904b,32'h3fe405b1,// invsqrt(0.3813) = 1.6195 +32'h3f810239,32'h3f79e5b5,32'h3f820c70, 32'h3f723f54,32'h3f85dfa1, 32'h3f657f5d,32'h3f8c3f9c,// invsqrt(1.0079) = 0.9961 +32'h3dd66bed,32'h4041d648,32'h4049bfaf, 32'h403be73c,32'h404faebc, 32'h4032037c,32'h4059927c,// invsqrt(0.1047) = 3.0905 +32'h3e889a67,32'h3ff2d9f8,32'h3ffcc385, 32'h3feb6acf,32'h40021957, 32'h3fdf06df,32'h40084b4f,// invsqrt(0.2668) = 1.9360 +32'h3fc2bfaf,32'h3f4b6454,32'h3f53b191, 32'h3f452a67,32'h3f59eb7f, 32'h3f3ac9db,32'h3f644c0b,// invsqrt(1.5215) = 0.8107 +32'h3e8e7db0,32'h3fedc7f7,32'h3ff77c89, 32'h3fe6808a,32'h3ffec3f6, 32'h3fda5ed3,32'h400572d6,// invsqrt(0.2783) = 1.8956 +32'h3f39bfb4,32'h3f934345,32'h3f994604, 32'h3f8ec136,32'h3f9dc814, 32'h3f873dc8,32'h3fa54b82,// invsqrt(0.7256) = 1.1740 +32'h412d6e02,32'h3e98673c,32'h3e9e9fb2, 32'h3e93bce4,32'h3ea34a0a, 32'h3e8bf652,32'h3eab109c,// invsqrt(10.8394) = 0.3037 +32'h40e92492,32'h3eb9e446,32'h3ec17aa6, 32'h3eb4337d,32'h3ec72b6f, 32'h3eaab784,32'h3ed0a768,// invsqrt(7.2857) = 0.3705 +32'h3e8b1bd5,32'h3ff0a788,32'h3ffa7a20, 32'h3fe94996,32'h4000ec09, 32'h3fdd0258,32'h40070fa8,// invsqrt(0.2717) = 1.9185 +32'h41fa7d01,32'h3e33570e,32'h3e3aa8fa, 32'h3e2dd99d,32'h3e40266b, 32'h3e24b337,32'h3e494cd1,// invsqrt(31.3110) = 0.1787 +32'h3f27fb0e,32'h3f9adafc,32'h3fa12d10, 32'h3f961d6c,32'h3fa5eaa0, 32'h3f8e36d2,32'h3fadd13a,// invsqrt(0.6562) = 1.2345 +32'h409a855c,32'h3ee4566b,32'h3eeda850, 32'h3edd58ff,32'h3ef4a5bb, 32'h3ed1b2a0,32'h3f00260d,// invsqrt(4.8288) = 0.4551 +32'h400b8aaf,32'h3f29e776,32'h3f30d6ca, 32'h3f24b3f8,32'h3f360a48, 32'h3f1c08d0,32'h3f3eb570,// invsqrt(2.1803) = 0.6772 +32'h4129721f,32'h3e9a2f3a,32'h3ea07a4c, 32'h3e9576ec,32'h3ea5329a, 32'h3e8d9916,32'h3ead1070,// invsqrt(10.5904) = 0.3073 +32'h40389fad,32'h3f13b5f7,32'h3f19bd65, 32'h3f0f3065,32'h3f1e42f7, 32'h3f07a71d,32'h3f25cc3f,// invsqrt(2.8847) = 0.5888 +32'h4056da92,32'h3f08ecf1,32'h3f0e83ad, 32'h3f04bbe5,32'h3f12b4b9, 32'h3efb7ef5,32'h3f19b124,// invsqrt(3.3571) = 0.5458 +32'h3f693f9a,32'h3f836a5f,32'h3f88c788, 32'h3f7ec903,32'h3f8ccd67, 32'h3f71601e,32'h3f9381d9,// invsqrt(0.9111) = 1.0476 +32'h3e93fd0b,32'h3fe952a1,32'h3ff2d89d, 32'h3fe22e24,32'h3ff9fd1a, 32'h3fd646a9,32'h4002f24b,// invsqrt(0.2890) = 1.8600 +32'h3f1a963d,32'h3fa16cb3,32'h3fa8036b, 32'h3f9c7ba8,32'h3facf476, 32'h3f943f41,32'h3fb530dd,// invsqrt(0.6039) = 1.2869 +32'h3eed7b57,32'h3fb82f89,32'h3fbfb416, 32'h3fb28c1e,32'h3fc55780, 32'h3fa9266e,32'h3fcebd31,// invsqrt(0.4638) = 1.4683 +32'h3ecf5191,32'h3fc5212e,32'h3fcd2cfc, 32'h3fbf1854,32'h3fd335d6, 32'h3fb50993,32'h3fdd4497,// invsqrt(0.4049) = 1.5715 +32'h4112e3f0,32'h3ea59981,32'h3eac5bd9, 32'h3ea087be,32'h3eb16d9c, 32'h3e9814cf,32'h3eb9e08b,// invsqrt(9.1806) = 0.3300 +32'h41ad6e0f,32'h3e5787d8,32'h3e6053ec, 32'h3e50eeca,32'h3e66ecfa, 32'h3e45efb2,32'h3e71ec12,// invsqrt(21.6787) = 0.2148 +32'h400321ce,32'h3f2f4480,32'h3f366bdf, 32'h3f29e6fa,32'h3f3bc966, 32'h3f20f5c5,32'h3f44ba9b,// invsqrt(2.0489) = 0.6986 +32'h3dbe955e,32'h404d9a3e,32'h4055fe94, 32'h40474efd,32'h405c49d5, 32'h403cd192,32'h4066c740,// invsqrt(0.0931) = 3.2781 +32'h401384cc,32'h3f253f1f,32'h3f2bfdc7, 32'h3f203020,32'h3f310cc6, 32'h3f17c1ce,32'h3f397b18,// invsqrt(2.3050) = 0.6587 +32'h3f042385,32'h3fae9943,32'h3fb5b9a3, 32'h3fa940fa,32'h3fbb11ec, 32'h3fa05881,32'h3fc3fa65,// invsqrt(0.5162) = 1.3919 +32'h3f03b5ec,32'h3faee1d8,32'h3fb60530, 32'h3fa98757,32'h3fbb5fb1, 32'h3fa09b2a,32'h3fc44bde,// invsqrt(0.5145) = 1.3942 +32'h3f4e0d57,32'h3f8bd1c6,32'h3f9186be, 32'h3f878a0c,32'h3f95ce78, 32'h3f8067d5,32'h3f9cf0af,// invsqrt(0.8049) = 1.1146 +32'h3e735a31,32'h4000a890,32'h4005e8e9, 32'h3ff97098,32'h4009d92c, 32'h3fec4fb9,32'h4010699c,// invsqrt(0.2376) = 2.0513 +32'h3ea7a259,32'h3fdb398f,32'h3fe42c3c, 32'h3fd4838d,32'h3feae23d, 32'h3fc95434,32'h3ff61196,// invsqrt(0.3274) = 1.7476 +32'h3fab606a,32'h3f58d165,32'h3f61aaec, 32'h3f522e41,32'h3f684e11, 32'h3f471e58,32'h3f735dfa,// invsqrt(1.3389) = 0.8642 +32'h3fe04b7e,32'h3f3d85d3,32'h3f454224, 32'h3f37b895,32'h3f4b0f61, 32'h3f2e0d2f,32'h3f54bac7,// invsqrt(1.7523) = 0.7554 +32'h3f9ffcba,32'h3f606722,32'h3f698fea, 32'h3f59888c,32'h3f706e80, 32'h3f4e1592,32'h3f7be17a,// invsqrt(1.2499) = 0.8945 +32'h3d86553d,32'h4074e518,32'h407ee3fe, 32'h406d65ea,32'h40833196, 32'h4060e74a,32'h408970e6,// invsqrt(0.0656) = 3.9046 +32'h3fa26e36,32'h3f5eb56f,32'h3f67cc83, 32'h3f57e420,32'h3f6e9dd2, 32'h3f4c8746,32'h3f79faac,// invsqrt(1.2690) = 0.8877 +32'h3e522820,32'h400a7280,32'h40101922, 32'h40063587,32'h4014561b, 32'h3ffe4a78,32'h401b6666,// invsqrt(0.2052) = 2.2074 +32'h3ee7e9e1,32'h3fba623a,32'h3fc1fdc0, 32'h3fb4ad97,32'h3fc7b263, 32'h3fab2b30,32'h3fd134ca,// invsqrt(0.4530) = 1.4858 +32'h3fdadd4a,32'h3f3fdc0c,32'h3f47b0c9, 32'h3f39fc7f,32'h3f4d9057, 32'h3f303294,32'h3f575a43,// invsqrt(1.7099) = 0.7647 +32'h3f7bca77,32'h3f7cf7e0,32'h3f83a591, 32'h3f75396d,32'h3f8784ca, 32'h3f68515a,32'h3f8df8d3,// invsqrt(0.9836) = 1.0083 +32'h3f156c61,32'h3fa430a6,32'h3faae444, 32'h3f9f29ef,32'h3fafeafb, 32'h3f96c969,32'h3fb84b81,// invsqrt(0.5837) = 1.3089 +32'h3de0ef97,32'h403d40a4,32'h4044fa23, 32'h40377585,32'h404ac543, 32'h402dcda7,32'h40546d21,// invsqrt(0.1098) = 3.0174 +32'h3fabb698,32'h3f589af6,32'h3f617244, 32'h3f51f97c,32'h3f6813be, 32'h3f46ec5a,32'h3f7320e0,// invsqrt(1.3415) = 0.8634 +32'h3fcba656,32'h3f46e5bb,32'h3f4f0402, 32'h3f40cf07,32'h3f551ab7, 32'h3f36a92f,32'h3f5f408f,// invsqrt(1.5910) = 0.7928 +32'h3fcd1157,32'h3f463563,32'h3f4e4c77, 32'h3f402414,32'h3f545dc6, 32'h3f36073c,32'h3f5e7a9e,// invsqrt(1.6021) = 0.7901 +32'h3e6cd49c,32'h40026afc,32'h4007bdb8, 32'h3ffcd9de,32'h400bbbc5, 32'h3fef8b08,32'h40126330,// invsqrt(0.2313) = 2.0794 +32'h3f917eb5,32'h3f6b5049,32'h3f74eb13, 32'h3f641c32,32'h3f7c1f2a, 32'h3f581ab6,32'h3f841053,// invsqrt(1.1367) = 0.9380 +32'h3cd8a1b2,32'h40c0d884,32'h40c8b78e, 32'h40baf13c,32'h40ce9ed6, 32'h40b11a6e,32'h40d875a4,// invsqrt(0.0264) = 6.1494 +32'h400ca701,32'h3f293b65,32'h3f3023b3, 32'h3f240d2b,32'h3f3551ed, 32'h3f1b6acb,32'h3f3df44d,// invsqrt(2.1977) = 0.6746 +32'h3fda38fd,32'h3f402439,32'h3f47fbe7, 32'h3f3a4276,32'h3f4dddaa, 32'h3f3074db,32'h3f57ab45,// invsqrt(1.7049) = 0.7659 +32'h402ef635,32'h3f17bc0b,32'h3f1ded84, 32'h3f1316f1,32'h3f22929f, 32'h3f0b591a,32'h3f2a5076,// invsqrt(2.7338) = 0.6048 +32'h3f21b172,32'h3f9dd667,32'h3fa447a5, 32'h3f990179,32'h3fa91c93, 32'h3f90f3ec,32'h3fb12a20,// invsqrt(0.6316) = 1.2583 +32'h3b8a2b3a,32'h417178b7,32'h417b53d9, 32'h416a145e,32'h41815c19, 32'h415dc274,32'h4187850e,// invsqrt(0.0042) = 15.4000 +32'h4263097b,32'h3e053379,32'h3e0aa349, 32'h3e011f9c,32'h3e0eb726, 32'h3df4a7af,32'h3e1582eb,// invsqrt(56.7593) = 0.1327 +32'h3f24b004,32'h3f9c6560,32'h3fa2c78e, 32'h3f979bbe,32'h3fa79130, 32'h3f8fa105,32'h3faf8be9,// invsqrt(0.6433) = 1.2468 +32'h3eda2b41,32'h3fc02a45,32'h3fc80233, 32'h3fba4853,32'h3fcde425, 32'h3fb07a69,32'h3fd7b20f,// invsqrt(0.4261) = 1.5319 +32'h3ff70b09,32'h3f349614,32'h3f3bf505, 32'h3f2f0edf,32'h3f417c39, 32'h3f25d831,32'h3f4ab2e7,// invsqrt(1.9300) = 0.7198 +32'h41568868,32'h3e890727,32'h3e8e9ef5, 32'h3e84d54d,32'h3e92d0cf, 32'h3e7baf19,32'h3e99ce8f,// invsqrt(13.4083) = 0.2731 +32'h3e45bde6,32'h400eba2b,32'h40148d85, 32'h400a5ba7,32'h4018ec09, 32'h40031376,32'h4020343a,// invsqrt(0.1931) = 2.2756 +32'h400a2791,32'h3f2ac147,32'h3f31b97f, 32'h3f25871e,32'h3f36f3a8, 32'h3f1cd0d9,32'h3f3fa9ed,// invsqrt(2.1587) = 0.6806 +32'h3f2ae7b3,32'h3f998659,32'h3f9fca87, 32'h3f94d337,32'h3fa47da9, 32'h3f8cfdfe,32'h3fac52e2,// invsqrt(0.6676) = 1.2239 +32'h3f47b17c,32'h3f8e0732,32'h3f93d33e, 32'h3f89ae28,32'h3f982c48, 32'h3f826f19,32'h3f9f6b57,// invsqrt(0.7801) = 1.1322 +32'h3efe6923,32'h3fb1f3c3,32'h3fb9372f, 32'h3fac8133,32'h3fbea9bf, 32'h3fa36ced,32'h3fc7be05,// invsqrt(0.4969) = 1.4186 +32'h3ff600b7,32'h3f34f7ba,32'h3f3c5aa7, 32'h3f2f6d87,32'h3f41e4d9, 32'h3f2631de,32'h3f4b2082,// invsqrt(1.9219) = 0.7213 +32'h3f7b1a2c,32'h3f7d509d,32'h3f83d3bf, 32'h3f758f74,32'h3f87b454, 32'h3f68a2d9,32'h3f8e2aa1,// invsqrt(0.9809) = 1.0097 +32'h3f0e9298,32'h3fa816a6,32'h3faef301, 32'h3fa2f163,32'h3fb41845, 32'h3f9a5df2,32'h3fbcabb6,// invsqrt(0.5569) = 1.3400 +32'h40dfb149,32'h3ebdc71b,32'h3ec58617, 32'h3eb7f7de,32'h3ecb5554, 32'h3eae4923,32'h3ed5040f,// invsqrt(6.9904) = 0.3782 +32'h3f5c3760,32'h3f873f86,32'h3f8cc4ba, 32'h3f831b9e,32'h3f90e8a2, 32'h3f786a3a,32'h3f97cf23,// invsqrt(0.8602) = 1.0782 +32'h3f01eca3,32'h3fb0148e,32'h3fb7446a, 32'h3faab0a9,32'h3fbca84f, 32'h3fa1b4d6,32'h3fc5a422,// invsqrt(0.5075) = 1.4037 +32'h3ef0ea80,32'h3fb6de42,32'h3fbe550c, 32'h3fb1452b,32'h3fc3ee23, 32'h3fa7f0b0,32'h3fcd429e,// invsqrt(0.4705) = 1.4578 +32'h407940e2,32'h3efe40ac,32'h3f0450ad, 32'h3ef67828,32'h3f0834ee, 32'h3ee97f4f,32'h3f0eb15b,// invsqrt(3.8946) = 0.5067 +32'h405a90e8,32'h3f07c1fd,32'h3f0d4c85, 32'h3f039a17,32'h3f11746b, 32'h3ef959db,32'h3f186194,// invsqrt(3.4151) = 0.5411 +32'h410e3ae7,32'h3ea84a70,32'h3eaf28e7, 32'h3ea32396,32'h3eb44fc0, 32'h3e9a8d80,32'h3ebce5d6,// invsqrt(8.8894) = 0.3354 +32'h3eef6cdb,32'h3fb76fc7,32'h3fbeec81, 32'h3fb1d23c,32'h3fc48a0c, 32'h3fa87654,32'h3fcde5f4,// invsqrt(0.4676) = 1.4623 +32'h3dd04021,32'h4044b025,32'h404cb755, 32'h403eaac0,32'h4052bcba, 32'h4034a1c4,32'h405cc5b6,// invsqrt(0.1017) = 3.1360 +32'h3f44439a,32'h3f8f4376,32'h3f951c6c, 32'h3f8ae0bf,32'h3f997f23, 32'h3f83918c,32'h3fa0ce56,// invsqrt(0.7667) = 1.1421 +32'h3f84c2d4,32'h3f765726,32'h3f803294, 32'h3f6ecca5,32'h3f83f7d4, 32'h3f623b23,32'h3f8a4095,// invsqrt(1.0372) = 0.9819 +32'h3c142f00,32'h4124e01d,32'h412b9ae5, 32'h411fd407,32'h4130a6fb, 32'h41176a8e,32'h41391074,// invsqrt(0.0090) = 10.5150 +32'h408c125a,32'h3eefd366,32'h3ef99d55, 32'h3ee87bf2,32'h3f007a64, 32'h3edc3f87,32'h3f06989a,// invsqrt(4.3772) = 0.4780 +32'h406a188d,32'h3f032d6c,32'h3f088818, 32'h3efe52d7,32'h3f0c8c18, 32'h3ef0f02a,32'h3f133d6f,// invsqrt(3.6577) = 0.5229 +32'h3f487d6d,32'h3f8dbee3,32'h3f9387fb, 32'h3f896810,32'h3f97dece, 32'h3f822cb1,32'h3f9f1a2d,// invsqrt(0.7832) = 1.1300 +32'h3eaace8b,32'h3fd92de7,32'h3fe20b35, 32'h3fd287ed,32'h3fe8b12f, 32'h3fc7734d,32'h3ff3c5cf,// invsqrt(0.3336) = 1.7313 +32'h418b1e79,32'h3e70a540,32'h3e7a77c0, 32'h3e694760,32'h3e80ead0, 32'h3e5d0040,32'h3e870e60,// invsqrt(17.3899) = 0.2398 +32'h3f54c4cc,32'h3f899847,32'h3f8f3601, 32'h3f8561fc,32'h3f936c4c, 32'h3f7cb9a7,32'h3f9a7174,// invsqrt(0.8311) = 1.0969 +32'h3f5371f7,32'h3f8a065a,32'h3f8fa891, 32'h3f85ccaf,32'h3f93e23b, 32'h3f7d83d3,32'h3f9aed01,// invsqrt(0.8260) = 1.1003 +32'h3f6230a1,32'h3f857343,32'h3f8ae5af, 32'h3f815d73,32'h3f8efb7f, 32'h3f751cda,32'h3f95ca85,// invsqrt(0.8836) = 1.0639 +32'h3fb02e4c,32'h3f55d762,32'h3f5e91cf, 32'h3f4f4b91,32'h3f651da1, 32'h3f44628a,32'h3f7006a8,// invsqrt(1.3764) = 0.8524 +32'h45b7c2ab,32'h3c51627f,32'h3c59ee5a, 32'h3c4af99a,32'h3c60573e, 32'h3c404ac8,32'h3c6b0610,// invsqrt(5880.3335) = 0.0130 +32'h3ef5baf5,32'h3fb51167,32'h3fbc7561, 32'h3faf866c,32'h3fc2005c, 32'h3fa64974,32'h3fcb3d54,// invsqrt(0.4799) = 1.4435 +32'h412a0601,32'h3e99ec1d,32'h3ea03472, 32'h3e9535de,32'h3ea4eab2, 32'h3e8d5b74,32'h3eacc51c,// invsqrt(10.6265) = 0.3068 +32'h3f0291a0,32'h3fafa52b,32'h3fb6d07b, 32'h3faa44af,32'h3fbc30f7, 32'h3fa14e8b,32'h3fc5271b,// invsqrt(0.5100) = 1.4002 +32'h3fa9c267,32'h3f59d929,32'h3f62bd73, 32'h3f532df0,32'h3f6968ac, 32'h3f481093,32'h3f748609,// invsqrt(1.3262) = 0.8683 +32'h401610ca,32'h3f23d69c,32'h3f2a868e, 32'h3f1ed2a7,32'h3f2f8a83, 32'h3f1676b9,32'h3f37e671,// invsqrt(2.3448) = 0.6531 +32'h3e9bb5c5,32'h3fe376ca,32'h3fecbf8f, 32'h3fdc8038,32'h3ff3b622, 32'h3fd0e542,32'h3fff5118,// invsqrt(0.3041) = 1.8133 +32'h3e8cff8a,32'h3fef0959,32'h3ff8cb09, 32'h3fe7b815,32'h40000e27, 32'h3fdb85f9,32'h40062735,// invsqrt(0.2754) = 1.9056 +32'h3efd1ba8,32'h3fb268d7,32'h3fb9b10a, 32'h3facf2b2,32'h3fbf2730, 32'h3fa3d872,32'h3fc84170,// invsqrt(0.4944) = 1.4223 +32'h3f625ecb,32'h3f8565a7,32'h3f8ad784, 32'h3f815041,32'h3f8eece9, 32'h3f7503d9,32'h3f95bb3e,// invsqrt(0.8843) = 1.0634 +32'h3fed6ef0,32'h3f383458,32'h3f3fb918, 32'h3f3290c8,32'h3f455ca8, 32'h3f292ad9,32'h3f4ec297,// invsqrt(1.8549) = 0.7342 +32'h3ef507ea,32'h3fb55383,32'h3fbcba2f, 32'h3fafc681,32'h3fc24731, 32'h3fa6862a,32'h3fcb8788,// invsqrt(0.4786) = 1.4455 +32'h3f539500,32'h3f89faec,32'h3f8f9cac, 32'h3f85c19b,32'h3f93d5fd, 32'h3f7d6ed6,32'h3f9ae02d,// invsqrt(0.8265) = 1.1000 +32'h3e6522f9,32'h400496e2,32'h400a004f, 32'h400087d1,32'h400e0f61, 32'h3ff38813,32'h4014d328,// invsqrt(0.2238) = 2.1140 +32'h3fb72448,32'h3f51bcf6,32'h3f5a4c82, 32'h3f4b514c,32'h3f60b82c, 32'h3f409ddc,32'h3f6b6b9c,// invsqrt(1.4308) = 0.8360 +32'h3e88eed9,32'h3ff28f0b,32'h3ffc7589, 32'h3feb222d,32'h4001f134, 32'h3fdec20f,32'h40082142,// invsqrt(0.2674) = 1.9337 +32'h3f2422cb,32'h3f9ca89a,32'h3fa30d86, 32'h3f97dce9,32'h3fa7d937, 32'h3f8fdec2,32'h3fafd75e,// invsqrt(0.6412) = 1.2489 +32'h3f2c1fb4,32'h3f98faf5,32'h3f9f3972, 32'h3f944c18,32'h3fa3e850, 32'h3f8c7dfc,32'h3fabb66c,// invsqrt(0.6724) = 1.2195 +32'h40899d4e,32'h3ef1f51a,32'h3efbd550, 32'h3eea8cf2,32'h3f019ebc, 32'h3ede34b0,32'h3f07cadd,// invsqrt(4.3005) = 0.4822 +32'h3efc00ef,32'h3fb2cccf,32'h3fba1917, 32'h3fad539a,32'h3fbf924c, 32'h3fa43441,32'h3fc8b1a5,// invsqrt(0.4922) = 1.4254 +32'h4003bb9f,32'h3f2ede0f,32'h3f36013f, 32'h3f2983ab,32'h3f3b5ba3, 32'h3f2097b0,32'h3f44479e,// invsqrt(2.0583) = 0.6970 +32'h3f2b7837,32'h3f99459a,32'h3f9f8722, 32'h3f949473,32'h3fa43849, 32'h3f8cc288,32'h3fac0a34,// invsqrt(0.6698) = 1.2219 +32'h40ae7d09,32'h3ed6e03b,32'h3edfa577, 32'h3ed04c4e,32'h3ee63964, 32'h3ec555c3,32'h3ef12fef,// invsqrt(5.4528) = 0.4282 +32'h3f94c84d,32'h3f68b30a,32'h3f723282, 32'h3f61936f,32'h3f79521d, 32'h3f55b419,32'h3f8298ba,// invsqrt(1.1624) = 0.9275 +32'h3ee08e5e,32'h3fbd6998,32'h3fc524c2, 32'h3fb79d38,32'h3fcaf122, 32'h3fadf342,32'h3fd49b18,// invsqrt(0.4386) = 1.5100 +32'h3f687504,32'h3f83a397,32'h3f890315, 32'h3f7f37f0,32'h3f8d0ab4, 32'h3f71c934,32'h3f93c212,// invsqrt(0.9080) = 1.0494 +32'h3fe9419c,32'h3f39d8b3,32'h3f416e9b, 32'h3f342845,32'h3f471f09, 32'h3f2aace3,32'h3f509a6b,// invsqrt(1.8223) = 0.7408 +32'h40ccc525,32'h3ec65a40,32'h3ece72d4, 32'h3ec047d0,32'h3ed48544, 32'h3eb62916,32'h3edea3fe,// invsqrt(6.3991) = 0.3953 +32'h3f5c73a4,32'h3f872d08,32'h3f8cb17c, 32'h3f8309b2,32'h3f90d4d2, 32'h3f784844,32'h3f97ba62,// invsqrt(0.8611) = 1.0776 +32'h3f5e40bb,32'h3f86a087,32'h3f8c1f3e, 32'h3f82817d,32'h3f903e47, 32'h3f774630,32'h3f971cac,// invsqrt(0.8682) = 1.0732 +32'h3e329be3,32'h40162d67,32'h401c4e9b, 32'h40119481,32'h4020e781, 32'h4009eb01,32'h40289101,// invsqrt(0.1744) = 2.3944 +32'h3f13abb1,32'h3fa5295a,32'h3fabe720, 32'h3fa01b07,32'h3fb0f573, 32'h3f97add0,32'h3fb962aa,// invsqrt(0.5768) = 1.3167 +32'h3f836b7d,32'h3f77981f,32'h3f80d99d, 32'h3f7003ca,32'h3f84a3c7, 32'h3f6361e8,32'h3f8af4b8,// invsqrt(1.0267) = 0.9869 +32'h4025cac6,32'h3f1bdfc9,32'h3f223c83, 32'h3f171a3e,32'h3f27020e, 32'h3f0f2655,32'h3f2ef5f7,// invsqrt(2.5905) = 0.6213 +32'h3f1eeb33,32'h3f9f3595,32'h3fa5b529, 32'h3f9a55e7,32'h3faa94d7, 32'h3f92366f,32'h3fb2b44f,// invsqrt(0.6208) = 1.2692 +32'h40e67ad1,32'h3ebaf66a,32'h3ec297fc, 32'h3eb53d3d,32'h3ec85129, 32'h3eabb348,32'h3ed1db1f,// invsqrt(7.2025) = 0.3726 +32'h3ded7013,32'h403833e7,32'h403fb8a3, 32'h4032905b,32'h40455c2f, 32'h40292a71,32'h404ec219,// invsqrt(0.1159) = 2.9369 +32'h4100bd8f,32'h3eb0e357,32'h3eb81ba4, 32'h3eab791e,32'h3ebd85de, 32'h3ea272be,32'h3ec68c3e,// invsqrt(8.0463) = 0.3525 +32'h3f95d1b0,32'h3f67e495,32'h3f715ba1, 32'h3f60cb4d,32'h3f7874e9, 32'h3f54f67f,32'h3f8224dc,// invsqrt(1.1705) = 0.9243 +32'h3f11ab25,32'h3fa64aee,32'h3fad1484, 32'h3fa133bd,32'h3fb22bb5, 32'h3f98b7c0,32'h3fbaa7b2,// invsqrt(0.5690) = 1.3257 +32'h3f4b4abd,32'h3f8cc3f2,32'h3f9282cc, 32'h3f8874cd,32'h3f96d1f1, 32'h3f81463c,32'h3f9e0082,// invsqrt(0.7941) = 1.1222 +32'h3f8707e1,32'h3f7442e4,32'h3f7e3b2c, 32'h3f6cc8ae,32'h3f82dab1, 32'h3f605254,32'h3f8915de,// invsqrt(1.0549) = 0.9736 +32'h3eefea21,32'h3fb73fdd,32'h3fbebaa3, 32'h3fb1a3ca,32'h3fc456b6, 32'h3fa84a53,32'h3fcdb02d,// invsqrt(0.4686) = 1.4609 +32'h3fdc98b1,32'h3f3f1ad9,32'h3f46e7b3, 32'h3f394136,32'h3f4cc156, 32'h3f2f8125,32'h3f568167,// invsqrt(1.7234) = 0.7617 +32'h3fe3d77d,32'h3f3c0ab3,32'h3f43b78b, 32'h3f364911,32'h3f49792d, 32'h3f2cb102,32'h3f53113c,// invsqrt(1.7800) = 0.7495 +32'h3f28faf5,32'h3f9a658e,32'h3fa0b2d7, 32'h3f95ab96,32'h3fa56cce, 32'h3f8dcafa,32'h3fad4d6a,// invsqrt(0.6601) = 1.2308 +32'h3ff2a56e,32'h3f36370e,32'h3f3da704, 32'h3f30a315,32'h3f433afd, 32'h3f275722,32'h3f4c86f0,// invsqrt(1.8957) = 0.7263 +32'h3f204f8d,32'h3f9e843f,32'h3fa4fc95, 32'h3f99a9fe,32'h3fa9d6d6, 32'h3f919393,32'h3fb1ed41,// invsqrt(0.6262) = 1.2637 +32'h3ece5e22,32'h3fc59551,32'h3fcda5dc, 32'h3fbf88e8,32'h3fd3b244, 32'h3fb5743a,32'h3fddc6f2,// invsqrt(0.4031) = 1.5751 +32'h40363eb1,32'h3f14abf5,32'h3f1abd6d, 32'h3f101edb,32'h3f1f4a87, 32'h3f088906,32'h3f26e05c,// invsqrt(2.8476) = 0.5926 +32'h3f0fb90b,32'h3fa76a1f,32'h3fae3f6f, 32'h3fa24a23,32'h3fb35f6b, 32'h3f99bf80,32'h3fbbea0e,// invsqrt(0.5614) = 1.3346 +32'h3f336e05,32'h3f95d55d,32'h3f9bf2f9, 32'h3f913f29,32'h3fa0892d, 32'h3f899a27,32'h3fa82e2f,// invsqrt(0.7009) = 1.1945 +32'h3eab30e6,32'h3fd8ef7a,32'h3fe1ca3c, 32'h3fd24b6a,32'h3fe86e4c, 32'h3fc739f8,32'h3ff37fbe,// invsqrt(0.3344) = 1.7294 +32'h3d849fe1,32'h40767799,32'h40804377, 32'h406eec1a,32'h40840937, 32'h406258f0,32'h408a52cc,// invsqrt(0.0648) = 3.9296 +32'h3fad301e,32'h3f57ae60,32'h3f607c05, 32'h3f511423,32'h3f671641, 32'h3f461313,32'h3f721751,// invsqrt(1.3530) = 0.8597 +32'h3ef10933,32'h3fb6d29d,32'h3fbe48ed, 32'h3fb139e2,32'h3fc3e1a8, 32'h3fa7e5fe,32'h3fcd358c,// invsqrt(0.4708) = 1.4575 +32'h3f8eb4af,32'h3f6d9a21,32'h3f774cd4, 32'h3f66541b,32'h3f7e92db, 32'h3f5a34bc,32'h3f85591d,// invsqrt(1.1149) = 0.9471 +32'h3fa0dfbe,32'h3f5fc895,32'h3f68eae5, 32'h3f58eeda,32'h3f6fc4a0, 32'h3f4d83f7,32'h3f7b2f83,// invsqrt(1.2568) = 0.8920 +32'h3f714db7,32'h3f813416,32'h3f867a22, 32'h3f7a7f1c,32'h3f8a6eaa, 32'h3f6d4fff,32'h3f910638,// invsqrt(0.9426) = 1.0300 +32'h3f249e22,32'h3f9c6dde,32'h3fa2d065, 32'h3f97a3fa,32'h3fa79a4a, 32'h3f8fa8d2,32'h3faf9572,// invsqrt(0.6430) = 1.2470 +32'h3ebba36e,32'h3fcf35b2,32'h3fd7aad3, 32'h3fc8ddd8,32'h3fde02ac, 32'h3fbe4b6f,32'h3fe89515,// invsqrt(0.3665) = 1.6519 +32'h3f2b79aa,32'h3f9944f4,32'h3f9f8676, 32'h3f9493d2,32'h3fa43798, 32'h3f8cc1f0,32'h3fac097a,// invsqrt(0.6698) = 1.2219 +32'h404db4d7,32'h3f0befd6,32'h3f11a608, 32'h3f07a730,32'h3f15eeae, 32'h3f008371,32'h3f1d126d,// invsqrt(3.2142) = 0.5578 +32'h3f272b23,32'h3f9b3b2b,32'h3fa1912d, 32'h3f967aaa,32'h3fa651ae, 32'h3f8e8f28,32'h3fae3d30,// invsqrt(0.6530) = 1.2375 +32'h3e2862bf,32'h401aab46,32'h4020fb68, 32'h4015ef2c,32'h4025b782, 32'h400e0b02,32'h402d9bac,// invsqrt(0.1644) = 2.4660 +32'h4046a655,32'h3f0e6692,32'h3f143684, 32'h3f0a0a9e,32'h3f189278, 32'h3f02c6b0,32'h3f1fd666,// invsqrt(3.1039) = 0.5676 +32'h3fb1a26f,32'h3f54f6ee,32'h3f5da832, 32'h3f4e71fc,32'h3f642d24, 32'h3f439468,32'h3f6f0ab8,// invsqrt(1.3878) = 0.8489 +32'h3fa84d31,32'h3f5aca2e,32'h3f63b84f, 32'h3f541794,32'h3f6a6ae8, 32'h3f48edeb,32'h3f759491,// invsqrt(1.3149) = 0.8721 +32'h3f895dc5,32'h3f722d09,32'h3f7c0f87, 32'h3f6ac32b,32'h3f81bcb3, 32'h3f5e680e,32'h3f87ea41,// invsqrt(1.0732) = 0.9653 +32'h3f2230a8,32'h3f9d9875,32'h3fa4072b, 32'h3f98c56c,32'h3fa8da34, 32'h3f90bb08,32'h3fb0e498,// invsqrt(0.6336) = 1.2563 +32'h3e236f25,32'h401cfe9c,32'h4023670a, 32'h40183048,32'h4028355e, 32'h40102dbe,32'h403037e8,// invsqrt(0.1596) = 2.5031 +32'h411975c3,32'h3ea20425,32'h3ea8a10d, 32'h3e9d0e78,32'h3ead96ba, 32'h3e94ca56,32'h3eb5dadc,// invsqrt(9.5913) = 0.3229 +32'h3fe37d31,32'h3f3c3001,32'h3f43de5f, 32'h3f366d3a,32'h3f49a126, 32'h3f2cd345,32'h3f533b1b,// invsqrt(1.7773) = 0.7501 +32'h3ec5f317,32'h3fc9bda5,32'h3fd1f9a1, 32'h3fc390a8,32'h3fd8269e, 32'h3fb945ac,32'h3fe2719a,// invsqrt(0.3866) = 1.6083 +32'h3f35e3ee,32'h3f94d109,32'h3f9ae404, 32'h3f9042cc,32'h3f9f7240, 32'h3f88ab12,32'h3fa709fa,// invsqrt(0.7105) = 1.1864 +32'h3e3bfabe,32'h401262ed,32'h40185c84, 32'h400de7bd,32'h401cd7b5, 32'h40066fc0,32'h40244fb2,// invsqrt(0.1836) = 2.3340 +32'h3f687146,32'h3f83a4a6,32'h3f890430, 32'h3f7f39fe,32'h3f8d0bd7, 32'h3f71cb27,32'h3f93c342,// invsqrt(0.9080) = 1.0495 +32'h3f4cdc5c,32'h3f8c39b2,32'h3f91f2e8, 32'h3f87eec9,32'h3f963dd1, 32'h3f80c745,32'h3f9d6555,// invsqrt(0.8002) = 1.1179 +32'h3fc1e9cf,32'h3f4bd45f,32'h3f54262f, 32'h3f459703,32'h3f5a638b, 32'h3f3b30c0,32'h3f64c9ce,// invsqrt(1.5149) = 0.8125 +32'h3f836fc9,32'h3f779413,32'h3f80d782, 32'h3f6fffde,32'h3f84a19c, 32'h3f635e30,32'h3f8af273,// invsqrt(1.0268) = 0.9868 +32'h3ec67fd2,32'h3fc97615,32'h3fd1af25, 32'h3fc34b48,32'h3fd7d9f2, 32'h3fb903f4,32'h3fe22146,// invsqrt(0.3877) = 1.6060 +32'h3faf61f7,32'h3f5653d0,32'h3f5f1350, 32'h3f4fc42f,32'h3f65a2f1, 32'h3f44d4ce,32'h3f709252,// invsqrt(1.3702) = 0.8543 +32'h3f55cd49,32'h3f894311,32'h3f8edd51, 32'h3f850f62,32'h3f931100, 32'h3f7c1d25,32'h3f9a11d0,// invsqrt(0.8352) = 1.0942 +32'h4087807e,32'h3ef3d615,32'h3efdc9ed, 32'h3eec5f34,32'h3f02a067, 32'h3edfee67,32'h3f08d8cd,// invsqrt(4.2344) = 0.4860 +32'h3d37b9c1,32'h40941247,32'h409a1d79, 32'h408f89e2,32'h409ea5de, 32'h4087fbe3,32'h40a633dd,// invsqrt(0.0449) = 4.7217 +32'h419888f2,32'h3e65d1b9,32'h3e6f3319, 32'h3e5ec8b1,32'h3e763c21, 32'h3e530ef8,32'h3e80faed,// invsqrt(19.0669) = 0.2290 +32'h3f398662,32'h3f935a03,32'h3f995daf, 32'h3f8ed741,32'h3f9de071, 32'h3f8752aa,32'h3fa56508,// invsqrt(0.7247) = 1.1747 +32'h40fa69ba,32'h3eb35df5,32'h3ebab029, 32'h3eade04e,32'h3ec02dd0, 32'h3ea4b98e,32'h3ec95491,// invsqrt(7.8254) = 0.3575 +32'h3fa4897b,32'h3f5d474b,32'h3f664f6d, 32'h3f568131,32'h3f6d1587, 32'h3f4b3706,32'h3f785fb2,// invsqrt(1.2854) = 0.8820 +32'h3f0b33e0,32'h3faa1c68,32'h3fb10de4, 32'h3fa4e74a,32'h3fb64302, 32'h3f9c396f,32'h3fbef0dd,// invsqrt(0.5438) = 1.3561 +32'h3e30df9f,32'h4016e98c,32'h401d126e, 32'h40124ae4,32'h4021b116, 32'h400a97ca,32'h40296430,// invsqrt(0.1727) = 2.4061 +32'h40acbcf7,32'h3ed7f637,32'h3ee0c6cc, 32'h3ed159c8,32'h3ee7633c, 32'h3ec6550f,32'h3ef267f5,// invsqrt(5.3981) = 0.4304 +32'h3fa2b42b,32'h3f5e8589,32'h3f679aa8, 32'h3f57b5b0,32'h3f6e6a80, 32'h3f4c5b49,32'h3f79c4e7,// invsqrt(1.2711) = 0.8870 +32'h3f8c8093,32'h3f6f7541,32'h3f793b59, 32'h3f6820b0,32'h3f8047f5, 32'h3f5be912,32'h3f8663c4,// invsqrt(1.0977) = 0.9545 +32'h40fbb6f9,32'h3eb2e712,32'h3eba346c, 32'h3ead6d0f,32'h3ebfae6f, 32'h3ea44c5f,32'h3ec8cf1f,// invsqrt(7.8661) = 0.3566 +32'h3fe6e578,32'h3f3acb37,32'h3f426b05, 32'h3f35135c,32'h3f4822e0, 32'h3f2b8b9b,32'h3f51aaa1,// invsqrt(1.8039) = 0.7446 +32'h3f0e3501,32'h3fa84ded,32'h3faf2c89, 32'h3fa326f8,32'h3fb4537e, 32'h3f9a90b5,32'h3fbce9c1,// invsqrt(0.5555) = 1.3417 +32'h3f6ef0a6,32'h3f81d746,32'h3f8723fa, 32'h3f7bbb7d,32'h3f8b1d82, 32'h3f6e7bba,32'h3f91bd63,// invsqrt(0.9334) = 1.0351 +32'h3fd0e943,32'h3f446076,32'h3f4c6466, 32'h3f3e5d82,32'h3f52675a, 32'h3f345896,32'h3f5c6c46,// invsqrt(1.6321) = 0.7828 +32'h3ee2041c,32'h3fbcccbc,32'h3fc4817f, 32'h3fb70528,32'h3fca4912, 32'h3fad6334,32'h3fd3eb06,// invsqrt(0.4414) = 1.5051 +32'h3f3d6e18,32'h3f91d32a,32'h3f97c6e3, 32'h3f8d5c60,32'h3f9c3dae, 32'h3f85ebba,32'h3fa3ae54,// invsqrt(0.7400) = 1.1625 +32'h3f936ff0,32'h3f69c22d,32'h3f734cb7, 32'h3f629a46,32'h3f7a749e, 32'h3f56ad1a,32'h3f8330e5,// invsqrt(1.1519) = 0.9318 +32'h3f0489f4,32'h3fae55bd,32'h3fb5735d, 32'h3fa8ff86,32'h3fbac994, 32'h3fa01a7f,32'h3fc3ae9b,// invsqrt(0.5177) = 1.3898 +32'h3ff93292,32'h3f33cdce,32'h3f3b2492, 32'h3f2e4cba,32'h3f40a5a6, 32'h3f252045,32'h3f49d21b,// invsqrt(1.9469) = 0.7167 +32'h403c1268,32'h3f1259b7,32'h3f1852ee, 32'h3f0ddecf,32'h3f1ccdd7, 32'h3f06674b,32'h3f24455b,// invsqrt(2.9386) = 0.5833 +32'h3f2c9e84,32'h3f98c2b9,32'h3f9efeeb, 32'h3f941594,32'h3fa3ac10, 32'h3f8c4a57,32'h3fab774d,// invsqrt(0.6743) = 1.2178 +32'h3fa0d794,32'h3f5fce43,32'h3f68f0cd, 32'h3f58f45b,32'h3f6fcab5, 32'h3f4d892e,32'h3f7b35e2,// invsqrt(1.2566) = 0.8921 +32'h3f64080d,32'h3f84e90a,32'h3f8a55d0, 32'h3f80d774,32'h3f8e6766, 32'h3f741ef7,32'h3f952f5e,// invsqrt(0.8907) = 1.0596 +32'h3ea6daee,32'h3fdbbc69,32'h3fe4b46d, 32'h3fd50266,32'h3feb6e70, 32'h3fc9cc60,32'h3ff6a476,// invsqrt(0.3259) = 1.7517 +32'h3fe52333,32'h3f3b8265,32'h3f4329ad, 32'h3f35c4ef,32'h3f48e723, 32'h3f2c33d5,32'h3f52783d,// invsqrt(1.7901) = 0.7474 +32'h40283e5c,32'h3f1abbff,32'h3f210ccf, 32'h3f15ff62,32'h3f25c96c, 32'h3f0e1a5d,32'h3f2dae71,// invsqrt(2.6288) = 0.6168 +32'h3ea520a9,32'h3fdce1e9,32'h3fe5e5e8, 32'h3fd61ee9,32'h3feca8e7, 32'h3fcad9ea,32'h3ff7ede6,// invsqrt(0.3225) = 1.7609 +32'h3f9ab9e9,32'h3f642fa1,32'h3f6d7ff0, 32'h3f5d3364,32'h3f747c2c, 32'h3f518f01,32'h3f801048,// invsqrt(1.2088) = 0.9095 +32'h3f059aa8,32'h3fada377,32'h3fb4b9cf, 32'h3fa852b4,32'h3fba0a92, 32'h3f9f76c6,32'h3fc2e680,// invsqrt(0.5219) = 1.3842 +32'h3ee56519,32'h3fbb6774,32'h3fc30da3, 32'h3fb5aad2,32'h3fc8ca46, 32'h3fac1b18,32'h3fd25a00,// invsqrt(0.4480) = 1.4940 +32'h3f05bf1b,32'h3fad8bcc,32'h3fb4a12d, 32'h3fa83bc3,32'h3fb9f135, 32'h3f9f6109,32'h3fc2cbef,// invsqrt(0.5224) = 1.3835 +32'h3f9d9dfc,32'h3f621570,32'h3f6b4fc8, 32'h3f5b29ae,32'h3f723b8a, 32'h3f4fa0c0,32'h3f7dc478,// invsqrt(1.2314) = 0.9012 +32'h3f5ce2ed,32'h3f870af7,32'h3f8c8e06, 32'h3f82e8ab,32'h3f90b051, 32'h3f7809b0,32'h3f979424,// invsqrt(0.8628) = 1.0766 +32'h3f1beff0,32'h3fa0b961,32'h3fa748c8, 32'h3f9bcdd3,32'h3fac3455, 32'h3f939a92,32'h3fb46796,// invsqrt(0.6091) = 1.2813 +32'h3c6abfd5,32'h4102fea7,32'h41085769, 32'h40fdf829,32'h410c59fc, 32'h40f09a42,32'h411308ef,// invsqrt(0.0143) = 8.3543 +32'h3f41e6f5,32'h3f902228,32'h3f960434, 32'h3f8bb89f,32'h3f9a6dbd, 32'h3f845e10,32'h3fa1c84c,// invsqrt(0.7574) = 1.1490 +32'h3e9721de,32'h3fe6e21b,32'h3ff04e9a, 32'h3fdfd0bd,32'h3ff75ff9, 32'h3fd4091f,32'h400193cc,// invsqrt(0.2952) = 1.8406 +32'h3f8ff32b,32'h3f6c92b7,32'h3f763aaa, 32'h3f6554c2,32'h3f7d78a0, 32'h3f5942d2,32'h3f84c548,// invsqrt(1.1246) = 0.9430 +32'h3e527163,32'h400a5a64,32'h4010000a, 32'h40061e27,32'h40143c47, 32'h3ffe1e30,32'h401b4b56,// invsqrt(0.2055) = 2.2059 +32'h3f3e221d,32'h3f918e11,32'h3f977ef8, 32'h3f8d1965,32'h3f9bf3a5, 32'h3f85ac44,32'h3fa360c6,// invsqrt(0.7427) = 1.1604 +32'h3f9b0cd7,32'h3f63f292,32'h3f6d4064, 32'h3f5cf835,32'h3f743ac1, 32'h3f5156ef,32'h3f7fdc07,// invsqrt(1.2113) = 0.9086 +32'h3e901e24,32'h3fec6f70,32'h3ff615f1, 32'h3fe5328e,32'h3ffd52d2, 32'h3fd9226b,32'h4004b17a,// invsqrt(0.2815) = 1.8848 +32'h3f30c98a,32'h3f96f2f9,32'h3f9d1c3c, 32'h3f925406,32'h3fa1bb2e, 32'h3f8aa071,32'h3fa96ec3,// invsqrt(0.6906) = 1.2034 +32'h3e6d7a7d,32'h40023d67,32'h40078e47, 32'h3ffc817f,32'h400b8aef, 32'h3fef3750,32'h40123006,// invsqrt(0.2319) = 2.0765 +32'h3fa63635,32'h3f5c2930,32'h3f6525a4, 32'h3f556bd8,32'h3f6be2fc, 32'h3f4a3046,32'h3f771e8e,// invsqrt(1.2985) = 0.8776 +32'h40a242df,32'h3eded32b,32'h3ee7eb75, 32'h3ed800f2,32'h3eeebdae, 32'h3ecca295,32'h3efa1c0b,// invsqrt(5.0707) = 0.4441 +32'h3f965232,32'h3f678161,32'h3f70f45f, 32'h3f606b22,32'h3f780a9e, 32'h3f549b63,32'h3f81ed2e,// invsqrt(1.1744) = 0.9228 +32'h3fd2f977,32'h3f436a08,32'h3f4b63e8, 32'h3f3d6e9f,32'h3f515f51, 32'h3f337646,32'h3f5b57aa,// invsqrt(1.6482) = 0.7789 +32'h3f66859f,32'h3f8430bd,32'h3f8995ff, 32'h3f8024cc,32'h3f8da1f0, 32'h3f72cc76,32'h3f946081,// invsqrt(0.9005) = 1.0538 +32'h40a93ad4,32'h3eda305a,32'h3ee31834, 32'h3ed38277,32'h3ee9c617, 32'h3ec860a6,32'h3ef4e7e8,// invsqrt(5.2884) = 0.4348 +32'h3f505c09,32'h3f8b0b07,32'h3f90b7e3, 32'h3f86c962,32'h3f94f988, 32'h3f7f629f,32'h3f9c119a,// invsqrt(0.8139) = 1.1084 +32'h40a4884d,32'h3edd4816,32'h3ee65040, 32'h3ed681f6,32'h3eed1660, 32'h3ecb37c0,32'h3ef86096,// invsqrt(5.1416) = 0.4410 +32'h3e7d9a5c,32'h3ffc1018,32'h40032cf2, 32'h3ff458be,32'h4007089f, 32'h3fe77c7e,32'h400d76bf,// invsqrt(0.2477) = 2.0094 +32'h3fc67a91,32'h3f4978bf,32'h3f51b1ec, 32'h3f434dde,32'h3f57dcce, 32'h3f390667,32'h3f622445,// invsqrt(1.5506) = 0.8031 +32'h3f6eab21,32'h3f81ea2e,32'h3f8737a8, 32'h3f7be025,32'h3f8b31c4, 32'h3f6e9e74,32'h3f91d29c,// invsqrt(0.9323) = 1.0357 +32'h40b3c882,32'h3ed3b027,32'h3edc5413, 32'h3ecd3535,32'h3ee2cf05, 32'h3ec2684d,32'h3eed9bed,// invsqrt(5.6182) = 0.4219 +32'h3f0fca3e,32'h3fa7601b,32'h3fae3502, 32'h3fa2406e,32'h3fb354b0, 32'h3f99b64e,32'h3fbbded1,// invsqrt(0.5617) = 1.3343 +32'h4045c61c,32'h3f0eb734,32'h3f148a70, 32'h3f0a58c8,32'h3f18e8dc, 32'h3f0310bd,32'h3f2030e7,// invsqrt(3.0902) = 0.5689 +32'h3f0e3b5b,32'h3fa84a2b,32'h3faf289f, 32'h3fa32353,32'h3fb44f77, 32'h3f9a8d41,32'h3fbce589,// invsqrt(0.5556) = 1.3416 +32'h3f9dae3e,32'h3f6209c8,32'h3f6b43a6, 32'h3f5b1e61,32'h3f722f0d, 32'h3f4f960b,32'h3f7db763,// invsqrt(1.2319) = 0.9010 +32'h3ed80fa0,32'h3fc119a9,32'h3fc8fb5d, 32'h3fbb3063,32'h3fcee4a3, 32'h3fb15643,32'h3fd8bec3,// invsqrt(0.4220) = 1.5394 +32'h3fc6d00b,32'h3f494d6c,32'h3f5184d3, 32'h3f4323dd,32'h3f57ae61, 32'h3f38de9c,32'h3f61f3a2,// invsqrt(1.5532) = 0.8024 +32'h3ea36ac8,32'h3fde0911,32'h3fe7191d, 32'h3fd73d09,32'h3fede525, 32'h3fcbe8fb,32'h3ff93933,// invsqrt(0.3192) = 1.7701 +32'h3f7ec2a9,32'h3f7b7d58,32'h3f82e094, 32'h3f73ca7d,32'h3f86ba02, 32'h3f66f5ba,32'h3f8d2463,// invsqrt(0.9952) = 1.0024 +32'h3f048d63,32'h3fae537b,32'h3fb57103, 32'h3fa8fd55,32'h3fbac729, 32'h3fa0186c,32'h3fc3ac12,// invsqrt(0.5178) = 1.3897 +32'h3ef75c9f,32'h3fb47849,32'h3fbbd603, 32'h3faef1fe,32'h3fc15c4e, 32'h3fa5bcd5,32'h3fca9177,// invsqrt(0.4831) = 1.4387 +32'h3eddeef9,32'h3fbe8742,32'h3fc64e16, 32'h3fb8b223,32'h3fcc2335, 32'h3faef99b,32'h3fd5dbbd,// invsqrt(0.4335) = 1.5189 +32'h401530b9,32'h3f245176,32'h3f2b066c, 32'h3f1f49bf,32'h3f300e23, 32'h3f16e78c,32'h3f387056,// invsqrt(2.3311) = 0.6550 +32'h3f81de7f,32'h3f79116e,32'h3f819df7, 32'h3f71718c,32'h3f856de8, 32'h3f64bc69,32'h3f8bc879,// invsqrt(1.0146) = 0.9928 +32'h40e7cab0,32'h3eba6ec4,32'h3ec20acc, 32'h3eb4b9be,32'h3ec7bfd2, 32'h3eab36b4,32'h3ed142dc,// invsqrt(7.2435) = 0.3716 +32'h3fc711bc,32'h3f492c32,32'h3f51623e, 32'h3f4303a8,32'h3f578ac8, 32'h3f38c019,32'h3f61ce57,// invsqrt(1.5552) = 0.8019 +32'h3ff922de,32'h3f33d378,32'h3f3b2a78, 32'h3f2e5238,32'h3f40abb8, 32'h3f252579,32'h3f49d877,// invsqrt(1.9464) = 0.7168 +32'h3d0e0c3c,32'h40a86613,32'h40af45ab, 32'h40a33e61,32'h40b46d5d, 32'h409aa6e2,32'h40bd04dc,// invsqrt(0.0347) = 5.3699 +32'h3f854514,32'h3f75dea8,32'h3f7fe7be, 32'h3f6e57d7,32'h3f83b748, 32'h3f61cc7b,32'h3f89fcf6,// invsqrt(1.0412) = 0.9800 +32'h3f8209c0,32'h3f78e7fe,32'h3f818867, 32'h3f714961,32'h3f8557b6, 32'h3f64965c,32'h3f8bb138,// invsqrt(1.0159) = 0.9921 +32'h405cf68e,32'h3f0704f7,32'h3f0c87c7, 32'h3f02e2da,32'h3f10a9e4, 32'h3ef7feab,32'h3f178d68,// invsqrt(3.4525) = 0.5382 +32'h3f1a7415,32'h3fa17e8b,32'h3fa815ff, 32'h3f9c8cf5,32'h3fad0795, 32'h3f944fa4,32'h3fb544e6,// invsqrt(0.6033) = 1.2874 +32'h3ee8402a,32'h3fba3f98,32'h3fc1d9b3, 32'h3fb48c03,32'h3fc78d47, 32'h3fab0b61,32'h3fd10de9,// invsqrt(0.4536) = 1.4848 +32'h3f938b97,32'h3f69ac44,32'h3f7335e9, 32'h3f628509,32'h3f7a5d25, 32'h3f5698fb,32'h3f832499,// invsqrt(1.1527) = 0.9314 +32'h3e02ba54,32'h402f89d0,32'h4036b403, 32'h402a2a2b,32'h403c13a9, 32'h4021356c,32'h40450868,// invsqrt(0.1277) = 2.7988 +32'h3e8ca86f,32'h3fef5351,32'h3ff91806, 32'h3fe7ffca,32'h400035c7, 32'h3fdbc9e8,32'h400650b8,// invsqrt(0.2747) = 1.9079 +32'h407ee8c4,32'h3efb6a8b,32'h3f02d6cb, 32'h3ef3b842,32'h3f06afef, 32'h3ee6e475,32'h3f0d19d6,// invsqrt(3.9830) = 0.5011 +32'h3f5daee7,32'h3f86ccc7,32'h3f8c4d4d, 32'h3f82ac63,32'h3f906db1, 32'h3f779778,32'h3f974e58,// invsqrt(0.8660) = 1.0746 +32'h3f1c0c47,32'h3fa0aac8,32'h3fa73996, 32'h3f9bbfad,32'h3fac24b1, 32'h3f938d2a,32'h3fb45734,// invsqrt(0.6096) = 1.2808 +32'h403c2eb3,32'h3f124eb6,32'h3f18477a, 32'h3f0dd424,32'h3f1cc20c, 32'h3f065d2f,32'h3f243901,// invsqrt(2.9404) = 0.5832 +32'h40c0c92b,32'h3ecc6cbd,32'h3ed4c4c5, 32'h3ec62ab7,32'h3edb06cb, 32'h3ebbbcae,32'h3ee574d4,// invsqrt(6.0246) = 0.4074 +32'h3ed199ec,32'h3fc40da3,32'h3fcc0e31, 32'h3fbe0d38,32'h3fd20e9c, 32'h3fb40c86,32'h3fdc0f4e,// invsqrt(0.4094) = 1.5629 +32'h3fca821a,32'h3f47750b,32'h3f4f992b, 32'h3f4159f3,32'h3f55b443, 32'h3f372ccc,32'h3f5fe16b,// invsqrt(1.5821) = 0.7950 +32'h3f93e8f5,32'h3f696278,32'h3f72e91a, 32'h3f623d7f,32'h3f7a0e13, 32'h3f565535,32'h3f82fb2f,// invsqrt(1.1555) = 0.9303 +32'h40b0aaa3,32'h3ed58c15,32'h3ede436f, 32'h3ecf0292,32'h3ee4ccf2, 32'h3ec41d62,32'h3eefb222,// invsqrt(5.5208) = 0.4256 +32'h3f3986e6,32'h3f9359cf,32'h3f995d79, 32'h3f8ed70f,32'h3f9de039, 32'h3f87527a,32'h3fa564ce,// invsqrt(0.7247) = 1.1747 +32'h3fa9bcc0,32'h3f59dcc9,32'h3f62c13a, 32'h3f533175,32'h3f696c8f, 32'h3f4813e8,32'h3f748a1c,// invsqrt(1.3261) = 0.8684 +32'h4166a00d,32'h3e84292a,32'h3e898e1c, 32'h3e801d74,32'h3e8d99d2, 32'h3e72be8c,32'h3e945800,// invsqrt(14.4141) = 0.2634 +32'h3e1cc188,32'h40204dc9,32'h4026d8cc, 32'h401b6587,32'h402bc10f, 32'h401337c4,32'h4033eed3,// invsqrt(0.1531) = 2.5559 +32'h3f90f00b,32'h3f6bc3fd,32'h3f75637f, 32'h3f648c5b,32'h3f7c9b21, 32'h3f5884f8,32'h3f845142,// invsqrt(1.1323) = 0.9398 +32'h3f9c904a,32'h3f62d7d6,32'h3f6c1a1e, 32'h3f5be621,32'h3f730bd3, 32'h3f505347,32'h3f7e9ead,// invsqrt(1.2232) = 0.9042 +32'h4002a64b,32'h3f2f9746,32'h3f36c204, 32'h3f2a3736,32'h3f3c2214, 32'h3f2141c8,32'h3f451782,// invsqrt(2.0414) = 0.6999 +32'h3f2c7e79,32'h3f98d0e9,32'h3f9f0daf, 32'h3f942355,32'h3fa3bb43, 32'h3f8c575e,32'h3fab873a,// invsqrt(0.6738) = 1.2182 +32'h3fd3b964,32'h3f431161,32'h3f4b07a4, 32'h3f3d18b0,32'h3f510056, 32'h3f3324dc,32'h3f5af42a,// invsqrt(1.6541) = 0.7775 +32'h427b1355,32'h3dfd5411,32'h3e03d58b, 32'h3df592cd,32'h3e07b62e, 32'h3de8a605,32'h3e0e2c91,// invsqrt(62.7689) = 0.1262 +32'h3eb39d96,32'h3fd3c970,32'h3fdc6e65, 32'h3fcd4db9,32'h3fe2ea1d, 32'h3fc27f87,32'h3fedb84f,// invsqrt(0.3508) = 1.6884 +32'h40cd20de,32'h3ec62de2,32'h3ece44a8, 32'h3ec01cce,32'h3ed455bc, 32'h3eb60058,32'h3ede7232,// invsqrt(6.4103) = 0.3950 +32'h3e3f9f85,32'h4010fcee,32'h4016e7e8, 32'h400c8cb2,32'h401b5824, 32'h400526fa,32'h4022bddc,// invsqrt(0.1871) = 2.3117 +32'h3f82987d,32'h3f785fd2,32'h3f81418a, 32'h3f70c560,32'h3f850ec3, 32'h3f64194e,32'h3f8b64cc,// invsqrt(1.0203) = 0.9900 +32'h3e5faf39,32'h40063210,32'h400bac44, 32'h40021668,32'h400fc7ec, 32'h3ff67b4c,32'h4016a0ae,// invsqrt(0.2184) = 2.1396 +32'h3edf8666,32'h3fbdd94e,32'h3fc59909, 32'h3fb80983,32'h3fcb68d5, 32'h3fae59db,32'h3fd5187d,// invsqrt(0.4366) = 1.5135 +32'h3e41b4dc,32'h401034ca,32'h40161798, 32'h400bcaaf,32'h401a81b3, 32'h40046f2c,32'h4021dd36,// invsqrt(0.1892) = 2.2992 +32'h3ed932fc,32'h3fc097f9,32'h3fc87461, 32'h3fbab2ab,32'h3fce59af, 32'h3fb0df28,32'h3fd82d32,// invsqrt(0.4242) = 1.5353 +32'h4071506e,32'h3f01335c,32'h3f067960, 32'h3efa7db3,32'h3f0a6de3, 32'h3eed4ea9,32'h3f110567,// invsqrt(3.7705) = 0.5150 +32'h4000b3ad,32'h3f30ea22,32'h3f3822b6, 32'h3f2b7fb3,32'h3f3d8d25, 32'h3f2278fb,32'h3f4693dd,// invsqrt(2.0110) = 0.7052 +32'h3ecd2e20,32'h3fc6277b,32'h3fce3dfd, 32'h3fc01699,32'h3fd44edf, 32'h3fb5fa76,32'h3fde6b02,// invsqrt(0.4007) = 1.5797 +32'h3dbc1f6c,32'h404ef15d,32'h405763b5, 32'h40489b9c,32'h405db976, 32'h403e0cae,32'h40684864,// invsqrt(0.0919) = 3.2995 +32'h3f77eb5d,32'h3f7eef8f,32'h3f84abb0, 32'h3f7721b2,32'h3f88929f, 32'h3f6a1fec,32'h3f8f1382,// invsqrt(0.9684) = 1.0162 +32'h3f3a3663,32'h3f931450,32'h3f991524, 32'h3f8e93b1,32'h3f9d95c3, 32'h3f8712a7,32'h3fa516cd,// invsqrt(0.7274) = 1.1725 +32'h3f9408e0,32'h3f69494e,32'h3f72cee8, 32'h3f62251a,32'h3f79f31c, 32'h3f563e18,32'h3f82ed0f,// invsqrt(1.1565) = 0.9299 +32'h3f116039,32'h3fa675c2,32'h3fad4118, 32'h3fa15d41,32'h3fb25999, 32'h3f98df15,32'h3fbad7c5,// invsqrt(0.5679) = 1.3270 +32'h3f3ef398,32'h3f913e25,32'h3f972bc8, 32'h3f8ccbea,32'h3f9b9e02, 32'h3f8562dd,32'h3fa3070f,// invsqrt(0.7459) = 1.1579 +32'h3f3dcc48,32'h3f91aef7,32'h3f97a135, 32'h3f8d3948,32'h3f9c16e4, 32'h3f85ca7a,32'h3fa385b2,// invsqrt(0.7414) = 1.1614 +32'h3e8510d7,32'h3ff60ee6,32'h40000cfa, 32'h3fee869b,32'h4003d120, 32'h3fe1f8c8,32'h400a1809,// invsqrt(0.2599) = 1.9616 +32'h3ed46bd4,32'h3fc2bf62,32'h3fcab24c, 32'h3fbcc933,32'h3fd0a87b, 32'h3fb2d98e,32'h3fda9820,// invsqrt(0.4149) = 1.5525 +32'h3fd739fc,32'h3f417968,32'h3f495f04, 32'h3f3b8d33,32'h3f4f4b39, 32'h3f31ae31,32'h3f592a3b,// invsqrt(1.6815) = 0.7712 +32'h4149caab,32'h3e8d49a8,32'h3e930df8, 32'h3e88f66c,32'h3e976134, 32'h3e81c108,32'h3e9e9698,// invsqrt(12.6120) = 0.2816 +32'h3f75faec,32'h3f7ff04f,32'h3f85314e, 32'h3f781a96,32'h3f891c2b, 32'h3f6b0bb7,32'h3f8fa39a,// invsqrt(0.9609) = 1.0202 +32'h3f4258d1,32'h3f8ff7e9,32'h3f95d83b, 32'h3f8b8fab,32'h3f9a4079, 32'h3f843743,32'h3fa198e1,// invsqrt(0.7592) = 1.1477 +32'h3e0fba9b,32'h40276936,32'h402e3e7c, 32'h40224941,32'h40335e71, 32'h4019beaa,32'h403be908,// invsqrt(0.1404) = 2.6692 +32'h3f4dc3aa,32'h3f8beacb,32'h3f91a0c9, 32'h3f87a24d,32'h3f95e947, 32'h3f807ecf,32'h3f9d0cc5,// invsqrt(0.8038) = 1.1154 +32'h3f47630f,32'h3f8e231e,32'h3f93f04e, 32'h3f89c93a,32'h3f984a32, 32'h3f8288bd,32'h3f9f8aaf,// invsqrt(0.7789) = 1.1331 +32'h3e8ee054,32'h3fed75d4,32'h3ff7270c, 32'h3fe630ea,32'h3ffe6bf6, 32'h3fda1365,32'h400544be,// invsqrt(0.2791) = 1.8930 +32'h3fd70cbe,32'h3f418dc1,32'h3f497431, 32'h3f3ba0ed,32'h3f4f6105, 32'h3f31c0e0,32'h3f594112,// invsqrt(1.6801) = 0.7715 +32'h3f6d84f8,32'h3f823a88,32'h3f878b49, 32'h3f7c7bec,32'h3f8b87da, 32'h3f6f3208,32'h3f922ccc,// invsqrt(0.9278) = 1.0382 +32'h3f87681e,32'h3f73ec07,32'h3f7de0c3, 32'h3f6c747a,32'h3f82ac28, 32'h3f60028e,32'h3f88e51e,// invsqrt(1.0579) = 0.9723 +32'h3e4d3988,32'h400c19da,32'h4011d1c4, 32'h4007cfeb,32'h40161bb3, 32'h4000aa07,32'h401d4197,// invsqrt(0.2004) = 2.2338 +32'h3f5544c7,32'h3f896ef8,32'h3f8f0b02, 32'h3f8539f0,32'h3f93400a, 32'h3f7c6dc7,32'h3f9a4316,// invsqrt(0.8331) = 1.0956 +32'h3f135d52,32'h3fa5553f,32'h3fac14cf, 32'h3fa04593,32'h3fb1247b, 32'h3f97d620,32'h3fb993ee,// invsqrt(0.5756) = 1.3180 +32'h40c58f5a,32'h3ec9f08c,32'h3ed22e9c, 32'h3ec3c200,32'h3ed85d28, 32'h3eb9746c,32'h3ee2aabc,// invsqrt(6.1737) = 0.4025 +32'h3fb8da5e,32'h3f50c3da,32'h3f59493c, 32'h3f4a5fd1,32'h3f5fad45, 32'h3f3fb917,32'h3f6a53ff,// invsqrt(1.4442) = 0.8321 +32'h3ea91a5c,32'h3fda454c,32'h3fe32e00, 32'h3fd396c4,32'h3fe9dc88, 32'h3fc873e2,32'h3ff4ff6a,// invsqrt(0.3303) = 1.7400 +32'h3f35ce24,32'h3f94d9f3,32'h3f9aed4b, 32'h3f904b71,32'h3f9f7bcd, 32'h3f88b342,32'h3fa713fc,// invsqrt(0.7102) = 1.1866 +32'h3db23a8b,32'h40549bfa,32'h405d4986, 32'h404e19d0,32'h4063cbb0, 32'h404340e0,32'h406ea4a0,// invsqrt(0.0870) = 3.3898 +32'h3f19a620,32'h3fa1eaa4,32'h3fa88680, 32'h3f9cf5be,32'h3fad7b66, 32'h3f94b2ea,32'h3fb5be3a,// invsqrt(0.6002) = 1.2908 +32'h3e39af7b,32'h401349b4,32'h40194cb6, 32'h400ec772,32'h401dcef8, 32'h400743b0,32'h402552ba,// invsqrt(0.1813) = 2.3483 +32'h3e365585,32'h4014a2a7,32'h401ab3bd, 32'h401015d6,32'h401f408e, 32'h4008807a,32'h4026d5ea,// invsqrt(0.1781) = 2.3698 +32'h3f04efaf,32'h3fae12fc,32'h3fb52de2, 32'h3fa8bed0,32'h3fba820e, 32'h3f9fdd31,32'h3fc363ad,// invsqrt(0.5193) = 1.3877 +32'h3ea5075e,32'h3fdcf2d5,32'h3fe5f785, 32'h3fd62f51,32'h3fecbb09, 32'h3fcae975,32'h3ff800e5,// invsqrt(0.3223) = 1.7614 +32'h3f779514,32'h3f7f1bf7,32'h3f84c2cc, 32'h3f774cbe,32'h3f88aa69, 32'h3f6a48b4,32'h3f8f2c6e,// invsqrt(0.9671) = 1.0169 +32'h40434cd2,32'h3f0f9ddd,32'h3f157a83, 32'h3f0b3861,32'h3f19dfff, 32'h3f03e492,32'h3f2133ce,// invsqrt(3.0516) = 0.5725 +32'h3f7ee435,32'h3f7b6ccb,32'h3f82d7f7, 32'h3f73ba71,32'h3f86b124, 32'h3f66e686,32'h3f8d1b19,// invsqrt(0.9957) = 1.0022 +32'h405d19aa,32'h3f06fa3e,32'h3f0c7c9e, 32'h3f02d875,32'h3f109e67, 32'h3ef7eaf9,32'h3f17815f,// invsqrt(3.4547) = 0.5380 +32'h3e91feff,32'h3feae8d0,32'h3ff47f60, 32'h3fe3b7e4,32'h3ffbb04c, 32'h3fd7bbaf,32'h4003d640,// invsqrt(0.2851) = 1.8727 +32'h3eeadec2,32'h3fb934f6,32'h3fc0c430, 32'h3fb3898c,32'h3fc66f9a, 32'h3faa1684,32'h3fcfe2a2,// invsqrt(0.4587) = 1.4765 +32'h3d60c36e,32'h4085df82,32'h408b5658, 32'h4081c661,32'h408f6f79, 32'h4075e3ab,32'h40964405,// invsqrt(0.0549) = 4.2689 +32'h3f037da9,32'h3faf073e,32'h3fb62c1c, 32'h3fa9ab98,32'h3fbb87c2, 32'h3fa0bd82,32'h3fc475d8,// invsqrt(0.5136) = 1.3953 +32'h3c9f7bed,32'h40e0c1ad,32'h40e9ee27, 32'h40d9e052,32'h40f0cf82, 32'h40ce68b9,32'h40fc471b,// invsqrt(0.0195) = 7.1670 +32'h40b74cff,32'h3ed1a5a9,32'h3eda3443, 32'h3ecb3ab6,32'h3ee09f36, 32'h3ec08877,32'h3eeb5175,// invsqrt(5.7281) = 0.4178 +32'h3ea9bac5,32'h3fd9de0f,32'h3fe2c28d, 32'h3fd332b0,32'h3fe96dec, 32'h3fc81513,32'h3ff48b89,// invsqrt(0.3315) = 1.7368 +32'h3f0e4258,32'h3fa84609,32'h3faf2453, 32'h3fa31f52,32'h3fb44b0a, 32'h3f9a8976,32'h3fbce0e6,// invsqrt(0.5557) = 1.3415 +32'h4054b906,32'h3f099c16,32'h3f0f39f7, 32'h3f0565ac,32'h3f137060, 32'h3efcc0a4,32'h3f1a75ba,// invsqrt(3.3238) = 0.5485 +32'h3e226c6d,32'h401d7b73,32'h4023e8fb, 32'h4018a94e,32'h4028bb20, 32'h4010a065,32'h4030c409,// invsqrt(0.1586) = 2.5109 +32'h3f831b5f,32'h3f77e3ba,32'h3f8100f5, 32'h3f704d14,32'h3f84cc48, 32'h3f63a757,32'h3f8b1f27,// invsqrt(1.0243) = 0.9881 +32'h3f245d33,32'h3f9c8cc2,32'h3fa2f08b, 32'h3f97c1ea,32'h3fa7bb62, 32'h3f8fc52f,32'h3fafb81d,// invsqrt(0.6420) = 1.2480 +32'h3f7e7716,32'h3f7ba2ae,32'h3f82f401, 32'h3f73eead,32'h3f86ce01, 32'h3f671802,32'h3f8d3957,// invsqrt(0.9940) = 1.0030 +32'h40acf3b3,32'h3ed7d408,32'h3ee0a338, 32'h3ed138a5,32'h3ee73e9b, 32'h3ec635aa,32'h3ef24196,// invsqrt(5.4047) = 0.4301 +32'h41d0ed45,32'h3e445e94,32'h3e4c6270, 32'h3e3e5baf,32'h3e526555, 32'h3e3456db,32'h3e5c6a29,// invsqrt(26.1159) = 0.1957 +32'h403721d6,32'h3f144fa5,32'h3f1a5d59, 32'h3f0fc55f,32'h3f1ee79f, 32'h3f08343f,32'h3f2678bf,// invsqrt(2.8614) = 0.5912 +32'h3e8b61b9,32'h3ff06b2b,32'h3ffa3b4c, 32'h3fe90f12,32'h4000cbb2, 32'h3fdccae8,32'h4006edc7,// invsqrt(0.2722) = 1.9166 +32'h3f98ba39,32'h3f65aca2,32'h3f6f0c7f, 32'h3f5ea4bd,32'h3f761465, 32'h3f52ece9,32'h3f80e61d,// invsqrt(1.1932) = 0.9155 +32'h41b12626,32'h3e554196,32'h3e5df5e5, 32'h3e4eba5a,32'h3e647d20, 32'h3e43d8f7,32'h3e6f5e83,// invsqrt(22.1436) = 0.2125 +32'h3f5017dd,32'h3f8b21cc,32'h3f90cf95, 32'h3f86df74,32'h3f9511ec, 32'h3f7f8c70,32'h3f9c2b28,// invsqrt(0.8129) = 1.1092 +32'h40028072,32'h3f2fb0ba,32'h3f36dc82, 32'h3f2a4fe3,32'h3f3c3d59, 32'h3f215928,32'h3f453414,// invsqrt(2.0391) = 0.7003 +32'h3fb77d3f,32'h3f518a17,32'h3f5a1790, 32'h3f4b1ffc,32'h3f6081aa, 32'h3f406f24,32'h3f6b3282,// invsqrt(1.4335) = 0.8352 +32'h400088c4,32'h3f3107a7,32'h3f38416f, 32'h3f2b9c51,32'h3f3dacc5, 32'h3f229417,32'h3f46b4ff,// invsqrt(2.0083) = 0.7056 +32'h3f701de0,32'h3f8185bb,32'h3f86cf1c, 32'h3f7b1d67,32'h3f8ac625, 32'h3f6de5f6,32'h3f9161dd,// invsqrt(0.9380) = 1.0325 +32'h3f61e480,32'h3f8589be,32'h3f8afd14, 32'h3f81733d,32'h3f8f1395, 32'h3f754623,32'h3f95e3c0,// invsqrt(0.8824) = 1.0646 +32'h3f0f2db0,32'h3fa7bb84,32'h3fae9426, 32'h3fa2990a,32'h3fb3b6a0, 32'h3f9a0a40,32'h3fbc456a,// invsqrt(0.5593) = 1.3372 +32'h3e9da70e,32'h3fe20eef,32'h3feb4903, 32'h3fdb2360,32'h3ff23492, 32'h3fcf9ac6,32'h3ffdbd2c,// invsqrt(0.3079) = 1.8021 +32'h3e7247d2,32'h4000f155,32'h400634a7, 32'h3ff9fdaf,32'h400a2724, 32'h3fecd563,32'h4010bb4b,// invsqrt(0.2366) = 2.0558 +32'h4183754a,32'h3e778ee4,32'h3e80d4cf, 32'h3e6ffad7,32'h3e849ed5, 32'h3e63596e,32'h3e8aef8a,// invsqrt(16.4323) = 0.2467 +32'h3f1fbb2a,32'h3f9ecdcf,32'h3fa54925, 32'h3f99f14e,32'h3faa25a6, 32'h3f91d721,32'h3fb23fd3,// invsqrt(0.6239) = 1.2660 +32'h3f9dcdab,32'h3f61f345,32'h3f6b2c38, 32'h3f5b088e,32'h3f7216ee, 32'h3f4f815e,32'h3f7d9e1e,// invsqrt(1.2328) = 0.9006 +32'h409f18f7,32'h3ee10789,32'h3eea36dd, 32'h3eda240a,32'h3ef11a5c, 32'h3ecea8e1,32'h3efc9585,// invsqrt(4.9718) = 0.4485 +32'h3ebc7335,32'h3fcec357,32'h3fd733cd, 32'h3fc86efe,32'h3fdd8826, 32'h3fbde26a,32'h3fe814ba,// invsqrt(0.3681) = 1.6483 +32'h41e7ed1b,32'h3e3a60ee,32'h3e41fc66, 32'h3e34ac55,32'h3e47b0ff, 32'h3e2b29ff,32'h3e513355,// invsqrt(28.9908) = 0.1857 +32'h3ce62135,32'h40bb1acd,32'h40c2bddb, 32'h40b56083,32'h40c87825, 32'h40abd4b2,32'h40d203f6,// invsqrt(0.0281) = 5.9663 +32'h3f9bf12f,32'h3f634b71,32'h3f6c9271, 32'h3f5c5632,32'h3f7387b0, 32'h3f50bd72,32'h3f7f2070,// invsqrt(1.2183) = 0.9060 +32'h3ff9e126,32'h3f338ef3,32'h3f3ae327, 32'h3f2e0fcc,32'h3f40624e, 32'h3f24e68c,32'h3f498b8e,// invsqrt(1.9522) = 0.7157 +32'h3f2e4249,32'h3f980a4c,32'h3f9e3ef7, 32'h3f9362cd,32'h3fa2e677, 32'h3f8ba0f8,32'h3faaa84c,// invsqrt(0.6807) = 1.2121 +32'h3f0fc375,32'h3fa7640e,32'h3fae391f, 32'h3fa24443,32'h3fb358eb, 32'h3f99b9ee,32'h3fbbe340,// invsqrt(0.5616) = 1.3344 +32'h3e974b7c,32'h3fe6c258,32'h3ff02d8a, 32'h3fdfb1f2,32'h3ff73df0, 32'h3fd3ebf2,32'h400181f8,// invsqrt(0.2955) = 1.8396 +32'h3f7b4797,32'h3f7d39b8,32'h3f83c7d5, 32'h3f757941,32'h3f87a810, 32'h3f688dd2,32'h3f8e1dc7,// invsqrt(0.9816) = 1.0093 +32'h3ef079ac,32'h3fb70924,32'h3fbe81ad, 32'h3fb16ebc,32'h3fc41c14, 32'h3fa81811,32'h3fcd72bf,// invsqrt(0.4697) = 1.4591 +32'h3d1da92b,32'h409fd7dc,32'h40a65e0e, 32'h409af336,32'h40ab42b4, 32'h4092cb76,32'h40b36a74,// invsqrt(0.0385) = 5.0970 +32'h3fa3158f,32'h3f5e430d,32'h3f675577, 32'h3f57753f,32'h3f6e2345, 32'h3f4c1e3b,32'h3f797a49,// invsqrt(1.2741) = 0.8859 +32'h3fa6bed0,32'h3f5bceef,32'h3f64c7b5, 32'h3f55145b,32'h3f6b8249, 32'h3f49dd63,32'h3f76b941,// invsqrt(1.3027) = 0.8761 +32'h41960547,32'h3e67bcb2,32'h3e71321c, 32'h3e60a4a2,32'h3e784a2c, 32'h3e54d1dd,32'h3e820e79,// invsqrt(18.7526) = 0.2309 +32'h3e2a47ef,32'h4019ce4e,32'h4020156c, 32'h401518f8,32'h4024cac2, 32'h400d4014,32'h402ca3a6,// invsqrt(0.1663) = 2.4523 +32'h3eee445a,32'h3fb7e1c7,32'h3fbf6327, 32'h3fb240be,32'h3fc50430, 32'h3fa8df05,32'h3fce65e9,// invsqrt(0.4654) = 1.4659 +32'h3eb306d7,32'h3fd42288,32'h3fdccb20, 32'h3fcda416,32'h3fe34992, 32'h3fc2d158,32'h3fee1c50,// invsqrt(0.3497) = 1.6911 +32'h3f965c16,32'h3f6779c3,32'h3f70ec73, 32'h3f6063c0,32'h3f780276, 32'h3f549465,32'h3f81e8e8,// invsqrt(1.1747) = 0.9227 +32'h3f094262,32'h3fab4f9a,32'h3fb24da1, 32'h3fa61116,32'h3fb78c26, 32'h3f9d538e,32'h3fc049ae,// invsqrt(0.5362) = 1.3657 +32'h41337290,32'h3e95d378,32'h3e9bf0ff, 32'h3e913d52,32'h3ea08724, 32'h3e899868,32'h3ea82c0e,// invsqrt(11.2155) = 0.2986 +32'h3f9a9002,32'h3f644e8d,32'h3f6da01f, 32'h3f5d515e,32'h3f749d4e, 32'h3f51ab67,32'h3f8021a2,// invsqrt(1.2075) = 0.9100 +32'h3f90397b,32'h3f6c5906,32'h3f75fe9d, 32'h3f651cd3,32'h3f7d3acf, 32'h3f590dd6,32'h3f84a4e6,// invsqrt(1.1268) = 0.9421 +32'h3ff38725,32'h3f35e289,32'h3f3d4f0c, 32'h3f305127,32'h3f42e06f, 32'h3f270984,32'h3f4c2813,// invsqrt(1.9026) = 0.7250 +32'h3c720cc6,32'h4101010e,32'h41064504, 32'h40fa1c2b,32'h410a37fd, 32'h40ecf243,32'h4110ccf0,// invsqrt(0.0148) = 8.2273 +32'h40a8f831,32'h3eda5b5c,32'h3ee344f8, 32'h3ed3ac28,32'h3ee9f42c, 32'h3ec88826,32'h3ef5182e,// invsqrt(5.2803) = 0.4352 +32'h3fa83e26,32'h3f5ad3f6,32'h3f63c27d, 32'h3f542110,32'h3f6a7562, 32'h3f48f6e6,32'h3f759f8c,// invsqrt(1.3144) = 0.8722 +32'h3f113eb4,32'h3fa688f6,32'h3fad5514, 32'h3fa16fde,32'h3fb26e2c, 32'h3f98f0b8,32'h3fbaed52,// invsqrt(0.5674) = 1.3276 +32'h3f676269,32'h3f83f19d,32'h3f89544a, 32'h3f7fcf34,32'h3f8d5e4c, 32'h3f725882,32'h3f9419a5,// invsqrt(0.9038) = 1.0518 +32'h3d8db9ae,32'h406e6c2c,32'h40782772, 32'h40671fb8,32'h407f73e6, 32'h405af5a1,32'h4085ceff,// invsqrt(0.0692) = 3.8014 +32'h3eba504d,32'h3fcff1f1,32'h3fd86ec1, 32'h3fc99455,32'h3fdecc5d, 32'h3fbef850,32'h3fe96862,// invsqrt(0.3639) = 1.6577 +32'h3973284f,32'h4280b5c1,32'h4285f6a5, 32'h42798a2e,32'h4289e74f, 32'h426c67f5,32'h4290786b,// invsqrt(0.0002) = 65.6684 +32'h3f063ab5,32'h3fad3bd2,32'h3fb44df0, 32'h3fa7ee3c,32'h3fb99b86, 32'h3f9f1797,32'h3fc2722b,// invsqrt(0.5243) = 1.3810 +32'h3fbe73d3,32'h3f4dac58,32'h3f56116c, 32'h3f47608a,32'h3f5c5d3a, 32'h3f3ce232,32'h3f66db92,// invsqrt(1.4879) = 0.8198 +32'h414a1f9c,32'h3e8d2bf5,32'h3e92ef0e, 32'h3e88d9a1,32'h3e974161, 32'h3e81a5c1,32'h3e9e7541,// invsqrt(12.6327) = 0.2814 +32'h3dd1c66d,32'h4043f8d6,32'h404bf88c, 32'h403df90f,32'h4051f853, 32'h4033f96c,32'h405bf7f6,// invsqrt(0.1024) = 3.1246 +32'h3b021405,32'h41aff9e4,32'h41b728a9, 32'h41aa96cf,32'h41bc8bbd, 32'h41a19c59,32'h41c58633,// invsqrt(0.0020) = 22.4459 +32'h3cb6174e,32'h40d257a5,32'h40daed81, 32'h40cbe73f,32'h40e15de7, 32'h40c12beb,32'h40ec193b,// invsqrt(0.0222) = 6.7073 +32'h44f0b555,32'h3cb6f273,32'h3cbe6a10, 32'h3cb158bf,32'h3cc403c5, 32'h3ca8033b,32'h3ccd5949,// invsqrt(1925.6666) = 0.0228 +32'h4016eae9,32'h3f23600b,32'h3f2a0b26, 32'h3f1e5fb8,32'h3f2f0b7a, 32'h3f1609d6,32'h3f37615c,// invsqrt(2.3581) = 0.6512 +32'h3f7fa367,32'h3f7b0eb3,32'h3f82a700, 32'h3f735f3c,32'h3f867ebc, 32'h3f66901e,32'h3f8ce64b,// invsqrt(0.9986) = 1.0007 +32'h40c6b4dd,32'h3ec95b2f,32'h3ed19327, 32'h3ec33136,32'h3ed7bd20, 32'h3eb8eb40,32'h3ee20316,// invsqrt(6.2096) = 0.4013 +32'h403d9f26,32'h3f11c04c,32'h3f17b340, 32'h3f0d4a16,32'h3f1c2976, 32'h3f05da65,32'h3f239927,// invsqrt(2.9628) = 0.5810 +32'h3d407a38,32'h4090aa78,32'h40969214, 32'h408c3cc2,32'h409affca, 32'h4084db3f,32'h40a2614d,// invsqrt(0.0470) = 4.6131 +32'h3fc49070,32'h3f4a7352,32'h3f52b6b9, 32'h3f4440c6,32'h3f58e946, 32'h3f39ec85,32'h3f633d87,// invsqrt(1.5357) = 0.8070 +32'h3edaa7c0,32'h3fbff388,32'h3fc7c93a, 32'h3fba1343,32'h3fcda97f, 32'h3fb04824,32'h3fd7749e,// invsqrt(0.4271) = 1.5302 +32'h40f3a7a2,32'h3eb5d668,32'h3ebd426d, 32'h3eb04566,32'h3ec2d370, 32'h3ea6fe60,32'h3ecc1a76,// invsqrt(7.6142) = 0.3624 +32'h3fdf0c02,32'h3f3e0d5e,32'h3f45cf38, 32'h3f383bfa,32'h3f4ba09c, 32'h3f2e89aa,32'h3f5552ec,// invsqrt(1.7426) = 0.7575 +32'h3fbdd7c0,32'h3f4e00d2,32'h3f566958, 32'h3f47b26e,32'h3f5cb7bc, 32'h3f3d2fc6,32'h3f673a64,// invsqrt(1.4831) = 0.8211 +32'h3e6e2a88,32'h40020d3c,32'h40075c24, 32'h3ffc241b,32'h400b5752, 32'h3feeded6,32'h4011f9f5,// invsqrt(0.2326) = 2.0735 +32'h3fffe134,32'h3f3170ce,32'h3f38aee1, 32'h3f2c0240,32'h3f3e1d70, 32'h3f22f4a9,32'h3f472b07,// invsqrt(1.9991) = 0.7073 +32'h3fecda2f,32'h3f386e27,32'h3f3ff543, 32'h3f32c8d2,32'h3f459a98, 32'h3f295ff0,32'h3f4f037a,// invsqrt(1.8504) = 0.7351 +32'h3f37d9da,32'h3f94055a,32'h3f9a1004, 32'h3f8f7d59,32'h3f9e9805, 32'h3f87f004,32'h3fa6255a,// invsqrt(0.7182) = 1.1800 +32'h3eb91822,32'h3fd0a102,32'h3fd924f8, 32'h3fca3e0a,32'h3fdf87f0, 32'h3fbf9917,32'h3fea2ce3,// invsqrt(0.3615) = 1.6632 +32'h3f761f72,32'h3f7fdd51,32'h3f85276b, 32'h3f78082d,32'h3f8911fe, 32'h3f6afa45,32'h3f8f98f1,// invsqrt(0.9614) = 1.0199 +32'h3f395ec1,32'h3f9369c2,32'h3f996e14, 32'h3f8ee686,32'h3f9df150, 32'h3f876120,32'h3fa576b6,// invsqrt(0.7241) = 1.1752 +32'h3f986937,32'h3f65e9a4,32'h3f6f4bfe, 32'h3f5edfe0,32'h3f7655c2, 32'h3f5324ef,32'h3f810859,// invsqrt(1.1907) = 0.9164 +32'h3feb6dd1,32'h3f38fca8,32'h3f408995, 32'h3f3352f7,32'h3f463347, 32'h3f29e2cf,32'h3f4fa36f,// invsqrt(1.8393) = 0.7374 +32'h3fb42672,32'h3f5378ef,32'h3f5c1a9b, 32'h3f4cffae,32'h3f6293dc, 32'h3f423598,32'h3f6d5df2,// invsqrt(1.4074) = 0.8429 +32'h3e955420,32'h3fe845ff,32'h3ff1c104, 32'h3fe129bb,32'h3ff8dd47, 32'h3fd54ff4,32'h40025b87,// invsqrt(0.2917) = 1.8517 +32'h3fce0551,32'h3f45bfe3,32'h3f4dd22b, 32'h3f3fb22d,32'h3f53dfe1, 32'h3f359b53,32'h3f5df6bb,// invsqrt(1.6095) = 0.7882 +32'h3ea6627d,32'h3fdc0be2,32'h3fe50724, 32'h3fd54f70,32'h3febc396, 32'h3fca155c,32'h3ff6fdaa,// invsqrt(0.3250) = 1.7542 +32'h3fdaa5e1,32'h3f3ff45a,32'h3f47ca15, 32'h3f3a140f,32'h3f4daa61, 32'h3f3048e6,32'h3f57758a,// invsqrt(1.7082) = 0.7651 +32'h422ee010,32'h3e17c5a6,32'h3e1df784, 32'h3e132041,32'h3e229ce9, 32'h3e0b61ec,32'h3e2a5b3e,// invsqrt(43.7188) = 0.1512 +32'h3f95aa97,32'h3f6802dd,32'h3f717b25, 32'h3f60e8a7,32'h3f78955b, 32'h3f55124e,32'h3f8235da,// invsqrt(1.1693) = 0.9248 +32'h3fb7a52c,32'h3f51734f,32'h3f59ffda, 32'h3f4b09e6,32'h3f606942, 32'h3f405a38,32'h3f6b18f0,// invsqrt(1.4347) = 0.8349 +32'h3eb90991,32'h3fd0a938,32'h3fd92d84, 32'h3fca4600,32'h3fdf90bc, 32'h3fbfa0a1,32'h3fea361b,// invsqrt(0.3614) = 1.6634 +32'h403e2b63,32'h3f118a85,32'h3f177b47, 32'h3f0d15f4,32'h3f1befd8, 32'h3f05a902,32'h3f235cca,// invsqrt(2.9714) = 0.5801 +32'h3ebd47db,32'h3fce4f11,32'h3fd6bac9, 32'h3fc7fe48,32'h3fdd0b92, 32'h3fbd77a2,32'h3fe79238,// invsqrt(0.3697) = 1.6447 +32'h40195de9,32'h3f2210be,32'h3f28ae28, 32'h3f1d1aad,32'h3f2da439, 32'h3f14d5e8,32'h3f35e8ff,// invsqrt(2.3964) = 0.6460 +32'h3f7d6ba0,32'h3f7c2754,32'h3f83390a, 32'h3f746f45,32'h3f871512, 32'h3f6791d5,32'h3f8d83c9,// invsqrt(0.9899) = 1.0051 +32'h3deee779,32'h4037a2f5,32'h403f21c6, 32'h403203d9,32'h4044c0e3, 32'h4028a555,32'h404e1f67,// invsqrt(0.1167) = 2.9279 +32'h3ed154c9,32'h3fc42e00,32'h3fcc2fe1, 32'h3fbe2c98,32'h3fd2314a, 32'h3fb42a3f,32'h3fdc33a3,// invsqrt(0.4088) = 1.5639 +32'h3e822741,32'h3ff8cbc6,32'h400179b8, 32'h3ff12e06,32'h40054898, 32'h3fe47c72,32'h400ba162,// invsqrt(0.2542) = 1.9834 +32'h413797cc,32'h3e941ff8,32'h3e9a2bba, 32'h3e8f9728,32'h3e9eb48a, 32'h3e880876,32'h3ea6433c,// invsqrt(11.4746) = 0.2952 +32'h3f5e309b,32'h3f86a569,32'h3f8c2453, 32'h3f828639,32'h3f904383, 32'h3f774f29,32'h3f972227,// invsqrt(0.8679) = 1.0734 +32'h3feef68f,32'h3f379d29,32'h3f3f1bbd, 32'h3f31fe3a,32'h3f44baac, 32'h3f28a001,32'h3f4e18e5,// invsqrt(1.8669) = 0.7319 +32'h3dffb5cf,32'h40317fdc,32'h4038be8c, 32'h402c10d8,32'h403e2d90, 32'h4023027c,32'h40473bec,// invsqrt(0.1249) = 2.8300 +32'h408a82f3,32'h3ef12c34,32'h3efb0436, 32'h3ee9ca32,32'h3f01331c, 32'h3edd7c30,32'h3f075a1d,// invsqrt(4.3285) = 0.4807 +32'h3e437f34,32'h400f8b5b,32'h4015673f, 32'h400b2670,32'h4019cc2a, 32'h4003d392,32'h40211f08,// invsqrt(0.1909) = 2.2887 +32'h3f23c5bf,32'h3f9cd514,32'h3fa33bd0, 32'h3f980806,32'h3fa808de, 32'h3f90079a,32'h3fb0094a,// invsqrt(0.6397) = 1.2503 +32'h413c4d59,32'h3e9242ce,32'h3e983b15, 32'h3e8dc898,32'h3e9cb54a, 32'h3e86523f,32'h3ea42ba3,// invsqrt(11.7689) = 0.2915 +32'h3fba1026,32'h3f5015c7,32'h3f58940e, 32'h3f49b712,32'h3f5ef2c4, 32'h3f3f193a,32'h3f69909c,// invsqrt(1.4536) = 0.8294 +32'h3f8fd3eb,32'h3f6cac69,32'h3f765569, 32'h3f656daa,32'h3f7d9428, 32'h3f595a6b,32'h3f84d3b3,// invsqrt(1.1237) = 0.9434 +32'h3e7e72ae,32'h3ffba4db,32'h4002f524, 32'h3ff3f0cb,32'h4006cf2d, 32'h3fe71a03,32'h400d3a90,// invsqrt(0.2485) = 2.0061 +32'h3e475876,32'h400e26e5,32'h4013f43d, 32'h4009cce3,32'h40184e3f, 32'h40028c36,32'h401f8eec,// invsqrt(0.1947) = 2.2665 +32'h3f39febc,32'h3f932a4f,32'h3f992c09, 32'h3f8ea903,32'h3f9dad55, 32'h3f8726db,32'h3fa52f7d,// invsqrt(0.7265) = 1.1732 +32'h3e11ea2a,32'h40262701,32'h402cef20, 32'h402110e9,32'h40320537, 32'h401896c2,32'h403a7f5e,// invsqrt(0.1425) = 2.6491 +32'h400ade8c,32'h3f2a50a3,32'h3f314441, 32'h3f2519ec,32'h3f367af8, 32'h3f1c6967,32'h3f3f2b7d,// invsqrt(2.1698) = 0.6789 +32'h3f2516fd,32'h3f9c3492,32'h3fa294c2, 32'h3f976c6e,32'h3fa75ce6, 32'h3f8f7433,32'h3faf5521,// invsqrt(0.6449) = 1.2453 +32'h3e59c77a,32'h400800b7,32'h400d8dcf, 32'h4003d6e6,32'h4011b7a0, 32'h3ff9cd12,32'h4018a7fd,// invsqrt(0.2127) = 2.1684 +32'h3f8e71f6,32'h3f6dd1c1,32'h3f7786b9, 32'h3f668a07,32'h3f7ece73, 32'h3f5a67d0,32'h3f857855,// invsqrt(1.1129) = 0.9479 +32'h40f4f142,32'h3eb55be5,32'h3ebcc2e9, 32'h3eafcea2,32'h3ec2502c, 32'h3ea68ddd,32'h3ecb90f1,// invsqrt(7.6545) = 0.3614 +32'h3f86c196,32'h3f748290,32'h3f7e7d72, 32'h3f6d0667,32'h3f82fccd, 32'h3f608cce,32'h3f89399a,// invsqrt(1.0528) = 0.9746 +32'h3ec2932b,32'h3fcb7b97,32'h3fd3c9c7, 32'h3fc540f3,32'h3fda046b, 32'h3fbadf37,32'h3fe46627,// invsqrt(0.3800) = 1.6222 +32'h3f96fc76,32'h3f66feb3,32'h3f706c5d, 32'h3f5fec74,32'h3f777e9c, 32'h3f542361,32'h3f81a3d8,// invsqrt(1.1796) = 0.9207 +32'h3f853bed,32'h3f75e719,32'h3f7ff088, 32'h3f6e6007,32'h3f83bbce, 32'h3f61d43c,32'h3f8a01b3,// invsqrt(1.0409) = 0.9802 +32'h40978a03,32'h3ee692b8,32'h3eeffbf8, 32'h3edf83c7,32'h3ef70ae9, 32'h3ed3c036,32'h3f01673d,// invsqrt(4.7356) = 0.4595 +32'h3ffb2c87,32'h3f331859,32'h3f3a67b6, 32'h3f2d9cd4,32'h3f3fe33c, 32'h3f2479a1,32'h3f49066f,// invsqrt(1.9623) = 0.7139 +32'h404889f4,32'h3f0dba75,32'h3f138360, 32'h3f0963c6,32'h3f17da10, 32'h3f0228a0,32'h3f1f1536,// invsqrt(3.1334) = 0.5649 +32'h3f67ef16,32'h3f83c993,32'h3f892a9f, 32'h3f7f8196,32'h3f8d3367, 32'h3f720efa,32'h3f93ecb5,// invsqrt(0.9060) = 1.0506 +32'h3f12ca8c,32'h3fa5a7d3,32'h3fac6ac1, 32'h3fa095a0,32'h3fb17cf4, 32'h3f9821f6,32'h3fb9f09e,// invsqrt(0.5734) = 1.3206 +32'h3f8c18ee,32'h3f6fcdc4,32'h3f799778, 32'h3f68767c,32'h3f807760, 32'h3f5c3a5b,32'h3f869570,// invsqrt(1.0945) = 0.9559 +32'h3ef0c837,32'h3fb6eb47,32'h3fbe6298, 32'h3fb151c9,32'h3fc3fc15, 32'h3fa7fca4,32'h3fcd513a,// invsqrt(0.4703) = 1.4582 +32'h42588929,32'h3e086489,32'h3e0df5b3, 32'h3e0437a9,32'h3e122293, 32'h3dfa8469,32'h3e191807,// invsqrt(54.1339) = 0.1359 +32'h4011ca4e,32'h3f263927,32'h3f2d0205, 32'h3f212282,32'h3f3218aa, 32'h3f18a76d,32'h3f3a93bf,// invsqrt(2.2780) = 0.6626 +32'h3fbb9ac0,32'h3f4f3a7d,32'h3f57afd0, 32'h3f48e27e,32'h3f5e07ce, 32'h3f3e4fd5,32'h3f689a77,// invsqrt(1.4657) = 0.8260 +32'h3dfec69e,32'h4031d31b,32'h40391531, 32'h402c618a,32'h403e86c2, 32'h40234eef,32'h4047995d,// invsqrt(0.1244) = 2.8352 +32'h3eb5307a,32'h3fd2dd76,32'h3fdb78ca, 32'h3fcc68f8,32'h3fe1ed48, 32'h3fc1a6d0,32'h3fecaf70,// invsqrt(0.3539) = 1.6810 +32'h41182017,32'h3ea2b9b1,32'h3ea95e01, 32'h3e9dbe75,32'h3eae593d, 32'h3e957110,32'h3eb6a6a2,// invsqrt(9.5078) = 0.3243 +32'h3f5ef73c,32'h3f866962,32'h3f8be5d9, 32'h3f824c09,32'h3f900333, 32'h3f76e0e9,32'h3f96dec7,// invsqrt(0.8710) = 1.0715 +32'h410c3dfe,32'h3ea97ab5,32'h3eb06598, 32'h3ea44a8b,32'h3eb595c3, 32'h3e9ba4f0,32'h3ebe3b5e,// invsqrt(8.7651) = 0.3378 +32'h3f35e8ab,32'h3f94cf18,32'h3f9ae1ff, 32'h3f9040eb,32'h3f9f702d, 32'h3f88a94b,32'h3fa707cd,// invsqrt(0.7106) = 1.1863 +32'h4013e793,32'h3f2507e8,32'h3f2bc44f, 32'h3f1ffa9a,32'h3f30d19c, 32'h3f178f18,32'h3f393d1e,// invsqrt(2.3110) = 0.6578 +32'h3f92eeb2,32'h3f6a28e5,32'h3f73b79f, 32'h3f62fdd8,32'h3f7ae2ac, 32'h3f570b6f,32'h3f836a8b,// invsqrt(1.1479) = 0.9334 +32'h3ff4101c,32'h3f35af79,32'h3f3d19e7, 32'h3f301fa7,32'h3f42a9b9, 32'h3f26da9e,32'h3f4beec2,// invsqrt(1.9067) = 0.7242 +32'h40a24bd4,32'h3edecd05,32'h3ee7e50f, 32'h3ed7fafd,32'h3eeeb717, 32'h3ecc9cef,32'h3efa1525,// invsqrt(5.0718) = 0.4440 +32'h3f9d0398,32'h3f62847c,32'h3f6bc35c, 32'h3f5b9553,32'h3f72b285, 32'h3f5006bb,32'h3f7e411d,// invsqrt(1.2267) = 0.9029 +32'h40572bbd,32'h3f08d31b,32'h3f0e68c9, 32'h3f04a2d9,32'h3f12990b, 32'h3efb4f80,32'h3f199424,// invsqrt(3.3620) = 0.5454 +32'h41b6914f,32'h3e521151,32'h3e5aa44f, 32'h3e4ba312,32'h3e61128e, 32'h3e40eb55,32'h3e6bca4b,// invsqrt(22.8210) = 0.2093 +32'h3fa74d51,32'h3f5b713e,32'h3f646630, 32'h3f54b987,32'h3f6b1de7, 32'h3f498758,32'h3f765016,// invsqrt(1.3070) = 0.8747 +32'h402356b9,32'h3f1d0a58,32'h3f237342, 32'h3f183ba9,32'h3f2841f1, 32'h3f103885,32'h3f304515,// invsqrt(2.5522) = 0.6260 +32'h3f951049,32'h3f687ad3,32'h3f71f801, 32'h3f615cf2,32'h3f7915e2, 32'h3f558079,32'h3f82792e,// invsqrt(1.1646) = 0.9267 +32'h3f94b1e5,32'h3f68c492,32'h3f7244c1, 32'h3f61a46d,32'h3f7964e5, 32'h3f55c432,32'h3f82a290,// invsqrt(1.1617) = 0.9278 +32'h3fac7127,32'h3f5825ab,32'h3f60f82f, 32'h3f5187c8,32'h3f679612, 32'h3f4680a2,32'h3f729d38,// invsqrt(1.3472) = 0.8616 +32'h40bc6420,32'h3ececb9e,32'h3ed73c6a, 32'h3ec87704,32'h3edd9104, 32'h3ebdea04,32'h3ee81e04,// invsqrt(5.8872) = 0.4121 +32'h3ef92c82,32'h3fb3cffd,32'h3fbb26d9, 32'h3fae4ed9,32'h3fc0a7fd, 32'h3fa52247,32'h3fc9d48f,// invsqrt(0.4867) = 1.4335 +32'h3f8cc078,32'h3f6f3ee1,32'h3f7902c1, 32'h3f67ebfa,32'h3f802ad4, 32'h3f5bb722,32'h3f864540,// invsqrt(1.0996) = 0.9536 +32'h3fff5fd3,32'h3f319dbb,32'h3f38dda3, 32'h3f2c2dcd,32'h3f3e4d91, 32'h3f231dea,32'h3f475d74,// invsqrt(1.9951) = 0.7080 +32'h41fa6ee1,32'h3e335c1d,32'h3e3aae3d, 32'h3e2dde84,32'h3e402bd6, 32'h3e24b7dc,32'h3e49527e,// invsqrt(31.3041) = 0.1787 +32'h413b9643,32'h3e928a1d,32'h3e98854d, 32'h3e8e0db9,32'h3e9d01b1, 32'h3e8693bc,32'h3ea47bae,// invsqrt(11.7242) = 0.2921 +32'h3e34d845,32'h40153f01,32'h401b5679, 32'h4010ad67,32'h401fe813, 32'h40091010,32'h4027856a,// invsqrt(0.1766) = 2.3796 +32'h3e1d9109,32'h401fe419,32'h40266acb, 32'h401aff13,32'h402b4fd1, 32'h4012d6b3,32'h40337831,// invsqrt(0.1539) = 2.5493 +32'h3fa7fcb6,32'h3f5afe90,32'h3f63eed5, 32'h3f544a5d,32'h3f6aa309, 32'h3f491e08,32'h3f75cf5f,// invsqrt(1.3124) = 0.8729 +32'h3f32edd7,32'h3f960aff,32'h3f9c2aca, 32'h3f917325,32'h3fa0c2a3, 32'h3f89cb67,32'h3fa86a61,// invsqrt(0.6989) = 1.1961 +32'h3fce6c4a,32'h3f458e8a,32'h3f4d9ece, 32'h3f3f8257,32'h3f53ab01, 32'h3f356e01,32'h3f5dbf57,// invsqrt(1.6127) = 0.7875 +32'h3ebb4604,32'h3fcf6959,32'h3fd7e097, 32'h3fc90fec,32'h3fde3a04, 32'h3fbe7adf,32'h3fe8cf11,// invsqrt(0.3658) = 1.6535 +32'h3f07b545,32'h3fac498a,32'h3fb351c4, 32'h3fa7035f,32'h3fb897ef, 32'h3f9e3916,32'h3fc16238,// invsqrt(0.5301) = 1.3735 +32'h3f783188,32'h3f7ecb83,32'h3f8498ee, 32'h3f76fec1,32'h3f887f50, 32'h3f69fed2,32'h3f8eff47,// invsqrt(0.9695) = 1.0156 +32'h420b11c5,32'h3e2a3143,32'h3e312399, 32'h3e24fb82,32'h3e36595a, 32'h3e1c4c96,32'h3e3f0846,// invsqrt(34.7674) = 0.1696 +32'h409ac000,32'h3ee42b23,32'h3eed7b44, 32'h3edd2f0b,32'h3ef4775d, 32'h3ed18ae2,32'h3f000dc3,// invsqrt(4.8359) = 0.4547 +32'h412f663a,32'h3e978b90,32'h3e9dbb0e, 32'h3e92e7f1,32'h3ea25ead, 32'h3e8b2c94,32'h3eaa1a0a,// invsqrt(10.9625) = 0.3020 +32'h3fb49f13,32'h3f533245,32'h3f5bd10f, 32'h3f4cbb2e,32'h3f624826, 32'h3f41f4b3,32'h3f6d0ea1,// invsqrt(1.4111) = 0.8418 +32'h41eee541,32'h3e37a3d0,32'h3e3f22a9, 32'h3e3204ac,32'h3e44c1cc, 32'h3e28a61c,32'h3e4e205c,// invsqrt(29.8619) = 0.1830 +32'h4226727b,32'h3e1b912e,32'h3e21eab3, 32'h3e16ce0b,32'h3e26add7, 32'h3e0ede26,32'h3e2e9dbc,// invsqrt(41.6118) = 0.1550 +32'h3ff33713,32'h3f360077,32'h3f3d6e33, 32'h3f306e2a,32'h3f430080, 32'h3f272500,32'h3f4c49aa,// invsqrt(1.9001) = 0.7255 +32'h3ff9dc87,32'h3f33909c,32'h3f3ae4e2, 32'h3f2e1169,32'h3f406415, 32'h3f24e812,32'h3f498d6c,// invsqrt(1.9520) = 0.7157 +32'h3fc5a86a,32'h3f49e3be,32'h3f522148, 32'h3f43b596,32'h3f584f70, 32'h3f3968a9,32'h3f629c5d,// invsqrt(1.5442) = 0.8047 +32'h4253cdce,32'h3e09e86a,32'h3e0f8969, 32'h3e05afaa,32'h3e13c228, 32'h3dfd4cd7,32'h3e1acb67,// invsqrt(52.9510) = 0.1374 +32'h40bb5ea8,32'h3ecf5bb5,32'h3ed7d264, 32'h3ec902b3,32'h3ede2b67, 32'h3ebe6e58,32'h3ee8bfc2,// invsqrt(5.8553) = 0.4133 +32'h3f5ab726,32'h3f87b61e,32'h3f8d402a, 32'h3f838e95,32'h3f9167b3, 32'h3f79440e,32'h3f985441,// invsqrt(0.8544) = 1.0819 +32'h3e87b6d9,32'h3ff3a53c,32'h3ffd9714, 32'h3fec2fd9,32'h4002863b, 32'h3fdfc18a,32'h4008bd63,// invsqrt(0.2651) = 1.9423 +32'h3f38bf42,32'h3f93a957,32'h3f99b040, 32'h3f8f2427,32'h3f9e356f, 32'h3f879b84,32'h3fa5be12,// invsqrt(0.7217) = 1.1771 +32'h3de8b2ef,32'h403a11a4,32'h4041a9de, 32'h40345f77,32'h40475c0b, 32'h402ae12e,32'h4050da54,// invsqrt(0.1136) = 2.9667 +32'h3f347462,32'h3f956849,32'h3f9b8171, 32'h3f90d56c,32'h3fa0144e, 32'h3f8935fa,32'h3fa7b3c0,// invsqrt(0.7049) = 1.1911 +32'h3ca26ffa,32'h40deb439,32'h40e7cb41, 32'h40d7e2f4,32'h40ee9c86, 32'h40cc862a,32'h40f9f950,// invsqrt(0.0198) = 7.1015 +32'h40b9151f,32'h3ed0a2b4,32'h3ed926bc, 32'h3eca3faf,32'h3edf89c1, 32'h3ebf9aa6,32'h3eea2eca,// invsqrt(5.7838) = 0.4158 +32'h3ec83222,32'h3fc89b16,32'h3fd0cb37, 32'h3fc276ff,32'h3fd6ef4f, 32'h3fb83ad6,32'h3fe12b78,// invsqrt(0.3910) = 1.5992 +32'h41148e65,32'h3ea4ab24,32'h3eab63c3, 32'h3e9fa0ae,32'h3eb06e3a, 32'h3e9739e8,32'h3eb8d500,// invsqrt(9.2848) = 0.3282 +32'h40087c6f,32'h3f2bcba8,32'h3f32cebe, 32'h3f268957,32'h3f38110f, 32'h3f1dc57b,32'h3f40d4eb,// invsqrt(2.1326) = 0.6848 +32'h3f168a09,32'h3fa39494,32'h3faa41d4, 32'h3f9e92a5,32'h3faf43c3, 32'h3f963a15,32'h3fb79c53,// invsqrt(0.5880) = 1.3041 +32'h40a7f409,32'h3edb0438,32'h3ee3f4b8, 32'h3ed44fd8,32'h3eeaa918, 32'h3ec92339,32'h3ef5d5b7,// invsqrt(5.2485) = 0.4365 +32'h3f07277e,32'h3faca3d0,32'h3fb3afb9, 32'h3fa75ae1,32'h3fb8f8a7, 32'h3f9e8bfd,32'h3fc1c78b,// invsqrt(0.5279) = 1.3763 +32'h3eac1ae8,32'h3fd85bcd,32'h3fe13087, 32'h3fd1bc42,32'h3fe7d012, 32'h3fc6b259,32'h3ff2d9fb,// invsqrt(0.3361) = 1.7248 +32'h3f3ca927,32'h3f921f33,32'h3f981607, 32'h3f8da615,32'h3f9c8f25, 32'h3f86318d,32'h3fa403ad,// invsqrt(0.7370) = 1.1649 +32'h3e9788c3,32'h3fe693ab,32'h3feffcf5, 32'h3fdf84b2,32'h3ff70bee, 32'h3fd3c115,32'h400167c6,// invsqrt(0.2960) = 1.8381 +32'h3fa4d25d,32'h3f5d1659,32'h3f661c7c, 32'h3f5651bf,32'h3f6ce117, 32'h3f4b0a14,32'h3f7828c3,// invsqrt(1.2877) = 0.8812 +32'h3f09bcfc,32'h3fab034b,32'h3fb1fe34, 32'h3fa5c71d,32'h3fb73a63, 32'h3f9d0d7a,32'h3fbff406,// invsqrt(0.5380) = 1.3633 +32'h40bd4631,32'h3ece4ffa,32'h3ed6bbbb, 32'h3ec7ff29,32'h3edd0c8b, 32'h3ebd7877,32'h3ee7933d,// invsqrt(5.9148) = 0.4112 +32'h3f035fe4,32'h3faf1b11,32'h3fb640bf, 32'h3fa9bed0,32'h3fbb9d00, 32'h3fa0cfb7,32'h3fc48c19,// invsqrt(0.5132) = 1.3959 +32'h3fdfab14,32'h3f3dc9bd,32'h3f4588d4, 32'h3f37fa6b,32'h3f4b5825, 32'h3f2e4b8e,32'h3f550702,// invsqrt(1.7474) = 0.7565 +32'h3f340bdb,32'h3f9593a2,32'h3f9bae8e, 32'h3f90ff70,32'h3fa042c0, 32'h3f895dc9,32'h3fa7e467,// invsqrt(0.7033) = 1.1924 +32'h3ef039f3,32'h3fb72169,32'h3fbe9aef, 32'h3fb18643,32'h3fc43615, 32'h3fa82e5b,32'h3fcd8dfd,// invsqrt(0.4692) = 1.4599 +32'h3fa04a88,32'h3f6030a5,32'h3f695733, 32'h3f5953ba,32'h3f70341e, 32'h3f4de388,32'h3f7ba450,// invsqrt(1.2523) = 0.8936 +32'h3f26005f,32'h3f9bc69d,32'h3fa22250, 32'h3f9701d7,32'h3fa6e717, 32'h3f8f0f38,32'h3faed9b6,// invsqrt(0.6484) = 1.2418 +32'h3f0d69e6,32'h3fa8c69f,32'h3fafaa29, 32'h3fa39bf9,32'h3fb4d4cf, 32'h3f9aff8d,32'h3fbd713b,// invsqrt(0.5524) = 1.3455 +32'h3f838e14,32'h3f777790,32'h3f80c8ab, 32'h3f6fe43a,32'h3f849256, 32'h3f634401,32'h3f8ae272,// invsqrt(1.0278) = 0.9864 +32'h3e9da345,32'h3fe211a6,32'h3feb4bd6, 32'h3fdb2601,32'h3ff2377b, 32'h3fcf9d45,32'h3ffdc037,// invsqrt(0.3079) = 1.8022 +32'h42226060,32'h3e1d814b,32'h3e23ef0f, 32'h3e18aef8,32'h3e28c162, 32'h3e10a5c2,32'h3e30ca98,// invsqrt(40.5941) = 0.1570 +32'h3f192f9d,32'h3fa2293a,32'h3fa8c7a4, 32'h3f9d3269,32'h3fadbe75, 32'h3f94ec64,32'h3fb6047a,// invsqrt(0.5984) = 1.2927 +32'h3de62a74,32'h403b170b,32'h4042b9f1, 32'h40355cde,32'h4048741e, 32'h402bd13e,32'h4051ffbe,// invsqrt(0.1124) = 2.9829 +32'h3de7985d,32'h403a8304,32'h40421fe0, 32'h4034cd60,32'h4047d584, 32'h402b494d,32'h40515997,// invsqrt(0.1131) = 2.9737 +32'h3ead7c39,32'h3fd77f0b,32'h3fe04ac3, 32'h3fd0e642,32'h3fe6e38c, 32'h3fc5e79d,32'h3ff1e231,// invsqrt(0.3388) = 1.7179 +32'h4061bd29,32'h3f059560,32'h3f0b0930, 32'h3f017e84,32'h3f0f200c, 32'h3ef55b82,32'h3f15f0cf,// invsqrt(3.5272) = 0.5325 +32'h3cd4139d,32'h40c2e7df,32'h40cadc6f, 32'h40bcf072,32'h40d0d3dc, 32'h40b2febd,32'h40dac591,// invsqrt(0.0259) = 6.2151 +32'h40ba7596,32'h3ecfdd26,32'h3ed8591d, 32'h3ec9802c,32'h3edeb616, 32'h3ebee537,32'h3ee9510b,// invsqrt(5.8269) = 0.4143 +32'h3f8991fe,32'h3f71ff0d,32'h3f7bdfab, 32'h3f6a9697,32'h3f81a410, 32'h3f5e3dd3,32'h3f87d073,// invsqrt(1.0748) = 0.9646 +32'h401e51ec,32'h3f1f8294,32'h3f26054c, 32'h3f1aa08a,32'h3f2ae756, 32'h3f127d25,32'h3f330abb,// invsqrt(2.4738) = 0.6358 +32'h3f0acab6,32'h3faa5cce,32'h3fb150ec, 32'h3fa525b8,32'h3fb68802, 32'h3f9c7494,32'h3fbf3926,// invsqrt(0.5422) = 1.3581 +32'h3dc2e3a6,32'h404b518f,32'h40539e07, 32'h40451834,32'h4059d762, 32'h403ab89d,32'h406436f9,// invsqrt(0.0952) = 3.2417 +32'h3f812445,32'h3f79c4c2,32'h3f81fb4b, 32'h3f721f63,32'h3f85cdfa, 32'h3f65611b,32'h3f8c2d1f,// invsqrt(1.0089) = 0.9956 +32'h3c98fd0e,32'h40e57a72,32'h40eed843, 32'h40de7416,32'h40f5dea0, 32'h40d2bed2,32'h4100c9f2,// invsqrt(0.0187) = 7.3175 +32'h3eb06404,32'h3fd5b6d0,32'h3fde6fe8, 32'h3fcf2bfe,32'h3fe4faba, 32'h3fc444a0,32'h3fefe218,// invsqrt(0.3445) = 1.7037 +32'h3faa4ba0,32'h3f598152,32'h3f626206, 32'h3f52d8ca,32'h3f690a8e, 32'h3f47bfe8,32'h3f742370,// invsqrt(1.3304) = 0.8670 +32'h42e989d9,32'h3db9bbf3,32'h3dc150ae, 32'h3db40c66,32'h3dc7003a, 32'h3daa927b,32'h3dd07a25,// invsqrt(116.7692) = 0.0925 +32'h3f58fc17,32'h3f884065,32'h3f8dd016, 32'h3f8414a1,32'h3f91fbdb, 32'h3f7a4209,32'h3f98ef77,// invsqrt(0.8476) = 1.0862 +32'h3f8f46ae,32'h3f6d20f4,32'h3f76ceb4, 32'h3f65dea3,32'h3f7e1105, 32'h3f59c572,32'h3f85151b,// invsqrt(1.1193) = 0.9452 +32'h3f8d4ed6,32'h3f6ec63e,32'h3f788530, 32'h3f677707,32'h3f7fd467, 32'h3f5b4858,32'h3f86018b,// invsqrt(1.1040) = 0.9517 +32'h3fc740f0,32'h3f49145c,32'h3f514970, 32'h3f42ec8e,32'h3f57713e, 32'h3f38aa35,32'h3f61b397,// invsqrt(1.5567) = 0.8015 +32'h3fa0ca39,32'h3f5fd78e,32'h3f68fa7a, 32'h3f58fd5d,32'h3f6fd4ab, 32'h3f4d91b7,32'h3f7b4051,// invsqrt(1.2562) = 0.8922 +32'h423a86bd,32'h3e12f49e,32'h3e18f428, 32'h3e0e74f8,32'h3e1d73ce, 32'h3e06f58c,32'h3e24f33a,// invsqrt(46.6316) = 0.1464 +32'h3f1c8511,32'h3fa06cbd,32'h3fa6f903, 32'h3f9b8388,32'h3fabe238, 32'h3f935430,32'h3fb41190,// invsqrt(0.6114) = 1.2789 +32'h3f103019,32'h3fa724f3,32'h3fadf76f, 32'h3fa20715,32'h3fb3154d, 32'h3f997ff9,32'h3fbb9c69,// invsqrt(0.5632) = 1.3325 +32'h40803d18,32'h3efaa57e,32'h3f02703f, 32'h3ef2f93e,32'h3f06465f, 32'h3ee62f7e,32'h3f0cab3f,// invsqrt(4.0075) = 0.4995 +32'h3fcd3d59,32'h3f462022,32'h3f4e3657, 32'h3f400f79,32'h3f5446ff, 32'h3f35f3b6,32'h3f5e62c2,// invsqrt(1.6034) = 0.7897 +32'h3f66f336,32'h3f84115d,32'h3f897556, 32'h3f800661,32'h3f8d8051, 32'h3f7292d3,32'h3f943d48,// invsqrt(0.9021) = 1.0528 +32'h400e37c3,32'h3f284c4b,32'h3f2f2ad6, 32'h3f232563,32'h3f3451bf, 32'h3f1a8f36,32'h3f3ce7ec,// invsqrt(2.2222) = 0.6708 +32'h3e531872,32'h400a239b,32'h400fc704, 32'h4005e90b,32'h40140193, 32'h3ffdb98e,32'h401b0dd7,// invsqrt(0.2061) = 2.2025 +32'h3fcd5d7b,32'h3f4610a1,32'h3f4e2635, 32'h3f400072,32'h3f543664, 32'h3f35e57a,32'h3f5e515c,// invsqrt(1.6044) = 0.7895 +32'h3d89e092,32'h4071ba0e,32'h407b97da, 32'h406a53b5,32'h40817f1a, 32'h405dfe75,32'h4087a9b9,// invsqrt(0.0673) = 3.8541 +32'h3e822836,32'h3ff8cadc,32'h4001793e, 32'h3ff12d23,32'h4005481a, 32'h3fe47b9b,32'h400ba0df,// invsqrt(0.2542) = 1.9834 +32'h3d5ffa40,32'h40861b94,32'h408b94de, 32'h4082009d,32'h408fafd5, 32'h40765200,32'h40968772,// invsqrt(0.0547) = 4.2764 +32'h3effe30e,32'h3fb1702a,32'h3fb8ae36, 32'h3fac01a1,32'h3fbe1cbf, 32'h3fa2f412,32'h3fc72a4e,// invsqrt(0.4998) = 1.4145 +32'h3ed94ca9,32'h3fc08c98,32'h3fc8688a, 32'h3fbaa7a3,32'h3fce4d7f, 32'h3fb0d4b6,32'h3fd8206c,// invsqrt(0.4244) = 1.5350 +32'h3f32132e,32'h3f966701,32'h3f9c8a8f, 32'h3f91cc58,32'h3fa12538, 32'h3f8a1fe7,32'h3fa8d1a9,// invsqrt(0.6956) = 1.1990 +32'h3fcb87f3,32'h3f46f494,32'h3f4f1376, 32'h3f40dd6b,32'h3f552a9f, 32'h3f36b6d1,32'h3f5f5139,// invsqrt(1.5901) = 0.7930 +32'h3f73ef90,32'h3f808126,32'h3f85bfe3, 32'h3f79242e,32'h3f89aef1, 32'h3f6c0754,32'h3f903d5e,// invsqrt(0.9529) = 1.0244 +32'h3f41e2e1,32'h3f9023ac,32'h3f9605c8, 32'h3f8bba17,32'h3f9a6f5d, 32'h3f845f74,32'h3fa1ca00,// invsqrt(0.7574) = 1.1491 +32'h3f6f12e6,32'h3f81cdf9,32'h3f871a4d, 32'h3f7ba976,32'h3f8b138b, 32'h3f6e6aa5,32'h3f91b2f3,// invsqrt(0.9339) = 1.0348 +32'h3dcb23a9,32'h404725aa,32'h404f468d, 32'h40410d01,32'h40555f37, 32'h4036e3e6,32'h405f8852,// invsqrt(0.0992) = 3.1752 +32'h3ea9750b,32'h3fda0add,32'h3fe2f12f, 32'h3fd35e1f,32'h3fe99ded, 32'h3fc83e39,32'h3ff4bdd3,// invsqrt(0.3310) = 1.7382 +32'h3e997fb8,32'h3fe518b2,32'h3fee7286, 32'h3fde1554,32'h3ff575e4, 32'h3fd2650c,32'h40009316,// invsqrt(0.2998) = 1.8263 +32'h3f8771af,32'h3f73e36a,32'h3f7dd7cc, 32'h3f6c6c20,32'h3f82a78b, 32'h3f5ffaa5,32'h3f88e048,// invsqrt(1.0582) = 0.9721 +32'h3fadd2f3,32'h3f574942,32'h3f6012c8, 32'h3f50b21e,32'h3f66a9ec, 32'h3f45b638,32'h3f71a5d2,// invsqrt(1.3580) = 0.8581 +32'h417ecd7b,32'h3e7b7801,32'h3e82ddcc, 32'h3e73c54f,32'h3e86b725, 32'h3e66f0d1,32'h3e8d2163,// invsqrt(15.9252) = 0.2506 +32'h3f575151,32'h3f88c72a,32'h3f8e5c5b, 32'h3f849746,32'h3f928c40, 32'h3f7b3992,32'h3f9986bd,// invsqrt(0.8411) = 1.0904 +32'h3f7a14ec,32'h3f7dd4cb,32'h3f841889, 32'h3f760f95,32'h3f87fb23, 32'h3f691c3d,32'h3f8e74d0,// invsqrt(0.9769) = 1.0118 +32'h3fdf00f9,32'h3f3e1211,32'h3f45d41d, 32'h3f384089,32'h3f4ba5a5, 32'h3f2e8dfb,32'h3f555833,// invsqrt(1.7422) = 0.7576 +32'h4039ec76,32'h3f13318a,32'h3f193390, 32'h3f0eb006,32'h3f1db514, 32'h3f072d7f,32'h3f25379b,// invsqrt(2.9051) = 0.5867 +32'h3e42cf57,32'h400fcc17,32'h4015aa9f, 32'h400b6530,32'h401a1186, 32'h40040f05,32'h402167b1,// invsqrt(0.1902) = 2.2927 +32'h40de44cf,32'h3ebe6274,32'h3ec627c8, 32'h3eb88e76,32'h3ecbfbc6, 32'h3eaed7ce,32'h3ed5b26e,// invsqrt(6.9459) = 0.3794 +32'h3ea03a6f,32'h3fe03be8,32'h3fe962ec, 32'h3fd95ea5,32'h3ff0402f, 32'h3fcdeddf,32'h3ffbb0f5,// invsqrt(0.3129) = 1.7876 +32'h43a847bc,32'h3d5acdba,32'h3d63bc00, 32'h3d541b05,32'h3d6a6eb5, 32'h3d48f12d,32'h3d75988d,// invsqrt(336.5604) = 0.0545 +32'h3f848271,32'h3f7692f8,32'h3f8051b6, 32'h3f6f06a3,32'h3f8417e1, 32'h3f627213,32'h3f8a6228,// invsqrt(1.0352) = 0.9828 +32'h3fb16b0c,32'h3f551829,32'h3f5dcac8, 32'h3f4e9233,32'h3f6450bf, 32'h3f43b2ed,32'h3f6f3005,// invsqrt(1.3861) = 0.8494 +32'h40575c29,32'h3f08c3b9,32'h3f0e58c5, 32'h3f0493ef,32'h3f12888f, 32'h3efb333e,32'h3f1982df,// invsqrt(3.3650) = 0.5451 +32'h41468093,32'h3e8e741d,32'h3e94449b, 32'h3e8a17be,32'h3e98a0fa, 32'h3e82d320,32'h3e9fe598,// invsqrt(12.4064) = 0.2839 +32'h3f5f9ddf,32'h3f863744,32'h3f8bb1b0, 32'h3f821b74,32'h3f8fcd80, 32'h3f7684dc,32'h3f96a686,// invsqrt(0.8735) = 1.0700 +32'h3efc16ff,32'h3fb2c4fc,32'h3fba10f2, 32'h3fad4c04,32'h3fbf89ea, 32'h3fa42d12,32'h3fc8a8dd,// invsqrt(0.4924) = 1.4251 +32'h3d9be626,32'h4063537d,32'h406c9ad0, 32'h405c5dfe,32'h4073904e, 32'h4050c4d5,32'h407f2977,// invsqrt(0.0761) = 3.6245 +32'h3f4d26a7,32'h3f8c204c,32'h3f91d879, 32'h3f87d62b,32'h3f96229b, 32'h3f80aff3,32'h3f9d48d3,// invsqrt(0.8014) = 1.1171 +32'h3e95b9d3,32'h3fe7f70f,32'h3ff16edb, 32'h3fe0dd36,32'h3ff888b4, 32'h3fd50776,32'h40022f3a,// invsqrt(0.2924) = 1.8492 +32'h40b388ce,32'h3ed3d5b2,32'h3edc7b26, 32'h3ecd599a,32'h3ee2f73e, 32'h3ec28ac8,32'h3eedc610,// invsqrt(5.6104) = 0.4222 +32'h3ff6708d,32'h3f34cea5,32'h3f3c2fe5, 32'h3f2f45b5,32'h3f41b8d5, 32'h3f260c24,32'h3f4af266,// invsqrt(1.9253) = 0.7207 +32'h3f8fe008,32'h3f6ca273,32'h3f764b09, 32'h3f656401,32'h3f7d897b, 32'h3f595145,32'h3f84ce1c,// invsqrt(1.1240) = 0.9432 +32'h3f1c0bea,32'h3fa0aaf8,32'h3fa739c8, 32'h3f9bbfdb,32'h3fac24e5, 32'h3f938d56,32'h3fb4576a,// invsqrt(0.6096) = 1.2808 +32'h3f77c3be,32'h3f7f03f0,32'h3f84b64c, 32'h3f773574,32'h3f889d8a, 32'h3f6a32a4,32'h3f8f1ef2,// invsqrt(0.9678) = 1.0165 +32'h3d7ef482,32'h407b64c1,32'h4082d3c8, 32'h4073b2a6,32'h4086acd5, 32'h4066df24,32'h408d1696,// invsqrt(0.0622) = 4.0082 +32'h3eab651a,32'h3fd8ce6e,32'h3fe1a7d6, 32'h3fd22b60,32'h3fe84ae4, 32'h3fc71b9f,32'h3ff35aa5,// invsqrt(0.3348) = 1.7284 +32'h3f825797,32'h3f789da0,32'h3f8161b4, 32'h3f71014a,32'h3f852fdf, 32'h3f645210,32'h3f8b877c,// invsqrt(1.0183) = 0.9910 +32'h3f5d6ec9,32'h3f86e04a,32'h3f8c619c, 32'h3f82bf4d,32'h3f908299, 32'h3f77bb4f,32'h3f97643e,// invsqrt(0.8650) = 1.0752 +32'h3e2b58bc,32'h401953ad,32'h401f95c9, 32'h4014a218,32'h4024475e, 32'h400ccf75,32'h402c1a01,// invsqrt(0.1673) = 2.4446 +32'h3fba025c,32'h3f501d7e,32'h3f589c15, 32'h3f49be8c,32'h3f5efb06, 32'h3f3f204e,32'h3f699944,// invsqrt(1.4532) = 0.8295 +32'h3fbdbd5c,32'h3f4e0f26,32'h3f567841, 32'h3f47c050,32'h3f5cc716, 32'h3f3d3cee,32'h3f674a78,// invsqrt(1.4823) = 0.8213 +32'h3f8bf244,32'h3f6feee2,32'h3f79b9f0, 32'h3f689697,32'h3f80891e, 32'h3f5c58c5,32'h3f86a807,// invsqrt(1.0933) = 0.9564 +32'h3f8890e6,32'h3f72e26b,32'h3f7ccc51, 32'h3f6b7300,32'h3f821dde, 32'h3f5f0ea1,32'h3f88500d,// invsqrt(1.0669) = 0.9681 +32'h3f632647,32'h3f852b07,32'h3f8a9a7f, 32'h3f81176c,32'h3f8eae1a, 32'h3f74982c,32'h3f957970,// invsqrt(0.8873) = 1.0616 +32'h40528e53,32'h3f0a50e2,32'h3f0ff624, 32'h3f0614f0,32'h3f143216, 32'h3efe0cb9,32'h3f1b40aa,// invsqrt(3.2899) = 0.5513 +32'h40808c05,32'h3efa5880,32'h3f02482d, 32'h3ef2ae9b,32'h3f061d20, 32'h3ee5e8c8,32'h3f0c8009,// invsqrt(4.0171) = 0.4989 +32'h3ebc2cfd,32'h3fcee9e7,32'h3fd75bf1, 32'h3fc89460,32'h3fddb178, 32'h3fbe05d4,32'h3fe84004,// invsqrt(0.3675) = 1.6495 +32'h403bce10,32'h3f127456,32'h3f186ea2, 32'h3f0df89c,32'h3f1cea5c, 32'h3f067fbc,32'h3f24633c,// invsqrt(2.9345) = 0.5838 +32'h403aa941,32'h3f12e707,32'h3f18e603, 32'h3f0e67cb,32'h3f1d653f, 32'h3f06e911,32'h3f24e3f9,// invsqrt(2.9166) = 0.5855 +32'h3f9cee08,32'h3f62940b,32'h3f6bd38f, 32'h3f5ba469,32'h3f72c331, 32'h3f501505,32'h3f7e5295,// invsqrt(1.2260) = 0.9031 +32'h3fa466ed,32'h3f5d5e8b,32'h3f6667a1, 32'h3f5697bb,32'h3f6d2e71, 32'h3f4b4c61,32'h3f7879cb,// invsqrt(1.2844) = 0.8824 +32'h3ed5fc40,32'h3fc208d6,32'h3fc9f44d, 32'h3fbc183e,32'h3fcfe4e6, 32'h3fb231ea,32'h3fd9cb3a,// invsqrt(0.4179) = 1.5468 +32'h3f8cb2a4,32'h3f6f4aa3,32'h3f790efd, 32'h3f67f75f,32'h3f803120, 32'h3f5bc1ee,32'h3f864bd9,// invsqrt(1.0992) = 0.9538 +32'h3f5874e8,32'h3f886aeb,32'h3f8dfc57, 32'h3f843dd9,32'h3f922969, 32'h3f7a9022,32'h3f991f31,// invsqrt(0.8455) = 1.0875 +32'h3fd53f34,32'h3f425ec5,32'h3f4a4dbd, 32'h3f3c6b8b,32'h3f5040f7, 32'h3f3280d4,32'h3f5a2bae,// invsqrt(1.6660) = 0.7748 +32'h4077ff59,32'h3efee549,32'h3f04a658, 32'h3ef717bc,32'h3f088d1e, 32'h3eea167c,32'h3f0f0dbe,// invsqrt(3.8750) = 0.5080 +32'h3e73ba9b,32'h40008f1b,32'h4005ce6a, 32'h3ff93f3d,32'h4009bde5, 32'h3fec20f7,32'h40104d09,// invsqrt(0.2380) = 2.0497 +32'h4057197f,32'h3f08d8e9,32'h3f0e6ed3, 32'h3f04a879,32'h3f129f43, 32'h3efb5a29,32'h3f199aa7,// invsqrt(3.3609) = 0.5455 +32'h3eeeb5d5,32'h3fb7b60c,32'h3fbf35a4, 32'h3fb2165a,32'h3fc4d556, 32'h3fa8b6dc,32'h3fce34d4,// invsqrt(0.4662) = 1.4645 +32'h3f2bfc42,32'h3f990ab8,32'h3f9f49da, 32'h3f945b5f,32'h3fa3f933, 32'h3f8c8c75,32'h3fabc81d,// invsqrt(0.6718) = 1.2200 +32'h3e6afc77,32'h4002edbf,32'h400845d1, 32'h3ffdd762,32'h400c47df, 32'h3ff07b35,32'h4012f5f6,// invsqrt(0.2295) = 2.0875 +32'h3d9ff93f,32'h40606993,32'h40699275, 32'h40598aea,32'h4070711e, 32'h404e17d0,32'h407be438,// invsqrt(0.0781) = 3.5780 +32'h3faca0e2,32'h3f5807c7,32'h3f60d913, 32'h3f516ace,32'h3f67760c, 32'h3f46652f,32'h3f727bab,// invsqrt(1.3487) = 0.8611 +32'h413a0250,32'h3e9328e5,32'h3e992a90, 32'h3e8ea7a4,32'h3e9dabd0, 32'h3e87258e,32'h3ea52de6,// invsqrt(11.6256) = 0.2933 +32'h3f8d4b49,32'h3f6ec93e,32'h3f788850, 32'h3f6779f0,32'h3f7fd79e, 32'h3f5b4b1a,32'h3f86033a,// invsqrt(1.1039) = 0.9518 +32'h3fa3ff83,32'h3f5da44c,32'h3f66b03a, 32'h3f56db59,32'h3f6d792d, 32'h3f4b8c70,32'h3f78c817,// invsqrt(1.2812) = 0.8835 +32'h3ee687ec,32'h3fbaf11a,32'h3fc29273, 32'h3fb53816,32'h3fc84b76, 32'h3fabae65,32'h3fd1d527,// invsqrt(0.4503) = 1.4903 +32'h3ef3094d,32'h3fb6119a,32'h3fbd8008, 32'h3fb07ec7,32'h3fc312db, 32'h3fa734bc,32'h3fcc5ce6,// invsqrt(0.4747) = 1.4514 +32'h3f994b6b,32'h3f653fc4,32'h3f6e9b2f, 32'h3f5e3b33,32'h3f759fbf, 32'h3f5288ed,32'h3f80a903,// invsqrt(1.1976) = 0.9138 +32'h3f8e712a,32'h3f6dd26b,32'h3f77876a, 32'h3f668aab,32'h3f7ecf29, 32'h3f5a686c,32'h3f8578b4,// invsqrt(1.1128) = 0.9480 +32'h3f6021a8,32'h3f860fc9,32'h3f8b8898, 32'h3f81f52f,32'h3f8fa333, 32'h3f763c58,32'h3f967a36,// invsqrt(0.8755) = 1.0687 +32'h3d9b8d48,32'h40639463,32'h406cde5d, 32'h405c9ce8,32'h4073d5d8, 32'h40510070,32'h407f7250,// invsqrt(0.0760) = 3.6285 +32'h3e61d8fd,32'h40058d25,32'h400b009f, 32'h4001768a,32'h400f173a, 32'h3ff54c64,32'h4015e792,// invsqrt(0.2206) = 2.1293 +32'h40c0ed6b,32'h3ecc5987,32'h3ed4b0c6, 32'h3ec61818,32'h3edaf236, 32'h3ebbab0a,32'h3ee55f45,// invsqrt(6.0290) = 0.4073 +32'h3b3375ab,32'h4195d22c,32'h419befa6, 32'h41913c11,32'h41a085c1, 32'h41899738,32'h41a82a9a,// invsqrt(0.0027) = 19.1098 +32'h3fedb486,32'h3f381960,32'h3f3f9d06, 32'h3f3276a4,32'h3f453fc2, 32'h3f291214,32'h3f4ea452,// invsqrt(1.8571) = 0.7338 +32'h3f2b1d42,32'h3f996e51,32'h3f9fb183, 32'h3f94bbeb,32'h3fa463e9, 32'h3f8ce7ec,32'h3fac37e8,// invsqrt(0.6684) = 1.2231 +32'h3c9931d5,32'h40e552e8,32'h40eeaf1b, 32'h40de4dc1,32'h40f5b441, 32'h40d29a81,32'h4100b3c1,// invsqrt(0.0187) = 7.3126 +32'h40453927,32'h3f0eea2b,32'h3f14bf7b, 32'h3f0a8a2f,32'h3f191f77, 32'h3f033f8b,32'h3f206a1b,// invsqrt(3.0816) = 0.5697 +32'h3f28766e,32'h3f9aa23d,32'h3fa0f201, 32'h3f95e66a,32'h3fa5add4, 32'h3f8e02b6,32'h3fad9188,// invsqrt(0.6581) = 1.2327 +32'h3eaa3206,32'h3fd991ad,32'h3fe2730d, 32'h3fd2e8a5,32'h3fe91c15, 32'h3fc7ceed,32'h3ff435cd,// invsqrt(0.3324) = 1.7344 +32'h3fae92f7,32'h3f56d2bc,32'h3f5f976a, 32'h3f503f38,32'h3f662aee, 32'h3f45495e,32'h3f7120c8,// invsqrt(1.3639) = 0.8563 +32'h3eb2634d,32'h3fd483af,32'h3fdd303d, 32'h3fce0243,32'h3fe3b1a9, 32'h3fc32a91,32'h3fee895b,// invsqrt(0.3484) = 1.6942 +32'h3e1b02a1,32'h40213439,32'h4027c8a3, 32'h401c44e8,32'h402cb7f4, 32'h40140b63,32'h4034f179,// invsqrt(0.1514) = 2.5702 +32'h4013c79c,32'h3f2519c0,32'h3f2bd6e2, 32'h3f200be7,32'h3f30e4bb, 32'h3f179f7c,32'h3f395126,// invsqrt(2.3091) = 0.6581 +32'h3e692b48,32'h40037019,32'h4008cd7d, 32'h3ffed41b,32'h400cd388, 32'h3ff16aa1,32'h40138846,// invsqrt(0.2277) = 2.0956 +32'h3f9d0caa,32'h3f627df1,32'h3f6bbc8d, 32'h3f5b8efc,32'h3f72ab82, 32'h3f5000b9,32'h3f7e39c5,// invsqrt(1.2269) = 0.9028 +32'h3f157485,32'h3fa42c2d,32'h3faadf9d, 32'h3f9f259a,32'h3fafe630, 32'h3f96c54e,32'h3fb8467c,// invsqrt(0.5838) = 1.3088 +32'h3f3069de,32'h3f971be1,32'h3f9d46d1, 32'h3f927bae,32'h3fa1e704, 32'h3f8ac603,32'h3fa99caf,// invsqrt(0.6891) = 1.2046 +32'h3fa0ec9e,32'h3f5fbfa1,32'h3f68e193, 32'h3f58e62c,32'h3f6fbb08, 32'h3f4d7bbe,32'h3f7b2576,// invsqrt(1.2572) = 0.8919 +32'h3f298795,32'h3f9a2577,32'h3fa07023, 32'h3f956d76,32'h3fa52824, 32'h3f8d901f,32'h3fad057b,// invsqrt(0.6622) = 1.2288 +32'h400d6f3f,32'h3f28c36e,32'h3f2fa6d6, 32'h3f2398e0,32'h3f34d164, 32'h3f1afc9f,32'h3f3d6da5,// invsqrt(2.2099) = 0.6727 +32'h3f91b0a8,32'h3f6b27ef,32'h3f74c113, 32'h3f63f514,32'h3f7bf3ee, 32'h3f57f5a7,32'h3f83f9ad,// invsqrt(1.1382) = 0.9373 +32'h3fc561f0,32'h3f4a07c5,32'h3f5246c8, 32'h3f43d883,32'h3f58760b, 32'h3f3989c0,32'h3f62c4ce,// invsqrt(1.5421) = 0.8053 +32'h3fee4e75,32'h3f37dde1,32'h3f3f5f19, 32'h3f323cf7,32'h3f450003, 32'h3f28db70,32'h3f4e618a,// invsqrt(1.8618) = 0.7329 +32'h3f890f5c,32'h3f727245,32'h3f7c5796, 32'h3f6b0648,32'h3f81e1c9, 32'h3f5ea7a2,32'h3f88111c,// invsqrt(1.0708) = 0.9664 +32'h3fa64dcd,32'h3f5c1991,32'h3f651563, 32'h3f555cb4,32'h3f6bd240, 32'h3f4a21ee,32'h3f770d06,// invsqrt(1.2992) = 0.8773 +32'h3fb02c5d,32'h3f55d88f,32'h3f5e9307, 32'h3f4f4cb4,32'h3f651ee2, 32'h3f44639d,32'h3f7007f9,// invsqrt(1.3764) = 0.8524 +32'h3ee2c329,32'h3fbc7d22,32'h3fc42ea6, 32'h3fb6b7ff,32'h3fc9f3c9, 32'h3fad1a1a,32'h3fd391ae,// invsqrt(0.4429) = 1.5026 +32'h40c33ad2,32'h3ecb2426,32'h3ed36ec4, 32'h3ec4ec2f,32'h3ed9a6bb, 32'h3eba8ee9,32'h3ee40401,// invsqrt(6.1009) = 0.4049 +32'h40471227,32'h3f0e3ffd,32'h3f140e5b, 32'h3f09e537,32'h3f186921, 32'h3f02a341,32'h3f1fab17,// invsqrt(3.1105) = 0.5670 +32'h3f318643,32'h3f96a2a7,32'h3f9cc8a3, 32'h3f92062a,32'h3fa16520, 32'h3f8a56ae,32'h3fa9149c,// invsqrt(0.6935) = 1.2009 +32'h3f5be625,32'h3f87587e,32'h3f8cdeb8, 32'h3f8333d3,32'h3f910363, 32'h3f789817,32'h3f97eb2a,// invsqrt(0.8590) = 1.0790 +32'h3e28a62c,32'h401a8c58,32'h4020db38, 32'h4015d131,32'h4025965f, 32'h400dee9a,32'h402d78f6,// invsqrt(0.1647) = 2.4641 +32'h40881e12,32'h3ef348c9,32'h3efd36db, 32'h3eebd63b,32'h3f0254b5, 32'h3edf6ca3,32'h3f088980,// invsqrt(4.2537) = 0.4849 +32'h3fa082d0,32'h3f600953,32'h3f692e47, 32'h3f592d9c,32'h3f7009fe, 32'h3f4dbf6c,32'h3f7b782e,// invsqrt(1.2540) = 0.8930 +32'h40d8c474,32'h3ec0c90d,32'h3ec8a777, 32'h3ebae23f,32'h3ece8e45, 32'h3eb10c3b,32'h3ed86449,// invsqrt(6.7740) = 0.3842 +32'h3f8ffac3,32'h3f6c8c7a,32'h3f76342c, 32'h3f654eb5,32'h3f7d71f1, 32'h3f593d18,32'h3f84c1c7,// invsqrt(1.1248) = 0.9429 +32'h3e32846b,32'h40163746,32'h401c58e0, 32'h40119e12,32'h4020f214, 32'h4009f411,32'h40289c15,// invsqrt(0.1743) = 2.3950 +32'h3c534e64,32'h410a11f7,32'h410fb4a9, 32'h4105d7f2,32'h4113eeae, 32'h40fd992a,32'h411afa0b,// invsqrt(0.0129) = 8.8055 +32'h3f4f836f,32'h3f8b5385,32'h3f910357, 32'h3f870fa8,32'h3f954734, 32'h3f7fe7c6,32'h3f9c62f9,// invsqrt(0.8106) = 1.1107 +32'h3f962b7f,32'h3f679f33,32'h3f711369, 32'h3f60880a,32'h3f782a92, 32'h3f54b6c6,32'h3f81fdeb,// invsqrt(1.1732) = 0.9232 +32'h40052a15,32'h3f2deccc,32'h3f350623, 32'h3f2899cc,32'h3f3a5924, 32'h3f1fba1f,32'h3f4338d1,// invsqrt(2.0807) = 0.6933 +32'h41c12419,32'h3e4c3c98,32'h3e5492a9, 32'h3e45fc0c,32'h3e5ad336, 32'h3e3b9077,32'h3e653ecb,// invsqrt(24.1426) = 0.2035 +32'h3fecc328,32'h3f38771f,32'h3f3ffe99, 32'h3f32d184,32'h3f45a434, 32'h3f29682c,32'h3f4f0d8c,// invsqrt(1.8497) = 0.7353 +32'h3f61d2f7,32'h3f858eed,32'h3f8b0279, 32'h3f817844,32'h3f8f1922, 32'h3f754fa9,32'h3f95e992,// invsqrt(0.8821) = 1.0647 +32'h3fafeaf5,32'h3f56004c,32'h3f5ebc64, 32'h3f4f733a,32'h3f654976, 32'h3f44881c,32'h3f703494,// invsqrt(1.3744) = 0.8530 +32'h410ab360,32'h3eaa6b23,32'h3eb15fd6, 32'h3ea5339c,32'h3eb6975c, 32'h3e9c81bc,32'h3ebf493c,// invsqrt(8.6688) = 0.3396 +32'h3f72f007,32'h3f80c4a9,32'h3f860629, 32'h3f79a714,32'h3f89f748, 32'h3f6c8357,32'h3f908927,// invsqrt(0.9490) = 1.0265 +32'h3f6b9fef,32'h3f82c04d,32'h3f881684, 32'h3f7d7f46,32'h3f8c172d, 32'h3f7027bb,32'h3f92c2f2,// invsqrt(0.9204) = 1.0423 +32'h3f065a0b,32'h3fad279d,32'h3fb438e8, 32'h3fa7daa6,32'h3fb985e0, 32'h3f9f0509,32'h3fc25b7d,// invsqrt(0.5248) = 1.3804 +32'h3f39b163,32'h3f9348f2,32'h3f994bec, 32'h3f8ec6b6,32'h3f9dce28, 32'h3f8742fe,32'h3fa551e0,// invsqrt(0.7254) = 1.1741 +32'h3fc9fea7,32'h3f47b5e6,32'h3f4fdcac, 32'h3f4198d2,32'h3f55f9c0, 32'h3f37685b,32'h3f602a37,// invsqrt(1.5781) = 0.7960 +32'h41854ceb,32'h3e75d76d,32'h3e7fe037, 32'h3e6e50d4,32'h3e83b368, 32'h3e61c5d7,32'h3e89f8e7,// invsqrt(16.6626) = 0.2450 +32'h40dd8641,32'h3ebeb445,32'h3ec67cef, 32'h3eb8ddc6,32'h3ecc536e, 32'h3eaf22f1,32'h3ed60e43,// invsqrt(6.9226) = 0.3801 +32'h3f93c985,32'h3f697b49,32'h3f7302ee, 32'h3f62558e,32'h3f7a28aa, 32'h3f566c00,32'h3f83091c,// invsqrt(1.1546) = 0.9307 +32'h3edd036e,32'h3fbeecae,32'h3fc6b7a6, 32'h3fb91475,32'h3fcc8fdf, 32'h3faf56bf,32'h3fd64d95,// invsqrt(0.4317) = 1.5220 +32'h401d1859,32'h3f202178,32'h3f26aaac, 32'h3f1b3a91,32'h3f2b9193, 32'h3f130f10,32'h3f33bd14,// invsqrt(2.4546) = 0.6383 +32'h3ed6cee8,32'h3fc1a99b,32'h3fc9912e, 32'h3fbbbbec,32'h3fcf7edc, 32'h3fb1da74,32'h3fd96054,// invsqrt(0.4195) = 1.5439 +32'h40424ddf,32'h3f0ffbf7,32'h3f15dc75, 32'h3f0b939a,32'h3f1a44d2, 32'h3f043afd,32'h3f219d6f,// invsqrt(3.0360) = 0.5739 +32'h4039fbea,32'h3f132b6d,32'h3f192d33, 32'h3f0eaa19,32'h3f1dae87, 32'h3f0727e2,32'h3f2530be,// invsqrt(2.9060) = 0.5866 +32'h3e1d274a,32'h402019db,32'h4026a2bf, 32'h401b3330,32'h402b896a, 32'h40130812,32'h4033b488,// invsqrt(0.1535) = 2.5526 +32'h3dc52da4,32'h404a228e,32'h405262a9, 32'h4043f27b,32'h405892bd, 32'h4039a259,32'h4062e2df,// invsqrt(0.0963) = 3.2228 +32'h41750903,32'h3e803743,32'h3e8572fd, 32'h3e7894f0,32'h3e895fc8, 32'h3e6b7fa0,32'h3e8fea70,// invsqrt(15.3147) = 0.2555 +32'h3f369947,32'h3f948710,32'h3f9a9706, 32'h3f8ffb17,32'h3f9f22ff, 32'h3f886724,32'h3fa6b6f2,// invsqrt(0.7133) = 1.1841 +32'h3e01f0c5,32'h403011c1,32'h4037417f, 32'h402aadf2,32'h403ca54e, 32'h4021b243,32'h4045a0fd,// invsqrt(0.1269) = 2.8072 +32'h3f22637b,32'h3f9d7fca,32'h3fa3ed7e, 32'h3f98ad82,32'h3fa8bfc6, 32'h3f90a460,32'h3fb0c8e8,// invsqrt(0.6343) = 1.2556 +32'h3f911a53,32'h3f6ba1a1,32'h3f753fbc, 32'h3f646b0c,32'h3f7c7650, 32'h3f586569,32'h3f843df9,// invsqrt(1.1336) = 0.9392 +32'h3e53305c,32'h400a1bc8,32'h400fbee0, 32'h4005e176,32'h4013f932, 32'h3ffdab31,32'h401b0510,// invsqrt(0.2062) = 2.2020 +32'h3ec35248,32'h3fcb17f2,32'h3fd36210, 32'h3fc4e05b,32'h3fd999a7, 32'h3fba83b4,32'h3fe3f64e,// invsqrt(0.3815) = 1.6190 +32'h3f51d9b8,32'h3f8a8c5a,32'h3f90340b, 32'h3f864e97,32'h3f9471cf, 32'h3f7e79f5,32'h3f9b836b,// invsqrt(0.8197) = 1.1045 +32'h3e21da89,32'h401dc25d,32'h402432c9, 32'h4018ee0c,32'h4029071a, 32'h4010e184,32'h403113a2,// invsqrt(0.1581) = 2.5153 +32'h3e9f2e7a,32'h3fe0f854,32'h3fea2709, 32'h3fda154c,32'h3ff10a10, 32'h3fce9ae9,32'h3ffc8473,// invsqrt(0.3109) = 1.7934 +32'h3f194368,32'h3fa21ec1,32'h3fa8bcbe, 32'h3f9d2842,32'h3fadb33c, 32'h3f94e2c6,32'h3fb5f8b9,// invsqrt(0.5987) = 1.2924 +32'h3f26462f,32'h3f9ba5e6,32'h3fa20042, 32'h3f96e220,32'h3fa6c408, 32'h3f8ef12c,32'h3faeb4fc,// invsqrt(0.6495) = 1.2408 +32'h3fb29590,32'h3f5465c5,32'h3f5d111b, 32'h3f4de544,32'h3f63919c, 32'h3f430f18,32'h3f6e67c8,// invsqrt(1.3952) = 0.8466 +32'h40d1d81b,32'h3ec3f094,32'h3ecbeff3, 32'h3ebdf10d,32'h3ed1ef7b, 32'h3eb3f1d7,32'h3edbeeb1,// invsqrt(6.5576) = 0.3905 +32'h41125b2e,32'h3ea5e6ce,32'h3eacac4e, 32'h3ea0d2ad,32'h3eb1c06f, 32'h3e985bcd,32'h3eba374f,// invsqrt(9.1473) = 0.3306 +32'h3f240528,32'h3f9cb6c0,32'h3fa31c40, 32'h3f97eaa0,32'h3fa7e860, 32'h3f8febc0,32'h3fafe740,// invsqrt(0.6407) = 1.2493 +32'h3f7851ec,32'h3f7ebae4,32'h3f849048, 32'h3f76eea4,32'h3f887668, 32'h3f69ef8e,32'h3f8ef5f3,// invsqrt(0.9700) = 1.0153 +32'h3ffe3197,32'h3f320733,32'h3f394b6a, 32'h3f2c940b,32'h3f3ebe93, 32'h3f237ec7,32'h3f47d3d7,// invsqrt(1.9859) = 0.7096 +32'h412f0a1f,32'h3e97b369,32'h3e9de488, 32'h3e930e93,32'h3ea2895f, 32'h3e8b512d,32'h3eaa46c5,// invsqrt(10.9400) = 0.3023 +32'h3e6490a7,32'h4004c14c,32'h400a2c74, 32'h4000b0ee,32'h400e3cd2, 32'h3ff3d5fa,32'h401502c3,// invsqrt(0.2232) = 2.1166 +32'h3f2e952f,32'h3f97e62f,32'h3f9e1960, 32'h3f933fcb,32'h3fa2bfc5, 32'h3f8b7fce,32'h3faa7fc2,// invsqrt(0.6820) = 1.2109 +32'h3d023d0d,32'h40afde29,32'h40b70bcd, 32'h40aa7bee,32'h40bc6e08, 32'h40a182e2,32'h40c56714,// invsqrt(0.0318) = 5.6080 +32'h3f0ea638,32'h3fa80b16,32'h3faee6f8, 32'h3fa2e62d,32'h3fb40be1, 32'h3f9a5353,32'h3fbc9ebb,// invsqrt(0.5572) = 1.3396 +32'h3f58cc36,32'h3f884f70,32'h3f8ddfbe, 32'h3f842336,32'h3f920bf8, 32'h3f7a5da9,32'h3f990059,// invsqrt(0.8469) = 1.0867 +32'h3f4c7d04,32'h3f8c5a5f,32'h3f9214eb, 32'h3f880e76,32'h3f9660d4, 32'h3f80e548,32'h3f9d8a02,// invsqrt(0.7988) = 1.1189 +32'h3f085ed1,32'h3fabde4f,32'h3fb2e229, 32'h3fa69b6c,32'h3fb8250c, 32'h3f9dd69c,32'h3fc0e9dc,// invsqrt(0.5327) = 1.3701 +32'h3faac439,32'h3f593477,32'h3f621209, 32'h3f528e4a,32'h3f68b836, 32'h3f477953,32'h3f73cd2d,// invsqrt(1.3341) = 0.8658 +32'h3fe72607,32'h3f3ab11f,32'h3f424fdd, 32'h3f34fa11,32'h3f4806eb, 32'h3f2b73a5,32'h3f518d57,// invsqrt(1.8058) = 0.7441 +32'h3fbe79e1,32'h3f4da914,32'h3f560e05, 32'h3f475d5f,32'h3f5c59b9, 32'h3f3cdf31,32'h3f66d7e7,// invsqrt(1.4881) = 0.8198 +32'h3f8f4c70,32'h3f6d1c30,32'h3f76c9c0, 32'h3f65da05,32'h3f7e0beb, 32'h3f59c112,32'h3f85126f,// invsqrt(1.1195) = 0.9451 +32'h40aacf91,32'h3ed92d40,32'h3ee20a87, 32'h3ed2874c,32'h3ee8b07c, 32'h3ec772b4,32'h3ef3c514,// invsqrt(5.3378) = 0.4328 +32'h4017eca1,32'h3f22d53e,32'h3f297aae, 32'h3f1dd92a,32'h3f2e76c2, 32'h3f158a5d,32'h3f36c58f,// invsqrt(2.3738) = 0.6490 +32'h3efd594e,32'h3fb25321,32'h3fb99a71, 32'h3facdda5,32'h3fbf0fed, 32'h3fa3c482,32'h3fc82910,// invsqrt(0.4948) = 1.4216 +32'h41c803a5,32'h3e48b265,32'h3e50e379, 32'h3e428d96,32'h3e570848, 32'h3e38503e,32'h3e6145a1,// invsqrt(25.0018) = 0.2000 +32'h41a91aed,32'h3e5a44ee,32'h3e632d9f, 32'h3e53966a,32'h3e69dc24, 32'h3e48738d,32'h3e74ff01,// invsqrt(21.1381) = 0.2175 +32'h3ed32b35,32'h3fc35302,32'h3fcb4bf2, 32'h3fbd584e,32'h3fd146a6, 32'h3fb36121,32'h3fdb3dd3,// invsqrt(0.4124) = 1.5571 +32'h3f924a41,32'h3f6aac5b,32'h3f744074, 32'h3f637d49,32'h3f7b6f87, 32'h3f57842a,32'h3f83b453,// invsqrt(1.1429) = 0.9354 +32'h4031d231,32'h3f16827a,32'h3f1ca726, 32'h3f11e6f9,32'h3f2142a7, 32'h3f0a3922,32'h3f28f07e,// invsqrt(2.7785) = 0.5999 +32'h3e3fb524,32'h4010f4c1,32'h4016df65, 32'h400c84c5,32'h401b4f61, 32'h40051f78,32'h4022b4ae,// invsqrt(0.1872) = 2.3112 +32'h3fced591,32'h3f455c3d,32'h3f4d6a73, 32'h3f3f5194,32'h3f53751c, 32'h3f353fcf,32'h3f5d86e1,// invsqrt(1.6159) = 0.7867 +32'h3dd7ec6d,32'h40412966,32'h40490bbd, 32'h403b3fa3,32'h404ef57f, 32'h403164b6,32'h4058d06c,// invsqrt(0.1054) = 3.0797 +32'h3f191e91,32'h3fa23240,32'h3fa8d10a, 32'h3f9d3b2a,32'h3fadc820, 32'h3f94f4ae,32'h3fb60e9c,// invsqrt(0.5981) = 1.2930 +32'h3ed434f5,32'h3fc2d88e,32'h3fcacc7f, 32'h3fbce19a,32'h3fd0c374, 32'h3fb2f0ad,32'h3fdab461,// invsqrt(0.4145) = 1.5533 +32'h4002005a,32'h3f300733,32'h3f373683, 32'h3f2aa3b6,32'h3f3c9a00, 32'h3f21a892,32'h3f459524,// invsqrt(2.0313) = 0.7016 +32'h42184925,32'h3e22a3c0,32'h3e29472c, 32'h3e1da930,32'h3e2e41bc, 32'h3e155cea,32'h3e368e02,// invsqrt(38.0714) = 0.1621 +32'h4118ae63,32'h3ea26dcb,32'h3ea90f03, 32'h3e9d74e2,32'h3eae07ec, 32'h3e952b5d,32'h3eb65171,// invsqrt(9.5426) = 0.3237 +32'h3e807fd0,32'h3ffa6464,32'h40024e5d, 32'h3ff2ba21,32'h4006237e, 32'h3fe5f3b4,32'h400c86b5,// invsqrt(0.2510) = 1.9961 +32'h411a8efc,32'h3ea1707d,32'h3ea8075d, 32'h3e9c7f54,32'h3eacf886, 32'h3e9442bc,32'h3eb5351e,// invsqrt(9.6599) = 0.3217 +32'h3f48ed9d,32'h3f8d974b,32'h3f935ec6, 32'h3f8941ae,32'h3f97b462, 32'h3f820854,32'h3f9eedbc,// invsqrt(0.7849) = 1.1288 +32'h3f7dbcf7,32'h3f7bfee7,32'h3f832400, 32'h3f744815,32'h3f86ff6a, 32'h3f676cb5,32'h3f8d6d19,// invsqrt(0.9912) = 1.0044 +32'h4017b0d0,32'h3f22f556,32'h3f299c15, 32'h3f1df846,32'h3f2e9924, 32'h3f15a7d6,32'h3f36e994,// invsqrt(2.3702) = 0.6495 +32'h40c5ebcb,32'h3ec9c15d,32'h3ed1fd81, 32'h3ec39443,32'h3ed82a9b, 32'h3eb94917,32'h3ee275c7,// invsqrt(6.1850) = 0.4021 +32'h3f3c66ce,32'h3f9238ec,32'h3f9830cc, 32'h3f8dbf04,32'h3f9caab4, 32'h3f86492c,32'h3fa4208c,// invsqrt(0.7359) = 1.1657 +32'h3eb7a1e0,32'h3fd17530,32'h3fda01ce, 32'h3fcb0bb9,32'h3fe06b45, 32'h3fc05bf2,32'h3feb1b0c,// invsqrt(0.3587) = 1.6698 +32'h3f68ace4,32'h3f8393c7,32'h3f88f2a1, 32'h3f7f1949,32'h3f8cf9c3, 32'h3f71ac2a,32'h3f93b053,// invsqrt(0.9089) = 1.0489 +32'h40587acb,32'h3f086910,32'h3f0dfa6a, 32'h3f043c0d,32'h3f12276d, 32'h3efa8cbb,32'h3f191d1d,// invsqrt(3.3825) = 0.5437 +32'h3f9325e7,32'h3f69fcf4,32'h3f7389e4, 32'h3f62d340,32'h3f7ab398, 32'h3f56e314,32'h3f8351e2,// invsqrt(1.1496) = 0.9327 +32'h40d02d9b,32'h3ec4b8e5,32'h3eccc071, 32'h3ebeb33c,32'h3ed2c61a, 32'h3eb4a9cd,32'h3edccf89,// invsqrt(6.5056) = 0.3921 +32'h3f2e3b2c,32'h3f980d67,32'h3f9e4231, 32'h3f9365cf,32'h3fa2e9c9, 32'h3f8ba3d1,32'h3faaabc7,// invsqrt(0.6806) = 1.2122 +32'h3b0619a8,32'h41ad512a,32'h41b46426, 32'h41a802ec,32'h41b9b264, 32'h419f2b31,32'h41c28a1f,// invsqrt(0.0020) = 22.1068 +32'h3f9d1600,32'h3f627736,32'h3f6bb58c, 32'h3f5b8876,32'h3f72a44c, 32'h3f4ffa8a,32'h3f7e3238,// invsqrt(1.2272) = 0.9027 +32'h3de44e7b,32'h403bd9ab,32'h40438483, 32'h40361989,32'h404944a5, 32'h402c83fb,32'h4052da33,// invsqrt(0.1115) = 2.9951 +32'h3d50bf35,32'h408ae9fc,32'h4090957e, 32'h4086a95a,32'h4094d620, 32'h407f25ee,32'h409bec83,// invsqrt(0.0510) = 4.4297 +32'h40e4c719,32'h3ebba820,32'h3ec350f2, 32'h3eb5e982,32'h3ec90f90, 32'h3eac567b,32'h3ed2a297,// invsqrt(7.1493) = 0.3740 +32'h40441c12,32'h3f0f51e6,32'h3f152b72, 32'h3f0aeebd,32'h3f198e9b, 32'h3f039ece,32'h3f20de8a,// invsqrt(3.0642) = 0.5713 +32'h40a97761,32'h3eda095c,32'h3ee2ef9e, 32'h3ed35caa,32'h3ee99c50, 32'h3ec83cd7,32'h3ef4bc23,// invsqrt(5.2958) = 0.4345 +32'h3f921f9c,32'h3f6ace97,32'h3f746415, 32'h3f639e78,32'h3f7b9434, 32'h3f57a39a,32'h3f83c789,// invsqrt(1.1416) = 0.9359 +32'h402a251f,32'h3f19de0a,32'h3f2025cb, 32'h3f152838,32'h3f24db9c, 32'h3f0d4e86,32'h3f2cb54e,// invsqrt(2.6585) = 0.6133 +32'h3e09db03,32'h402af0aa,32'h4031ead1, 32'h4025b50e,32'h4037266e, 32'h401cfc5e,32'h403fdf1e,// invsqrt(0.1346) = 2.7254 +32'h3fb5352b,32'h3f52dabc,32'h3f5b75f2, 32'h3f4c6653,32'h3f61ea5b, 32'h3f41a44e,32'h3f6cac60,// invsqrt(1.4157) = 0.8405 +32'h3dd497d9,32'h4042ab38,32'h404a9d4f, 32'h403cb5a6,32'h405092e0, 32'h4032c709,32'h405a817d,// invsqrt(0.1038) = 3.1038 +32'h3e19d75b,32'h4021d0b9,32'h40286b87, 32'h401cdc9e,32'h402d5fa2, 32'h40149b1d,32'h4035a123,// invsqrt(0.1502) = 2.5800 +32'h404aad0f,32'h3f0cfaa9,32'h3f12bbbf, 32'h3f08a9d8,32'h3f170c90, 32'h3f01787c,32'h3f1e3dec,// invsqrt(3.1668) = 0.5619 +32'h411233ba,32'h3ea5fd2f,32'h3eacc399, 32'h3ea0e85f,32'h3eb1d869, 32'h3e98705a,32'h3eba506e,// invsqrt(9.1376) = 0.3308 +32'h402ccb3e,32'h3f18aef3,32'h3f1eea55, 32'h3f140269,32'h3f2396df, 32'h3f0c382d,32'h3f2b611b,// invsqrt(2.6999) = 0.6086 +32'h3ef93e72,32'h3fb3c985,32'h3fbb201d, 32'h3fae4893,32'h3fc0a10f, 32'h3fa51c56,32'h3fc9cd4c,// invsqrt(0.4868) = 1.4333 +32'h3f9a980c,32'h3f64489d,32'h3f6d99f1, 32'h3f5d4b9d,32'h3f7496f1, 32'h3f51a5f3,32'h3f801e4d,// invsqrt(1.2078) = 0.9099 +32'h3e9cb193,32'h3fe2bfbd,32'h3fec0108, 32'h3fdbcec4,32'h3ff2f200, 32'h3fd03d25,32'h3ffe839f,// invsqrt(0.3060) = 1.8076 +32'h3ed1e14e,32'h3fc3ec49,32'h3fcbeb7b, 32'h3fbdece4,32'h3fd1eae0, 32'h3fb3ede5,32'h3fdbe9df,// invsqrt(0.4099) = 1.5619 +32'h42faaf7c,32'h3db344ff,32'h3dba962d, 32'h3dadc81b,32'h3dc01311, 32'h3da4a2a1,32'h3dc9388b,// invsqrt(125.3427) = 0.0893 +32'h3e159787,32'h402418f6,32'h402acb9d, 32'h401f12fa,32'h402fd19a, 32'h4016b3a9,32'h403830eb,// invsqrt(0.1461) = 2.6164 +32'h3d97c0f0,32'h406668fa,32'h406fd086, 32'h405f5b50,32'h4076de30, 32'h405399e0,32'h40814fd0,// invsqrt(0.0741) = 3.6736 +32'h3fafec0e,32'h3f55ffa1,32'h3f5ebbb3, 32'h3f4f7295,32'h3f6548bf, 32'h3f44877f,32'h3f7033d5,// invsqrt(1.3744) = 0.8530 +32'h3f3bb7e7,32'h3f927cfb,32'h3f9877a1, 32'h3f8e00fd,32'h3f9cf39f, 32'h3f8687ad,32'h3fa46cef,// invsqrt(0.7333) = 1.1678 +32'h3ed33f89,32'h3fc3499c,32'h3fcb422a, 32'h3fbd4f31,32'h3fd13c95, 32'h3fb35880,32'h3fdb3347,// invsqrt(0.4126) = 1.5568 +32'h3ffc83fc,32'h3f329e64,32'h3f39e8c6, 32'h3f2d269a,32'h3f3f6090, 32'h3f2409a0,32'h3f487d8a,// invsqrt(1.9728) = 0.7120 +32'h3f95eb80,32'h3f67d09d,32'h3f7146d8, 32'h3f60b7f2,32'h3f785f84, 32'h3f54e428,32'h3f8219a7,// invsqrt(1.1712) = 0.9240 +32'h3f543ef6,32'h3f89c3a2,32'h3f8f6320, 32'h3f858c03,32'h3f939abf, 32'h3f7d0948,32'h3f9aa21e,// invsqrt(0.8291) = 1.0982 +32'h3e5c5651,32'h40073606,32'h400cbad8, 32'h40031269,32'h4010de75, 32'h3ff858c8,32'h4017c47a,// invsqrt(0.2152) = 2.1558 +32'h4084f44e,32'h3ef6294c,32'h3f001ab7, 32'h3eeea032,32'h3f03df44, 32'h3ee21107,32'h3f0a26da,// invsqrt(4.1548) = 0.4906 +32'h3edc3fa7,32'h3fbf4176,32'h3fc70fe4, 32'h3fb966a4,32'h3fcceab6, 32'h3fafa49c,32'h3fd6acbe,// invsqrt(0.4302) = 1.5247 +32'h418e54a9,32'h3e6dea3a,32'h3e77a032, 32'h3e66a1c0,32'h3e7ee8ac, 32'h3e5a7e4a,32'h3e858611,// invsqrt(17.7913) = 0.2371 +32'h3f925c62,32'h3f6a9dd2,32'h3f743153, 32'h3f636f32,32'h3f7b5ff4, 32'h3f5776d1,32'h3f83ac2a,// invsqrt(1.1434) = 0.9352 +32'h3f281396,32'h3f9acfae,32'h3fa1214c, 32'h3f961277,32'h3fa5de83, 32'h3f8e2c70,32'h3fadc48a,// invsqrt(0.6565) = 1.2341 +32'h3f830705,32'h3f77f6f9,32'h3f810afa, 32'h3f705fbe,32'h3f84d698, 32'h3f63b905,32'h3f8b29f5,// invsqrt(1.0237) = 0.9884 +32'h40049c04,32'h3f2e49dd,32'h3f356701, 32'h3f28f403,32'h3f3abcdb, 32'h3f200f97,32'h3f43a147,// invsqrt(2.0720) = 0.6947 +32'h3f165987,32'h3fa3aef5,32'h3faa5d49, 32'h3f9eac37,32'h3faf6007, 32'h3f96524f,32'h3fb7b9ef,// invsqrt(0.5873) = 1.3049 +32'h3f8cd344,32'h3f6f2ee9,32'h3f78f221, 32'h3f67dc7e,32'h3f802246, 32'h3f5ba878,32'h3f863c49,// invsqrt(1.1002) = 0.9534 +32'h3fd409c1,32'h3f42ec67,32'h3f4ae127, 32'h3f3cf4d7,32'h3f50d8b7, 32'h3f3302e6,32'h3f5acaa8,// invsqrt(1.6565) = 0.7770 +32'h406ad8ff,32'h3f02f7a2,32'h3f08501c, 32'h3efdea8e,32'h3f0c5277, 32'h3ef08d5e,32'h3f13010f,// invsqrt(3.6695) = 0.5220 +32'h3f9c908e,32'h3f62d7a5,32'h3f6c19ea, 32'h3f5be5f0,32'h3f730b9e, 32'h3f50531a,32'h3f7e9e75,// invsqrt(1.2232) = 0.9042 +32'h408d8a72,32'h3eee93f1,32'h3ef850d6, 32'h3ee74644,32'h3eff9e82, 32'h3edb1a26,32'h3f05e550,// invsqrt(4.4232) = 0.4755 +32'h3f36aa8d,32'h3f94800a,32'h3f9a8fb7, 32'h3f8ff449,32'h3f9f1b79, 32'h3f8860b1,32'h3fa6af11,// invsqrt(0.7135) = 1.1838 +32'h3e0026b4,32'h40314b56,32'h403887e1, 32'h402bdded,32'h403df549, 32'h4022d23f,32'h404700f7,// invsqrt(0.1251) = 2.8268 +32'h3f6d9aad,32'h3f823495,32'h3f878518, 32'h3f7c7063,32'h3f8b817a, 32'h3f6f271b,32'h3f92261f,// invsqrt(0.9281) = 1.0380 +32'h3fb64d6f,32'h3f523868,32'h3f5accff, 32'h3f4bc8f8,32'h3f613c70, 32'h3f410f3c,32'h3f6bf62c,// invsqrt(1.4242) = 0.8379 +32'h3ebd0c3f,32'h3fce6f95,32'h3fd6dca1, 32'h3fc81dcd,32'h3fdd2e69, 32'h3fbd957f,32'h3fe7b6b7,// invsqrt(0.3692) = 1.6457 +32'h3fa2a386,32'h3f5e90eb,32'h3f67a682, 32'h3f57c0bb,32'h3f6e76b3, 32'h3f4c65be,32'h3f79d1b0,// invsqrt(1.2706) = 0.8871 +32'h3eb6839d,32'h3fd21932,32'h3fdaac83, 32'h3fcbaab6,32'h3fe11b00, 32'h3fc0f292,32'h3febd324,// invsqrt(0.3565) = 1.6749 +32'h3f0d44f7,32'h3fa8dcae,32'h3fafc11d, 32'h3fa3b15a,32'h3fb4ec70, 32'h3f9b13ce,32'h3fbd89fc,// invsqrt(0.5518) = 1.3462 +32'h414a3b17,32'h3e8d225d,32'h3e92e513, 32'h3e88d055,32'h3e97371b, 32'h3e819cf2,32'h3e9e6a7e,// invsqrt(12.6394) = 0.2813 +32'h3fd97c58,32'h3f40777b,32'h3f485290, 32'h3f3a932c,32'h3f4e36e0, 32'h3f30c152,32'h3f5808ba,// invsqrt(1.6991) = 0.7672 +32'h3f23f6f0,32'h3f9cbd8c,32'h3fa32352, 32'h3f97f136,32'h3fa7efa8, 32'h3f8ff1fe,32'h3fafeee0,// invsqrt(0.6405) = 1.2495 +32'h3ecefa8e,32'h3fc54a9a,32'h3fcd5818, 32'h3fbf407b,32'h3fd36237, 32'h3fb52f9d,32'h3fdd7315,// invsqrt(0.4043) = 1.5728 +32'h3f9956af,32'h3f653757,32'h3f6e926b, 32'h3f5e3309,32'h3f7596b9, 32'h3f528131,32'h3f80a449,// invsqrt(1.1980) = 0.9136 +32'h3f8b0e43,32'h3f70b346,32'h3f7a8658, 32'h3f6954f8,32'h3f80f253, 32'h3f5d0d21,32'h3f87163f,// invsqrt(1.0864) = 0.9594 +32'h3f8c998c,32'h3f6f5ffc,32'h3f792536, 32'h3f680c11,32'h3f803c90, 32'h3f5bd58a,32'h3f8657d4,// invsqrt(1.0984) = 0.9541 +32'h3e8544c9,32'h3ff5deed,32'h3fffe807, 32'h3fee581a,32'h4003b76d, 32'h3fe1ccbb,32'h4009fd1d,// invsqrt(0.2603) = 1.9601 +32'h4044ab27,32'h3f0f1dba,32'h3f14f524, 32'h3f0abc29,32'h3f1956b5, 32'h3f036ee4,32'h3f20a3fa,// invsqrt(3.0729) = 0.5705 +32'h4091c80b,32'h3eeb1512,32'h3ef4ad70, 32'h3ee3e2cb,32'h3efbdfb7, 32'h3ed7e454,32'h3f03ef17,// invsqrt(4.5557) = 0.4685 +32'h40146cbc,32'h3f24bdcf,32'h3f2b7731, 32'h3f1fb2c6,32'h3f30823a, 32'h3f174b0d,32'h3f38e9f3,// invsqrt(2.3191) = 0.6567 +32'h3dad8235,32'h40577b54,32'h406046e4, 32'h4050e2a7,32'h4066df91, 32'h4045e433,32'h4071de05,// invsqrt(0.0847) = 3.4356 +32'h3f4325a0,32'h3f8fac49,32'h3f958985, 32'h3f8b465b,32'h3f99ef73, 32'h3f83f1d0,32'h3fa143fe,// invsqrt(0.7623) = 1.1454 +32'h3f5e9f84,32'h3f8683db,32'h3f8c0167, 32'h3f8265b3,32'h3f901f8f, 32'h3f771188,32'h3f96fc7e,// invsqrt(0.8696) = 1.0723 +32'h3eee14db,32'h3fb7f41d,32'h3fbf763e, 32'h3fb25285,32'h3fc517d7, 32'h3fa8efdd,32'h3fce7a7f,// invsqrt(0.4650) = 1.4665 +32'h3f462ebb,32'h3f8e9184,32'h3f946336, 32'h3f8a343f,32'h3f98c07b, 32'h3f82ee20,32'h3fa0069a,// invsqrt(0.7742) = 1.1365 +32'h418e0b95,32'h3e6e2765,32'h3e77dfdd, 32'h3e66dd0c,32'h3e7f2a36, 32'h3e5ab677,32'h3e85a865,// invsqrt(17.7557) = 0.2373 +32'h3e57cd1e,32'h40089fe9,32'h400e337f, 32'h40047138,32'h40126230, 32'h3ffaf177,32'h40195aac,// invsqrt(0.2107) = 2.1783 +32'h3f374e23,32'h3f943db8,32'h3f9a4ab0, 32'h3f8fb3fe,32'h3f9ed46a, 32'h3f8823c8,32'h3fa664a0,// invsqrt(0.7160) = 1.1818 +32'h3f592c7d,32'h3f883136,32'h3f8dc048, 32'h3f8405e9,32'h3f91eb95, 32'h3f7a2625,32'h3f98de6c,// invsqrt(0.8483) = 1.0857 +32'h3f713db5,32'h3f81385f,32'h3f867e97, 32'h3f7a876a,32'h3f8a7341, 32'h3f6d57dd,32'h3f910b07,// invsqrt(0.9423) = 1.0301 +32'h3e6cac6b,32'h4002760e,32'h4007c93e, 32'h3ffcef55,32'h400bc7a2, 32'h3fef9f5e,32'h40126f9d,// invsqrt(0.2311) = 2.0801 +32'h3fbcdaec,32'h3f4e8a89,32'h3f56f8ad, 32'h3f4837ed,32'h3f5d4b49, 32'h3f3dae3f,32'h3f67d4f7,// invsqrt(1.4754) = 0.8233 +32'h3f7f890b,32'h3f7b1ba6,32'h3f82adbd, 32'h3f736bc9,32'h3f8685ac, 32'h3f669c02,32'h3f8ced8f,// invsqrt(0.9982) = 1.0009 +32'h3e85b525,32'h3ff57789,32'h3fff7c6a, 32'h3fedf3e0,32'h40038009, 32'h3fe16dc6,32'h4009c316,// invsqrt(0.2611) = 1.9568 +32'h422f78fc,32'h3e178376,32'h3e1db2a0, 32'h3e12e017,32'h3e2255ff, 32'h3e0b2524,32'h3e2a10f2,// invsqrt(43.8681) = 0.1510 +32'h4076c30f,32'h3eff8870,32'h3f04fb40, 32'h3ef7b5e5,32'h3f08e485, 32'h3eeaac52,32'h3f0f694f,// invsqrt(3.8557) = 0.5093 +32'h4062f03a,32'h3f053ae2,32'h3f0aab00, 32'h3f0126cb,32'h3f0ebf17, 32'h3ef4b54b,32'h3f158b3c,// invsqrt(3.5459) = 0.5311 +32'h3eb450ce,32'h3fd36016,32'h3fdc00be, 32'h3fcce798,32'h3fe2793c, 32'h3fc21ec6,32'h3fed420e,// invsqrt(0.3522) = 1.6851 +32'h41ce5090,32'h3e459bd0,32'h3e4daca0, 32'h3e3f8f35,32'h3e53b93b, 32'h3e357a32,32'h3e5dce3e,// invsqrt(25.7893) = 0.1969 +32'h3f42aecb,32'h3f8fd81b,32'h3f95b721, 32'h3f8b70d6,32'h3f9a1e66, 32'h3f841a0e,32'h3fa1752e,// invsqrt(0.7605) = 1.1467 +32'h4148d83b,32'h3e8d9ed4,32'h3e93669e, 32'h3e8948fd,32'h3e97bc75, 32'h3e820f40,32'h3e9ef632,// invsqrt(12.5528) = 0.2822 +32'h3f5e1c86,32'h3f86ab80,32'h3f8c2aa9, 32'h3f828c20,32'h3f904a08, 32'h3f775a57,32'h3f9728fc,// invsqrt(0.8676) = 1.0736 +32'h3f5b3d41,32'h3f878c96,32'h3f8d14f0, 32'h3f836653,32'h3f913b33, 32'h3f78f7c5,32'h3f9825a3,// invsqrt(0.8564) = 1.0806 +32'h4125d2d8,32'h3e9bdbfe,32'h3ea23890, 32'h3e971690,32'h3ea6fdfe, 32'h3e8f22da,32'h3eaef1b4,// invsqrt(10.3640) = 0.3106 +32'h3f18d72d,32'h3fa2581d,32'h3fa8f871, 32'h3f9d5fdd,32'h3fadf0b1, 32'h3f951773,32'h3fb6391b,// invsqrt(0.5970) = 1.2942 +32'h400395f9,32'h3f2ef712,32'h3f361b46, 32'h3f299bea,32'h3f3b766e, 32'h3f20aea8,32'h3f4463b0,// invsqrt(2.0560) = 0.6974 +32'h416bd295,32'h3e82b241,32'h3e8807e6, 32'h3e7d640c,32'h3e8c0822, 32'h3e700df1,32'h3e92b330,// invsqrt(14.7389) = 0.2605 +32'h3fc85205,32'h3f488b1f,32'h3f50ba98, 32'h3f426783,32'h3f56de33, 32'h3f382c2c,32'h3f61198b,// invsqrt(1.5650) = 0.7994 +32'h3d9c3645,32'h40631929,32'h406c5e1b, 32'h405c2574,32'h407351d0, 32'h40508f45,32'h407ee7ff,// invsqrt(0.0763) = 3.6208 +32'h3ea210cb,32'h3fdef595,32'h3fe80f47, 32'h3fd8224f,32'h3feee28d, 32'h3fccc230,32'h3ffa42ac,// invsqrt(0.3165) = 1.7774 +32'h424e39e8,32'h3e0bc2a9,32'h3e117703, 32'h3e077b65,32'h3e15be47, 32'h3e0059f4,32'h3e1cdfb8,// invsqrt(51.5565) = 0.1393 +32'h3e2f0fce,32'h4017b0f3,32'h401de1f7, 32'h40130c2f,32'h402286bb, 32'h400b4ee9,32'h402a4401,// invsqrt(0.1710) = 2.4185 +32'h3f836f11,32'h3f7794c0,32'h3f80d7dc, 32'h3f700086,32'h3f84a1f9, 32'h3f635ed0,32'h3f8af2d4,// invsqrt(1.0268) = 0.9869 +32'h401fce65,32'h3f1ec441,32'h3f253f33, 32'h3f19e80a,32'h3f2a1b6a, 32'h3f11ce5b,32'h3f323519,// invsqrt(2.4970) = 0.6328 +32'h3f183016,32'h3fa2b123,32'h3fa9551a, 32'h3f9db62a,32'h3fae5014, 32'h3f956936,32'h3fb69d09,// invsqrt(0.5945) = 1.2970 +32'h3f329354,32'h3f963100,32'h3f9c5259, 32'h3f9197fe,32'h3fa0eb5c, 32'h3f89ee4f,32'h3fa8950b,// invsqrt(0.6976) = 1.1973 +32'h3f16d7a7,32'h3fa36a79,32'h3faa1601, 32'h3f9e69d4,32'h3faf16a6, 32'h3f96136a,32'h3fb76d10,// invsqrt(0.5892) = 1.3027 +32'h3fa6250a,32'h3f5c3490,32'h3f65317c, 32'h3f5576df,32'h3f6bef2d, 32'h3f4a3ab9,32'h3f772b53,// invsqrt(1.2980) = 0.8777 +32'h3f348b5c,32'h3f955ec7,32'h3f9b778c, 32'h3f90cc35,32'h3fa00a1f, 32'h3f892d3f,32'h3fa7a915,// invsqrt(0.7053) = 1.1908 +32'h3f486cc2,32'h3f8dc4c7,32'h3f938e1e, 32'h3f896dc7,32'h3f97e51f, 32'h3f82321b,32'h3f9f20cb,// invsqrt(0.7829) = 1.1302 +32'h400808f6,32'h3f2c1483,32'h3f331a92, 32'h3f26cff6,32'h3f385f1e, 32'h3f1e0863,32'h3f4126b1,// invsqrt(2.1255) = 0.6859 +32'h3f882c35,32'h3f733c27,32'h3f7d29b6, 32'h3f6bc9fd,32'h3f824df1, 32'h3f5f610a,32'h3f88826a,// invsqrt(1.0638) = 0.9695 +32'h3fe14984,32'h3f3d1adb,32'h3f44d2cf, 32'h3f3750e4,32'h3f4a9cc6, 32'h3f2daaf3,32'h3f5442b7,// invsqrt(1.7601) = 0.7538 +32'h3fe3d9fb,32'h3f3c09ab,32'h3f43b679, 32'h3f364811,32'h3f497813, 32'h3f2cb010,32'h3f531014,// invsqrt(1.7801) = 0.7495 +32'h3dbcc76f,32'h404e9532,32'h405703c6, 32'h40484243,32'h405d56b5, 32'h403db809,32'h4067e0ef,// invsqrt(0.0922) = 3.2937 +32'h3ebf4d86,32'h3fcd3730,32'h3fd5977c, 32'h3fc6eef8,32'h3fdbdfb4, 32'h3fbc769a,32'h3fe65812,// invsqrt(0.3736) = 1.6360 +32'h3f3f4253,32'h3f91203d,32'h3f970ca7, 32'h3f8caeec,32'h3f9b7df8, 32'h3f854767,32'h3fa2e57d,// invsqrt(0.7471) = 1.1569 +32'h3ec649bd,32'h3fc9918c,32'h3fd1cbbc, 32'h3fc365e9,32'h3fd7f75f, 32'h3fb91d2d,32'h3fe2401b,// invsqrt(0.3873) = 1.6069 +32'h3e01c86d,32'h40302d1c,32'h40375df9, 32'h402ac877,32'h403cc29f, 32'h4021cb64,32'h4045bfb3,// invsqrt(0.1267) = 2.8089 +32'h41b2f90f,32'h3e542ab3,32'h3e5cd3a0, 32'h3e4dac01,32'h3e635251, 32'h3e42d8d8,32'h3e6e257a,// invsqrt(22.3716) = 0.2114 +32'h3fae02b4,32'h3f572bb6,32'h3f5ff406, 32'h3f509579,32'h3f668a43, 32'h3f459b15,32'h3f7184a7,// invsqrt(1.3595) = 0.8577 +32'h3f3218f4,32'h3f966491,32'h3f9c8805, 32'h3f91c9fa,32'h3fa1229c, 32'h3f8a1daa,32'h3fa8ceec,// invsqrt(0.6957) = 1.1989 +32'h3f3a29cc,32'h3f931949,32'h3f991a51, 32'h3f8e9883,32'h3f9d9b17, 32'h3f871738,32'h3fa51c62,// invsqrt(0.7272) = 1.1727 +32'h4043f28a,32'h3f0f6115,32'h3f153b40, 32'h3f0afd76,32'h3f199ee0, 32'h3f03acc0,32'h3f20ef96,// invsqrt(3.0617) = 0.5715 +32'h3f88a96c,32'h3f72cca0,32'h3f7cb5a1, 32'h3f6b5dde,32'h3f821231, 32'h3f5efa9d,32'h3f8843d2,// invsqrt(1.0677) = 0.9678 +32'h3fc54b5f,32'h3f4a1353,32'h3f5252cf, 32'h3f43e3b7,32'h3f58826b, 32'h3f39945c,32'h3f62d1c6,// invsqrt(1.5414) = 0.8055 +32'h3e9284a6,32'h3fea7d93,32'h3ff40fc3, 32'h3fe34fef,32'h3ffb3d67, 32'h3fd75934,32'h40039a11,// invsqrt(0.2862) = 1.8693 +32'h3f439db9,32'h3f8f8028,32'h3f955b97, 32'h3f8b1b94,32'h3f99c02a, 32'h3f83c949,32'h3fa11275,// invsqrt(0.7641) = 1.1440 +32'h3fac9797,32'h3f580d98,32'h3f60df21, 32'h3f517072,32'h3f677c48, 32'h3f466a87,32'h3f728233,// invsqrt(1.3484) = 0.8612 +32'h3e3814b8,32'h4013edad,32'h4019f761, 32'h400f6667,32'h401e7ea7, 32'h4007da46,32'h40260ac8,// invsqrt(0.1798) = 2.3586 +32'h3f6d65f7,32'h3f824308,32'h3f879423, 32'h3f7c8c6a,32'h3f8b90f7, 32'h3f6f41a8,32'h3f923658,// invsqrt(0.9273) = 1.0384 +32'h4062106b,32'h3f057cc5,32'h3f0aef93, 32'h3f0166aa,32'h3f0f05ae, 32'h3ef52e4f,32'h3f15d530,// invsqrt(3.5323) = 0.5321 +32'h3f8a5e86,32'h3f714bf0,32'h3f7b253e, 32'h3f69e8f6,32'h3f81441c, 32'h3f5d9955,32'h3f876bed,// invsqrt(1.0810) = 0.9618 +32'h3efd0df6,32'h3fb26dab,32'h3fb9b611, 32'h3facf760,32'h3fbf2c5c, 32'h3fa3dce1,32'h3fc846db,// invsqrt(0.4942) = 1.4224 +32'h3e9c6c12,32'h3fe2f217,32'h3fec3571, 32'h3fdbff94,32'h3ff327f4, 32'h3fd06b64,32'h3ffebc24,// invsqrt(0.3055) = 1.8092 +32'h3f5f78ba,32'h3f86426b,32'h3f8bbd4b, 32'h3f822643,32'h3f8fd973, 32'h3f769957,32'h3f96b30a,// invsqrt(0.8729) = 1.0703 +32'h40075d68,32'h3f2c816b,32'h3f338bed, 32'h3f27398a,32'h3f38d3ce, 32'h3f1e6c68,32'h3f41a0f0,// invsqrt(2.1151) = 0.6876 +32'h3e134155,32'h402564f5,32'h402c2529, 32'h402054ce,32'h40313550, 32'h4017e48e,32'h4039a591,// invsqrt(0.1438) = 2.6370 +32'h3e12d87b,32'h40259ff7,32'h402c6293, 32'h40208e02,32'h40317488, 32'h40181abe,32'h4039e7cc,// invsqrt(0.1434) = 2.6407 +32'h3d91c39d,32'h406b18a4,32'h4074b128, 32'h4063e641,32'h407be38b, 32'h4057e79c,32'h4083f118,// invsqrt(0.0712) = 3.7483 +32'h3f1a8cbd,32'h3fa171a9,32'h3fa80895, 32'h3f9c8077,32'h3facf9c7, 32'h3f9443cf,32'h3fb5366f,// invsqrt(0.6037) = 1.2870 +32'h40031b43,32'h3f2f48e0,32'h3f36706c, 32'h3f29eb37,32'h3f3bce15, 32'h3f20f9c9,32'h3f44bf83,// invsqrt(2.0485) = 0.6987 +32'h3fe023f5,32'h3f3d9689,32'h3f455389, 32'h3f37c8c9,32'h3f4b2149, 32'h3f2e1c88,32'h3f54cd8a,// invsqrt(1.7511) = 0.7557 +32'h3e76b23f,32'h3fff9125,32'h4004ffc8, 32'h3ff7be56,32'h4008e92f, 32'h3feab451,32'h400f6e32,// invsqrt(0.2409) = 2.0374 +32'h3f0d4add,32'h3fa8d927,32'h3fafbd72, 32'h3fa3adf0,32'h3fb4e8aa, 32'h3f9b1092,32'h3fbd8608,// invsqrt(0.5519) = 1.3460 +32'h3f7bd091,32'h3f7cf4cf,32'h3f83a3f9, 32'h3f753675,32'h3f878326, 32'h3f684e8a,32'h3f8df71b,// invsqrt(0.9837) = 1.0083 +32'h3ea3fcb8,32'h3fdda62f,32'h3fe6b231, 32'h3fd6dd2e,32'h3fed7b32, 32'h3fcb8e2b,32'h3ff8ca35,// invsqrt(0.3203) = 1.7670 +32'h406c3611,32'h3f0296b9,32'h3f07eb3d, 32'h3efd2eaa,32'h3f0beaa1, 32'h3eefdb5d,32'h3f129447,// invsqrt(3.6908) = 0.5205 +32'h404c19cf,32'h3f0c7c77,32'h3f123867, 32'h3f082f83,32'h3f16855b, 32'h3f010497,32'h3f1db047,// invsqrt(3.1891) = 0.5600 +32'h411c559d,32'h3ea08514,32'h3ea71259, 32'h3e9b9b21,32'h3eabfc4d, 32'h3e936a8b,32'h3eb42ce3,// invsqrt(9.7709) = 0.3199 +32'h3f921cc7,32'h3f6ad0de,32'h3f746674, 32'h3f63a0ad,32'h3f7b96a5, 32'h3f57a5b2,32'h3f83c8d0,// invsqrt(1.1415) = 0.9360 +32'h3d5de32d,32'h4086bce6,32'h408c3cc5, 32'h40829cfe,32'h40905cac, 32'h40777a4c,32'h40973c84,// invsqrt(0.0542) = 4.2965 +32'h3f00c2d0,32'h3fb0dfbb,32'h3fb817e2, 32'h3fab759e,32'h3fbd8200, 32'h3fa26f6e,32'h3fc68831,// invsqrt(0.5030) = 1.4100 +32'h3ebf561f,32'h3fcd3294,32'h3fd592ae, 32'h3fc6ea7f,32'h3fdbdac3, 32'h3fbc725e,32'h3fe652e4,// invsqrt(0.3737) = 1.6358 +32'h3f473750,32'h3f8e32b8,32'h3f94008c, 32'h3f89d85a,32'h3f985aea, 32'h3f829712,32'h3f9f9c32,// invsqrt(0.7782) = 1.1336 +32'h3db090ab,32'h40559bc8,32'h405e53c6, 32'h404f11ca,32'h4064ddc4, 32'h40442bcd,32'h406fc3c1,// invsqrt(0.0862) = 3.4057 +32'h3fbedffa,32'h3f4d720b,32'h3f55d4bd, 32'h3f472805,32'h3f5c1ec3, 32'h3f3caca7,32'h3f669a21,// invsqrt(1.4912) = 0.8189 +32'h3f692ce8,32'h3f836fa4,32'h3f88cd04, 32'h3f7ed339,32'h3f8cd30b, 32'h3f7169ca,32'h3f9387c3,// invsqrt(0.9108) = 1.0478 +32'h3df5b71c,32'h403512d2,32'h403c76db, 32'h402f87cc,32'h404201e2, 32'h40264ac2,32'h404b3eed,// invsqrt(0.1200) = 2.8870 +32'h3f8c2547,32'h3f6fc334,32'h3f798c7a, 32'h3f686c3f,32'h3f8071b7, 32'h3f5c30a8,32'h3f868f83,// invsqrt(1.0949) = 0.9557 +32'h3ffa6e42,32'h3f335c56,32'h3f3aae78, 32'h3f2ddebb,32'h3f402c13, 32'h3f24b810,32'h3f4952be,// invsqrt(1.9565) = 0.7149 +32'h4095d0b1,32'h3ee7e55a,32'h3ef15c6e, 32'h3ee0cc0c,32'h3ef875bc, 32'h3ed4f734,32'h3f02254a,// invsqrt(4.6817) = 0.4622 +32'h40a8a11b,32'h3eda93b7,32'h3ee37f9f, 32'h3ed3e2c9,32'h3eea308d, 32'h3ec8bbe7,32'h3ef5576f,// invsqrt(5.2697) = 0.4356 +32'h4149a844,32'h3e8d55b5,32'h3e931a83, 32'h3e89021b,32'h3e976e1d, 32'h3e81cc19,32'h3e9ea41f,// invsqrt(12.6036) = 0.2817 +32'h3fd9b632,32'h3f405de7,32'h3f4837f1, 32'h3f3a7a60,32'h3f4e1b78, 32'h3f30a9d4,32'h3f57ec04,// invsqrt(1.7009) = 0.7668 +32'h3ee2409d,32'h3fbcb37b,32'h3fc46737, 32'h3fb6ecae,32'h3fca2e04, 32'h3fad4c03,32'h3fd3ceaf,// invsqrt(0.4419) = 1.5043 +32'h41586cbc,32'h3e886d7e,32'h3e8dff06, 32'h3e844058,32'h3e922c2c, 32'h3e7a94dd,32'h3e992215,// invsqrt(13.5265) = 0.2719 +32'h4080fb73,32'h3ef9ec45,32'h3f020fdb, 32'h3ef245b0,32'h3f05e325, 32'h3ee58564,32'h3f0c434b,// invsqrt(4.0307) = 0.4981 +32'h3f5c947f,32'h3f8722f6,32'h3f8ca700, 32'h3f82ffee,32'h3f90ca08, 32'h3f7835c4,32'h3f97af14,// invsqrt(0.8616) = 1.0773 +32'h3fc8b256,32'h3f485afa,32'h3f50887c, 32'h3f4238d8,32'h3f56aa9e, 32'h3f37fff5,32'h3f60e381,// invsqrt(1.5679) = 0.7986 +32'h3f6fb726,32'h3f81a179,32'h3f86ebfb, 32'h3f7b532e,32'h3f8ae3dd, 32'h3f6e18e8,32'h3f918100,// invsqrt(0.9364) = 1.0334 +32'h3ef8077f,32'h3fb43a14,32'h3fbb9544, 32'h3faeb5b0,32'h3fc119a8, 32'h3fa583b4,32'h3fca4ba4,// invsqrt(0.4844) = 1.4368 +32'h40a0d8e7,32'h3edfcd57,32'h3ee8efd7, 32'h3ed8f376,32'h3eefc9b8, 32'h3ecd8855,32'h3efb34d9,// invsqrt(5.0265) = 0.4460 +32'h3ffac16d,32'h3f333e95,32'h3f3a8f81, 32'h3f2dc1e4,32'h3f400c32, 32'h3f249cbd,32'h3f493159,// invsqrt(1.9590) = 0.7145 +32'h3e470268,32'h400e459d,32'h40141436, 32'h4009eaab,32'h40186f29, 32'h4002a86c,32'h401fb168,// invsqrt(0.1943) = 2.2684 +32'h3f4b57d2,32'h3f8cbf6a,32'h3f927e16, 32'h3f88706a,32'h3f96cd16, 32'h3f814213,32'h3f9dfb6d,// invsqrt(0.7943) = 1.1220 +32'h4084b0f7,32'h3ef667bb,32'h3f003b35, 32'h3eeedcb8,32'h3f0400b6, 32'h3ee24a5d,32'h3f0a49e4,// invsqrt(4.1466) = 0.4911 +32'h3f21da85,32'h3f9dc25f,32'h3fa432cb, 32'h3f98ee0e,32'h3fa9071c, 32'h3f90e186,32'h3fb113a4,// invsqrt(0.6322) = 1.2576 +32'h3f88df95,32'h3f729c91,32'h3f7c839d, 32'h3f6b2f49,32'h3f81f872, 32'h3f5ece7b,32'h3f8828d9,// invsqrt(1.0693) = 0.9670 +32'h3fb6b706,32'h3f51fba2,32'h3f5a8dbd, 32'h3f4b8e0d,32'h3f60fb51, 32'h3f40d76a,32'h3f6bb1f4,// invsqrt(1.4275) = 0.8370 +32'h3ab248a5,32'h41d49391,32'h41dd40c6, 32'h41ce11aa,32'h41e3c2ae, 32'h41c33928,32'h41ee9b30,// invsqrt(0.0014) = 27.1143 +32'h3f1d449d,32'h3fa00aed,32'h3fa69335, 32'h3f9b24b7,32'h3fab796b, 32'h3f92fa5c,32'h3fb3a3c6,// invsqrt(0.6143) = 1.2759 +32'h3f9fce95,32'h3f608786,32'h3f69b1a0, 32'h3f59a7f2,32'h3f709134, 32'h3f4e3351,32'h3f7c05d5,// invsqrt(1.2485) = 0.8950 +32'h3f9e9e10,32'h3f615ea6,32'h3f6a9188, 32'h3f5a787c,32'h3f7177b2, 32'h3f4ef8e2,32'h3f7cf74d,// invsqrt(1.2392) = 0.8983 +32'h40d7f3af,32'h3ec12627,32'h3ec9085c, 32'h3ebb3c7e,32'h3ecef204, 32'h3eb161ba,32'h3ed8ccc8,// invsqrt(6.7485) = 0.3849 +32'h3f3dbd82,32'h3f91b4a3,32'h3f97a71d, 32'h3f8d3ec8,32'h3f9c1cf8, 32'h3f85cfb0,32'h3fa38c10,// invsqrt(0.7412) = 1.1616 +32'h3f02d889,32'h3faf758c,32'h3fb69eea, 32'h3faa1685,32'h3fbbfdf1, 32'h3fa122cf,32'h3fc4f1a7,// invsqrt(0.5111) = 1.3987 +32'h40b57c06,32'h3ed2b18e,32'h3edb4b16, 32'h3ecc3e68,32'h3ee1be3c, 32'h3ec17e7d,32'h3eec7e27,// invsqrt(5.6714) = 0.4199 +32'h3f8a8400,32'h3f712b49,32'h3f7b0342, 32'h3f69c94f,32'h3f81329e, 32'h3f5d7b59,32'h3f87599a,// invsqrt(1.0822) = 0.9613 +32'h3efa5397,32'h3fb365e3,32'h3fbab86a, 32'h3fade7ff,32'h3fc0364f, 32'h3fa4c0d6,32'h3fc95d78,// invsqrt(0.4889) = 1.4301 +32'h3f1241d8,32'h3fa5f52c,32'h3facbb42, 32'h3fa0e09b,32'h3fb1cfd3, 32'h3f9868fe,32'h3fba4770,// invsqrt(0.5713) = 1.3230 +32'h3fafc15b,32'h3f56199e,32'h3f5ed6bf, 32'h3f4f8bc6,32'h3f656498, 32'h3f449f5e,32'h3f705101,// invsqrt(1.3731) = 0.8534 +32'h40d4cede,32'h3ec2920c,32'h3eca831c, 32'h3ebc9d40,32'h3ed077e8, 32'h3eb2afec,32'h3eda653c,// invsqrt(6.6503) = 0.3878 +32'h3f607639,32'h3f85f686,32'h3f8b6e4c, 32'h3f81dcb1,32'h3f8f8821, 32'h3f760df0,32'h3f965dda,// invsqrt(0.8768) = 1.0679 +32'h3ef715db,32'h3fb49220,32'h3fbbf0e7, 32'h3faf0b09,32'h3fc177fd, 32'h3fa5d490,32'h3fcaae77,// invsqrt(0.4826) = 1.4395 +32'h3fa8bdd1,32'h3f5a811e,32'h3f636c44, 32'h3f53d0c2,32'h3f6a1ca0, 32'h3f48aad2,32'h3f754290,// invsqrt(1.3183) = 0.8710 +32'h3f05e96b,32'h3fad705f,32'h3fb484a1, 32'h3fa8212d,32'h3fb9d3d3, 32'h3f9f47da,32'h3fc2ad26,// invsqrt(0.5231) = 1.3826 +32'h3fbd4f61,32'h3f4e4af8,32'h3f56b684, 32'h3f47fa4e,32'h3f5d072e, 32'h3f3d73de,32'h3f678d9e,// invsqrt(1.4790) = 0.8223 +32'h3f6a1366,32'h3f832ede,32'h3f888998, 32'h3f7e55a3,32'h3f8c8da4, 32'h3f70f2d1,32'h3f933f0e,// invsqrt(0.9144) = 1.0458 +32'h3f3b9d79,32'h3f92874c,32'h3f98825e, 32'h3f8e0afe,32'h3f9cfeac, 32'h3f869126,32'h3fa47884,// invsqrt(0.7329) = 1.1681 +32'h401bee45,32'h3f20ba3d,32'h3f2749ad, 32'h3f1bcea9,32'h3f2c3541, 32'h3f139b5c,32'h3f34688e,// invsqrt(2.4364) = 0.6407 +32'h3f8c9f58,32'h3f6f5b0d,32'h3f792013, 32'h3f680749,32'h3f8039ec, 32'h3f5bd102,32'h3f86550f,// invsqrt(1.0986) = 0.9541 +32'h4147a311,32'h3e8e0c53,32'h3e93d895, 32'h3e89b321,32'h3e9831c7, 32'h3e8273cf,32'h3e9f7119,// invsqrt(12.4773) = 0.2831 +32'h3f3230f9,32'h3f965a6e,32'h3f9c7d78, 32'h3f91c027,32'h3fa117bf, 32'h3f8a145b,32'h3fa8c38b,// invsqrt(0.6961) = 1.1986 +32'h3e6712ae,32'h4004085e,32'h40096bfa, 32'h3ffffb54,32'h400d76ae, 32'h3ff2824f,32'h40143330,// invsqrt(0.2257) = 2.1051 +32'h4007412c,32'h3f2c936b,32'h3f339ea9, 32'h3f274afd,32'h3f38e717, 32'h3f1e7cef,32'h3f41b525,// invsqrt(2.1134) = 0.6879 +32'h3ef0fc41,32'h3fb6d786,32'h3fbe4e08, 32'h3fb13ea3,32'h3fc3e6eb, 32'h3fa7ea80,32'h3fcd3b0e,// invsqrt(0.4707) = 1.4576 +32'h3fcc974a,32'h3f467079,32'h3f4e89f7, 32'h3f405d5c,32'h3f549d14, 32'h3f363d7f,32'h3f5ebcf1,// invsqrt(1.5984) = 0.7910 +32'h3fb724ec,32'h3f51bc98,32'h3f5a4c20, 32'h3f4b50f1,32'h3f60b7c7, 32'h3f409d86,32'h3f6b6b32,// invsqrt(1.4308) = 0.8360 +32'h455c138e,32'h3c874a87,32'h3c8cd02f, 32'h3c83264a,32'h3c90f46c, 32'h3c787e71,32'h3c97db7e,// invsqrt(3521.2222) = 0.0169 +32'h3ef89480,32'h3fb406ef,32'h3fbb6009, 32'h3fae841c,32'h3fc0e2dc, 32'h3fa554bc,32'h3fca123c,// invsqrt(0.4855) = 1.4352 +32'h3ee117cb,32'h3fbd2fbd,32'h3fc4e88b, 32'h3fb76522,32'h3fcab326, 32'h3fadbe20,32'h3fd45a28,// invsqrt(0.4396) = 1.5082 +32'h42ec904f,32'h3db88af1,32'h3dc01339, 32'h3db2e4ba,32'h3dc5b970, 32'h3da97a60,32'h3dcf23ca,// invsqrt(118.2819) = 0.0919 +32'h40c2db6e,32'h3ecb55d9,32'h3ed3a27e, 32'h3ec51c5c,32'h3ed9dbfa, 32'h3ebabc8d,32'h3ee43bc9,// invsqrt(6.0893) = 0.4052 +32'h3fa0012c,32'h3f606404,32'h3f698cac, 32'h3f598587,32'h3f706b29, 32'h3f4e12b6,32'h3f7bddfa,// invsqrt(1.2500) = 0.8944 +32'h409a3071,32'h3ee49542,32'h3eede9b8, 32'h3edd95ea,32'h3ef4e910, 32'h3ed1ec57,32'h3f004952,// invsqrt(4.8184) = 0.4556 +32'h3f1a1a5b,32'h3fa1ad88,32'h3fa846e6, 32'h3f9cba81,32'h3fad39ed, 32'h3f947acb,32'h3fb579a3,// invsqrt(0.6020) = 1.2889 +32'h3f6d11cb,32'h3f825a26,32'h3f87ac32, 32'h3f7cb93a,32'h3f8ba9bb, 32'h3f6f6c1c,32'h3f92504a,// invsqrt(0.9261) = 1.0392 +32'h40390193,32'h3f138edd,32'h3f1994b2, 32'h3f0f0a7e,32'h3f1e1912, 32'h3f078334,32'h3f25a05c,// invsqrt(2.8907) = 0.5882 +32'h3f469b9b,32'h3f8e6a6b,32'h3f943a84, 32'h3f8a0e57,32'h3f989697, 32'h3f82ca38,32'h3f9fdab6,// invsqrt(0.7758) = 1.1353 +32'h40001fa0,32'h3f31503b,32'h3f388cfa, 32'h3f2be2ad,32'h3f3dfa89, 32'h3f22d6bf,32'h3f470677,// invsqrt(2.0019) = 0.7068 +32'h410ca5e3,32'h3ea93c11,32'h3eb02465, 32'h3ea40dd2,32'h3eb552a4, 32'h3e9b6b68,32'h3ebdf50e,// invsqrt(8.7905) = 0.3373 +32'h40259859,32'h3f1bf783,32'h3f225535, 32'h3f17313e,32'h3f271b7a, 32'h3f0f3c20,32'h3f2f1098,// invsqrt(2.5874) = 0.6217 +32'h3f81058a,32'h3f79e27f,32'h3f820ac5, 32'h3f723c37,32'h3f85dde8, 32'h3f657c6a,32'h3f8c3dcf,// invsqrt(1.0080) = 0.9960 +32'h3e0ead6c,32'h402806d8,32'h402ee28e, 32'h4022e210,32'h40340756, 32'h401a4f6e,32'h403c99f8,// invsqrt(0.1393) = 2.6790 +32'h3e2adac2,32'h40198c2a,32'h401fd094, 32'h4014d8da,32'h402483e4, 32'h400d0356,32'h402c5968,// invsqrt(0.1669) = 2.4481 +32'h3ed64b44,32'h3fc1e50d,32'h3fc9cf0e, 32'h3fbbf58d,32'h3fcfbe8f, 32'h3fb2110d,32'h3fd9a30f,// invsqrt(0.4185) = 1.5457 +32'h3e9cd7f5,32'h3fe2a3fc,32'h3febe426, 32'h3fdbb3dd,32'h3ff2d445, 32'h3fd023a9,32'h3ffe6479,// invsqrt(0.3063) = 1.8068 +32'h3f78e3be,32'h3f7e703a,32'h3f84696d, 32'h3f76a643,32'h3f884e68, 32'h3f69aafc,32'h3f8ecc0c,// invsqrt(0.9722) = 1.0142 +32'h3fc3768b,32'h3f4b051a,32'h3f534e74, 32'h3f44ce17,32'h3f598577, 32'h3f3a7266,32'h3f63e128,// invsqrt(1.5271) = 0.8092 +32'h3e8c6ba4,32'h3fef871a,32'h3ff94dec, 32'h3fe831fc,32'h40005185, 32'h3fdbf976,32'h40066dc8,// invsqrt(0.2743) = 1.9095 +32'h3ee6342c,32'h3fbb1318,32'h3fc2b5d5, 32'h3fb5590a,32'h3fc86fe2, 32'h3fabcd9d,32'h3fd1fb4f,// invsqrt(0.4496) = 1.4913 +32'h3dacacc9,32'h40580055,32'h4060d153, 32'h40516396,32'h40676e12, 32'h40465e58,32'h40727350,// invsqrt(0.0843) = 3.4439 +32'h3f573666,32'h3f88cfb8,32'h3f8e6542, 32'h3f849f90,32'h3f92956a, 32'h3f7b4947,32'h3f999056,// invsqrt(0.8407) = 1.0907 +32'h3e893ab5,32'h3ff24bf7,32'h3ffc2fb7, 32'h3feae126,32'h4001cd44, 32'h3fde8475,32'h4007fb9d,// invsqrt(0.2680) = 1.9316 +32'h3ebe36d0,32'h3fcdcd52,32'h3fd633be, 32'h3fc78081,32'h3fdc808f, 32'h3fbd007a,32'h3fe70096,// invsqrt(0.3715) = 1.6406 +32'h3f1d1ad7,32'h3fa02033,32'h3fa6a959, 32'h3f9b3956,32'h3fab9036, 32'h3f930de5,32'h3fb3bba7,// invsqrt(0.6137) = 1.2765 +32'h3f8ccf04,32'h3f6f3285,32'h3f78f5e3, 32'h3f67dffe,32'h3f802435, 32'h3f5babc8,32'h3f863e50,// invsqrt(1.1001) = 0.9534 +32'h3fbc92bb,32'h3f4eb20e,32'h3f5721d0, 32'h3f485e3d,32'h3f5d75a1, 32'h3f3dd28a,32'h3f680154,// invsqrt(1.4732) = 0.8239 +32'h3e88305e,32'h3ff33870,32'h3ffd25d8, 32'h3febc662,32'h40024bf3, 32'h3fdf5da0,32'h40088054,// invsqrt(0.2660) = 1.9389 +32'h3f9a593b,32'h3f64770c,32'h3f6dca46, 32'h3f5d78a1,32'h3f74c8b1, 32'h3f51d098,32'h3f80385d,// invsqrt(1.2058) = 0.9107 +32'h3e1fd3f6,32'h401ec17d,32'h40253c53, 32'h4019e55c,32'h402a1874, 32'h4011cbd1,32'h403231ff,// invsqrt(0.1561) = 2.5312 +32'h4024779f,32'h3f1c802e,32'h3f22e374, 32'h3f17b5ba,32'h3f27ade8, 32'h3f0fb9a2,32'h3f2faa00,// invsqrt(2.5698) = 0.6238 +32'h4217843f,32'h3e230d4b,32'h3e29b505, 32'h3e1e0f80,32'h3e2eb2d0, 32'h3e15bdd7,32'h3e370479,// invsqrt(37.8791) = 0.1625 +32'h3effb9a5,32'h3fb17e87,32'h3fb8bd29, 32'h3fac0f8d,32'h3fbe2c23, 32'h3fa30142,32'h3fc73a6e,// invsqrt(0.4995) = 1.4150 +32'h3f1bdaae,32'h3fa0c456,32'h3fa75430, 32'h3f9bd873,32'h3fac4013, 32'h3f93a4a3,32'h3fb473e3,// invsqrt(0.6088) = 1.2816 +32'h3e35dd51,32'h4014d3bd,32'h401ae6d5, 32'h4010456c,32'h401f7526, 32'h4008ad8e,32'h40270d04,// invsqrt(0.1776) = 2.3729 +32'h3f3b3989,32'h3f92ae62,32'h3f98ab0e, 32'h3f8e30e2,32'h3f9d288e, 32'h3f86b50c,32'h3fa4a464,// invsqrt(0.7313) = 1.1693 +32'h3fe615d0,32'h3f3b1f6f,32'h3f42c2ad, 32'h3f356500,32'h3f487d1c, 32'h3f2bd8f3,32'h3f520929,// invsqrt(1.7975) = 0.7459 +32'h3ebd7965,32'h3fce3417,32'h3fd69eb5, 32'h3fc7e421,32'h3fdceeab, 32'h3fbd5edc,32'h3fe773f0,// invsqrt(0.3701) = 1.6438 +32'h3edb505b,32'h3fbfa9b1,32'h3fc77c5f, 32'h3fb9cbae,32'h3fcd5a62, 32'h3fb00454,32'h3fd721bc,// invsqrt(0.4283) = 1.5279 +32'h40562cf9,32'h3f092464,32'h3f0ebd62, 32'h3f04f1a4,32'h3f12f022, 32'h3efbe4cc,32'h3f19ef60,// invsqrt(3.3465) = 0.5466 +32'h3f12a3e3,32'h3fa5bda8,32'h3fac817a, 32'h3fa0aaca,32'h3fb19458, 32'h3f983602,32'h3fba0920,// invsqrt(0.5728) = 1.3213 +32'h3f184aa4,32'h3fa2a2f4,32'h3fa94656, 32'h3f9da86a,32'h3fae40e0, 32'h3f955c2e,32'h3fb68d1c,// invsqrt(0.5949) = 1.2965 +32'h41bb8ce0,32'h3e4f4227,32'h3e57b7cb, 32'h3e48e9ed,32'h3e5e1005, 32'h3e3e56e0,32'h3e68a312,// invsqrt(23.4438) = 0.2065 +32'h410033fb,32'h3eb14227,32'h3eb87e53, 32'h3eabd507,32'h3ebdeb73, 32'h3ea2c9d0,32'h3ec6f6aa,// invsqrt(8.0127) = 0.3533 +32'h3f6f1385,32'h3f81cdce,32'h3f871a20, 32'h3f7ba922,32'h3f8b135d, 32'h3f6e6a56,32'h3f91b2c3,// invsqrt(0.9339) = 1.0348 +32'h3f0008a3,32'h3fb16026,32'h3fb89d8a, 32'h3fabf21a,32'h3fbe0b96, 32'h3fa2e55c,32'h3fc71854,// invsqrt(0.5001) = 1.4140 +32'h3e9cbbee,32'h3fe2b83f,32'h3febf93d, 32'h3fdbc781,32'h3ff2e9fb, 32'h3fd03645,32'h3ffe7b37,// invsqrt(0.3061) = 1.8074 +32'h3ed5a658,32'h3fc22fd5,32'h3fca1ce3, 32'h3fbc3e0b,32'h3fd00ead, 32'h3fb255b9,32'h3fd9f6ff,// invsqrt(0.4173) = 1.5480 +32'h4077985b,32'h3eff1a47,32'h3f04c1ec, 32'h3ef74b1c,32'h3f08a982, 32'h3eea4728,32'h3f0f2b7c,// invsqrt(3.8687) = 0.5084 +32'h3f896f1e,32'h3f721dbf,32'h3f7bff9d, 32'h3f6ab458,32'h3f81b482, 32'h3f5e5a03,32'h3f87e1ac,// invsqrt(1.0737) = 0.9651 +32'h3f1d6a9b,32'h3f9ff79c,32'h3fa67f1a, 32'h3f9b11fd,32'h3fab64b9, 32'h3f92e89f,32'h3fb38e17,// invsqrt(0.6149) = 1.2752 +32'h3f1d3541,32'h3fa012be,32'h3fa69b58, 32'h3f9b2c4a,32'h3fab81cc, 32'h3f93018a,32'h3fb3ac8c,// invsqrt(0.6141) = 1.2761 +32'h3e569806,32'h4009022b,32'h400e99c4, 32'h4004d077,32'h4012cb77, 32'h3ffba5f0,32'h4019c8f6,// invsqrt(0.2096) = 2.1844 +32'h3f0bf8bb,32'h3fa9a49f,32'h3fb09137, 32'h3fa4732c,32'h3fb5c2aa, 32'h3f9bcb6d,32'h3fbe6a69,// invsqrt(0.5468) = 1.3524 +32'h40b4e042,32'h3ed30c34,32'h3edba96f, 32'h3ecc9647,32'h3ee21f5b, 32'h3ec1d1bc,32'h3eece3e6,// invsqrt(5.6524) = 0.4206 +32'h3fb85330,32'h3f511059,32'h3f5998db, 32'h3f4aa9f9,32'h3f5fff3b, 32'h3f3fff57,32'h3f6aa9dd,// invsqrt(1.4400) = 0.8333 +32'h40a80689,32'h3edaf829,32'h3ee3e82b, 32'h3ed44428,32'h3eea9c2c, 32'h3ec91826,32'h3ef5c82e,// invsqrt(5.2508) = 0.4364 +32'h3e03fa03,32'h402eb4b5,32'h4035d635, 32'h40295b96,32'h403b2f54, 32'h402071b6,32'h40441934,// invsqrt(0.1289) = 2.7855 +32'h3f8337e6,32'h3f77c8c6,32'h3f80f2ef, 32'h3f7032f4,32'h3f84bdd8, 32'h3f638e97,32'h3f8b1007,// invsqrt(1.0251) = 0.9877 +32'h3f496e7a,32'h3f8d69f9,32'h3f932f9b, 32'h3f8915c0,32'h3f9783d4, 32'h3f81deb6,32'h3f9ebade,// invsqrt(0.7868) = 1.1273 +32'h3fa6be1f,32'h3f5bcf63,32'h3f64c82e, 32'h3f5514cc,32'h3f6b82c6, 32'h3f49ddce,32'h3f76b9c4,// invsqrt(1.3027) = 0.8762 +32'h3fc4c678,32'h3f4a5785,32'h3f5299c9, 32'h3f4425d2,32'h3f58cb7c, 32'h3f39d2fd,32'h3f631e51,// invsqrt(1.5373) = 0.8065 +32'h3fb3b722,32'h3f53ba62,32'h3f5c5eba, 32'h3f4d3f20,32'h3f62d9fc, 32'h3f4271b3,32'h3f6da769,// invsqrt(1.4040) = 0.8439 +32'h3d4e9dc7,32'h408ba0de,32'h409153d8, 32'h40875aa3,32'h40959a13, 32'h40803aec,32'h409cb9ca,// invsqrt(0.0504) = 4.4524 +32'h3e114d28,32'h402680ad,32'h402d4c76, 32'h402167d8,32'h4032654c, 32'h4018e91d,32'h403ae407,// invsqrt(0.1419) = 2.6547 +32'h3e72f008,32'h4000c4a9,32'h40060629, 32'h3ff9a714,32'h4009f748, 32'h3fec8357,32'h40108927,// invsqrt(0.2372) = 2.0531 +32'h3eaef111,32'h3fd698ed,32'h3fdf5b40, 32'h3fd0072f,32'h3fe5ecff, 32'h3fc51448,32'h3ff0dfe6,// invsqrt(0.3417) = 1.7108 +32'h406bea83,32'h3f02aba0,32'h3f080100, 32'h3efd5732,32'h3f0c0107, 32'h3ef001c3,32'h3f12abbe,// invsqrt(3.6862) = 0.5208 +32'h3f536098,32'h3f8a0c05,32'h3f8fae79, 32'h3f85d22f,32'h3f93e84f, 32'h3f7d8e3e,32'h3f9af35f,// invsqrt(0.8257) = 1.1005 +32'h3edcf369,32'h3fbef39a,32'h3fc6bed9, 32'h3fb91b29,32'h3fcc9749, 32'h3faf5d1a,32'h3fd65558,// invsqrt(0.4315) = 1.5223 +32'h40d0d186,32'h3ec46b9f,32'h3ecc7004, 32'h3ebe6854,32'h3ed27350, 32'h3eb462d7,32'h3edc78cd,// invsqrt(6.5256) = 0.3915 +32'h40b5faf3,32'h3ed26808,32'h3edafe90, 32'h3ecbf722,32'h3ee16f76, 32'h3ec13af8,32'h3eec2ba0,// invsqrt(5.6869) = 0.4193 +32'h410462bb,32'h3eae6f8f,32'h3eb58e3c, 32'h3ea9188d,32'h3ebae53d, 32'h3ea03234,32'h3ec3cb96,// invsqrt(8.2741) = 0.3476 +32'h3f262d9a,32'h3f9bb169,32'h3fa20c3e, 32'h3f96ed48,32'h3fa6d05e, 32'h3f8efbbe,32'h3faec1e8,// invsqrt(0.6491) = 1.2412 +32'h3fd21bfa,32'h3f43d0ec,32'h3f4bcf00, 32'h3f3dd25d,32'h3f51cd8f, 32'h3f33d4c4,32'h3f5bcb28,// invsqrt(1.6415) = 0.7805 +32'h418d7c3f,32'h3e6e9fe9,32'h3e785d4b, 32'h3e6751df,32'h3e7fab55, 32'h3e5b2524,32'h3e85ec08,// invsqrt(17.6857) = 0.2378 +32'h3f0ea4a5,32'h3fa80c03,32'h3faee7ef, 32'h3fa2e713,32'h3fb40cdf, 32'h3f9a542d,32'h3fbc9fc5,// invsqrt(0.5572) = 1.3397 +32'h3f2a4070,32'h3f99d1b1,32'h3fa018f1, 32'h3f951c40,32'h3fa4ce62, 32'h3f8d432f,32'h3faca773,// invsqrt(0.6650) = 1.2262 +32'h3f109b20,32'h3fa6e70d,32'h3fadb703, 32'h3fa1cb14,32'h3fb2d2fc, 32'h3f994721,32'h3fbb56ef,// invsqrt(0.5649) = 1.3305 +32'h3a889f79,32'h41f2d577,32'h41fcbed5, 32'h41eb6671,32'h420216ee, 32'h41df02bc,32'h420848c8,// invsqrt(0.0010) = 30.9737 +32'h3f70ae83,32'h3f815eca,32'h3f86a694, 32'h3f7ad1e6,32'h3f8a9c6b, 32'h3f6d9e6e,32'h3f913627,// invsqrt(0.9402) = 1.0313 +32'h3fa51f42,32'h3f5ce2d9,32'h3f65e6e1, 32'h3f561fd2,32'h3f6ca9e8, 32'h3f4adac7,32'h3f77eef3,// invsqrt(1.2900) = 0.8804 +32'h3f54b6ab,32'h3f899cd9,32'h3f8f3ac2, 32'h3f856669,32'h3f937131, 32'h3f7cc20b,32'h3f9a7695,// invsqrt(0.8309) = 1.0970 +32'h3f363233,32'h3f94b10e,32'h3f9ac2bc, 32'h3f9023cd,32'h3f9f4ffd, 32'h3f888db4,32'h3fa6e616,// invsqrt(0.7117) = 1.1854 +32'h3f414d2b,32'h3f905b72,32'h3f963fd5, 32'h3f8bf029,32'h3f9aab1f, 32'h3f8492ad,32'h3fa2089b,// invsqrt(0.7551) = 1.1508 +32'h3ee6de3a,32'h3fbace25,32'h3fc26e11, 32'h3fb51633,32'h3fc82603, 32'h3fab8e4c,32'h3fd1adeb,// invsqrt(0.4509) = 1.4892 +32'h40326afd,32'h3f1641fa,32'h3f1c6404, 32'h3f11a872,32'h3f20fd8c, 32'h3f09fde6,32'h3f28a818,// invsqrt(2.7878) = 0.5989 +32'h3f1393b6,32'h3fa536c5,32'h3fabf517, 32'h3fa02808,32'h3fb103d4, 32'h3f97ba23,32'h3fb971b9,// invsqrt(0.5765) = 1.3171 +32'h40fabbbe,32'h3eb3409d,32'h3eba919e, 32'h3eadc3dc,32'h3ec00e5e, 32'h3ea49e9a,32'h3ec933a0,// invsqrt(7.8354) = 0.3572 +32'h3ff5b77a,32'h3f3512b0,32'h3f3c76b7, 32'h3f2f87aa,32'h3f4201bc, 32'h3f264aa1,32'h3f4b3ec5,// invsqrt(1.9197) = 0.7218 +32'h3fc73f2a,32'h3f491541,32'h3f514a5e, 32'h3f42ed6c,32'h3f577234, 32'h3f38ab08,32'h3f61b498,// invsqrt(1.5566) = 0.8015 +32'h3f1e253b,32'h3f9f991d,32'h3fa61cc0, 32'h3f9ab662,32'h3faaff7a, 32'h3f9291d6,32'h3fb32406,// invsqrt(0.6178) = 1.2723 +32'h4068127f,32'h3f03bf85,32'h3f092027, 32'h3eff6e17,32'h3f0d28a1, 32'h3ef1fc81,32'h3f13e16b,// invsqrt(3.6261) = 0.5251 +32'h3fc9eb8c,32'h3f47bf59,32'h3f4fe681, 32'h3f41a1fb,32'h3f5603df, 32'h3f377108,32'h3f6034d2,// invsqrt(1.5775) = 0.7962 +32'h3f14ec2b,32'h3fa47744,32'h3fab2dc4, 32'h3f9f6e64,32'h3fb036a4, 32'h3f970a44,32'h3fb89ac4,// invsqrt(0.5817) = 1.3111 +32'h3f9ebe5b,32'h3f6147b9,32'h3f6a79ab, 32'h3f5a6243,32'h3f715f21, 32'h3f4ee3d3,32'h3f7cdd91,// invsqrt(1.2402) = 0.8980 +32'h3c4c1cf3,32'h410c7b63,32'h41123747, 32'h41082e77,32'h41168433, 32'h41010399,32'h411daf11,// invsqrt(0.0125) = 8.9593 +32'h407b7e29,32'h3efd1e3d,32'h3f03b988, 32'h3ef55e9e,32'h3f079957, 32'h3ee87495,32'h3f0e0e5b,// invsqrt(3.9296) = 0.5045 +32'h3fd0d62d,32'h3f44696f,32'h3f4c6dbd, 32'h3f3e6635,32'h3f5270f7, 32'h3f3460d4,32'h3f5c7658,// invsqrt(1.6315) = 0.7829 +32'h3f80f115,32'h3f79f651,32'h3f821515, 32'h3f724f6d,32'h3f85e886, 32'h3f658e9d,32'h3f8c48ee,// invsqrt(1.0074) = 0.9963 +32'h40aa6e91,32'h3ed96b05,32'h3ee24ad1, 32'h3ed2c32c,32'h3ee8f2aa, 32'h3ec7ab6d,32'h3ef40a69,// invsqrt(5.3260) = 0.4333 +32'h3f9a477e,32'h3f64842e,32'h3f6dd7f2, 32'h3f5d855c,32'h3f74d6c4, 32'h3f51dca8,32'h3f803fbc,// invsqrt(1.2053) = 0.9109 +32'h3e59f822,32'h4007f188,32'h400d7e00, 32'h4003c82d,32'h4011a75b, 32'h3ff9b12e,32'h401896f1,// invsqrt(0.2129) = 2.1675 +32'h3e41c367,32'h40102f60,32'h401611f7, 32'h400bc570,32'h401a7be8, 32'h40046a34,32'h4021d724,// invsqrt(0.1892) = 2.2989 +32'h4002fd1d,32'h3f2f5d0b,32'h3f368569, 32'h3f29fec4,32'h3f3be3b0, 32'h3f210c4e,32'h3f44d626,// invsqrt(2.0467) = 0.6990 +32'h3ee6a736,32'h3fbae46b,32'h3fc28541, 32'h3fb52bcb,32'h3fc83de1, 32'h3faba2c1,32'h3fd1c6eb,// invsqrt(0.4505) = 1.4899 +32'h3e15a2f9,32'h402412b0,32'h402ac515, 32'h401f0ce4,32'h402fcae0, 32'h4016ade5,32'h403829df,// invsqrt(0.1461) = 2.6160 +32'h401fc206,32'h3f1eca66,32'h3f25459a, 32'h3f19ee00,32'h3f2a2200, 32'h3f11d400,32'h3f323c00,// invsqrt(2.4962) = 0.6329 +32'h3e962bf6,32'h3fe79ed7,32'h3ff1130a, 32'h3fe087b2,32'h3ff82a30, 32'h3fd4b672,32'h4001fdb8,// invsqrt(0.2933) = 1.8465 +32'h3edf25a8,32'h3fbe0271,32'h3fc5c3d9, 32'h3fb83163,32'h3fcb94e7, 32'h3fae7fa1,32'h3fd546a9,// invsqrt(0.4358) = 1.5147 +32'h3f63d697,32'h3f84f776,32'h3f8a64d4, 32'h3f80e570,32'h3f8e76da, 32'h3f743976,32'h3f953f8f,// invsqrt(0.8900) = 1.0600 +32'h405b4b5b,32'h3f07883a,32'h3f0d1066, 32'h3f036219,32'h3f113687, 32'h3ef8efc3,32'h3f1820be,// invsqrt(3.4265) = 0.5402 +32'h3f63c4e1,32'h3f84fca2,32'h3f8a6a35, 32'h3f80ea72,32'h3f8e7c64, 32'h3f7442f4,32'h3f95455c,// invsqrt(0.8897) = 1.0602 +32'h3fd2389f,32'h3f43c394,32'h3f4bc11d, 32'h3f3dc56e,32'h3f51bf44, 32'h3f33c883,32'h3f5bbc2f,// invsqrt(1.6424) = 0.7803 +32'h3f923a4c,32'h3f6ab929,32'h3f744dc7, 32'h3f6389b2,32'h3f7b7d3e, 32'h3f578fec,32'h3f83bb82,// invsqrt(1.1424) = 0.9356 +32'h3efc4e73,32'h3fb2b156,32'h3fb9fc7e, 32'h3fad38f8,32'h3fbf74dc, 32'h3fa41b06,32'h3fc892ce,// invsqrt(0.4928) = 1.4245 +32'h3f6d07f9,32'h3f825cd9,32'h3f87af02, 32'h3f7cbe77,32'h3f8baca0, 32'h3f6f7113,32'h3f925353,// invsqrt(0.9259) = 1.0392 +32'h3fe5028d,32'h3f3b8fc2,32'h3f433796, 32'h3f35d1e3,32'h3f48f575, 32'h3f2c401b,32'h3f52873d,// invsqrt(1.7891) = 0.7476 +32'h3f9750c6,32'h3f66be4f,32'h3f702958, 32'h3f5fae09,32'h3f77399f, 32'h3f53e83f,32'h3f817fb5,// invsqrt(1.1822) = 0.9197 +32'h3f16fc7b,32'h3fa35689,32'h3faa0141, 32'h3f9e5680,32'h3faf014a, 32'h3f96011b,32'h3fb756af,// invsqrt(0.5898) = 1.3021 +32'h40e3f484,32'h3ebbfeb9,32'h3ec3ab15, 32'h3eb63d75,32'h3ec96c59, 32'h3eaca603,32'h3ed303cb,// invsqrt(7.1236) = 0.3747 +32'h3f561fcd,32'h3f89289c,32'h3f8ec1c6, 32'h3f84f5bb,32'h3f92f4a7, 32'h3f7bec8b,32'h3f99f41c,// invsqrt(0.8364) = 1.0934 +32'h3fd89d29,32'h3f40da89,32'h3f48b9a9, 32'h3f3af331,32'h3f4ea101, 32'h3f311c4a,32'h3f5877e8,// invsqrt(1.6923) = 0.7687 +32'h3cd75ebd,32'h40c168e5,32'h40c94dd5, 32'h40bb7d32,32'h40cf3988, 32'h40b19f07,32'h40d917b3,// invsqrt(0.0263) = 6.1674 +32'h3e6017df,32'h400612b7,32'h400b8ba4, 32'h4001f804,32'h400fa656, 32'h3ff641b7,32'h40167d7e,// invsqrt(0.2188) = 2.1376 +32'h3f481345,32'h3f8de478,32'h3f93af1a, 32'h3f898c7f,32'h3f980713, 32'h3f824f35,32'h3f9f445d,// invsqrt(0.7815) = 1.1312 +32'h407dbde9,32'h3efbfe6f,32'h3f0323c1, 32'h3ef4479f,32'h3f06ff29, 32'h3ee76c46,32'h3f0d6cd5,// invsqrt(3.9647) = 0.5022 +32'h3f2d2653,32'h3f9886c5,32'h3f9ec084, 32'h3f93db76,32'h3fa36bd4, 32'h3f8c1348,32'h3fab3402,// invsqrt(0.6764) = 1.2159 +32'h41bd7721,32'h3e4e3553,32'h3e569ffd, 32'h3e47e553,32'h3e5ceffd, 32'h3e3d5ffe,32'h3e677552,// invsqrt(23.6832) = 0.2055 +32'h3f74e0cd,32'h3f8041ca,32'h3f857df2, 32'h3f78a959,32'h3f896b10, 32'h3f6b92f6,32'h3f8ff641,// invsqrt(0.9566) = 1.0225 +32'h3f4f51c1,32'h3f8b6435,32'h3f9114b5, 32'h3f871fd6,32'h3f955914, 32'h3f800336,32'h3f9c75b4,// invsqrt(0.8098) = 1.1112 +32'h3ed66c6e,32'h3fc1d60e,32'h3fc9bf72, 32'h3fbbe703,32'h3fcfae7d, 32'h3fb20346,32'h3fd9923a,// invsqrt(0.4188) = 1.5452 +32'h3e87e733,32'h3ff379e1,32'h3ffd69f4, 32'h3fec05d2,32'h40026f01, 32'h3fdf99b9,32'h4008a50e,// invsqrt(0.2654) = 1.9410 +32'h3fd4a060,32'h3f42a750,32'h3f4a993e, 32'h3f3cb1dd,32'h3f508eb1, 32'h3f32c373,32'h3f5a7d1b,// invsqrt(1.6611) = 0.7759 +32'h3e367891,32'h40149460,32'h401aa4e1, 32'h401007fe,32'h401f3142, 32'h4008735d,32'h4026c5e3,// invsqrt(0.1782) = 2.3689 +32'h3f1ee34f,32'h3f9f3989,32'h3fa5b946, 32'h3f9a59bc,32'h3faa9914, 32'h3f923a11,32'h3fb2b8bf,// invsqrt(0.6207) = 1.2693 +32'h3fa80284,32'h3f5afac8,32'h3f63eae5, 32'h3f5446b2,32'h3f6a9efa, 32'h3f491a8d,32'h3f75cb1f,// invsqrt(1.3126) = 0.8728 +32'h3f6dcce0,32'h3f8226d6,32'h3f8776ca, 32'h3f7c55be,32'h3f8b72c1, 32'h3f6f0ddd,32'h3f9216b2,// invsqrt(0.9289) = 1.0376 +32'h3f08d6f1,32'h3fab92ce,32'h3fb29392, 32'h3fa6523a,32'h3fb7d426, 32'h3f9d9145,32'h3fc0951b,// invsqrt(0.5345) = 1.3678 +32'h3f8dc8aa,32'h3f6e5f92,32'h3f781a54, 32'h3f671380,32'h3f7f6666, 32'h3f5aea0e,32'h3f85c7ec,// invsqrt(1.1077) = 0.9501 +32'h3f31350e,32'h3f96c527,32'h3f9cec8b, 32'h3f92279b,32'h3fa18a17, 32'h3f8a765d,32'h3fa93b55,// invsqrt(0.6922) = 1.2019 +32'h3e35df38,32'h4014d2f6,32'h401ae606, 32'h401044ab,32'h401f7451, 32'h4008acd8,32'h40270c24,// invsqrt(0.1776) = 2.3728 +32'h40234cd4,32'h3f1d0f1a,32'h3f237835, 32'h3f184045,32'h3f284709, 32'h3f103ce3,32'h3f304a6b,// invsqrt(2.5516) = 0.6260 +32'h3f3ec33a,32'h3f91508d,32'h3f973ef1, 32'h3f8cddc2,32'h3f9bb1bc, 32'h3f8573c6,32'h3fa31bb8,// invsqrt(0.7452) = 1.1584 +32'h3f3615e5,32'h3f94bc9c,32'h3f9acec2, 32'h3f902f00,32'h3f9f5c5e, 32'h3f889851,32'h3fa6f30d,// invsqrt(0.7113) = 1.1857 +32'h3e44256f,32'h400f4e7a,32'h401527e2, 32'h400aeb6c,32'h40198af0, 32'h40039ba9,32'h4020dab3,// invsqrt(0.1915) = 2.2849 +32'h3fa0e4a4,32'h3f5fc52d,32'h3f68e759, 32'h3f58eb8c,32'h3f6fc0fa, 32'h3f4d80d6,32'h3f7b2bb0,// invsqrt(1.2570) = 0.8919 +32'h3e30e42c,32'h4016e79b,32'h401d1068, 32'h40124902,32'h4021af02, 32'h400a9602,32'h40296202,// invsqrt(0.1727) = 2.4060 +32'h3e723dcf,32'h4000f3ff,32'h4006376d, 32'h3ffa02da,32'h400a29ff, 32'h3fecda48,32'h4010be48,// invsqrt(0.2366) = 2.0560 +32'h3fa7b80b,32'h3f5b2b60,32'h3f641d79, 32'h3f5475ce,32'h3f6ad30c, 32'h3f49472f,32'h3f7601ab,// invsqrt(1.3103) = 0.8736 +32'h3f7cb4d7,32'h3f7c8275,32'h3f836877, 32'h3f74c79c,32'h3f8745e4, 32'h3f67e587,32'h3f8db6ef,// invsqrt(0.9871) = 1.0065 +32'h3f2be06d,32'h3f99171c,32'h3f9f56bf, 32'h3f946761,32'h3fa40679, 32'h3f8c97d5,32'h3fabd605,// invsqrt(0.6714) = 1.2204 +32'h3fb2f4b7,32'h3f542d46,32'h3f5cd64e, 32'h3f4dae80,32'h3f635514, 32'h3f42db36,32'h3f6e285e,// invsqrt(1.3981) = 0.8457 +32'h40fdd1ba,32'h3eb228cf,32'h3eb96e64, 32'h3eacb49e,32'h3ebee294, 32'h3ea39da3,32'h3ec7f98f,// invsqrt(7.9319) = 0.3551 +32'h3fe86e10,32'h3f3a2d33,32'h3f41c68d, 32'h3f347a2e,32'h3f477992, 32'h3f2afa7d,32'h3f50f943,// invsqrt(1.8159) = 0.7421 +32'h3f5904df,32'h3f883da4,32'h3f8dcd38, 32'h3f8411f5,32'h3f91f8e7, 32'h3f7a3cf9,32'h3f98ec5f,// invsqrt(0.8477) = 1.0861 +32'h4085be37,32'h3ef56f36,32'h3eff73c0, 32'h3eedebce,32'h3f037b94, 32'h3ee16622,32'h3f09be6a,// invsqrt(4.1795) = 0.4891 +32'h4007817c,32'h3f2c6a73,32'h3f337404, 32'h3f272345,32'h3f38bb31, 32'h3f1e574f,32'h3f418727,// invsqrt(2.1173) = 0.6872 +32'h3ef7aa70,32'h3fb45bed,32'h3fbbb87f, 32'h3faed680,32'h3fc13dec, 32'h3fa5a2ca,32'h3fca71a2,// invsqrt(0.4837) = 1.4378 +32'h3f959cfe,32'h3f680d68,32'h3f71861e, 32'h3f60f2e0,32'h3f78a0a6, 32'h3f551bfc,32'h3f823bc5,// invsqrt(1.1689) = 0.9250 +32'h3f0ec218,32'h3fa7faad,32'h3faed5e3, 32'h3fa2d644,32'h3fb3fa4c, 32'h3f9a4441,32'h3fbc8c4f,// invsqrt(0.5576) = 1.3391 +32'h4006f966,32'h3f2cc148,32'h3f33ce65, 32'h3f277772,32'h3f39183a, 32'h3f1ea70d,32'h3f41e89f,// invsqrt(2.1090) = 0.6886 +32'h3f16b0d1,32'h3fa37f86,32'h3faa2bea, 32'h3f9e7e3c,32'h3faf2d34, 32'h3f9626bf,32'h3fb784b1,// invsqrt(0.5886) = 1.3034 +32'h3e005f6d,32'h40312426,32'h40385f18, 32'h402bb7f1,32'h403dcb4d, 32'h4022ae42,32'h4046d4fc,// invsqrt(0.1254) = 2.8243 +32'h3fc2b36f,32'h3f4b6aba,32'h3f53b83a, 32'h3f45309a,32'h3f59f25a, 32'h3f3acfbb,32'h3f645339,// invsqrt(1.5211) = 0.8108 +32'h3fd7a777,32'h3f414845,32'h3f492be0, 32'h3f3b5d92,32'h3f4f1694, 32'h3f318111,32'h3f58f315,// invsqrt(1.6848) = 0.7704 +32'h3f55d381,32'h3f894112,32'h3f8edb3c, 32'h3f850d72,32'h3f930edc, 32'h3f7c1979,32'h3f9a0f91,// invsqrt(0.8353) = 1.0942 +32'h3f8775ff,32'h3f73df88,32'h3f7dd3c2, 32'h3f6c685d,32'h3f82a577, 32'h3f5ff714,32'h3f88de1b,// invsqrt(1.0583) = 0.9721 +32'h3f592f22,32'h3f883062,32'h3f8dbf6b, 32'h3f84051a,32'h3f91eab2, 32'h3f7a249e,32'h3f98dd7d,// invsqrt(0.8484) = 1.0857 +32'h3ef44d67,32'h3fb598ad,32'h3fbd022c, 32'h3fb0098d,32'h3fc2914b, 32'h3fa6c5ae,32'h3fcbd52a,// invsqrt(0.4772) = 1.4477 +32'h40f41992,32'h3eb5abf3,32'h3ebd163c, 32'h3eb01c3d,32'h3ec2a5f3, 32'h3ea6d762,32'h3ecbeace,// invsqrt(7.6281) = 0.3621 +32'h40bf4f6d,32'h3ecd362b,32'h3ed5966b, 32'h3ec6edfa,32'h3edbde9c, 32'h3ebc75aa,32'h3ee656ec,// invsqrt(5.9784) = 0.4090 +32'h3f85ed53,32'h3f754407,32'h3f7f46ce, 32'h3f6dc1f2,32'h3f836472, 32'h3f613e7a,32'h3f89a62e,// invsqrt(1.0463) = 0.9776 +32'h3fffe508,32'h3f316f7a,32'h3f38ad80, 32'h3f2c00f7,32'h3f3e1c03, 32'h3f22f370,32'h3f47298a,// invsqrt(1.9992) = 0.7073 +32'h3f4daffe,32'h3f8bf17c,32'h3f91a7c0, 32'h3f87a8c9,32'h3f95f073, 32'h3f8084f5,32'h3f9d1447,// invsqrt(0.8035) = 1.1156 +32'h3f1af1da,32'h3fa13cf3,32'h3fa7d1b9, 32'h3f9c4d5e,32'h3facc14e, 32'h3f941367,32'h3fb4fb45,// invsqrt(0.6053) = 1.2854 +32'h3e9ce30d,32'h3fe29bf9,32'h3febdbcf, 32'h3fdbac19,32'h3ff2cbaf, 32'h3fd01c4d,32'h3ffe5b7b,// invsqrt(0.3064) = 1.8065 +32'h3fa61d04,32'h3f5c39e1,32'h3f653705, 32'h3f557c07,32'h3f6bf4df, 32'h3f4a3f9b,32'h3f77314b,// invsqrt(1.2978) = 0.8778 +32'h410edf2a,32'h3ea7e996,32'h3eaec419, 32'h3ea2c5b3,32'h3eb3e7fb, 32'h3e9a348e,32'h3ebc7920,// invsqrt(8.9295) = 0.3346 +32'h4016f252,32'h3f235c09,32'h3f2a06f9, 32'h3f1e5bd4,32'h3f2f072e, 32'h3f160627,32'h3f375cdb,// invsqrt(2.3585) = 0.6511 +32'h4031693d,32'h3f16aef9,32'h3f1cd576, 32'h3f12121b,32'h3f217253, 32'h3f0a61fe,32'h3f292270,// invsqrt(2.7720) = 0.6006 +32'h3f9860c1,32'h3f65f006,32'h3f6f52a2, 32'h3f5ee610,32'h3f765c98, 32'h3f532acc,32'h3f810bee,// invsqrt(1.1905) = 0.9165 +32'h3e467c02,32'h400e75c0,32'h40144650, 32'h400a1954,32'h4018a2bc, 32'h4002d4a1,32'h401fe76f,// invsqrt(0.1938) = 2.2714 +32'h3fcee39d,32'h3f45558a,32'h3f4d637a, 32'h3f3f4b15,32'h3f536def, 32'h3f3539a8,32'h3f5d7f5c,// invsqrt(1.6163) = 0.7866 +32'h3fe2150b,32'h3f3cc5a9,32'h3f447a23, 32'h3f36fe4e,32'h3f4a417e, 32'h3f2d5cb5,32'h3f53e317,// invsqrt(1.7663) = 0.7524 +32'h3f143a72,32'h3fa4d9bf,32'h3fab9445, 32'h3f9fcddc,32'h3fb0a028, 32'h3f9764b5,32'h3fb9094f,// invsqrt(0.5790) = 1.3142 +32'h3f6dbbe3,32'h3f822b7c,32'h3f877ba0, 32'h3f7c5ec1,32'h3f8b77bc, 32'h3f6f1666,32'h3f921be9,// invsqrt(0.9286) = 1.0377 +32'h3f9cfd0b,32'h3f628936,32'h3f6bc847, 32'h3f5b99e8,32'h3f72b794, 32'h3f500b11,32'h3f7e466b,// invsqrt(1.2265) = 0.9030 +32'h3f35099e,32'h3f952aa8,32'h3f9b414c, 32'h3f9099ae,32'h3f9fd246, 32'h3f88fd61,32'h3fa76e93,// invsqrt(0.7072) = 1.1891 +32'h3eaa0e62,32'h3fd9a879,32'h3fe28ac7, 32'h3fd2febe,32'h3fe93482, 32'h3fc7e3dd,32'h3ff44f63,// invsqrt(0.3321) = 1.7352 +32'h3f817dea,32'h3f796e3f,32'h3f81ce45, 32'h3f71cb85,32'h3f859fa1, 32'h3f6511a7,32'h3f8bfc91,// invsqrt(1.0117) = 0.9942 +32'h40861203,32'h3ef52276,32'h3eff23de, 32'h3eeda168,32'h3f035276, 32'h3ee11fa6,32'h3f099357,// invsqrt(4.1897) = 0.4885 +32'h422f3fe3,32'h3e179c22,32'h3e1dcc4e, 32'h3e12f802,32'h3e22706e, 32'h3e0b3bcc,32'h3e2a2ca4,// invsqrt(43.8124) = 0.1511 +32'h42fb292d,32'h3db3198b,32'h3dba68f4, 32'h3dad9dfd,32'h3dbfe483, 32'h3da47aba,32'h3dc907c6,// invsqrt(125.5804) = 0.0892 +32'h3f8b93e4,32'h3f703ff2,32'h3f7a0e50, 32'h3f68e52c,32'h3f80b48b, 32'h3f5ca337,32'h3f86d585,// invsqrt(1.0905) = 0.9576 +32'h3d65c19c,32'h40846915,32'h4089d0a2, 32'h40805b6a,32'h408dde4c, 32'h407333f1,32'h40949fbe,// invsqrt(0.0561) = 4.2223 +32'h3ec91859,32'h3fc82822,32'h3fd05391, 32'h3fc2078e,32'h3fd67424, 32'h3fb7d143,32'h3fe0aa6f,// invsqrt(0.3928) = 1.5956 +32'h3fa3c73b,32'h3f5dca5e,32'h3f66d7da, 32'h3f570041,32'h3f6da1f7, 32'h3f4baf66,32'h3f78f2d2,// invsqrt(1.2795) = 0.8841 +32'h3f6384a1,32'h3f850f67,32'h3f8a7dbf, 32'h3f80fca5,32'h3f8e9081, 32'h3f74656f,32'h3f955a6e,// invsqrt(0.8887) = 1.0607 +32'h3fd30bc6,32'h3f43618e,32'h3f4b5b16, 32'h3f3d6668,32'h3f51563c, 32'h3f336e7d,32'h3f5b4e27,// invsqrt(1.6488) = 0.7788 +32'h3d9cf3b3,32'h40628ff4,32'h406bcf4c, 32'h405ba072,32'h4072bece, 32'h40501143,32'h407e4dfd,// invsqrt(0.0766) = 3.6123 +32'h40223490,32'h3f1d968f,32'h3f240531, 32'h3f18c395,32'h3f28d82b, 32'h3f10b94a,32'h3f30e276,// invsqrt(2.5345) = 0.6281 +32'h3fab7a61,32'h3f58c0fb,32'h3f6199d6, 32'h3f521e56,32'h3f683c7a, 32'h3f470f44,32'h3f734b8c,// invsqrt(1.3397) = 0.8640 +32'h3fb29a0a,32'h3f54631b,32'h3f5d0e56, 32'h3f4de2b0,32'h3f638ec2, 32'h3f430ca6,32'h3f6e64cc,// invsqrt(1.3953) = 0.8466 +32'h40fe6548,32'h3eb1f51c,32'h3eb93896, 32'h3eac8281,32'h3ebeab31, 32'h3ea36e2a,32'h3ec7bf88,// invsqrt(7.9499) = 0.3547 +32'h3ee9be97,32'h3fb9a6fd,32'h3fc13add, 32'h3fb3f814,32'h3fc6e9c6, 32'h3faa7f3c,32'h3fd0629e,// invsqrt(0.4565) = 1.4800 +32'h3f79bd13,32'h3f7e016c,32'h3f842fc2, 32'h3f763ad8,32'h3f88130c, 32'h3f694539,32'h3f8e8ddc,// invsqrt(0.9755) = 1.0125 +32'h3ec1557b,32'h3fcc2281,32'h3fd47781, 32'h3fc5e2c1,32'h3fdab741, 32'h3fbb7881,32'h3fe52181,// invsqrt(0.3776) = 1.6273 +32'h3f9def22,32'h3f61db53,32'h3f6b134c, 32'h3f5af159,32'h3f71fd47, 32'h3f4f6b62,32'h3f7d833e,// invsqrt(1.2339) = 0.9003 +32'h3faa5fd2,32'h3f59746d,32'h3f62549b, 32'h3f52cc4a,32'h3f68fcbe, 32'h3f47b410,32'h3f7414f8,// invsqrt(1.3310) = 0.8668 +32'h3f8a6a78,32'h3f714186,32'h3f7b1a67, 32'h3f69dede,32'h3f813e88, 32'h3f5d8fc5,32'h3f876615,// invsqrt(1.0814) = 0.9616 +32'h40436e77,32'h3f0f9180,32'h3f156da4, 32'h3f0b2c64,32'h3f19d2c0, 32'h3f03d937,32'h3f2125ed,// invsqrt(3.0536) = 0.5723 +32'h3f3701a3,32'h3f945cb1,32'h3f9a6aed, 32'h3f8fd205,32'h3f9ef599, 32'h3f88403a,32'h3fa68764,// invsqrt(0.7149) = 1.1827 +32'h3fe4784c,32'h3f3bc87a,32'h3f43729e, 32'h3f3608df,32'h3f493239, 32'h3f2c7431,32'h3f52c6e7,// invsqrt(1.7849) = 0.7485 +32'h3fc8a5a5,32'h3f486150,32'h3f508f14, 32'h3f423efc,32'h3f56b168, 32'h3f3805c7,32'h3f60ea9d,// invsqrt(1.5676) = 0.7987 +32'h40e773ff,32'h3eba91ab,32'h3ec22f1f, 32'h3eb4db93,32'h3ec7e537, 32'h3eab56c1,32'h3ed16a09,// invsqrt(7.2329) = 0.3718 +32'h3ea5a0a4,32'h3fdc8c81,32'h3fe58d04, 32'h3fd5cc20,32'h3fec4d66, 32'h3fca8b7c,32'h3ff78e0a,// invsqrt(0.3235) = 1.7582 +32'h3f3b6b85,32'h3f929ad1,32'h3f9896b0, 32'h3f8e1deb,32'h3f9d1397, 32'h3f86a314,32'h3fa48e6e,// invsqrt(0.7321) = 1.1687 +32'h3fad26d4,32'h3f57b428,32'h3f60820b, 32'h3f5119bf,32'h3f671c75, 32'h3f461864,32'h3f721dd0,// invsqrt(1.3527) = 0.8598 +32'h3e451372,32'h400ef7d7,32'h4014cdb5, 32'h400a976f,32'h40192e1d, 32'h40034c19,32'h40207973,// invsqrt(0.1925) = 2.2795 +32'h40ffb9e8,32'h3eb17e70,32'h3eb8bd11, 32'h3eac0f76,32'h3ebe2c0a, 32'h3ea3012d,32'h3ec73a53,// invsqrt(7.9914) = 0.3537 +32'h3e5a824e,32'h4007c686,32'h400d513e, 32'h40039e7d,32'h40117947, 32'h3ff96230,32'h401866ac,// invsqrt(0.2134) = 2.1648 +32'h3f0b860e,32'h3fa9ea47,32'h3fb0d9b8, 32'h3fa4b6b3,32'h3fb60d4d, 32'h3f9c0b66,32'h3fbeb89a,// invsqrt(0.5450) = 1.3546 +32'h409e5724,32'h3ee19119,32'h3eeac60b, 32'h3edaa964,32'h3ef1adc0, 32'h3ecf2737,32'h3efd2fed,// invsqrt(4.9481) = 0.4496 +32'h3ea58911,32'h3fdc9c35,32'h3fe59d5c, 32'h3fd5db59,32'h3fec5e39, 32'h3fca99e8,32'h3ff79faa,// invsqrt(0.3233) = 1.7587 +32'h3ba18e36,32'h415f4f9e,32'h41686cfe, 32'h41587997,32'h416f4305, 32'h414d14e0,32'h417aa7bc,// invsqrt(0.0049) = 14.2418 +32'h3f9ca978,32'h3f62c59a,32'h3f6c0723, 32'h3f5bd474,32'h3f72f84a, 32'h3f504289,32'h3f7e8a35,// invsqrt(1.2239) = 0.9039 +32'h40825c9b,32'h3ef898d8,32'h3f015f36, 32'h3ef0fca7,32'h3f052d4f, 32'h3ee44dab,32'h3f0b84cc,// invsqrt(4.0738) = 0.4955 +32'h3ffb4a72,32'h3f330db0,32'h3f3a5c9d, 32'h3f2d927e,32'h3f3fd7ce, 32'h3f246fd5,32'h3f48fa77,// invsqrt(1.9632) = 0.7137 +32'h40b9ac0b,32'h3ed04dd8,32'h3ed8ce68, 32'h3ec9ed6b,32'h3edf2ed5, 32'h3ebf4cb6,32'h3ee9cf8a,// invsqrt(5.8023) = 0.4151 +32'h3fd3e0c4,32'h3f42ff40,32'h3f4af4c6, 32'h3f3d071c,32'h3f50ecea, 32'h3f331436,32'h3f5adfd0,// invsqrt(1.6553) = 0.7773 +32'h3e0e592e,32'h40283889,32'h402f1645, 32'h4023123b,32'h40343c93, 32'h401a7d10,32'h403cd1be,// invsqrt(0.1390) = 2.6821 +32'h40a2d6f7,32'h3ede6dc1,32'h3ee781e8, 32'h3ed79ea3,32'h3eee5105, 32'h3ecc4572,32'h3ef9aa36,// invsqrt(5.0887) = 0.4433 +32'h3e9220e4,32'h3feacd90,32'h3ff46304, 32'h3fe39d7a,32'h3ffb931a, 32'h3fd7a2a9,32'h4003c6f6,// invsqrt(0.2854) = 1.8718 +32'h3f45e0e1,32'h3f8ead8d,32'h3f948063, 32'h3f8a4f6c,32'h3f98de84, 32'h3f8307df,32'h3fa02611,// invsqrt(0.7730) = 1.1374 +32'h41b220e5,32'h3e54ab48,32'h3e5d5974, 32'h3e4e28a6,32'h3e63dc16, 32'h3e434eee,32'h3e6eb5ce,// invsqrt(22.2661) = 0.2119 +32'h3f6cc4bc,32'h3f826f5b,32'h3f87c245, 32'h3f7ce258,32'h3f8bc074, 32'h3f6f9310,32'h3f926818,// invsqrt(0.9249) = 1.0398 +32'h40ace68d,32'h3ed7dc3d,32'h3ee0abc3, 32'h3ed1409a,32'h3ee74766, 32'h3ec63d33,32'h3ef24acd,// invsqrt(5.4031) = 0.4302 +32'h3e25d652,32'h401bda5c,32'h402236dd, 32'h401714fb,32'h4026fc3d, 32'h400f2159,32'h402eefdf,// invsqrt(0.1620) = 2.4849 +32'h3e3a7f23,32'h4012f79d,32'h4018f745, 32'h400e77df,32'h401d7703, 32'h4006f84c,32'h4024f696,// invsqrt(0.1821) = 2.3432 +32'h3f7c8baf,32'h3f7c9708,32'h3f83732c, 32'h3f74db8e,32'h3f8750e9, 32'h3f67f86b,32'h3f8dc27a,// invsqrt(0.9865) = 1.0068 +32'h3d836328,32'h40779ff8,32'h4080ddb3, 32'h40700b66,32'h4084a7fc, 32'h4063691e,32'h408af920,// invsqrt(0.0642) = 3.9481 +32'h3edc6bbb,32'h3fbf2e56,32'h3fc6fbfb, 32'h3fb95419,32'h3fccd637, 32'h3faf930a,32'h3fd69746,// invsqrt(0.4305) = 1.5241 +32'h3d63bf4d,32'h4084fe42,32'h408a6be7, 32'h4080ec07,32'h408e7e23, 32'h407445f3,32'h40954731,// invsqrt(0.0556) = 4.2409 +32'h40ce2895,32'h3ec5aef9,32'h3ecdc090, 32'h3ebfa1c7,32'h3ed3cdc1, 32'h3eb58bca,32'h3edde3be,// invsqrt(6.4425) = 0.3940 +32'h3f9b4951,32'h3f63c62b,32'h3f6d122d, 32'h3f5ccd2a,32'h3f740b2e, 32'h3f512e28,32'h3f7faa30,// invsqrt(1.2132) = 0.9079 +32'h3f100785,32'h3fa73c7d,32'h3fae0fef, 32'h3fa21de6,32'h3fb32e86, 32'h3f999597,32'h3fbbb6d5,// invsqrt(0.5626) = 1.3332 +32'h3fa24119,32'h3f5ed462,32'h3f67ecba, 32'h3f580220,32'h3f6ebefc, 32'h3f4ca3b3,32'h3f7a1d69,// invsqrt(1.2676) = 0.8882 +32'h409c7f54,32'h3ee2e420,32'h3eec26e8, 32'h3edbf20a,32'h3ef318fe, 32'h3ed05e90,32'h3efeac78,// invsqrt(4.8905) = 0.4522 +32'h3ece2cd2,32'h3fc5acf0,32'h3fcdbe72, 32'h3fbf9fcf,32'h3fd3cb93, 32'h3fb589ec,32'h3fdde176,// invsqrt(0.4027) = 1.5759 +32'h406b57fe,32'h3f02d447,32'h3f082b4f, 32'h3efda602,32'h3f0c2c95, 32'h3ef04c6d,32'h3f12d95f,// invsqrt(3.6772) = 0.5215 +32'h3f379a4e,32'h3f941ef5,32'h3f9a2aac, 32'h3f8f962d,32'h3f9eb375, 32'h3f880789,32'h3fa64219,// invsqrt(0.7172) = 1.1808 +32'h3fce710b,32'h3f458c44,32'h3f4d9c70, 32'h3f3f8022,32'h3f53a892, 32'h3f356beb,32'h3f5dbcc9,// invsqrt(1.6128) = 0.7874 +32'h3fb29eb5,32'h3f546055,32'h3f5d0b73, 32'h3f4ddfff,32'h3f638bc9, 32'h3f430a1a,32'h3f6e61ae,// invsqrt(1.3955) = 0.8465 +32'h3f50a83e,32'h3f8af1a0,32'h3f909d73, 32'h3f86b0c3,32'h3f94de51, 32'h3f7f33f8,32'h3f9bf518,// invsqrt(0.8151) = 1.1077 +32'h405ce43a,32'h3f070a91,32'h3f0c8d9c, 32'h3f02e848,32'h3f10afe4, 32'h3ef808f4,32'h3f1793b2,// invsqrt(3.4514) = 0.5383 +32'h3fa44bf4,32'h3f5d70b6,32'h3f667a8a, 32'h3f56a958,32'h3f6d41e8, 32'h3f4b5d10,32'h3f788e30,// invsqrt(1.2836) = 0.8827 +32'h3faf687c,32'h3f564fd4,32'h3f5f0f2c, 32'h3f4fc053,32'h3f659ead, 32'h3f44d126,32'h3f708dda,// invsqrt(1.3704) = 0.8542 +32'h3f0cab64,32'h3fa938c2,32'h3fb020f3, 32'h3fa40a9c,32'h3fb54f18, 32'h3f9b685e,32'h3fbdf156,// invsqrt(0.5495) = 1.3490 +32'h3f60cadc,32'h3f85dd4b,32'h3f8b540b, 32'h3f81c43c,32'h3f8f6d1a, 32'h3f75df9a,32'h3f964189,// invsqrt(0.8781) = 1.0672 +32'h3ed5b1bb,32'h3fc22aa8,32'h3fca1780, 32'h3fbc3906,32'h3fd00922, 32'h3fb250f8,32'h3fd9f130,// invsqrt(0.4174) = 1.5479 +32'h3d6320b9,32'h40852ca8,32'h408a9c31, 32'h40811900,32'h408eafd8, 32'h40749b29,32'h40957b44,// invsqrt(0.0555) = 4.2466 +32'h3ee7b20e,32'h3fba78ad,32'h3fc2151d, 32'h3fb4c35a,32'h3fc7ca70, 32'h3fab3fce,32'h3fd14dfc,// invsqrt(0.4525) = 1.4865 +32'h3f81f670,32'h3f78fa7b,32'h3f819207, 32'h3f715b4e,32'h3f85619e, 32'h3f64a758,32'h3f8bbb99,// invsqrt(1.0153) = 0.9924 +32'h3fcafdf3,32'h3f473829,32'h3f4f59cd, 32'h3f411eee,32'h3f557308, 32'h3f36f4e2,32'h3f5f9d14,// invsqrt(1.5859) = 0.7941 +32'h3fe18602,32'h3f3d017d,32'h3f44b867, 32'h3f37384c,32'h3f4a8198, 32'h3f2d93a7,32'h3f54263d,// invsqrt(1.7619) = 0.7534 +32'h3fdf5840,32'h3f3deceb,32'h3f45ad71, 32'h3f381c85,32'h3f4b7dd7, 32'h3f2e6bdd,32'h3f552e7f,// invsqrt(1.7449) = 0.7570 +32'h3f92e642,32'h3f6a2f9e,32'h3f73bea0, 32'h3f63045d,32'h3f7ae9e1, 32'h3f57119c,32'h3f836e51,// invsqrt(1.1477) = 0.9335 +32'h40088468,32'h3f2bc6a4,32'h3f32c986, 32'h3f26847a,32'h3f380bb0, 32'h3f1dc0e0,32'h3f40cf4a,// invsqrt(2.1331) = 0.6847 +32'h40ffab1c,32'h3eb18393,32'h3eb8c269, 32'h3eac1471,32'h3ebe318b, 32'h3ea305e5,32'h3ec74017,// invsqrt(7.9896) = 0.3538 +32'h3fa3ed3c,32'h3f5db0a6,32'h3f66bd16, 32'h3f56e753,32'h3f6d8669, 32'h3f4b97c8,32'h3f78d5f4,// invsqrt(1.2807) = 0.8836 +32'h3e8091bc,32'h3ffa52ef,32'h40024548, 32'h3ff2a936,32'h40061a25, 32'h3fe5e3ad,32'h400c7cea,// invsqrt(0.2511) = 1.9956 +32'h3ef4c1da,32'h3fb56d75,32'h3fbcd530, 32'h3fafdfa8,32'h3fc262fc, 32'h3fa69dfd,32'h3fcba4a7,// invsqrt(0.4780) = 1.4463 +32'h3dd1629a,32'h40442787,32'h404c2925, 32'h403e2652,32'h40522a5a, 32'h4034244d,32'h405c2c5f,// invsqrt(0.1022) = 3.1275 +32'h3f983296,32'h3f6612e3,32'h3f6f76ed, 32'h3f5f07dc,32'h3f7681f4, 32'h3f534ad1,32'h3f811f80,// invsqrt(1.1890) = 0.9171 +32'h3eced3f7,32'h3fc55d00,32'h3fcd6b3f, 32'h3fbf5252,32'h3fd375ee, 32'h3fb54083,32'h3fdd87bd,// invsqrt(0.4040) = 1.5734 +32'h3ebd6d38,32'h3fce3ab8,32'h3fd6a59a, 32'h3fc7ea8d,32'h3fdcf5c5, 32'h3fbd64f2,32'h3fe77b60,// invsqrt(0.3700) = 1.6440 +32'h400deb40,32'h3f2879a3,32'h3f2f5a07, 32'h3f235157,32'h3f348253, 32'h3f1ab8d9,32'h3f3d1ad1,// invsqrt(2.2175) = 0.6715 +32'h410291f2,32'h3eafa4f4,32'h3eb6d041, 32'h3eaa4479,32'h3ebc30bb, 32'h3ea14e57,32'h3ec526dd,// invsqrt(8.1606) = 0.3501 +32'h3d436edf,32'h408f915a,32'h40956d7c, 32'h408b2c3f,32'h4099d297, 32'h4083d914,32'h40a125c2,// invsqrt(0.0477) = 4.5781 +32'h3f93ac2a,32'h3f69927d,32'h3f731b15, 32'h3f626c0c,32'h3f7a4186, 32'h3f56814e,32'h3f831622,// invsqrt(1.1537) = 0.9310 +32'h406ae573,32'h3f02f429,32'h3f084c7f, 32'h3efde3d3,32'h3f0c4ebf, 32'h3ef086fe,32'h3f12fd29,// invsqrt(3.6703) = 0.5220 +32'h3e7a0a29,32'h3ffdda41,32'h40041b61, 32'h3ff614e2,32'h4007fe11, 32'h3fe92142,32'h400e77e1,// invsqrt(0.2442) = 2.0237 +32'h3f93ea84,32'h3f69613d,32'h3f72e7d2, 32'h3f623c4e,32'h3f7a0cc2, 32'h3f565414,32'h3f82fa7e,// invsqrt(1.1556) = 0.9302 +32'h411bc8a3,32'h3ea0cda5,32'h3ea75de0, 32'h3e9be179,32'h3eac4a0d, 32'h3e93ad30,32'h3eb47e57,// invsqrt(9.7365) = 0.3205 +32'h3ecca176,32'h3fc66b8a,32'h3fce84d4, 32'h3fc05893,32'h3fd497cb, 32'h3fb638f7,32'h3fdeb767,// invsqrt(0.3997) = 1.5818 +32'h3fccaabc,32'h3f46670c,32'h3f4e8026, 32'h3f405438,32'h3f5492fa, 32'h3f3634d6,32'h3f5eb25c,// invsqrt(1.5990) = 0.7908 +32'h3cfcb2e9,32'h40b28dcd,32'h40b9d782, 32'h40ad1686,32'h40bf4eca, 32'h40a3fa64,32'h40c86aec,// invsqrt(0.0308) = 5.6937 +32'h3f5e7203,32'h3f86919c,32'h3f8c0fb8, 32'h3f827308,32'h3f902e4c, 32'h3f772acc,32'h3f970bee,// invsqrt(0.8689) = 1.0728 +32'h3dadd66b,32'h4057471d,32'h4060108b, 32'h4050b009,32'h4066a79f, 32'h4045b43f,32'h4071a369,// invsqrt(0.0849) = 3.4324 +32'h40a14e9a,32'h3edf7ba2,32'h3ee89acd, 32'h3ed8a441,32'h3eef722d, 32'h3ecd3d4b,32'h3efad923,// invsqrt(5.0408) = 0.4454 +32'h40225b11,32'h3f1d83de,32'h3f23f1be, 32'h3f18b177,32'h3f28c425, 32'h3f10a820,32'h3f30cd7c,// invsqrt(2.5368) = 0.6279 +32'h3f871ad7,32'h3f7431bf,32'h3f7e2954, 32'h3f6cb810,32'h3f82d182, 32'h3f604296,32'h3f890c3f,// invsqrt(1.0555) = 0.9734 +32'h403ebe4b,32'h3f11526e,32'h3f1740e6, 32'h3f0cdf95,32'h3f1bb3bf, 32'h3f05757f,32'h3f231dd5,// invsqrt(2.9804) = 0.5792 +32'h3f8c97a9,32'h3f6f6197,32'h3f7926e1, 32'h3f680d9f,32'h3f803d6c, 32'h3f5bd703,32'h3f8658bb,// invsqrt(1.0984) = 0.9542 +32'h3fa81330,32'h3f5aefeb,32'h3f63df97, 32'h3f543c2b,32'h3f6a9357, 32'h3f491094,32'h3f75beee,// invsqrt(1.3131) = 0.8727 +32'h407421f3,32'h3f0073e2,32'h3f05b216, 32'h3ef90a78,32'h3f09a0bc, 32'h3eebeef9,32'h3f102e7c,// invsqrt(3.8146) = 0.5120 +32'h3efb2cbe,32'h3fb31846,32'h3fba67a2, 32'h3fad9cc1,32'h3fbfe327, 32'h3fa4798f,32'h3fc90659,// invsqrt(0.4906) = 1.4277 +32'h3fa24cbe,32'h3f5ecc64,32'h3f67e468, 32'h3f57fa61,32'h3f6eb66b, 32'h3f4c9c5c,32'h3f7a1470,// invsqrt(1.2680) = 0.8881 +32'h3f7febc0,32'h3f7aeb34,32'h3f829486, 32'h3f733cd2,32'h3f866bb7, 32'h3f666f83,32'h3f8cd25e,// invsqrt(0.9997) = 1.0002 +32'h3f6f7eae,32'h3f81b0c0,32'h3f86fbe2, 32'h3f7b70cd,32'h3f8af43b, 32'h3f6e34f8,32'h3f919226,// invsqrt(0.9355) = 1.0339 +32'h3caadb0d,32'h40d925f4,32'h40e202ee, 32'h40d28038,32'h40e8a8aa, 32'h40c76bff,32'h40f3bce3,// invsqrt(0.0209) = 6.9244 +32'h3e8c4254,32'h3fefaa5e,32'h3ff972a0, 32'h3fe8542c,32'h40006469, 32'h3fdc19d9,32'h40068193,// invsqrt(0.2739) = 1.9106 +32'h4076a3d8,32'h3eff989b,32'h3f0503aa, 32'h3ef7c592,32'h3f08ed2f, 32'h3eeabb2c,32'h3f0f7262,// invsqrt(3.8538) = 0.5094 +32'h3f6df7d0,32'h3f821b17,32'h3f876a91, 32'h3f7c3ef9,32'h3f8b662b, 32'h3f6ef84a,32'h3f920983,// invsqrt(0.9296) = 1.0372 +32'h3faa1bf3,32'h3f599fca,32'h3f6281be, 32'h3f52f654,32'h3f692b34, 32'h3f47dbe4,32'h3f7445a4,// invsqrt(1.3290) = 0.8674 +32'h40a74e30,32'h3edb70ab,32'h3ee46598, 32'h3ed4b8fa,32'h3eeb1d4a, 32'h3ec986d2,32'h3ef64f72,// invsqrt(5.2283) = 0.4373 +32'h3fd1c3a0,32'h3f43fa25,32'h3f4bf9e7, 32'h3f3dfa52,32'h3f51f9ba, 32'h3f33fa9f,32'h3f5bf96d,// invsqrt(1.6388) = 0.7812 +32'h3db66c4f,32'h4052269e,32'h405aba7a, 32'h404bb7b8,32'h40612960, 32'h4040fee4,32'h406be234,// invsqrt(0.0891) = 3.3506 +32'h4007b8f1,32'h3f2c4735,32'h3f334f57, 32'h3f27011c,32'h3f389570, 32'h3f1e36f2,32'h3f415f9a,// invsqrt(2.1207) = 0.6867 +32'h3f13bc6e,32'h3fa51fff,32'h3fabdd62, 32'h3fa011f4,32'h3fb0eb6c, 32'h3f97a538,32'h3fb95828,// invsqrt(0.5771) = 1.3164 +32'h3e04be96,32'h402e332a,32'h40354f60, 32'h4028de02,32'h403aa488, 32'h401ffabe,32'h404387cc,// invsqrt(0.1296) = 2.7774 +32'h3dadd745,32'h40574696,32'h40600fff, 32'h4050af86,32'h4066a70e, 32'h4045b3c3,32'h4071a2d1,// invsqrt(0.0849) = 3.4323 +32'h4083c4fc,32'h3ef743fc,32'h3f00add4, 32'h3eefb23b,32'h3f0476b5, 32'h3ee314a3,32'h3f0ac580,// invsqrt(4.1178) = 0.4928 +32'h3f26b24b,32'h3f9b7365,32'h3fa1cbb2, 32'h3f96b12a,32'h3fa68dec, 32'h3f8ec2ca,32'h3fae7c4c,// invsqrt(0.6512) = 1.2392 +32'h3e77caf7,32'h3fff0039,32'h4004b45c, 32'h3ff731d8,32'h40089b8c, 32'h3fea2f39,32'h400f1cdc,// invsqrt(0.2420) = 2.0329 +32'h41fb329a,32'h3e33162f,32'h3e3a6575, 32'h3e2d9abb,32'h3e3fe0e9, 32'h3e2477a3,32'h3e490401,// invsqrt(31.3997) = 0.1785 +32'h3e465148,32'h400e8518,32'h40145648, 32'h400a2834,32'h4018b32c, 32'h4002e2b8,32'h401ff8a8,// invsqrt(0.1937) = 2.2723 +32'h3f092a32,32'h3fab5eb5,32'h3fb25d59, 32'h3fa61fba,32'h3fb79c54, 32'h3f9d616d,32'h3fc05aa1,// invsqrt(0.5358) = 1.3662 +32'h3fea7682,32'h3f395e1e,32'h3f40ef06, 32'h3f33b171,32'h3f469bb3, 32'h3f2a3c50,32'h3f5010d4,// invsqrt(1.8317) = 0.7389 +32'h41d57e6a,32'h3e4241fd,32'h3e4a2fc9, 32'h3e3c4fa4,32'h3e502222, 32'h3e326666,32'h3e5a0b60,// invsqrt(26.6867) = 0.1936 +32'h3eb1a73d,32'h3fd4f40d,32'h3fdda532, 32'h3fce6f31,32'h3fe42a0d, 32'h3fc391c2,32'h3fef077c,// invsqrt(0.3470) = 1.6977 +32'h40052c3a,32'h3f2deb66,32'h3f3504ae, 32'h3f289870,32'h3f3a57a4, 32'h3f1fb8d6,32'h3f43373e,// invsqrt(2.0808) = 0.6932 +32'h4045219f,32'h3f0ef2b3,32'h3f14c85c, 32'h3f0a9273,32'h3f19289b, 32'h3f034760,32'h3f2073ae,// invsqrt(3.0802) = 0.5698 +32'h3f122480,32'h3fa605d4,32'h3faccc98, 32'h3fa0f0c0,32'h3fb1e1ac, 32'h3f98784a,32'h3fba5a22,// invsqrt(0.5709) = 1.3235 +32'h3e8401c6,32'h3ff70b06,32'h40009030, 32'h3fef7b04,32'h40045831, 32'h3fe2e054,32'h400aa589,// invsqrt(0.2578) = 1.9694 +32'h3ef244b0,32'h3fb65b6c,32'h3fbdccde, 32'h3fb0c656,32'h3fc361f4, 32'h3fa77888,32'h3fccafc2,// invsqrt(0.4732) = 1.4537 +32'h3d0c8a24,32'h40a94cc5,32'h40b035c7, 32'h40a41e02,32'h40b5648a, 32'h409b7abf,32'h40be07cd,// invsqrt(0.0343) = 5.3986 +32'h3f70b202,32'h3f815dda,32'h3f86a59a, 32'h3f7ad015,32'h3f8a9b6a, 32'h3f6d9cb5,32'h3f913519,// invsqrt(0.9402) = 1.0313 +32'h3eef0e3b,32'h3fb79412,32'h3fbf1246, 32'h3fb1f56a,32'h3fc4b0ee, 32'h3fa897a8,32'h3fce0eb0,// invsqrt(0.4669) = 1.4635 +32'h3e88ea18,32'h3ff29341,32'h3ffc79eb, 32'h3feb2642,32'h4001f375, 32'h3fdec5ed,32'h4008239f,// invsqrt(0.2674) = 1.9338 +32'h400cb041,32'h3f2935d5,32'h3f301de8, 32'h3f2407c6,32'h3f354bf6, 32'h3f1b65ae,32'h3f3dee0e,// invsqrt(2.1983) = 0.6745 +32'h3fe2c2a6,32'h3f3c7d59,32'h3f442edf, 32'h3f36b834,32'h3f49f404, 32'h3f2d1a4c,32'h3f5391ec,// invsqrt(1.7716) = 0.7513 +32'h3f33306b,32'h3f95ef1c,32'h3f9c0dc4, 32'h3f91581e,32'h3fa0a4c2, 32'h3f89b1cb,32'h3fa84b15,// invsqrt(0.7000) = 1.1953 +32'h3e846fc1,32'h3ff6a45d,32'h40005ac3, 32'h3fef177f,32'h40042132, 32'h3fe2820c,32'h400a6beb,// invsqrt(0.2587) = 1.9662 +32'h40068f71,32'h3f2d053f,32'h3f341522, 32'h3f27b954,32'h3f39610c, 32'h3f1ee578,32'h3f4234e8,// invsqrt(2.1025) = 0.6897 +32'h408b6087,32'h3ef06c33,32'h3efa3c5f, 32'h3ee91012,32'h3f00cc40, 32'h3edccbdb,32'h3f06ee5b,// invsqrt(4.3555) = 0.4792 +32'h407c3ec7,32'h3efcbd86,32'h3f038733, 32'h3ef500dd,32'h3f076588, 32'h3ee81bc4,32'h3f0dd814,// invsqrt(3.9413) = 0.5037 +32'h3f11c610,32'h3fa63b92,32'h3fad0489, 32'h3fa124da,32'h3fb21b42, 32'h3f98a9a6,32'h3fba9676,// invsqrt(0.5694) = 1.3252 +32'h3f970350,32'h3f66f976,32'h3f7066e8, 32'h3f5fe760,32'h3f7778fe, 32'h3f541e91,32'h3f81a0e7,// invsqrt(1.1798) = 0.9207 +32'h3f0f6dac,32'h3fa79616,32'h3fae6d30, 32'h3fa274c1,32'h3fb38e85, 32'h3f99e7e0,32'h3fbc1b67,// invsqrt(0.5603) = 1.3360 +32'h3f2e29e9,32'h3f9814f0,32'h3f9e4a09, 32'h3f936d1c,32'h3fa2f1dc, 32'h3f8baabc,32'h3faab43c,// invsqrt(0.6803) = 1.2124 +32'h3e47086d,32'h400e4377,32'h401411f9, 32'h4009e895,32'h40186cdb, 32'h4002a672,32'h401faefe,// invsqrt(0.1944) = 2.2682 +32'h40996fbf,32'h3ee5249e,32'h3eee7eee, 32'h3ede20e2,32'h3ef582aa, 32'h3ed26fff,32'h3f0099c6,// invsqrt(4.7949) = 0.4567 +32'h3df10057,32'h4036d5f9,32'h403e4c6b, 32'h40313d23,32'h4043e541, 32'h4027e913,32'h404d3951,// invsqrt(0.1177) = 2.9151 +32'h4091ca47,32'h3eeb1345,32'h3ef4ab91, 32'h3ee3e10c,32'h3efbddca, 32'h3ed7e2ad,32'h3f03ee14,// invsqrt(4.5559) = 0.4685 +32'h3f9bd15f,32'h3f6362a4,32'h3f6caa96, 32'h3f5c6caf,32'h3f73a08b, 32'h3f50d2c0,32'h3f7f3a7a,// invsqrt(1.2173) = 0.9064 +32'h3fca4d42,32'h3f478f16,32'h3f4fb446, 32'h3f417332,32'h3f55d02a, 32'h3f3744b6,32'h3f5ffea6,// invsqrt(1.5805) = 0.7954 +32'h3fcc88d9,32'h3f46777a,32'h3f4e9141, 32'h3f406426,32'h3f54a496, 32'h3f3643ee,32'h3f5ec4ce,// invsqrt(1.5979) = 0.7911 +32'h3fe23a93,32'h3f3cb600,32'h3f4469d6, 32'h3f36ef1f,32'h3f4a30b7, 32'h3f2d4e54,32'h3f53d183,// invsqrt(1.7674) = 0.7522 +32'h4004d17d,32'h3f2e26c4,32'h3f354278, 32'h3f28d1fc,32'h3f3a9740, 32'h3f1fef5b,32'h3f4379e1,// invsqrt(2.0753) = 0.6942 +32'h42b491e8,32'h3dd339f8,32'h3ddbd912, 32'h3dccc2a5,32'h3de25065, 32'h3dc1fbc4,32'h3ded1746,// invsqrt(90.2850) = 0.1052 +32'h3fcf003c,32'h3f4547e5,32'h3f4d5547, 32'h3f3f3ddb,32'h3f535f51, 32'h3f352d21,32'h3f5d700b,// invsqrt(1.6172) = 0.7864 +32'h3fa7b662,32'h3f5b2c76,32'h3f641e9a, 32'h3f5476db,32'h3f6ad435, 32'h3f49482e,32'h3f7602e2,// invsqrt(1.3103) = 0.8736 +32'h4095c466,32'h3ee7eede,32'h3ef16655, 32'h3ee0d546,32'h3ef87fee, 32'h3ed4fff1,32'h3f022aa2,// invsqrt(4.6802) = 0.4622 +32'h404d8dcf,32'h3f0bfd1f,32'h3f11b3dc, 32'h3f07b410,32'h3f15fcea, 32'h3f008fa4,32'h3f1d2156,// invsqrt(3.2118) = 0.5580 +32'h3ea07288,32'h3fe014b1,32'h3fe93a1b, 32'h3fd938a1,32'h3ff0162b, 32'h3fcdc9dc,32'h3ffb84f0,// invsqrt(0.3134) = 1.7864 +32'h3e4a79b1,32'h400d0c8a,32'h4012ce5b, 32'h4008bb2c,32'h40171fb8, 32'h400188e7,32'h401e51fd,// invsqrt(0.1977) = 2.2489 +32'h3f019bd6,32'h3fb04b68,32'h3fb77d81, 32'h3faae5d5,32'h3fbce313, 32'h3fa1e735,32'h3fc5e1b3,// invsqrt(0.5063) = 1.4054 +32'h415d854a,32'h3e86d970,32'h3e8c5a7a, 32'h3e82b8a9,32'h3e907b41, 32'h3e77aeb9,32'h3e975c8e,// invsqrt(13.8450) = 0.2688 +32'h40402b94,32'h3f10c80e,32'h3f16b0e0, 32'h3f0c5971,32'h3f1b1f7d, 32'h3f04f66b,32'h3f228283,// invsqrt(3.0027) = 0.5771 +32'h3f2c9e5d,32'h3f98c2cb,32'h3f9efefd, 32'h3f9415a5,32'h3fa3ac23, 32'h3f8c4a67,32'h3fab7761,// invsqrt(0.6743) = 1.2178 +32'h3f58fba0,32'h3f88408b,32'h3f8dd03d, 32'h3f8414c5,32'h3f91fc03, 32'h3f7a424e,32'h3f98efa1,// invsqrt(0.8476) = 1.0862 +32'h4098d2b0,32'h3ee59a3f,32'h3eeef95c, 32'h3ede92ea,32'h3ef600b2, 32'h3ed2dc06,32'h3f00dbcb,// invsqrt(4.7757) = 0.4576 +32'h3ef6254c,32'h3fb4ea46,32'h3fbc4ca7, 32'h3faf607e,32'h3fc1d670, 32'h3fa62585,32'h3fcb1169,// invsqrt(0.4808) = 1.4422 +32'h3f4df780,32'h3f8bd92f,32'h3f918e75, 32'h3f87913b,32'h3f95d669, 32'h3f806ea3,32'h3f9cf901,// invsqrt(0.8046) = 1.1149 +32'h3f58e95f,32'h3f884646,32'h3f8dd634, 32'h3f841a54,32'h3f920226, 32'h3f7a4cd4,32'h3f98f610,// invsqrt(0.8473) = 1.0864 +32'h42f2c632,32'h3db62ac2,32'h3dbd9a38, 32'h3db0972a,32'h3dc32dd0, 32'h3da74bd7,32'h3dcc7923,// invsqrt(121.3871) = 0.0908 +32'h3dad02b5,32'h4057caac,32'h40609979, 32'h40512f91,32'h40673493, 32'h40462d10,32'h40723714,// invsqrt(0.0845) = 3.4406 +32'h40b1b6d4,32'h3ed4eab5,32'h3edd9b79, 32'h3ece6623,32'h3ee4200b, 32'h3ec3892e,32'h3eeefd00,// invsqrt(5.5536) = 0.4243 +32'h426131e7,32'h3e05bea8,32'h3e0b3426, 32'h3e01a688,32'h3e0f4c46, 32'h3df5a753,32'h3e161f24,// invsqrt(56.2987) = 0.1333 +32'h3f2bc25f,32'h3f992480,32'h3f9f64ae, 32'h3f94745c,32'h3fa414d2, 32'h3f8ca422,32'h3fabe50c,// invsqrt(0.6709) = 1.2208 +32'h3e665e9e,32'h40043bee,32'h4009a1a4, 32'h40002fa5,32'h400daded, 32'h3ff2e103,32'h40146d10,// invsqrt(0.2250) = 2.1083 +32'h3f92ef22,32'h3f6a288c,32'h3f73b743, 32'h3f62fd82,32'h3f7ae24c, 32'h3f570b1d,32'h3f836a59,// invsqrt(1.1479) = 0.9333 +32'h3f68d9bc,32'h3f83871b,32'h3f88e570, 32'h3f7f00b8,32'h3f8cec30, 32'h3f7194e4,32'h3f93a21a,// invsqrt(0.9096) = 1.0485 +32'h3e707bd8,32'h40016c6b,32'h4006b4c3, 32'h3ffaec52,32'h400aab05, 32'h3fedb776,32'h40114573,// invsqrt(0.2348) = 2.0635 +32'h402c24b0,32'h3f18f8be,32'h3f1f3724, 32'h3f1449f2,32'h3f23e5f0, 32'h3f0c7bf2,32'h3f2bb3f0,// invsqrt(2.6897) = 0.6097 +32'h3fd8434d,32'h3f410295,32'h3f48e358, 32'h3f3b1a04,32'h3f4ecbea, 32'h3f314112,32'h3f58a4dd,// invsqrt(1.6896) = 0.7693 +32'h3f5edea2,32'h3f8670cd,32'h3f8bed92, 32'h3f82533a,32'h3f900b26, 32'h3f76ee8a,32'h3f96e71b,// invsqrt(0.8706) = 1.0718 +32'h3f528b2f,32'h3f8a51ea,32'h3f8ff738, 32'h3f8615f0,32'h3f943332, 32'h3f7e0e9f,32'h3f9b41d3,// invsqrt(0.8224) = 1.1027 +32'h402fe4fe,32'h3f1754ea,32'h3f1d822c, 32'h3f12b2f7,32'h3f22241f, 32'h3f0afa64,32'h3f29dcb2,// invsqrt(2.7484) = 0.6032 +32'h4183e7b8,32'h3e77236b,32'h3e809ce1, 32'h3e6f92a9,32'h3e846542, 32'h3e62f6bb,32'h3e8ab339,// invsqrt(16.4881) = 0.2463 +32'h3f484155,32'h3f8dd426,32'h3f939e1d, 32'h3f897cac,32'h3f97f596, 32'h3f824037,32'h3f9f320b,// invsqrt(0.7822) = 1.1306 +32'h3f9c6856,32'h3f62f4cd,32'h3f6c3843, 32'h3f5c0234,32'h3f732adc, 32'h3f506de1,32'h3f7ebf2f,// invsqrt(1.2219) = 0.9046 +32'h3da810ae,32'h405af18d,32'h4063e14a, 32'h40543dc0,32'h406a9518, 32'h40491214,32'h4075c0c4,// invsqrt(0.0821) = 3.4908 +32'h41d4d530,32'h3e428f28,32'h3e4a801a, 32'h3e3c9a73,32'h3e5074cf, 32'h3e32ad44,32'h3e5a61fe,// invsqrt(26.6041) = 0.1939 +32'h3e5c8ce3,32'h4007254b,32'h400ca96d, 32'h40030231,32'h4010cc87, 32'h3ff83a0c,32'h4017b1b2,// invsqrt(0.2154) = 2.1547 +32'h400ec7f9,32'h3f27f738,32'h3f2ed24a, 32'h3f22d2eb,32'h3f33f697, 32'h3f1a4114,32'h3f3c886e,// invsqrt(2.2310) = 0.6695 +32'h3e924508,32'h3feab08c,32'h3ff444d0, 32'h3fe38158,32'h3ffb7404, 32'h3fd78803,32'h4003b6ac,// invsqrt(0.2857) = 1.8709 +32'h3fbbc8dd,32'h3f4f2109,32'h3f579553, 32'h3f48c9d2,32'h3f5dec8a, 32'h3f3e3876,32'h3f687de6,// invsqrt(1.4671) = 0.8256 +32'h3f049a67,32'h3fae4aed,32'h3fb5681b, 32'h3fa8f50a,32'h3fbabdfe, 32'h3fa01090,32'h3fc3a278,// invsqrt(0.5180) = 1.3895 +32'h403a47e6,32'h3f130d66,32'h3f190df2, 32'h3f0e8cfd,32'h3f1d8e5b, 32'h3f070c4e,32'h3f250f0a,// invsqrt(2.9106) = 0.5861 +32'h3ef86305,32'h3fb418dd,32'h3fbb72b1, 32'h3fae957d,32'h3fc0f611, 32'h3fa56533,32'h3fca265b,// invsqrt(0.4851) = 1.4357 +32'h400407af,32'h3f2eaba9,32'h3f35cccb, 32'h3f2952d1,32'h3f3b25a3, 32'h3f206967,32'h3f440f0d,// invsqrt(2.0630) = 0.6962 +32'h3f9beb5e,32'h3f634faf,32'h3f6c96da, 32'h3f5c5a4d,32'h3f738c3b, 32'h3f50c157,32'h3f7f2531,// invsqrt(1.2181) = 0.9061 +32'h40554532,32'h3f096ed5,32'h3f0f0ade, 32'h3f0539cf,32'h3f133fe5, 32'h3efc6d88,32'h3f1a42f0,// invsqrt(3.3323) = 0.5478 +32'h4022c353,32'h3f1d5163,32'h3f23bd33, 32'h3f188087,32'h3f288e0f, 32'h3f1079c4,32'h3f3094d3,// invsqrt(2.5432) = 0.6271 +32'h408758d1,32'h3ef3f9d0,32'h3efdef1c, 32'h3eec81d6,32'h3f02b38b, 32'h3ee00f37,32'h3f08ecda,// invsqrt(4.2296) = 0.4862 +32'h3fc4d7fa,32'h3f4a4e85,32'h3f52906b, 32'h3f441d18,32'h3f58c1d8, 32'h3f39cab9,32'h3f631437,// invsqrt(1.5378) = 0.8064 +32'h3fb5454f,32'h3f52d158,32'h3f5b6c2c, 32'h3f4c5d38,32'h3f61e04c, 32'h3f419baf,32'h3f6ca1d5,// invsqrt(1.4162) = 0.8403 +32'h4059c107,32'h3f0802bb,32'h3f0d8fe7, 32'h3f03d8da,32'h3f11b9c8, 32'h3ef9d0c5,32'h3f18aa40,// invsqrt(3.4024) = 0.5421 +32'h3f7d9b77,32'h3f7c0f8b,32'h3f832ca9, 32'h3f745835,32'h3f870853, 32'h3f677bfd,32'h3f8d7670,// invsqrt(0.9907) = 1.0047 +32'h3f3dd0a8,32'h3f91ad49,32'h3f979f76, 32'h3f8d37a8,32'h3f9c1518, 32'h3f85c8f0,32'h3fa383d0,// invsqrt(0.7415) = 1.1613 +32'h3d5a4539,32'h4087d984,32'h408d6502, 32'h4083b0e6,32'h40918da0, 32'h40798512,32'h40987bfd,// invsqrt(0.0533) = 4.3319 +32'h3fa3312d,32'h3f5e303e,32'h3f6741e2, 32'h3f576302,32'h3f6e0f1e, 32'h3f4c0cf5,32'h3f79652b,// invsqrt(1.2749) = 0.8856 +32'h3f088d9e,32'h3fabc0d9,32'h3fb2c37e, 32'h3fa67edc,32'h3fb8057a, 32'h3f9dbb8d,32'h3fc0c8c9,// invsqrt(0.5334) = 1.3692 +32'h3ebbf612,32'h3fcf081f,32'h3fd77b65, 32'h3fc8b1ac,32'h3fddd1d8, 32'h3fbe2195,32'h3fe861ef,// invsqrt(0.3671) = 1.6504 +32'h4002988d,32'h3f2fa082,32'h3f36cba2, 32'h3f2a402b,32'h3f3c2bf9, 32'h3f214a43,32'h3f4521e1,// invsqrt(2.0406) = 0.7000 +32'h3fab54eb,32'h3f58d8ab,32'h3f61b27e, 32'h3f52354e,32'h3f6855dc, 32'h3f472506,32'h3f736624,// invsqrt(1.3385) = 0.8643 +32'h3ff29342,32'h3f363de1,32'h3f3dae1f, 32'h3f30a9b3,32'h3f43424d, 32'h3f275d66,32'h3f4c8e9a,// invsqrt(1.8951) = 0.7264 +32'h3fd95666,32'h3f408848,32'h3f48640c, 32'h3f3aa375,32'h3f4e48df, 32'h3f30d0bf,32'h3f581b95,// invsqrt(1.6979) = 0.7674 +32'h3f4c3bd6,32'h3f8c70c3,32'h3f922c39, 32'h3f88242b,32'h3f9678d1, 32'h3f80f9d8,32'h3f9da324,// invsqrt(0.7978) = 1.1196 +32'h3fb196f0,32'h3f54fdd3,32'h3f5daf5e, 32'h3f4e78aa,32'h3f643486, 32'h3f439abc,32'h3f6f1274,// invsqrt(1.3874) = 0.8490 +32'h3f0e2153,32'h3fa85994,32'h3faf38a9, 32'h3fa33243,32'h3fb45ff9, 32'h3f9a9b68,32'h3fbcf6d4,// invsqrt(0.5552) = 1.3421 +32'h3f98ea56,32'h3f65887e,32'h3f6ee6e1, 32'h3f5e81b3,32'h3f75edab, 32'h3f52cbb7,32'h3f80d1d3,// invsqrt(1.1947) = 0.9149 +32'h3f7a65ae,32'h3f7dabd9,32'h3f84033a, 32'h3f75e7e4,32'h3f87e534, 32'h3f68f6a2,32'h3f8e5dd5,// invsqrt(0.9781) = 1.0111 +32'h40099059,32'h3f2b1f08,32'h3f321b12, 32'h3f25e200,32'h3f37581a, 32'h3f1d26f2,32'h3f401328,// invsqrt(2.1494) = 0.6821 +32'h3fe359fc,32'h3f3c3e92,32'h3f43ed88, 32'h3f367b59,32'h3f49b0c1, 32'h3f2ce0a5,32'h3f534b75,// invsqrt(1.7762) = 0.7503 +32'h3f518842,32'h3f8aa746,32'h3f905010, 32'h3f8668af,32'h3f948ea7, 32'h3f7eab67,32'h3f9ba1a2,// invsqrt(0.8185) = 1.1053 +32'h409ba99f,32'h3ee37faa,32'h3eecc8cc, 32'h3edc88d2,32'h3ef3bfa4, 32'h3ed0ed68,32'h3eff5b0e,// invsqrt(4.8645) = 0.4534 +32'h40057a93,32'h3f2db853,32'h3f34cf85, 32'h3f2866ed,32'h3f3a20eb, 32'h3f1f89ee,32'h3f42fdea,// invsqrt(2.0856) = 0.6924 +32'h3f27959a,32'h3f9b09d4,32'h3fa15dd2, 32'h3f964ad5,32'h3fa61cd1, 32'h3f8e61d8,32'h3fae05ce,// invsqrt(0.6546) = 1.2360 +32'h3ed18b6b,32'h3fc4146c,32'h3fcc1541, 32'h3fbe13cb,32'h3fd215e1, 32'h3fb412c1,32'h3fdc16eb,// invsqrt(0.4093) = 1.5631 +32'h3fd36144,32'h3f433a07,32'h3f4b31f2, 32'h3f3d4016,32'h3f512be2, 32'h3f334a30,32'h3f5b21c8,// invsqrt(1.6514) = 0.7782 +32'h3e71b260,32'h4001192c,32'h40065e1e, 32'h3ffa4aed,32'h400a51d4, 32'h3fed1e8f,32'h4010e802,// invsqrt(0.2360) = 2.0583 +32'h3fd95731,32'h3f4087ee,32'h3f4863ae, 32'h3f3aa31d,32'h3f4e487f, 32'h3f30d06d,32'h3f581b2f,// invsqrt(1.6980) = 0.7674 +32'h4035913e,32'h3f14f2e8,32'h3f1b0745, 32'h3f1063a2,32'h3f1f968a, 32'h3f08ca2d,32'h3f272fff,// invsqrt(2.8370) = 0.5937 +32'h3f65e83e,32'h3f845df4,32'h3f89c50e, 32'h3f8050a1,32'h3f8dd261, 32'h3f731f82,32'h3f949341,// invsqrt(0.8981) = 1.0552 +32'h401163fa,32'h3f26739c,32'h3f2d3edc, 32'h3f215b2c,32'h3f32574c, 32'h3f18dd1c,32'h3f3ad55c,// invsqrt(2.2717) = 0.6635 +32'h40237454,32'h3f1cfc1e,32'h3f236473, 32'h3f182ddf,32'h3f2832b3, 32'h3f102b75,32'h3f30351d,// invsqrt(2.5540) = 0.6257 +32'h3fcbb10c,32'h3f46e081,32'h3f4efe90, 32'h3f40c9f4,32'h3f55151c, 32'h3f36a461,32'h3f5f3aaf,// invsqrt(1.5913) = 0.7927 +32'h3ecad305,32'h3fc74d3d,32'h3fcf6fbd, 32'h3fc1335d,32'h3fd5899d, 32'h3fb7083d,32'h3fdfb4bd,// invsqrt(0.3961) = 1.5888 +32'h40355459,32'h3f150be8,32'h3f1b214a, 32'h3f107bde,32'h3f1fb154, 32'h3f08e123,32'h3f274c0f,// invsqrt(2.8333) = 0.5941 +32'h3f4bc0b3,32'h3f8c9b2c,32'h3f92585d, 32'h3f884d48,32'h3f96a642, 32'h3f8120cb,32'h3f9dd2bf,// invsqrt(0.7959) = 1.1209 +32'h3fc75847,32'h3f490896,32'h3f513d2f, 32'h3f42e124,32'h3f5764a2, 32'h3f389f66,32'h3f61a660,// invsqrt(1.5574) = 0.8013 +32'h3f29c670,32'h3f9a08eb,32'h3fa0526d, 32'h3f9551ca,32'h3fa5098e, 32'h3f8d75e8,32'h3face570,// invsqrt(0.6632) = 1.2280 +32'h3c2675fc,32'h411b8f8b,32'h4121e8ff, 32'h4116cc75,32'h4126ac15, 32'h410edca4,32'h412e9be6,// invsqrt(0.0102) = 9.9210 +32'h3fc11e7e,32'h3f4c3f8f,32'h3f5495bf, 32'h3f45feeb,32'h3f5ad663, 32'h3f3b9330,32'h3f65421e,// invsqrt(1.5087) = 0.8141 +32'h3f858756,32'h3f75a1a0,32'h3f7fa838, 32'h3f6e1cad,32'h3f839696, 32'h3f61946e,32'h3f89dab5,// invsqrt(1.0432) = 0.9791 +32'h408fdf84,32'h3eeca2df,32'h3ef64b7b, 32'h3ee5646b,32'h3efd89ef, 32'h3ed951a8,32'h3f04ce59,// invsqrt(4.4960) = 0.4716 +32'h3fccbd9e,32'h3f465de5,32'h3f4e76a0, 32'h3f404b59,32'h3f54892d, 32'h3f362c70,32'h3f5ea817,// invsqrt(1.5995) = 0.7907 +32'h3f2ec0ce,32'h3f97d339,32'h3f9e05a3, 32'h3f932d68,32'h3fa2ab74, 32'h3f8b6e63,32'h3faa6a79,// invsqrt(0.6826) = 1.2103 +32'h407db437,32'h3efc033f,32'h3f032643, 32'h3ef44c4a,32'h3f0701bd, 32'h3ee770b2,32'h3f0d6f89,// invsqrt(3.9641) = 0.5023 +32'h3fcff76b,32'h3f44d284,32'h3f4cdb1c, 32'h3f3ecc12,32'h3f52e18e, 32'h3f34c155,32'h3f5cec4b,// invsqrt(1.6247) = 0.7845 +32'h3cbddb86,32'h40cdfec6,32'h40d66737, 32'h40c7b072,32'h40dcb58c, 32'h40bd2de6,32'h40e73819,// invsqrt(0.0232) = 6.5687 +32'h3c3433cf,32'h4115830c,32'h411b9d4c, 32'h4110ef5d,32'h412030fb, 32'h41094e8e,32'h4127d1ca,// invsqrt(0.0110) = 9.5352 +32'h3ffdde52,32'h3f322463,32'h3f3969cb, 32'h3f2cb056,32'h3f3eddd8, 32'h3f239995,32'h3f47f499,// invsqrt(1.9833) = 0.7101 +32'h400cc761,32'h3f2927ee,32'h3f300f70, 32'h3f23fa4d,32'h3f353d11, 32'h3f1b58ea,32'h3f3dde74,// invsqrt(2.1997) = 0.6743 +32'h3f93f4dc,32'h3f695915,32'h3f72df54, 32'h3f623465,32'h3f7a0403, 32'h3f564c95,32'h3f82f5e9,// invsqrt(1.1559) = 0.9301 +32'h40a44084,32'h3edd786c,32'h3ee6828f, 32'h3ed6b0d0,32'h3eed4a2a, 32'h3ecb6424,32'h3ef896d6,// invsqrt(5.1329) = 0.4414 +32'h3fff4c39,32'h3f31a48d,32'h3f38e4bc, 32'h3f2c3469,32'h3f3e54df, 32'h3f23242d,32'h3f47651b,// invsqrt(1.9945) = 0.7081 +32'h3faf2f18,32'h3f5672ec,32'h3f5f33b2, 32'h3f4fe258,32'h3f65c446, 32'h3f44f161,32'h3f70b53d,// invsqrt(1.3686) = 0.8548 +32'h40579195,32'h3f08b2c6,32'h3f0e4721, 32'h3f048380,32'h3f127666, 32'h3efb141c,32'h3f196fd8,// invsqrt(3.3683) = 0.5449 +32'h3e964294,32'h3fe78d68,32'h3ff100e4, 32'h3fe076ca,32'h3ff81782, 32'h3fd4a66f,32'h4001f3ee,// invsqrt(0.2935) = 1.8459 +32'h3f69208f,32'h3f83731f,32'h3f88d0a3, 32'h3f7ed9f8,32'h3f8cd6c6, 32'h3f71702f,32'h3f938bab,// invsqrt(0.9107) = 1.0479 +32'h410e1e42,32'h3ea85b65,32'h3eaf3a8d, 32'h3ea33406,32'h3eb461ec, 32'h3e9a9d13,32'h3ebcf8df,// invsqrt(8.8824) = 0.3355 +32'h3f19bfca,32'h3fa1dd1f,32'h3fa8786f, 32'h3f9ce8a3,32'h3fad6ceb, 32'h3f94a680,32'h3fb5af0e,// invsqrt(0.6006) = 1.2904 +32'h3f8432b1,32'h3f76dd4d,32'h3f807864, 32'h3f6f4eb1,32'h3f843fb3, 32'h3f62b657,32'h3f8a8be0,// invsqrt(1.0328) = 0.9840 +32'h3d97628a,32'h4066b0c5,32'h40701b3f, 32'h405fa0e8,32'h40772b1c, 32'h4053dbcf,32'h4081781b,// invsqrt(0.0739) = 3.6781 +32'h3dd10f22,32'h40444eac,32'h404c51e2, 32'h403e4c43,32'h4052544b, 32'h40344840,32'h405c584e,// invsqrt(0.1021) = 3.1299 +32'h3eb7e59e,32'h3fd14e98,32'h3fd9d9a4, 32'h3fcae650,32'h3fe041ec, 32'h3fc03881,32'h3feaefbb,// invsqrt(0.3592) = 1.6686 +32'h3fab5105,32'h3f58db23,32'h3f61b50f, 32'h3f5237b1,32'h3f685881, 32'h3f47274a,32'h3f7368e8,// invsqrt(1.3384) = 0.8644 +32'h4038502f,32'h3f13d5ce,32'h3f19de88, 32'h3f0f4f42,32'h3f1e6514, 32'h3f07c45a,32'h3f25effc,// invsqrt(2.8799) = 0.5893 +32'h40a89d44,32'h3eda9634,32'h3ee38236, 32'h3ed3e532,32'h3eea3338, 32'h3ec8be30,32'h3ef55a3a,// invsqrt(5.2692) = 0.4356 +32'h4109b6b7,32'h3eab0730,32'h3eb20242, 32'h3ea5cae3,32'h3eb73e8f, 32'h3e9d110d,32'h3ebff865,// invsqrt(8.6071) = 0.3409 +32'h3e4398fa,32'h400f81e5,32'h40155d67, 32'h400b1d44,32'h4019c208, 32'h4003cae2,32'h4021146a,// invsqrt(0.1910) = 2.2881 +32'h3f325e0e,32'h3f96476c,32'h3f9c69b0, 32'h3f91adba,32'h3fa10362, 32'h3f8a02e6,32'h3fa8ae36,// invsqrt(0.6967) = 1.1980 +32'h3f50962b,32'h3f8af7a5,32'h3f90a3b7, 32'h3f86b698,32'h3f94e4c4, 32'h3f7f3f06,32'h3f9bfbd9,// invsqrt(0.8148) = 1.1078 +32'h43e60aab,32'h3d3b23f7,32'h3d42c765, 32'h3d356965,32'h3d4881f7, 32'h3d2bdd1d,32'h3d520e3f,// invsqrt(460.0833) = 0.0466 +32'h3f1e59bb,32'h3f9f7ea6,32'h3fa60134, 32'h3f9a9cbb,32'h3faae31f, 32'h3f927988,32'h3fb30652,// invsqrt(0.6186) = 1.2715 +32'h3f1b20cf,32'h3fa1248a,32'h3fa7b850, 32'h3f9c35b4,32'h3faca726, 32'h3f93fcfc,32'h3fb4dfde,// invsqrt(0.6060) = 1.2846 +32'h3fb1d502,32'h3f54d8a4,32'h3f5d88aa, 32'h3f4e549f,32'h3f640caf, 32'h3f437896,32'h3f6ee8b8,// invsqrt(1.3893) = 0.8484 +32'h3eefb209,32'h3fb7554d,32'h3fbed0f2, 32'h3fb1b891,32'h3fc46dad, 32'h3fa85e02,32'h3fcdc83c,// invsqrt(0.4682) = 1.4615 +32'h3f85f841,32'h3f753a06,32'h3f7f3c64, 32'h3f6db83f,32'h3f835f15, 32'h3f613549,32'h3f89a090,// invsqrt(1.0466) = 0.9775 +32'h3e867055,32'h3ff4cc69,32'h3ffeca4e, 32'h3fed4dfe,32'h4003245d, 32'h3fe0d0a0,32'h4009630c,// invsqrt(0.2626) = 1.9515 +32'h3f83c797,32'h3f77418a,32'h3f80ac8e, 32'h3f6fafdc,32'h3f847565, 32'h3f631264,32'h3f8ac421,// invsqrt(1.0295) = 0.9856 +32'h403faa69,32'h3f10f8cf,32'h3f16e39e, 32'h3f0c88b4,32'h3f1b53ba, 32'h3f052332,32'h3f22b93c,// invsqrt(2.9948) = 0.5779 +32'h3f19d494,32'h3fa1d22f,32'h3fa86d0d, 32'h3f9cde09,32'h3fad6133, 32'h3f949c75,32'h3fb5a2c7,// invsqrt(0.6009) = 1.2900 +32'h3fcfcb57,32'h3f44e763,32'h3f4cf0d5, 32'h3f3ee04e,32'h3f52f7ea, 32'h3f34d480,32'h3f5d03b8,// invsqrt(1.6234) = 0.7849 +32'h3fc181e6,32'h3f4c0b12,32'h3f545f1e, 32'h3f45cc0a,32'h3f5a9e26, 32'h3f3b62fc,32'h3f650734,// invsqrt(1.5118) = 0.8133 +32'h3fb6e8ba,32'h3f51df18,32'h3f5a700a, 32'h3f4b7263,32'h3f60dcbf, 32'h3f40bd36,32'h3f6b91ec,// invsqrt(1.4290) = 0.8365 +32'h3f0f86c9,32'h3fa7876c,32'h3fae5dee, 32'h3fa2668b,32'h3fb37ecf, 32'h3f99da68,32'h3fbc0af2,// invsqrt(0.5607) = 1.3355 +32'h3f8122ef,32'h3f79c60d,32'h3f81fbf7, 32'h3f7220a4,32'h3f85ceab, 32'h3f65624a,32'h3f8c2dd8,// invsqrt(1.0089) = 0.9956 +32'h40be3c2d,32'h3ecdca6b,32'h3ed630b9, 32'h3ec77db1,32'h3edc7d73, 32'h3ebcfdd0,32'h3ee6fd54,// invsqrt(5.9448) = 0.4101 +32'h4004c70f,32'h3f2e2d9b,32'h3f354997, 32'h3f28d89e,32'h3f3a9e94, 32'h3f1ff5a3,32'h3f43818f,// invsqrt(2.0746) = 0.6943 +32'h40ffdd6a,32'h3eb1721f,32'h3eb8b03f, 32'h3eac0386,32'h3ebe1ed8, 32'h3ea2f5de,32'h3ec72c81,// invsqrt(7.9958) = 0.3536 +32'h3fd19730,32'h3f440eea,32'h3f4c0f86, 32'h3f3e0e75,32'h3f520ffb, 32'h3f340db2,32'h3f5c10be,// invsqrt(1.6374) = 0.7815 +32'h4415f82a,32'h3d23e40f,32'h3d2a948d, 32'h3d1edfb0,32'h3d2f98ec, 32'h3d168313,32'h3d37f589,// invsqrt(599.8776) = 0.0408 +32'h400c3c01,32'h3f297be9,32'h3f3066d9, 32'h3f244bb6,32'h3f35970c, 32'h3f1ba60a,32'h3f3e3cb8,// invsqrt(2.1912) = 0.6756 +32'h3f583f0e,32'h3f887be6,32'h3f8e0e04, 32'h3f844e4f,32'h3f923b9b, 32'h3f7aaf53,32'h3f993241,// invsqrt(0.8447) = 1.0880 +32'h3f4226d7,32'h3f900a6f,32'h3f95eb83, 32'h3f8ba1a0,32'h3f9a5452, 32'h3f844846,32'h3fa1adac,// invsqrt(0.7584) = 1.1483 +32'h40ae0ba8,32'h3ed7262d,32'h3edfee43, 32'h3ed0901c,32'h3ee68454, 32'h3ec595ff,32'h3ef17e71,// invsqrt(5.4389) = 0.4288 +32'h3f28074e,32'h3f9ad556,32'h3fa12730, 32'h3f9617f3,32'h3fa5e493, 32'h3f8e31a3,32'h3fadcae3,// invsqrt(0.6564) = 1.2343 +32'h3f555e1c,32'h3f8966cf,32'h3f8f0283, 32'h3f853207,32'h3f93374b, 32'h3f7c5eca,32'h3f9a39ed,// invsqrt(0.8335) = 1.0954 +32'h3fee4273,32'h3f37e283,32'h3f3f63eb, 32'h3f324174,32'h3f4504fa, 32'h3f28dfb2,32'h3f4e66bd,// invsqrt(1.8614) = 0.7330 +32'h3eeda37f,32'h3fb81ff8,32'h3fbfa3e3, 32'h3fb27d08,32'h3fc546d4, 32'h3fa91823,32'h3fceabb9,// invsqrt(0.4641) = 1.4678 +32'h412e6322,32'h3e97fbfa,32'h3e9e300e, 32'h3e9354ea,32'h3ea2d71e, 32'h3e8b93d0,32'h3eaa9838,// invsqrt(10.8992) = 0.3029 +32'h3f58d8e9,32'h3f884b72,32'h3f8ddb96, 32'h3f841f57,32'h3f9207b1, 32'h3f7a5654,32'h3f98fbde,// invsqrt(0.8471) = 1.0865 +32'h3f8d26f6,32'h3f6ee7f5,32'h3f78a849, 32'h3f6797b7,32'h3f7ff887, 32'h3f5b674f,32'h3f861477,// invsqrt(1.1028) = 0.9523 +32'h3f9b3b84,32'h3f63d04b,32'h3f6d1cb7, 32'h3f5cd6fb,32'h3f741607, 32'h3f513774,32'h3f7fb58e,// invsqrt(1.2128) = 0.9081 +32'h3f283d42,32'h3f9abc81,32'h3fa10d57, 32'h3f95ffe0,32'h3fa5c9f8, 32'h3f8e1ad4,32'h3fadaf04,// invsqrt(0.6572) = 1.2335 +32'h404783fc,32'h3f0e1763,32'h3f13e418, 32'h3f09bdda,32'h3f183da0, 32'h3f027df7,32'h3f1f7d83,// invsqrt(3.1174) = 0.5664 +32'h3ec1df2b,32'h3fcbd9f7,32'h3fd42c01, 32'h3fc59c6f,32'h3fda6989, 32'h3fbb35e3,32'h3fe4d015,// invsqrt(0.3787) = 1.6251 +32'h3fdff004,32'h3f3dac84,32'h3f456a6a, 32'h3f37de17,32'h3f4b38d7, 32'h3f2e30b8,32'h3f54e636,// invsqrt(1.7495) = 0.7560 +32'h3f88d218,32'h3f72a887,32'h3f7c900f, 32'h3f6b3ae1,32'h3f81fedb, 32'h3f5ed977,32'h3f882f90,// invsqrt(1.0689) = 0.9672 +32'h3fc480bf,32'h3f4a7b68,32'h3f52bf23, 32'h3f44489b,32'h3f58f1ef, 32'h3f39f3f1,32'h3f634699,// invsqrt(1.5352) = 0.8071 +32'h416af31f,32'h3e82f05a,32'h3e884888, 32'h3e7ddc70,32'h3e8c4aaa, 32'h3e707fff,32'h3e92f8e3,// invsqrt(14.6844) = 0.2610 +32'h3f3c20c7,32'h3f925420,32'h3f984d1c, 32'h3f8dd963,32'h3f9cc7d9, 32'h3f866228,32'h3fa43f14,// invsqrt(0.7349) = 1.1665 +32'h3ffb2b79,32'h3f3318ba,32'h3f3a681a, 32'h3f2d9d32,32'h3f3fe3a2, 32'h3f2479f9,32'h3f4906db,// invsqrt(1.9623) = 0.7139 +32'h3f763394,32'h3f7fd2db,32'h3f8521fa, 32'h3f77fe09,32'h3f890c63, 32'h3f6af0aa,32'h3f8f9313,// invsqrt(0.9617) = 1.0197 +32'h40219562,32'h3f1de41b,32'h3f2455e8, 32'h3f190ec2,32'h3f292b42, 32'h3f110082,32'h3f313982,// invsqrt(2.5247) = 0.6293 +32'h3f3fcb72,32'h3f90ec53,32'h3f96d69f, 32'h3f8c7c99,32'h3f9b4659, 32'h3f8517ba,32'h3fa2ab38,// invsqrt(0.7492) = 1.1553 +32'h3f22f389,32'h3f9d3a1c,32'h3fa3a4f8, 32'h3f9869f6,32'h3fa8751e, 32'h3f906463,32'h3fb07ab1,// invsqrt(0.6365) = 1.2534 +32'h3fcbaa47,32'h3f46e3cf,32'h3f4f0201, 32'h3f40cd29,32'h3f5518a7, 32'h3f36a76a,32'h3f5f3e66,// invsqrt(1.5911) = 0.7928 +32'h3f0bb9bc,32'h3fa9cad8,32'h3fb0b900, 32'h3fa4983a,32'h3fb5eb9e, 32'h3f9bee88,32'h3fbe9550,// invsqrt(0.5458) = 1.3536 +32'h40057333,32'h3f2dbd20,32'h3f34d484, 32'h3f286b94,32'h3f3a2610, 32'h3f1f8e57,32'h3f43034d,// invsqrt(2.0852) = 0.6925 +32'h3ea610ab,32'h3fdc4211,32'h3fe53f89, 32'h3fd583f6,32'h3febfda4, 32'h3fca471f,32'h3ff73a7b,// invsqrt(0.3243) = 1.7559 +32'h3f790fce,32'h3f7e59b7,32'h3f845db6, 32'h3f769071,32'h3f884259, 32'h3f699650,32'h3f8ebf6a,// invsqrt(0.9729) = 1.0138 +32'h408bc3f2,32'h3ef016a1,32'h3ef9e34f, 32'h3ee8bd1f,32'h3f009e69, 32'h3edc7d46,32'h3f06be55,// invsqrt(4.3677) = 0.4785 +32'h3f8475dd,32'h3f769ead,32'h3f8057cd, 32'h3f6f11fb,32'h3f841e26, 32'h3f627cd3,32'h3f8a68ba,// invsqrt(1.0348) = 0.9830 +32'h3e8a0d2d,32'h3ff192fd,32'h3ffb6f31, 32'h3fea2dd6,32'h40016a2c, 32'h3fddda95,32'h400793cd,// invsqrt(0.2696) = 1.9258 +32'h3f8b4e2f,32'h3f707c06,32'h3f7a4cd8, 32'h3f691f69,32'h3f80d4ba, 32'h3f5cda64,32'h3f86f73d,// invsqrt(1.0883) = 0.9586 +32'h3e79dcb0,32'h3ffdf15a,32'h40042765, 32'h3ff62b44,32'h40080a70, 32'h3fe93677,32'h400e84d7,// invsqrt(0.2440) = 2.0244 +32'h3f839636,32'h3f776fea,32'h3f80c4b1, 32'h3f6fdcd1,32'h3f848e3e, 32'h3f633cfc,32'h3f8ade28,// invsqrt(1.0280) = 0.9863 +32'h3fec66bd,32'h3f389b2a,32'h3f40241c, 32'h3f32f474,32'h3f45cad2, 32'h3f298946,32'h3f4f3600,// invsqrt(1.8469) = 0.7358 +32'h3fed943d,32'h3f3825e2,32'h3f3faa0a, 32'h3f3282c3,32'h3f454d29, 32'h3f291d91,32'h3f4eb25b,// invsqrt(1.8561) = 0.7340 +32'h400c3228,32'h3f2981dd,32'h3f306d0a, 32'h3f24517a,32'h3f359d6c, 32'h3f1bab81,32'h3f3e4365,// invsqrt(2.1906) = 0.6757 +32'h3eaf05fb,32'h3fd68c1b,32'h3fdf4de7, 32'h3fcffac1,32'h3fe5df41, 32'h3fc50881,32'h3ff0d181,// invsqrt(0.3418) = 1.7104 +32'h40285707,32'h3f1ab0a8,32'h3f210103, 32'h3f15f465,32'h3f25bd47, 32'h3f0e0ff4,32'h3f2da1b8,// invsqrt(2.6303) = 0.6166 +32'h3eb69ab5,32'h3fd20be9,32'h3fda9eaf, 32'h3fcb9dd5,32'h3fe10cc3, 32'h3fc0e65e,32'h3febc43a,// invsqrt(0.3566) = 1.6745 +32'h3f9d954e,32'h3f621baa,32'h3f6b5643, 32'h3f5b2fb6,32'h3f724236, 32'h3f4fa677,32'h3f7dcb75,// invsqrt(1.2311) = 0.9013 +32'h4004c818,32'h3f2e2ced,32'h3f3548e2, 32'h3f28d7f6,32'h3f3a9dda, 32'h3f1ff504,32'h3f4380cc,// invsqrt(2.0747) = 0.6943 +32'h3f9e28c8,32'h3f61b226,32'h3f6ae870, 32'h3f5ac96e,32'h3f71d128, 32'h3f4f4590,32'h3f7d5506,// invsqrt(1.2356) = 0.8996 +32'h3e533a8c,32'h400a1874,32'h400fbb69, 32'h4005de3c,32'h4013f5a0, 32'h3ffda513,32'h401b0153,// invsqrt(0.2063) = 2.2018 +32'h3e2386eb,32'h401cf332,32'h40235b2a, 32'h40182538,32'h40282924, 32'h40102343,32'h40302b19,// invsqrt(0.1597) = 2.5024 +32'h3f30cf20,32'h3f96f096,32'h3f9d19c0, 32'h3f9251b6,32'h3fa1b8a0, 32'h3f8a9e40,32'h3fa96c16,// invsqrt(0.6907) = 1.2033 +32'h3f743127,32'h3f806fe2,32'h3f85adec, 32'h3f7902b7,32'h3f899c73, 32'h3f6be7a0,32'h3f9029fe,// invsqrt(0.9539) = 1.0239 +32'h3e6426c2,32'h4004e018,32'h400a4c81, 32'h4000cec8,32'h400e5dd0, 32'h3ff40e89,32'h40152554,// invsqrt(0.2228) = 2.1185 +32'h3f84f65e,32'h3f762763,32'h3f8019b9, 32'h3f6e9e59,32'h3f83de3f, 32'h3f620f47,32'h3f8a25c8,// invsqrt(1.0388) = 0.9812 +32'h405daac4,32'h3f06ce09,32'h3f0c4e9c, 32'h3f02ad9c,32'h3f106f0a, 32'h3ef799c9,32'h3f174fc2,// invsqrt(3.4635) = 0.5373 +32'h3db7e7bd,32'h40514d63,32'h4059d862, 32'h404ae524,32'h406040a2, 32'h40403766,32'h406aee60,// invsqrt(0.0898) = 3.3371 +32'h3ef55394,32'h3fb5378a,32'h3fbc9d12, 32'h3fafab64,32'h3fc22938, 32'h3fa66c79,32'h3fcb6823,// invsqrt(0.4792) = 1.4447 +32'h40363da7,32'h3f14ac62,32'h3f1abdde, 32'h3f101f45,32'h3f1f4afb, 32'h3f08896a,32'h3f26e0d6,// invsqrt(2.8475) = 0.5926 +32'h3fc8da6b,32'h3f4846fb,32'h3f5073ad, 32'h3f422576,32'h3f569532, 32'h3f37ed98,32'h3f60cd10,// invsqrt(1.5692) = 0.7983 +32'h3f479079,32'h3f8e12f0,32'h3f93df78, 32'h3f89b98b,32'h3f9838dd, 32'h3f8279e2,32'h3f9f7886,// invsqrt(0.7795) = 1.1326 +32'h404d0808,32'h3f0c2ac2,32'h3f11e35d, 32'h3f07e04f,32'h3f162dd1, 32'h3f00b98e,32'h3f1d5492,// invsqrt(3.2036) = 0.5587 +32'h401d3e7f,32'h3f200e0a,32'h3f269672, 32'h3f1b27bb,32'h3f2b7cc1, 32'h3f12fd38,32'h3f33a744,// invsqrt(2.4569) = 0.6380 +32'h3e9ab3e0,32'h3fe43414,32'h3fed8492, 32'h3fdd37b5,32'h3ff480f1, 32'h3fd19318,32'h400012c7,// invsqrt(0.3022) = 1.8192 +32'h3f80a39b,32'h3f7a418b,32'h3f823c3b, 32'h3f72985b,32'h3f8610d4, 32'h3f65d3b4,32'h3f8c7327,// invsqrt(1.0050) = 0.9975 +32'h3ff610b6,32'h3f34f1d8,32'h3f3c5488, 32'h3f2f67d4,32'h3f41de8c, 32'h3f262c78,32'h3f4b19e8,// invsqrt(1.9224) = 0.7212 +32'h412e3942,32'h3e980e3d,32'h3e9e4310, 32'h3e93669e,32'h3ea2eaae, 32'h3e8ba495,32'h3eaaacb7,// invsqrt(10.8890) = 0.3030 +32'h3f30164a,32'h3f973fba,32'h3f9d6c1f, 32'h3f929e6d,32'h3fa20d6b, 32'h3f8ae6ee,32'h3fa9c4ea,// invsqrt(0.6878) = 1.2057 +32'h3d56fba8,32'h4088e268,32'h408e78b5, 32'h4084b1ad,32'h4092a96f, 32'h407b6b99,32'h4099a54f,// invsqrt(0.0525) = 4.3649 +32'h3f179caa,32'h3fa30029,32'h3fa9a75a, 32'h3f9e02c5,32'h3faea4bf, 32'h3f95b1c8,32'h3fb6f5bc,// invsqrt(0.5922) = 1.2994 +32'h3f9cef40,32'h3f62932a,32'h3f6bd2a4, 32'h3f5ba38f,32'h3f72c23f, 32'h3f501436,32'h3f7e5198,// invsqrt(1.2261) = 0.9031 +32'h3f652f20,32'h3f84935f,32'h3f89fca6, 32'h3f808468,32'h3f8e0b9c, 32'h3f73819d,32'h3f94cf35,// invsqrt(0.8953) = 1.0569 +32'h4003766c,32'h3f2f0c0f,32'h3f363120, 32'h3f29b044,32'h3f3b8cec, 32'h3f20c1ef,32'h3f447b41,// invsqrt(2.0541) = 0.6977 +32'h3f8f414e,32'h3f6d2567,32'h3f76d356, 32'h3f65e2f3,32'h3f7e15c9, 32'h3f59c988,32'h3f85179a,// invsqrt(1.1192) = 0.9453 +32'h40eb37d6,32'h3eb911e1,32'h3ec09fab, 32'h3eb36789,32'h3ec64a03, 32'h3ea9f64c,32'h3ecfbb40,// invsqrt(7.3506) = 0.3688 +32'h412a83b4,32'h3e99b357,32'h3e9ff95b, 32'h3e94fed5,32'h3ea4addd, 32'h3e8d2750,32'h3eac8562,// invsqrt(10.6572) = 0.3063 +32'h3fb47fd2,32'h3f53448d,32'h3f5be415, 32'h3f4ccce7,32'h3f625bbb, 32'h3f42057c,32'h3f6d2326,// invsqrt(1.4102) = 0.8421 +32'h3f15b741,32'h3fa40792,32'h3faab984, 32'h3f9f021e,32'h3fafbef8, 32'h3f96a3b0,32'h3fb81d66,// invsqrt(0.5848) = 1.3076 +32'h429614d0,32'h3de7b0b3,32'h3df125a1, 32'h3de09902,32'h3df83d52, 32'h3dd4c6d9,32'h3e0207be,// invsqrt(75.0406) = 0.1154 +32'h3f88bc13,32'h3f72bc10,32'h3f7ca464, 32'h3f6b4dd1,32'h3f820952, 32'h3f5eeb67,32'h3f883a86,// invsqrt(1.0682) = 0.9675 +32'h413ab9f1,32'h3e92e077,32'h3e98df2d, 32'h3e8e616e,32'h3e9d5e36, 32'h3e86e30a,32'h3ea4dc9a,// invsqrt(11.6704) = 0.2927 +32'h3eca92c8,32'h3fc76cd4,32'h3fcf909e, 32'h3fc151fd,32'h3fd5ab75, 32'h3fb72540,32'h3fdfd832,// invsqrt(0.3957) = 1.5898 +32'h40c3b6a5,32'h3ecae3d8,32'h3ed32bd7, 32'h3ec4adda,32'h3ed961d6, 32'h3eba53dc,32'h3ee3bbd4,// invsqrt(6.1160) = 0.4044 +32'h3f10768e,32'h3fa6fc2b,32'h3fadccfe, 32'h3fa1df8e,32'h3fb2e99c, 32'h3f995a86,32'h3fbb6ea4,// invsqrt(0.5643) = 1.3312 +32'h3fd1cafd,32'h3f43f6b4,32'h3f4bf653, 32'h3f3df6fd,32'h3f51f60b, 32'h3f33f777,32'h3f5bf591,// invsqrt(1.6390) = 0.7811 +32'h3fbbe30d,32'h3f4f129a,32'h3f57864c, 32'h3f48bbd4,32'h3f5ddd12, 32'h3f3e2b34,32'h3f686db2,// invsqrt(1.4679) = 0.8254 +32'h4018b302,32'h3f226b56,32'h3f290c74, 32'h3f1d7280,32'h3f2e054a, 32'h3f15291b,32'h3f364eaf,// invsqrt(2.3859) = 0.6474 +32'h3e8714b3,32'h3ff4374c,32'h3ffe2f1b, 32'h3fecbd71,32'h4002d47b, 32'h3fe047af,32'h40090f5d,// invsqrt(0.2638) = 1.9469 +32'h40d82918,32'h3ec10e48,32'h3ec8ef85, 32'h3ebb255b,32'h3eced873, 32'h3eb14bd0,32'h3ed8b1fe,// invsqrt(6.7550) = 0.3848 +32'h41f97ada,32'h3e33b3c0,32'h3e3b0974, 32'h3e2e3379,32'h3e4089bb, 32'h3e250857,32'h3e49b4dd,// invsqrt(31.1850) = 0.1791 +32'h3f55d994,32'h3f893f1f,32'h3f8ed935, 32'h3f850b8e,32'h3f930cc6, 32'h3f7c15e5,32'h3f9a0d61,// invsqrt(0.8354) = 1.0941 +32'h40b6d2e0,32'h3ed1eba2,32'h3eda7d17, 32'h3ecb7e8c,32'h3ee0ea2e, 32'h3ec0c8ba,32'h3eeba000,// invsqrt(5.7132) = 0.4184 +32'h3f475cc8,32'h3f8e255a,32'h3f93f2a2, 32'h3f89cb64,32'h3f984c98, 32'h3f828acb,32'h3f9f8d31,// invsqrt(0.7788) = 1.1332 +32'h3f01f4c9,32'h3fb00f08,32'h3fb73eaa, 32'h3faaab4e,32'h3fbca264, 32'h3fa1afc3,32'h3fc59def,// invsqrt(0.5076) = 1.4035 +32'h3fedb07f,32'h3f381aef,32'h3f3f9ea5, 32'h3f327826,32'h3f45416e, 32'h3f291383,32'h3f4ea611,// invsqrt(1.8569) = 0.7338 +32'h3fe6ebce,32'h3f3ac8a7,32'h3f42685b, 32'h3f3510e1,32'h3f482021, 32'h3f2b8941,32'h3f51a7c1,// invsqrt(1.8041) = 0.7445 +32'h3ec1dc84,32'h3fcbdb5c,32'h3fd42d75, 32'h3fc59dca,32'h3fda6b08, 32'h3fbb372b,32'h3fe4d1a7,// invsqrt(0.3786) = 1.6251 +32'h3f919d3b,32'h3f6b379e,32'h3f74d166, 32'h3f640448,32'h3f7c04bc, 32'h3f58040f,32'h3f84027b,// invsqrt(1.1376) = 0.9376 +32'h3fa26a47,32'h3f5eb821,32'h3f67cf51, 32'h3f57e6bd,32'h3f6ea0b5, 32'h3f4c89c0,32'h3f79fdb2,// invsqrt(1.2689) = 0.8878 +32'h3f56e684,32'h3f88e923,32'h3f8e7fb7, 32'h3f84b834,32'h3f92b0a6, 32'h3f7b77f7,32'h3f99acde,// invsqrt(0.8395) = 1.0914 +32'h3e7b8938,32'h3ffd18ad,32'h4003b6a3, 32'h3ff5593a,32'h4007965d, 32'h3fe86f7b,32'h400e0b3d,// invsqrt(0.2456) = 2.0177 +32'h3e5e1a87,32'h4006ac1a,32'h400c2b4a, 32'h40028cb6,32'h40104aae, 32'h3ff75b74,32'h401729aa,// invsqrt(0.2169) = 2.1472 +32'h3e76f7a5,32'h3fff6d3a,32'h4004ed17, 32'h3ff79b85,32'h4008d5f2, 32'h3fea9355,32'h400f5a09,// invsqrt(0.2412) = 2.0362 +32'h405034c4,32'h3f0b1823,32'h3f10c587, 32'h3f06d617,32'h3f150793, 32'h3eff7ab3,32'h3f1c2051,// invsqrt(3.2532) = 0.5544 +32'h3f551734,32'h3f897da9,32'h3f8f1a4d, 32'h3f85482e,32'h3f934fc8, 32'h3f7c88c4,32'h3f9a5394,// invsqrt(0.8324) = 1.0961 +32'h405f3796,32'h3f065601,32'h3f0bd1ad, 32'h3f02393f,32'h3f0fee6f, 32'h3ef6bd50,32'h3f16c906,// invsqrt(3.4878) = 0.5355 +32'h3f5f12f8,32'h3f866107,32'h3f8bdd27, 32'h3f8243ef,32'h3f8ffa3f, 32'h3f76d190,32'h3f96d566,// invsqrt(0.8714) = 1.0713 +32'h40a821d4,32'h3edae663,32'h3ee3d5ab, 32'h3ed432ed,32'h3eea8921, 32'h3ec907d3,32'h3ef5b43b,// invsqrt(5.2541) = 0.4363 +32'h41919b0c,32'h3e6b3962,32'h3e74d33c, 32'h3e6405fe,32'h3e7c06a0, 32'h3e5805ae,32'h3e840378,// invsqrt(18.2007) = 0.2344 +32'h400b43ee,32'h3f2a1299,32'h3f3103af, 32'h3f24ddc9,32'h3f36387f, 32'h3f1c306d,32'h3f3ee5db,// invsqrt(2.1760) = 0.6779 +32'h400d568f,32'h3f28d22b,32'h3f2fb62d, 32'h3f23a72a,32'h3f34e12e, 32'h3f1b0a28,32'h3f3d7e30,// invsqrt(2.2084) = 0.6729 +32'h41148247,32'h3ea4b1dc,32'h3eab6ac0, 32'h3e9fa730,32'h3eb0756c, 32'h3e974013,32'h3eb8dc89,// invsqrt(9.2818) = 0.3282 +32'h40a932ce,32'h3eda3587,32'h3ee31d97, 32'h3ed3877b,32'h3ee9cba3, 32'h3ec86567,32'h3ef4edb7,// invsqrt(5.2875) = 0.4349 +32'h4158f0f1,32'h3e8843e6,32'h3e8dd3bb, 32'h3e841806,32'h3e91ff9a, 32'h3e7a4876,32'h3e98f365,// invsqrt(13.5588) = 0.2716 +32'h3d99bb9c,32'h4064ec0e,32'h406e440e, 32'h405dea0d,32'h4075460f, 32'h40523c0d,32'h40807a08,// invsqrt(0.0751) = 3.6499 +32'h3e7886ba,32'h3ffe9fd3,32'h40048232, 32'h3ff6d467,32'h400867e8, 32'h3fe9d6b3,32'h400ee6c3,// invsqrt(0.2427) = 2.0298 +32'h3e4a1e9d,32'h400d2c4e,32'h4012ef6b, 32'h4008d9f7,32'h401741c1, 32'h4001a613,32'h401e75a5,// invsqrt(0.1974) = 2.2508 +32'h3f088257,32'h3fabc7f1,32'h3fb2cae0, 32'h3fa685bc,32'h3fb80d14, 32'h3f9dc211,32'h3fc0d0bf,// invsqrt(0.5332) = 1.3694 +32'h3f273c3a,32'h3f9b333c,32'h3fa188eb, 32'h3f9672f9,32'h3fa6492f, 32'h3f8e87df,32'h3fae3449,// invsqrt(0.6533) = 1.2372 +32'h3f85ebd1,32'h3f754569,32'h3f7f483e, 32'h3f6dc348,32'h3f83652f, 32'h3f613fbe,32'h3f89a6f4,// invsqrt(1.0463) = 0.9776 +32'h40132201,32'h3f257690,32'h3f2c377c, 32'h3f2065df,32'h3f31482d, 32'h3f17f4b9,32'h3f39b953,// invsqrt(2.2990) = 0.6595 +32'h3fa740c6,32'h3f5b7978,32'h3f646ec0, 32'h3f54c181,32'h3f6b26b7, 32'h3f498ee6,32'h3f765952,// invsqrt(1.3067) = 0.8748 +32'h3fc3b181,32'h3f4ae682,32'h3f532e9d, 32'h3f44b06f,32'h3f5964b1, 32'h3f3a564e,32'h3f63bed2,// invsqrt(1.5289) = 0.8088 +32'h3e361772,32'h4014bbfa,32'h401ace1a, 32'h40102e63,32'h401f5bb1, 32'h400897bc,32'h4026f258,// invsqrt(0.1778) = 2.3714 +32'h3f31e2de,32'h3f967b6c,32'h3f9c9fce, 32'h3f91e022,32'h3fa13b18, 32'h3f8a32a7,32'h3fa8e893,// invsqrt(0.6949) = 1.1996 +32'h3f2198a1,32'h3f9de285,32'h3fa45441, 32'h3f990d38,32'h3fa9298e, 32'h3f90ff0c,32'h3fb137ba,// invsqrt(0.6312) = 1.2586 +32'h3f5ee846,32'h3f866de5,32'h3f8bea8b, 32'h3f825068,32'h3f900808, 32'h3f76e932,32'h3f96e3d7,// invsqrt(0.8707) = 1.0717 +32'h3f733cec,32'h3f80b04d,32'h3f85f0f7, 32'h3f797f9a,32'h3f89e177, 32'h3f6c5df0,32'h3f90724c,// invsqrt(0.9501) = 1.0259 +32'h3d8708fe,32'h407441e2,32'h407e3a20, 32'h406cc7b4,32'h4082da27, 32'h40605167,32'h4089154d,// invsqrt(0.0659) = 3.8944 +32'h3f8d6572,32'h3f6eb326,32'h3f787152, 32'h3f676486,32'h3f7fbff2, 32'h3f5b36d0,32'h3f85f6d4,// invsqrt(1.1047) = 0.9515 +32'h3ec50b6b,32'h3fca341b,32'h3fd274ed, 32'h3fc4037d,32'h3fd8a58b, 32'h3fb9b277,32'h3fe2f691,// invsqrt(0.3849) = 1.6120 +32'h3d3778fb,32'h40942c68,32'h409a38ab, 32'h408fa336,32'h409ec1de, 32'h408813e3,32'h40a65131,// invsqrt(0.0448) = 4.7249 +32'h3f1c87a5,32'h3fa06b6b,32'h3fa6f7a3, 32'h3f9b8240,32'h3fabe0ce, 32'h3f9352fa,32'h3fb41015,// invsqrt(0.6114) = 1.2789 +32'h3f9d30ba,32'h3f6263f4,32'h3f6ba181, 32'h3f5b75cb,32'h3f728fab, 32'h3f4fe8dc,32'h3f7e1c9b,// invsqrt(1.2280) = 0.9024 +32'h3d963a7a,32'h406793a6,32'h40710764, 32'h40607cd8,32'h40781e32, 32'h4054ac2b,32'h4081f770,// invsqrt(0.0734) = 3.6922 +32'h3efdb030,32'h3fb23495,32'h3fb97aa5, 32'h3facc008,32'h3fbeef32, 32'h3fa3a874,32'h3fc806c6,// invsqrt(0.4955) = 1.4206 +32'h3f781195,32'h3f7edbeb,32'h3f84a177, 32'h3f770ea7,32'h3f888819, 32'h3f6a0de1,32'h3f8f087b,// invsqrt(0.9690) = 1.0159 +32'h400bf4f1,32'h3f29a6ea,32'h3f30939b, 32'h3f247566,32'h3f35c520, 32'h3f1bcd89,32'h3f3e6cfd,// invsqrt(2.1868) = 0.6762 +32'h40a4d597,32'h3edd142f,32'h3ee61a3b, 32'h3ed64fa6,32'h3eecdec4, 32'h3ecb0816,32'h3ef82654,// invsqrt(5.1511) = 0.4406 +32'h3be815b1,32'h413a50a2,32'h4141eb6f, 32'h41349c88,32'h41479f88, 32'h412b1b07,32'h41512109,// invsqrt(0.0071) = 11.8823 +32'h4152d43b,32'h3e8a39f2,32'h3e8fde44, 32'h3e85feb3,32'h3e941983, 32'h3e7de297,32'h3e9b26ea,// invsqrt(13.1768) = 0.2755 +32'h3ea6fe8d,32'h3fdba4f8,32'h3fe49c08, 32'h3fd4ebad,32'h3feb5553, 32'h3fc9b6da,32'h3ff68a26,// invsqrt(0.3262) = 1.7510 +32'h40b86f15,32'h3ed10089,32'h3ed98865, 32'h3eca9aa4,32'h3edfee4a, 32'h3ebff0d2,32'h3eea981d,// invsqrt(5.7636) = 0.4165 +32'h40a4eec1,32'h3edd0351,32'h3ee608ad, 32'h3ed63f4c,32'h3eecccb2, 32'h3ecaf899,32'h3ef81365,// invsqrt(5.1541) = 0.4405 +32'h3f1f05ad,32'h3f9f2854,32'h3fa5a75c, 32'h3f9a490d,32'h3faa86a3, 32'h3f922a42,32'h3fb2a56e,// invsqrt(0.6212) = 1.2688 +32'h3f9982e4,32'h3f651654,32'h3f6e700e, 32'h3f5e1308,32'h3f75735a, 32'h3f5262df,32'h3f8091c1,// invsqrt(1.1993) = 0.9131 +32'h40266cc4,32'h3f1b93da,32'h3f21ed7a, 32'h3f16d0a2,32'h3f26b0b2, 32'h3f0ee099,32'h3f2ea0bb,// invsqrt(2.6004) = 0.6201 +32'h40daaaf7,32'h3ebff21f,32'h3ec7c7c2, 32'h3eba11e4,32'h3ecda7fc, 32'h3eb046d8,32'h3ed77308,// invsqrt(6.8334) = 0.3825 +32'h3dcc6b2b,32'h404685e2,32'h404ea03f, 32'h4040721d,32'h4054b405, 32'h40365129,32'h405ed4f9,// invsqrt(0.0998) = 3.1652 +32'h3faf739e,32'h3f564908,32'h3f5f0818, 32'h3f4fb9bc,32'h3f659764, 32'h3f44cae8,32'h3f708638,// invsqrt(1.3707) = 0.8541 +32'h3d65024f,32'h4084a057,32'h408a0a26, 32'h408090fb,32'h408e1981, 32'h40739970,32'h4094ddc4,// invsqrt(0.0559) = 4.2292 +32'h3fb101bb,32'h3f555785,32'h3f5e0cb9, 32'h3f4ecf9d,32'h3f6494a1, 32'h3f43ed1c,32'h3f6f7722,// invsqrt(1.3829) = 0.8504 +32'h4014452c,32'h3f24d3c9,32'h3f2b8e0f, 32'h3f1fc813,32'h3f3099c5, 32'h3f175f3b,32'h3f39029d,// invsqrt(2.3167) = 0.6570 +32'h4099f77a,32'h3ee4bf88,32'h3eee15b7, 32'h3eddbee4,32'h3ef5165a, 32'h3ed21329,32'h3f00610b,// invsqrt(4.8115) = 0.4559 +32'h3f9f6f01,32'h3f60cac9,32'h3f69f7a2, 32'h3f59e926,32'h3f70d944, 32'h3f4e7116,32'h3f7c5154,// invsqrt(1.2456) = 0.8960 +32'h3f69e654,32'h3f833b81,32'h3f8896bf, 32'h3f7e6e23,32'h3f8c9b2e, 32'h3f710a06,32'h3f934d3d,// invsqrt(0.9137) = 1.0462 +32'h3fa37445,32'h3f5e029f,32'h3f671267, 32'h3f5736c9,32'h3f6dde3d, 32'h3f4be310,32'h3f7931f7,// invsqrt(1.2770) = 0.8849 +32'h3f394a23,32'h3f9371f6,32'h3f99769c, 32'h3f8eee79,32'h3f9dfa19, 32'h3f8768a8,32'h3fa57fea,// invsqrt(0.7238) = 1.1754 +32'h3f5303c2,32'h3f8a2a60,32'h3f8fce10, 32'h3f85ef9c,32'h3f9408d4, 32'h3f7dc5fe,32'h3f9b1571,// invsqrt(0.8243) = 1.1014 +32'h3f4e8999,32'h3f8ba7b0,32'h3f915af0, 32'h3f87613f,32'h3f95a161, 32'h3f80412e,32'h3f9cc172,// invsqrt(0.8068) = 1.1133 +32'h3f33cd1a,32'h3f95adba,32'h3f9bc9b7, 32'h3f9118bc,32'h3fa05eb4, 32'h3f8975bf,32'h3fa801b1,// invsqrt(0.7023) = 1.1932 +32'h3f31be83,32'h3f968acf,32'h3f9cafd3, 32'h3f91ef0d,32'h3fa14b95, 32'h3f8a40c9,32'h3fa8f9d9,// invsqrt(0.6943) = 1.2001 +32'h402df90c,32'h3f182a4a,32'h3f1e6042, 32'h3f1381cf,32'h3f2308bd, 32'h3f0bbe59,32'h3f2acc33,// invsqrt(2.7183) = 0.6065 +32'h3f1b15c9,32'h3fa12a44,32'h3fa7be46, 32'h3f9c3b42,32'h3facad48, 32'h3f94023e,32'h3fb4e64c,// invsqrt(0.6058) = 1.2848 +32'h3f816d38,32'h3f797e54,32'h3f81d6a4, 32'h3f71db1d,32'h3f85a83f, 32'h3f65206d,32'h3f8c0598,// invsqrt(1.0111) = 0.9945 +32'h3fa27acd,32'h3f5eacce,32'h3f67c388, 32'h3f57dbc2,32'h3f6e9494, 32'h3f4c7f5a,32'h3f79f0fc,// invsqrt(1.2694) = 0.8876 +32'h3f905a69,32'h3f6c3e0f,32'h3f75e28d, 32'h3f6502b0,32'h3f7d1dec, 32'h3f58f513,32'h3f8495c4,// invsqrt(1.1278) = 0.9417 +32'h3f6b88e7,32'h3f82c6b1,32'h3f881d2b, 32'h3f7d8bab,32'h3f8c1e07, 32'h3f703379,32'h3f92ca1f,// invsqrt(0.9201) = 1.0425 +32'h409e8b0c,32'h3ee16c2a,32'h3eea9f99, 32'h3eda8596,32'h3ef1862c, 32'h3ecf054a,32'h3efd0678,// invsqrt(4.9545) = 0.4493 +32'h3fd20087,32'h3f43ddb8,32'h3f4bdc52, 32'h3f3ddec5,32'h3f51db45, 32'h3f33e084,32'h3f5bd986,// invsqrt(1.6406) = 0.7807 +32'h3fb454b5,32'h3f535dcd,32'h3f5bfe5d, 32'h3f4ce561,32'h3f6276c9, 32'h3f421cac,32'h3f6d3f7e,// invsqrt(1.4088) = 0.8425 +32'h3e50d9ae,32'h400ae12e,32'h40108c54, 32'h4006a0d1,32'h4014ccb1, 32'h3fff15c2,32'h401be2a1,// invsqrt(0.2040) = 2.2143 +32'h3f79df80,32'h3f7defec,32'h3f8426a8, 32'h3f7629e3,32'h3f8809ad, 32'h3f693528,32'h3f8e840a,// invsqrt(0.9761) = 1.0122 +32'h3fbabcb6,32'h3f4fb58c,32'h3f582fe5, 32'h3f4959c8,32'h3f5e8ba8, 32'h3f3ec0d9,32'h3f692497,// invsqrt(1.4589) = 0.8279 +32'h3f9cbc9b,32'h3f62b7c2,32'h3f6bf8ba, 32'h3f5bc708,32'h3f72e974, 32'h3f5035d1,32'h3f7e7aab,// invsqrt(1.2245) = 0.9037 +32'h3e0ca3f7,32'h40293d39,32'h40302599, 32'h40240ef1,32'h403553e1, 32'h401b6c78,32'h403df65a,// invsqrt(0.1373) = 2.6983 +32'h3f9749fb,32'h3f66c37e,32'h3f702ebc, 32'h3f5fb30f,32'h3f773f2b, 32'h3f53ed00,32'h3f81829d,// invsqrt(1.1819) = 0.9198 +32'h3f0c4feb,32'h3fa96fe2,32'h3fb05a53, 32'h3fa4400c,32'h3fb58a28, 32'h3f9b9afe,32'h3fbe2f36,// invsqrt(0.5481) = 1.3507 +32'h3f9c6d6a,32'h3f62f11e,32'h3f6c346e, 32'h3f5bfea2,32'h3f7326ea, 32'h3f506a7f,32'h3f7ebb0d,// invsqrt(1.2221) = 0.9046 +32'h403434fd,32'h3f15828f,32'h3f1b9cc9, 32'h3f10eee3,32'h3f203075, 32'h3f094e1b,32'h3f27d13d,// invsqrt(2.8157) = 0.5959 +32'h3f335ebd,32'h3f95dbbf,32'h3f9bf99d, 32'h3f914558,32'h3fa09004, 32'h3f89a003,32'h3fa83559,// invsqrt(0.7007) = 1.1947 +32'h3f64d945,32'h3f84ac3b,32'h3f8a1686, 32'h3f809c82,32'h3f8e263e, 32'h3f73af46,32'h3f94eb1d,// invsqrt(0.8939) = 1.0577 +32'h427c9022,32'h3dfc94ce,32'h3e037203, 32'h3df4d965,32'h3e074fb8, 32'h3de7f65f,32'h3e0dc13a,// invsqrt(63.1408) = 0.1258 +32'h3f85f648,32'h3f753bd4,32'h3f7f3e46, 32'h3f6dba00,32'h3f83600d, 32'h3f6136f2,32'h3f89a194,// invsqrt(1.0466) = 0.9775 +32'h3b80ab8f,32'h417a39cf,32'h41823835, 32'h417290dc,32'h41860caf, 32'h4165cc9a,32'h418c6ed0,// invsqrt(0.0039) = 15.9583 +32'h3f014c80,32'h3fb08176,32'h3fb7b5c3, 32'h3fab1a3b,32'h3fbd1cfd, 32'h3fa218d9,32'h3fc61e5f,// invsqrt(0.5051) = 1.4071 +32'h3f81dabf,32'h3f791506,32'h3f819fd7, 32'h3f717509,32'h3f856fd6, 32'h3f64bfb7,32'h3f8bca7e,// invsqrt(1.0145) = 0.9928 +32'h3fa89af9,32'h3f5a97b1,32'h3f6383c2, 32'h3f53e6a3,32'h3f6a34cf, 32'h3f48bf8d,32'h3f755be5,// invsqrt(1.3172) = 0.8713 +32'h3edb2d6b,32'h3fbfb8f7,32'h3fc78c45, 32'h3fb9da7c,32'h3fcd6ac0, 32'h3fb0125b,32'h3fd732e1,// invsqrt(0.4281) = 1.5284 +32'h40493254,32'h3f0d7f1b,32'h3f134599, 32'h3f092a3c,32'h3f179a78, 32'h3f01f21e,32'h3f1ed296,// invsqrt(3.1437) = 0.5640 +32'h3f2cfe98,32'h3f989848,32'h3f9ed2be, 32'h3f93ec70,32'h3fa37e96, 32'h3f8c235c,32'h3fab47aa,// invsqrt(0.6758) = 1.2165 +32'h3fb6469c,32'h3f523c58,32'h3f5ad118, 32'h3f4bccc8,32'h3f6140a8, 32'h3f4112d9,32'h3f6bfa97,// invsqrt(1.4240) = 0.8380 +32'h3bd12986,32'h41444249,32'h414c44fd, 32'h413e4041,32'h41524705, 32'h41343ce0,32'h415c4a67,// invsqrt(0.0064) = 12.5165 +32'h3c8ce0a6,32'h40ef238c,32'h40f8e64e, 32'h40e7d17b,32'h41001c30, 32'h40db9e08,32'h410635e9,// invsqrt(0.0172) = 7.6256 +32'h3c8d3a71,32'h40eed77b,32'h40f89721, 32'h40e787bd,32'h40ffe6df, 32'h40db582d,32'h41060b38,// invsqrt(0.0172) = 7.6161 +32'h3ebb1e46,32'h3fcf7f5f,32'h3fd7f782, 32'h3fc92544,32'h3fde519c, 32'h3fbe8f18,32'h3fe8e7c8,// invsqrt(0.3655) = 1.6542 +32'h40323901,32'h3f16570a,32'h3f1c79f1, 32'h3f11bcde,32'h3f21141e, 32'h3f0a113e,32'h3f28bfbe,// invsqrt(2.7847) = 0.5993 +32'h3f5666ec,32'h3f8911da,32'h3f8eaa18, 32'h3f84dfad,32'h3f92dc45, 32'h3f7bc2c0,32'h3f99da92,// invsqrt(0.8375) = 1.0927 +32'h4008319d,32'h3f2bfad2,32'h3f32ffd6, 32'h3f26b710,32'h3f384398, 32'h3f1df0cc,32'h3f4109dc,// invsqrt(2.1280) = 0.6855 +32'h3e4f32cd,32'h400b6e9e,32'h40111f8b, 32'h400729ee,32'h4015643c, 32'h40000cc6,32'h401c8164,// invsqrt(0.2023) = 2.2231 +32'h3f6eed45,32'h3f81d831,32'h3f8724ef, 32'h3f7bbd45,32'h3f8b1e7e, 32'h3f6e7d6a,32'h3f91be6b,// invsqrt(0.9333) = 1.0351 +32'h3f48e5f2,32'h3f8d99ff,32'h3f936196, 32'h3f89444d,32'h3f97b747, 32'h3f820acf,32'h3f9ef0c5,// invsqrt(0.7848) = 1.1288 +32'h3e96ce2b,32'h3fe72225,32'h3ff09141, 32'h3fe00ed0,32'h3ff7a496, 32'h3fd443ee,32'h4001b7bc,// invsqrt(0.2945) = 1.8426 +32'h3e8e83f5,32'h3fedc2bc,32'h3ff77718, 32'h3fe67b78,32'h3ffebe5c, 32'h3fda5a06,32'h40056fe7,// invsqrt(0.2784) = 1.8954 +32'h4152f8d6,32'h3e8a2df3,32'h3e8fd1c9, 32'h3e85f313,32'h3e940ca9, 32'h3e7dcc90,32'h3e9b1974,// invsqrt(13.1858) = 0.2754 +32'h3f7602af,32'h3f7fec46,32'h3f852f34, 32'h3f7816ab,32'h3f891a00, 32'h3f6b0801,32'h3f8fa156,// invsqrt(0.9610) = 1.0201 +32'h4114aa59,32'h3ea49ba9,32'h3eab53a5, 32'h3e9f91ab,32'h3eb05da3, 32'h3e972bb0,32'h3eb8c39e,// invsqrt(9.2916) = 0.3281 +32'h3e8cb040,32'h3fef4cab,32'h3ff9111b, 32'h3fe7f958,32'h40003237, 32'h3fdbc3cc,32'h40064cfd,// invsqrt(0.2748) = 1.9077 +32'h40b92ad4,32'h3ed09679,32'h3ed91a01, 32'h3eca33d4,32'h3edf7ca6, 32'h3ebf8f6a,32'h3eea2110,// invsqrt(5.7865) = 0.4157 +32'h3d89ced2,32'h4071c99f,32'h407ba80d, 32'h406a62cb,32'h40818770, 32'h405e0cc1,32'h4087b276,// invsqrt(0.0673) = 3.8550 +32'h411f89fe,32'h3e9ee646,32'h3ea5629c, 32'h3e9a0905,32'h3eaa3fdd, 32'h3e91ed99,32'h3eb25b49,// invsqrt(9.9712) = 0.3167 +32'h407c5c94,32'h3efcae9a,32'h3f037f6f, 32'h3ef4f266,32'h3f075d89, 32'h3ee80e10,32'h3f0dcfb4,// invsqrt(3.9432) = 0.5036 +32'h3e7966dd,32'h3ffe2d4f,32'h40044699, 32'h3ff66563,32'h40082a8e, 32'h3fe96d86,32'h400ea67d,// invsqrt(0.2436) = 2.0263 +32'h41ece000,32'h3e386be4,32'h3e3ff2e8, 32'h3e32c6a1,32'h3e45982b, 32'h3e295ddc,32'h3e4f00f0,// invsqrt(29.6094) = 0.1838 +32'h3f2c16d6,32'h3f98fee6,32'h3f9f3d8c, 32'h3f944fe9,32'h3fa3ec89, 32'h3f8c819a,32'h3fabbad8,// invsqrt(0.6722) = 1.2197 +32'h4081cdd9,32'h3ef92166,32'h3f01a647, 32'h3ef18107,32'h3f057676, 32'h3ee4cb14,32'h3f0bd170,// invsqrt(4.0564) = 0.4965 +32'h3f658492,32'h3f847aaf,32'h3f89e2f5, 32'h3f806c7b,32'h3f8df129, 32'h3f735447,32'h3f94b381,// invsqrt(0.8966) = 1.0561 +32'h3f109ee0,32'h3fa6e4e3,32'h3fadb4c2, 32'h3fa1c8fb,32'h3fb2d0a9, 32'h3f994523,32'h3fbb5481,// invsqrt(0.5649) = 1.3305 +32'h3e6864cd,32'h4003a82e,32'h400907dc, 32'h3fff40d6,32'h400d0f9f, 32'h3ff1d1a3,32'h4013c739,// invsqrt(0.2269) = 2.0991 +32'h3e9ad9b3,32'h3fe41833,32'h3fed678e, 32'h3fdd1caf,32'h3ff46313, 32'h3fd1797e,32'h40000322,// invsqrt(0.3024) = 1.8184 +32'h408f040e,32'h3eed5829,32'h3ef7082b, 32'h3ee61428,32'h3efe4c2c, 32'h3ed9f826,32'h3f053417,// invsqrt(4.4692) = 0.4730 +32'h3dd8bd7c,32'h4040cc27,32'h4048aab0, 32'h403ae53f,32'h404e9197, 32'h40310f14,32'h405867c3,// invsqrt(0.1058) = 3.0739 +32'h3f10cb6d,32'h3fa6cb34,32'h3fad9a07, 32'h3fa1b015,32'h3fb2b525, 32'h3f992d8d,32'h3fbb37ad,// invsqrt(0.5656) = 1.3297 +32'h4067dfba,32'h3f03cdf0,32'h3f092f29, 32'h3eff8a0c,32'h3f0d3814, 32'h3ef216fe,32'h3f13f19b,// invsqrt(3.6230) = 0.5254 +32'h407ceec0,32'h3efc658c,32'h3f03596a, 32'h3ef4ab94,32'h3f073666, 32'h3ee7caf8,32'h3f0da6b4,// invsqrt(3.9521) = 0.5030 +32'h3ff8eaaf,32'h3f33e7c2,32'h3f3b3f96, 32'h3f2e65e3,32'h3f40c175, 32'h3f25381b,32'h3f49ef3d,// invsqrt(1.9447) = 0.7171 +32'h407c35d4,32'h3efcc202,32'h3f038989, 32'h3ef50536,32'h3f0767ef, 32'h3ee81fe3,32'h3f0dda99,// invsqrt(3.9408) = 0.5037 +32'h3fd19094,32'h3f441202,32'h3f4c12be, 32'h3f3e1175,32'h3f52134b, 32'h3f34108a,32'h3f5c1436,// invsqrt(1.6372) = 0.7815 +32'h3db2ae8c,32'h405456eb,32'h405d01a7, 32'h404dd6df,32'h406381b3, 32'h40430175,32'h406e571d,// invsqrt(0.0872) = 3.3855 +32'h3ee51a2f,32'h3fbb8616,32'h3fc32d84, 32'h3fb5c883,32'h3fc8eb17, 32'h3fac3738,32'h3fd27c62,// invsqrt(0.4475) = 1.4949 +32'h3f5b57e7,32'h3f87845a,32'h3f8d0c5e, 32'h3f835e57,32'h3f913261, 32'h3f78e8a6,32'h3f981c65,// invsqrt(0.8568) = 1.0803 +32'h3fca2d3b,32'h3f479ee4,32'h3f4fc4b9, 32'h3f418284,32'h3f55e118, 32'h3f375339,32'h3f601063,// invsqrt(1.5795) = 0.7957 +32'h3f35cf2b,32'h3f94d988,32'h3f9aecdc, 32'h3f904b09,32'h3f9f7b5b, 32'h3f88b2e0,32'h3fa71384,// invsqrt(0.7102) = 1.1866 +32'h3fa8151f,32'h3f5aeea9,32'h3f63de47, 32'h3f543af2,32'h3f6a91fe, 32'h3f490f6c,32'h3f75bd84,// invsqrt(1.3131) = 0.8727 +32'h3f89e695,32'h3f71b4c9,32'h3f7b925f, 32'h3f6a4e99,32'h3f817c47, 32'h3f5df99f,32'h3f87a6c5,// invsqrt(1.0773) = 0.9634 +32'h3e3e4c65,32'h40117de5,32'h40176e23, 32'h400d09b7,32'h401be251, 32'h40059d6a,32'h40234e9e,// invsqrt(0.1858) = 2.3197 +32'h3d0cc257,32'h40a92af6,32'h40b01297, 32'h40a3fd3c,32'h40b54050, 32'h409b5bb2,32'h40bde1da,// invsqrt(0.0344) = 5.3944 +32'h3fe0753b,32'h3f3d7433,32'h3f452fcd, 32'h3f37a780,32'h3f4afc80, 32'h3f2dfd00,32'h3f54a700,// invsqrt(1.7536) = 0.7552 +32'h3f8c76a7,32'h3f6f7db6,32'h3f794426, 32'h3f6828e2,32'h3f804c7d, 32'h3f5bf0d6,32'h3f866883,// invsqrt(1.0974) = 0.9546 +32'h3f98f854,32'h3f657dfe,32'h3f6edbf4, 32'h3f5e7786,32'h3f75e26c, 32'h3f52c213,32'h3f80cbef,// invsqrt(1.1951) = 0.9147 +32'h3f651306,32'h3f849b80,32'h3f8a051c, 32'h3f808c4a,32'h3f8e1452, 32'h3f73908c,32'h3f94d856,// invsqrt(0.8948) = 1.0571 +32'h3ce23e55,32'h40bcb46f,32'h40c46835, 32'h40b6ed9b,32'h40ca2f09, 32'h40ad4ce3,32'h40d3cfc1,// invsqrt(0.0276) = 6.0174 +32'h3fa1a2ff,32'h3f5f4142,32'h3f685e0c, 32'h3f586bab,32'h3f6f33a3, 32'h3f4d07b0,32'h3f7a979e,// invsqrt(1.2628) = 0.8899 +32'h3fc92492,32'h3f48220d,32'h3f504d3d, 32'h3f4201aa,32'h3f566da0, 32'h3f37cbae,32'h3f60a39c,// invsqrt(1.5714) = 0.7977 +32'h413c9b18,32'h3e9224a6,32'h3e981bb2, 32'h3e8dab5d,32'h3e9c94fb, 32'h3e86368e,32'h3ea409ca,// invsqrt(11.7879) = 0.2913 +32'h40922188,32'h3eeacd0c,32'h3ef4627a, 32'h3ee39cf9,32'h3efb928d, 32'h3ed7a230,32'h3f03c6ab,// invsqrt(4.5666) = 0.4680 +32'h3de82230,32'h403a4b9e,32'h4041e636, 32'h403497ab,32'h40479a29, 32'h402b166c,32'h40511b68,// invsqrt(0.1133) = 2.9703 +32'h423945a9,32'h3e1373be,32'h3e197877, 32'h3e0ef032,32'h3e1dfc02, 32'h3e076a4b,32'h3e2581e9,// invsqrt(46.3180) = 0.1469 +32'h3f4b9bc0,32'h3f8ca7ee,32'h3f9265a4, 32'h3f8859a5,32'h3f96b3ed, 32'h3f812c82,32'h3f9de110,// invsqrt(0.7953) = 1.1213 +32'h3f8757ca,32'h3f73fabd,32'h3f7df013, 32'h3f6c82bc,32'h3f82b40a, 32'h3f601011,32'h3f88ed60,// invsqrt(1.0574) = 0.9725 +32'h3feebf8b,32'h3f37b250,32'h3f3f31c0, 32'h3f3212bb,32'h3f44d155, 32'h3f28b36e,32'h3f4e30a2,// invsqrt(1.8652) = 0.7322 +32'h3f6ac236,32'h3f82fdfd,32'h3f8856b9, 32'h3f7df6e0,32'h3f8c5946, 32'h3f70990a,32'h3f930831,// invsqrt(0.9170) = 1.0443 +32'h3f27bebf,32'h3f9af6cf,32'h3fa14a07, 32'h3f963866,32'h3fa60870, 32'h3f8e5060,32'h3fadf076,// invsqrt(0.6553) = 1.2354 +32'h40252cb7,32'h3f1c2a4c,32'h3f228a10, 32'h3f176278,32'h3f2751e4, 32'h3f0f6ac3,32'h3f2f4999,// invsqrt(2.5809) = 0.6225 +32'h407e5709,32'h3efbb288,32'h3f02fc42, 32'h3ef3fe0c,32'h3f06d680, 32'h3ee72692,32'h3f0d423d,// invsqrt(3.9741) = 0.5016 +32'h400fb72b,32'h3f276b36,32'h3f2e4092, 32'h3f224b32,32'h3f336096, 32'h3f19c080,32'h3f3beb48,// invsqrt(2.2456) = 0.6673 +32'h3f4a12cc,32'h3f8d306e,32'h3f92f3b7, 32'h3f88ddf8,32'h3f97462e, 32'h3f81a9de,32'h3f9e7a48,// invsqrt(0.7893) = 1.1256 +32'h3f32d2d9,32'h3f961651,32'h3f9c3693, 32'h3f917e20,32'h3fa0cec4, 32'h3f89d5cd,32'h3fa87717,// invsqrt(0.6985) = 1.1965 +32'h3eb5f2b7,32'h3fd26cca,32'h3fdb0384, 32'h3fcbfbbf,32'h3fe1748f, 32'h3fc13f56,32'h3fec30f8,// invsqrt(0.3554) = 1.6775 +32'h3e906dd4,32'h3fec2e2d,32'h3ff5d205, 32'h3fe4f34b,32'h3ffd0ce7, 32'h3fd8e67d,32'h40048cdb,// invsqrt(0.2821) = 1.8828 +32'h3f5a276d,32'h3f87e2cb,32'h3f8d6ea9, 32'h3f83b9e4,32'h3f919790, 32'h3f79961c,32'h3f988666,// invsqrt(0.8522) = 1.0833 +32'h40460000,32'h3f0ea256,32'h3f1474b8, 32'h3f0a448d,32'h3f18d281, 32'h3f02fd93,32'h3f20197b,// invsqrt(3.0938) = 0.5685 +32'h3e709d03,32'h4001637f,32'h4006ab79, 32'h3ffadb05,32'h400aa175, 32'h3feda712,32'h40113b6f,// invsqrt(0.2350) = 2.0630 +32'h3d81135d,32'h4079d51d,32'h408203ce, 32'h40722f3e,32'h4085d6bd, 32'h40657020,32'h408c364c,// invsqrt(0.0630) = 3.9833 +32'h3f509ba5,32'h3f8af5d2,32'h3f90a1d1, 32'h3f86b4d4,32'h3f94e2d0, 32'h3f7f3bad,32'h3f9bf9cd,// invsqrt(0.8149) = 1.1078 +32'h4099a13d,32'h3ee4ffb3,32'h3eee5880, 32'h3eddfd18,32'h3ef55b1a, 32'h3ed24e16,32'h3f00850e,// invsqrt(4.8009) = 0.4564 +32'h3f95f6b9,32'h3f67c7f1,32'h3f713dd1, 32'h3f60af89,32'h3f785639, 32'h3f54dc31,32'h3f8214c9,// invsqrt(1.1716) = 0.9239 +32'h40ae2daa,32'h3ed7112b,32'h3edfd867, 32'h3ed07bbf,32'h3ee66dd3, 32'h3ec582b5,32'h3ef166dd,// invsqrt(5.4431) = 0.4286 +32'h3f2e7da4,32'h3f97f06e,32'h3f9e240a, 32'h3f9349b9,32'h3fa2cabf, 32'h3f8b8936,32'h3faa8b42,// invsqrt(0.6816) = 1.2112 +32'h3e77c67d,32'h3fff0286,32'h4004b58f, 32'h3ff73414,32'h40089cc8, 32'h3fea3157,32'h400f1e27,// invsqrt(0.2420) = 2.0329 +32'h40912817,32'h3eeb9674,32'h3ef5341b, 32'h3ee46038,32'h3efc6a58, 32'h3ed85b27,32'h3f0437b4,// invsqrt(4.5361) = 0.4695 +32'h3fb4e64f,32'h3f5308ac,32'h3f5ba5c2, 32'h3f4c92db,32'h3f621b93, 32'h3f41ce7e,32'h3f6cdff0,// invsqrt(1.4133) = 0.8412 +32'h3fa8ec42,32'h3f5a6313,32'h3f634cfe, 32'h3f53b3a1,32'h3f69fc6f, 32'h3f488f3a,32'h3f7520d6,// invsqrt(1.3197) = 0.8705 +32'h403d6c45,32'h3f11d3de,32'h3f17c79e, 32'h3f0d5d0e,32'h3f1c3e6e, 32'h3f05ec5e,32'h3f23af1e,// invsqrt(2.9597) = 0.5813 +32'h3f2fd78d,32'h3f975ab3,32'h3f9d8832, 32'h3f92b893,32'h3fa22a51, 32'h3f8affb3,32'h3fa9e331,// invsqrt(0.6869) = 1.2066 +32'h3f4abc7b,32'h3f8cf54c,32'h3f92b62a, 32'h3f88a4a5,32'h3f9706d1, 32'h3f81738f,32'h3f9e37e7,// invsqrt(0.7919) = 1.1237 +32'h3ed42a59,32'h3fc2dd6d,32'h3fcad191, 32'h3fbce652,32'h3fd0c8ac, 32'h3fb2f526,32'h3fdab9d9,// invsqrt(0.4144) = 1.5535 +32'h3fd9c23a,32'h3f405897,32'h3f483269, 32'h3f3a753a,32'h3f4e15c6, 32'h3f30a4f3,32'h3f57e60d,// invsqrt(1.7012) = 0.7667 +32'h3fab2873,32'h3f58f4d5,32'h3f61cfcf, 32'h3f52509b,32'h3f687409, 32'h3f473ee3,32'h3f7385c1,// invsqrt(1.3372) = 0.8648 +32'h3ec84f82,32'h3fc88c60,32'h3fd0bbe7, 32'h3fc268bc,32'h3fd6df8c, 32'h3fb82d54,32'h3fe11af4,// invsqrt(0.3912) = 1.5988 +32'h4035f849,32'h3f14c8b6,32'h3f1adb5a, 32'h3f103abb,32'h3f1f6955, 32'h3f08a36e,32'h3f2700a2,// invsqrt(2.8433) = 0.5930 +32'h3d27125e,32'h409b46ac,32'h40a19d26, 32'h409685d1,32'h40a65e01, 32'h408e99b8,32'h40ae4a1a,// invsqrt(0.0408) = 4.9514 +32'h3f87b3a0,32'h3f73a821,32'h3f7d9a17, 32'h3f6c32a7,32'h3f8287c8, 32'h3f5fc433,32'h3f88bf03,// invsqrt(1.0602) = 0.9712 +32'h3f80a53f,32'h3f7a3ff3,32'h3f823b67, 32'h3f7296ce,32'h3f860ff9, 32'h3f65d23d,32'h3f8c7242,// invsqrt(1.0050) = 0.9975 +32'h3edf57d6,32'h3fbded18,32'h3fc5ada0, 32'h3fb81cb1,32'h3fcb7e07, 32'h3fae6c06,32'h3fd52eb2,// invsqrt(0.4362) = 1.5141 +32'h400a19be,32'h3f2ac9d3,32'h3f31c263, 32'h3f258f66,32'h3f36fcd0, 32'h3f1cd8b2,32'h3f3fb384,// invsqrt(2.1578) = 0.6808 +32'h3f648c92,32'h3f84c27c,32'h3f8a2db0, 32'h3f80b215,32'h3f8e3e17, 32'h3f73d828,32'h3f950418,// invsqrt(0.8928) = 1.0584 +32'h3f876003,32'h3f73f354,32'h3f7de85c, 32'h3f6c7b8d,32'h3f82b011, 32'h3f600942,32'h3f88e937,// invsqrt(1.0576) = 0.9724 +32'h3f6f93da,32'h3f81ab05,32'h3f86f5eb, 32'h3f7b65b1,32'h3f8aee18, 32'h3f6e2a72,32'h3f918bb7,// invsqrt(0.9358) = 1.0337 +32'h3e903f21,32'h3fec5465,32'h3ff5f9cd, 32'h3fe51858,32'h3ffd35da, 32'h3fd90996,32'h4004a24e,// invsqrt(0.2817) = 1.8840 +32'h3d982b7a,32'h40661843,32'h406f7c85, 32'h405f0d12,32'h407687b6, 32'h40534fc0,32'h40812284,// invsqrt(0.0743) = 3.6686 +32'h3f542e9c,32'h3f89c8f1,32'h3f8f68a7, 32'h3f859128,32'h3f93a070, 32'h3f7d1309,32'h3f9aa814,// invsqrt(0.8288) = 1.0984 +32'h4023316e,32'h3f1d1c48,32'h3f2385ed, 32'h3f184d0d,32'h3f285529, 32'h3f1048ff,32'h3f305937,// invsqrt(2.5499) = 0.6262 +32'h3dab3917,32'h4058ea4a,32'h4061c4d4, 32'h40524661,32'h406868bd, 32'h40473534,32'h407379ea,// invsqrt(0.0836) = 3.4585 +32'h3f3da441,32'h3f91be56,32'h3f97b135, 32'h3f8d482f,32'h3f9c275d, 32'h3f85d899,32'h3fa396f3,// invsqrt(0.7408) = 1.1619 +32'h3f43b20e,32'h3f8f78b3,32'h3f9553d5, 32'h3f8b145a,32'h3f99b82e, 32'h3f83c270,32'h3fa10a18,// invsqrt(0.7644) = 1.1437 +32'h3faf2a60,32'h3f5675d0,32'h3f5f36b4, 32'h3f4fe525,32'h3f65c75f, 32'h3f44f408,32'h3f70b87c,// invsqrt(1.3685) = 0.8548 +32'h3f7178d9,32'h3f81288b,32'h3f866e1e, 32'h3f7a68bb,32'h3f8a624c, 32'h3f6d3acc,32'h3f90f944,// invsqrt(0.9433) = 1.0296 +32'h3f6460c6,32'h3f84cf36,32'h3f8a3af0, 32'h3f80be6c,32'h3f8e4bba, 32'h3f73ef89,32'h3f951262,// invsqrt(0.8921) = 1.0587 +32'h4112ba81,32'h3ea5b0e1,32'h3eac742f, 32'h3ea09e68,32'h3eb186a8, 32'h3e982a47,32'h3eb9fac9,// invsqrt(9.1705) = 0.3302 +32'h3fd41423,32'h3f42e7a1,32'h3f4adc2f, 32'h3f3cf036,32'h3f50d39a, 32'h3f32fe84,32'h3f5ac54c,// invsqrt(1.6569) = 0.7769 +32'h3f9289a9,32'h3f6a7991,32'h3f740b96, 32'h3f634c0c,32'h3f7b391a, 32'h3f575584,32'h3f8397d1,// invsqrt(1.1448) = 0.9346 +32'h408cf242,32'h3eef149c,32'h3ef8d6c2, 32'h3ee7c300,32'h3f00142f, 32'h3edb9051,32'h3f062d87,// invsqrt(4.4046) = 0.4765 +32'h3f4107de,32'h3f90755a,32'h3f965acb, 32'h3f8c0944,32'h3f9ac6e0, 32'h3f84aa77,32'h3fa225ad,// invsqrt(0.7540) = 1.1516 +32'h3ec8e236,32'h3fc84318,32'h3fd06fa1, 32'h3fc221b2,32'h3fd69108, 32'h3fb7ea07,32'h3fe0c8b3,// invsqrt(0.3924) = 1.5965 +32'h40c44690,32'h3eca9968,32'h3ed2de5d, 32'h3ec465b1,32'h3ed91215, 32'h3eba0f80,32'h3ee36847,// invsqrt(6.1336) = 0.4038 +32'h3f8f9b29,32'h3f6cdb2a,32'h3f768612, 32'h3f659afc,32'h3f7dc640, 32'h3f59855b,32'h3f84edf0,// invsqrt(1.1219) = 0.9441 +32'h405081d8,32'h3f0afe6b,32'h3f10aac3, 32'h3f06bd29,32'h3f14ec05, 32'h3eff4b76,32'h3f1c0373,// invsqrt(3.2579) = 0.5540 +32'h3ffe1acf,32'h3f320f2e,32'h3f3953b8, 32'h3f2c9bc7,32'h3f3ec71f, 32'h3f23861b,32'h3f47dccb,// invsqrt(1.9852) = 0.7097 +32'h3fdfa8a0,32'h3f3dcac7,32'h3f4589e9, 32'h3f37fb6d,32'h3f4b5943, 32'h3f2e4c82,32'h3f55082e,// invsqrt(1.7473) = 0.7565 +32'h40154c81,32'h3f24422c,32'h3f2af682, 32'h3f1f3aec,32'h3f2ffdc2, 32'h3f16d982,32'h3f385f2d,// invsqrt(2.3328) = 0.6547 +32'h3e5dd2ea,32'h4006c1d6,32'h400c41e9, 32'h4002a1c7,32'h401061f7, 32'h3ff7835e,32'h4017420f,// invsqrt(0.2166) = 2.1486 +32'h3f9d938c,32'h3f621cec,32'h3f6b5793, 32'h3f5b30f0,32'h3f724390, 32'h3f4fa7a0,32'h3f7dcce0,// invsqrt(1.2311) = 0.9013 +32'h3edfed59,32'h3fbdada5,32'h3fc56b97, 32'h3fb7df30,32'h3fcb3a0c, 32'h3fae31c1,32'h3fd4e77b,// invsqrt(0.4374) = 1.5121 +32'h3f8720d8,32'h3f742c52,32'h3f7e23af, 32'h3f6cb2ce,32'h3f82ce9a, 32'h3f603d9a,32'h3f890934,// invsqrt(1.0557) = 0.9733 +32'h3f679ad9,32'h3f83e188,32'h3f89438e, 32'h3f7fb008,32'h3f8d4d12, 32'h3f723afa,32'h3f940799,// invsqrt(0.9047) = 1.0513 +32'h3f2b3ea4,32'h3f995f5c,32'h3f9fa1f2, 32'h3f94ad6b,32'h3fa453e3, 32'h3f8cda30,32'h3fac271e,// invsqrt(0.6689) = 1.2227 +32'h3f5f322c,32'h3f8657a2,32'h3f8bd360, 32'h3f823ad4,32'h3f8ff02e, 32'h3f76c04f,32'h3f96cadb,// invsqrt(0.8719) = 1.0710 +32'h3e09aa87,32'h402b0ec2,32'h40320a22, 32'h4025d239,32'h403746ab, 32'h401d1800,32'h404000e4,// invsqrt(0.1344) = 2.7273 +32'h3ecd01fb,32'h3fc63ccf,32'h3fce5431, 32'h3fc02b46,32'h3fd465ba, 32'h3fb60e0d,32'h3fde82f3,// invsqrt(0.4004) = 1.5803 +32'h3e86bc69,32'h3ff48743,32'h3ffe8255, 32'h3fed0af5,32'h4002ff52, 32'h3fe0911e,32'h40093c3d,// invsqrt(0.2632) = 1.9494 +32'h3f400b42,32'h3f90d43d,32'h3f96bd8d, 32'h3f8c6540,32'h3f9b2c8a, 32'h3f85019b,32'h3fa2902f,// invsqrt(0.7502) = 1.1546 +32'h3e8b2400,32'h3ff0a078,32'h3ffa72c6, 32'h3fe942bd,32'h4000e840, 32'h3fdcfbdc,32'h40070bb1,// invsqrt(0.2718) = 1.9183 +32'h3f38e718,32'h3f93996e,32'h3f999fb1, 32'h3f8f14bb,32'h3f9e2463, 32'h3f878ce7,32'h3fa5ac37,// invsqrt(0.7223) = 1.1767 +32'h41bb8673,32'h3e4f45b4,32'h3e57bb7c, 32'h3e48ed5d,32'h3e5e13d3, 32'h3e3e5a22,32'h3e68a70e,// invsqrt(23.4406) = 0.2065 +32'h3f859a72,32'h3f75900e,32'h3f7f95f0, 32'h3f6e0ba5,32'h3f838d2c, 32'h3f61844c,32'h3f89d0d9,// invsqrt(1.0438) = 0.9788 +32'h3fb66b22,32'h3f52274b,32'h3f5abb2f, 32'h3f4bb860,32'h3f612a1a, 32'h3f40ff84,32'h3f6be2f6,// invsqrt(1.4251) = 0.8377 +32'h3dbc4aa4,32'h404ed99c,32'h40574afb, 32'h40488494,32'h405da002, 32'h403df6dd,32'h40682db9,// invsqrt(0.0919) = 3.2980 +32'h3fcd367c,32'h3f462372,32'h3f4e39ca, 32'h3f4012b0,32'h3f544a8c, 32'h3f35f6c1,32'h3f5e667b,// invsqrt(1.6032) = 0.7898 +32'h3eb8ebcf,32'h3fd0ba01,32'h3fd93efc, 32'h3fca5645,32'h3fdfa2b9, 32'h3fbfb00c,32'h3fea48f2,// invsqrt(0.3612) = 1.6640 +32'h3e3d2baf,32'h4011ecc1,32'h4017e185, 32'h400d752e,32'h401c5918, 32'h40060339,32'h4023cb0d,// invsqrt(0.1847) = 2.3266 +32'h3efb58b4,32'h3fb3089c,32'h3fba5754, 32'h3fad8d92,32'h3fbfd25e, 32'h3fa46b2c,32'h3fc8f4c4,// invsqrt(0.4909) = 1.4272 +32'h41547f21,32'h3e89aed3,32'h3e8f4d79, 32'h3e8577d7,32'h3e938475, 32'h3e7ce311,32'h3e9a8ac3,// invsqrt(13.2810) = 0.2744 +32'h3e0d2564,32'h4028ef90,32'h402fd4c4, 32'h4023c3a8,32'h403500ac, 32'h401b2526,32'h403d9f2e,// invsqrt(0.1378) = 2.6935 +32'h3dbaad4d,32'h404fbe1e,32'h405838d1, 32'h40496218,32'h405e94d8, 32'h403ec8b9,32'h40692e37,// invsqrt(0.0912) = 3.3122 +32'h4003c560,32'h3f2ed796,32'h3f35fa82, 32'h3f297d65,32'h3f3b54b3, 32'h3f2091be,32'h3f44405a,// invsqrt(2.0589) = 0.6969 +32'h3ee32e7f,32'h3fbc5096,32'h3fc40048, 32'h3fb68cd0,32'h3fc9c40e, 32'h3facf131,32'h3fd35fad,// invsqrt(0.4437) = 1.5012 +32'h3f666596,32'h3f8439ee,32'h3f899f8f, 32'h3f802db4,32'h3f8dabc8, 32'h3f72dd56,32'h3f946ad1,// invsqrt(0.9000) = 1.0541 +32'h3fc6dfd4,32'h3f49456e,32'h3f517c82, 32'h3f431c1f,32'h3f57a5d1, 32'h3f38d746,32'h3f61eaaa,// invsqrt(1.5537) = 0.8023 +32'h3f3533c2,32'h3f95194f,32'h3f9b2f3d, 32'h3f9088dc,32'h3f9fbfb0, 32'h3f88ed72,32'h3fa75b1a,// invsqrt(0.7078) = 1.1886 +32'h3fc98de8,32'h3f47edba,32'h3f5016c6, 32'h3f41cef0,32'h3f563590, 32'h3f379ba0,32'h3f6068e0,// invsqrt(1.5746) = 0.7969 +32'h3fa90e76,32'h3f5a4cfa,32'h3f6335fe, 32'h3f539e36,32'h3f69e4c2, 32'h3f487af0,32'h3f750808,// invsqrt(1.3208) = 0.8701 +32'h3ebba838,32'h3fcf330d,32'h3fd7a813, 32'h3fc8db49,32'h3fddffd7, 32'h3fbe4902,32'h3fe8921e,// invsqrt(0.3665) = 1.6518 +32'h3ff7fe26,32'h3f343d7a,32'h3f3b98ce, 32'h3f2eb8fc,32'h3f411d4c, 32'h3f2586d4,32'h3f4a4f74,// invsqrt(1.9374) = 0.7184 +32'h41036f09,32'h3eaf10fb,32'h3eb6363e, 32'h3ea9b507,32'h3ebb9231, 32'h3ea0c673,32'h3ec480c5,// invsqrt(8.2146) = 0.3489 +32'h3ea59d9c,32'h3fdc8e86,32'h3fe58f1e, 32'h3fd5ce14,32'h3fec4f90, 32'h3fca8d57,32'h3ff7904d,// invsqrt(0.3235) = 1.7583 +32'h3f4f8191,32'h3f8b5425,32'h3f9103fd, 32'h3f871043,32'h3f9547df, 32'h3f7fe8eb,32'h3f9c63ac,// invsqrt(0.8106) = 1.1107 +32'h3f60ebce,32'h3f85d37d,32'h3f8b49d6, 32'h3f81babb,32'h3f8f6299, 32'h3f75cd98,32'h3f963688,// invsqrt(0.8786) = 1.0669 +32'h3fb4d6b8,32'h3f5311c4,32'h3f5baf3a, 32'h3f4c9bac,32'h3f622552, 32'h3f41d6d9,32'h3f6cea25,// invsqrt(1.4128) = 0.8413 +32'h3fc6e63a,32'h3f494231,32'h3f517924, 32'h3f4318fc,32'h3f57a25a, 32'h3f38d44d,32'h3f61e709,// invsqrt(1.5539) = 0.8022 +32'h4036cfd7,32'h3f1470e4,32'h3f1a7ff3, 32'h3f0fe59a,32'h3f1f0b3e, 32'h3f0852c8,32'h3f269e10,// invsqrt(2.8564) = 0.5917 +32'h3f242808,32'h3f9ca61a,32'h3fa30aec, 32'h3f97da7c,32'h3fa7d68a, 32'h3f8fdc76,32'h3fafd490,// invsqrt(0.6412) = 1.2488 +32'h3fd7af30,32'h3f4144d0,32'h3f492846, 32'h3f3b5a37,32'h3f4f12df, 32'h3f317de4,32'h3f58ef33,// invsqrt(1.6850) = 0.7704 +32'h3fac69c7,32'h3f582a4b,32'h3f60fcff, 32'h3f518c43,32'h3f679b07, 32'h3f4684e1,32'h3f72a269,// invsqrt(1.3470) = 0.8616 +32'h4003cb22,32'h3f2ed3c5,32'h3f35f689, 32'h3f2979b2,32'h3f3b509c, 32'h3f208e3d,32'h3f443c11,// invsqrt(2.0593) = 0.6969 +32'h3e24b13f,32'h401c64ca,32'h4022c6f2, 32'h40179b2c,32'h40279090, 32'h400fa07b,32'h402f8b41,// invsqrt(0.1608) = 2.4935 +32'h3f0f101a,32'h3fa7ccdb,32'h3faea633, 32'h3fa2a9da,32'h3fb3c934, 32'h3f9a1a2d,32'h3fbc58e1,// invsqrt(0.5588) = 1.3377 +32'h3f0b8923,32'h3fa9e867,32'h3fb0d7c5, 32'h3fa4b4e2,32'h3fb60b4a, 32'h3f9c09ad,32'h3fbeb67f,// invsqrt(0.5451) = 1.3545 +32'h3eec427f,32'h3fb8a952,32'h3fc032d8, 32'h3fb3022e,32'h3fc5d9fc, 32'h3fa99646,32'h3fcf45e4,// invsqrt(0.4614) = 1.4721 +32'h3feb6052,32'h3f3901f6,32'h3f408f1a, 32'h3f33581b,32'h3f4638f5, 32'h3f29e7ae,32'h3f4fa962,// invsqrt(1.8389) = 0.7374 +32'h3f14d3c2,32'h3fa484c0,32'h3fab3bce, 32'h3f9f7b77,32'h3fb04517, 32'h3f9716a6,32'h3fb8a9e8,// invsqrt(0.5814) = 1.3115 +32'h4248fa0c,32'h3e0d92ea,32'h3e135a37, 32'h3e093d6f,32'h3e17afb1, 32'h3e02044e,32'h3e1ee8d2,// invsqrt(50.2442) = 0.1411 +32'h3ec3b39d,32'h3fcae56b,32'h3fd32d79, 32'h3fc4af60,32'h3fd96384, 32'h3fba554d,32'h3fe3bd97,// invsqrt(0.3822) = 1.6175 +32'h3fb4674a,32'h3f5352ea,32'h3f5bf308, 32'h3f4cdad3,32'h3f626b1f, 32'h3f4212ad,32'h3f6d3345,// invsqrt(1.4094) = 0.8423 +32'h3fa91244,32'h3f5a4a85,32'h3f633371, 32'h3f539bd5,32'h3f69e221, 32'h3f4878af,32'h3f750547,// invsqrt(1.3209) = 0.8701 +32'h3ffe0636,32'h3f321666,32'h3f395b3c, 32'h3f2ca2c7,32'h3f3ecedb, 32'h3f238cbc,32'h3f47e4e6,// invsqrt(1.9846) = 0.7099 +32'h3fffde40,32'h3f3171d4,32'h3f38aff2, 32'h3f2c033e,32'h3f3e1e88, 32'h3f22f599,32'h3f472c2d,// invsqrt(1.9990) = 0.7073 +32'h3eb9cb96,32'h3fd03c29,32'h3fd8bc00, 32'h3fc9dc46,32'h3fdf1be2, 32'h3fbf3c78,32'h3fe9bbb0,// invsqrt(0.3629) = 1.6600 +32'h3f38fd75,32'h3f939082,32'h3f999668, 32'h3f8f0c16,32'h3f9e1ad4, 32'h3f8784b6,32'h3fa5a234,// invsqrt(0.7226) = 1.1764 +32'h3e66715e,32'h4004368c,32'h40099c0a, 32'h40002a6e,32'h400da828, 32'h3ff2d721,32'h40146706,// invsqrt(0.2250) = 2.1080 +32'h406b0641,32'h3f02eb05,32'h3f0842fb, 32'h3efdd21a,32'h3f0c44f3, 32'h3ef07633,32'h3f12f2e6,// invsqrt(3.6723) = 0.5218 +32'h3eeabced,32'h3fb9424e,32'h3fc0d213, 32'h3fb3967b,32'h3fc67de7, 32'h3faa22c6,32'h3fcff19c,// invsqrt(0.4585) = 1.4769 +32'h3f115734,32'h3fa67aec,32'h3fad4678, 32'h3fa16243,32'h3fb25f21, 32'h3f98e3d3,32'h3fbadd91,// invsqrt(0.5677) = 1.3272 +32'h3f0f27a1,32'h3fa7bf11,32'h3fae97d8, 32'h3fa29c7b,32'h3fb3ba6d, 32'h3f9a0d82,32'h3fbc4966,// invsqrt(0.5592) = 1.3373 +32'h3f5abd28,32'h3f87b441,32'h3f8d3e39, 32'h3f838cc7,32'h3f9165b3, 32'h3f7940a1,32'h3f98522a,// invsqrt(0.8544) = 1.0818 +32'h3f594b04,32'h3f8827a5,32'h3f8db652, 32'h3f83fca2,32'h3f91e154, 32'h3f7a1491,32'h3f98d3ae,// invsqrt(0.8488) = 1.0854 +32'h3f129152,32'h3fa5c827,32'h3fac8c67, 32'h3fa0b4f7,32'h3fb19f97, 32'h3f983fa6,32'h3fba14e8,// invsqrt(0.5725) = 1.3216 +32'h3f5d66ca,32'h3f86e2ba,32'h3f8c6424, 32'h3f82c1a9,32'h3f908535, 32'h3f77bfc8,32'h3f9766fa,// invsqrt(0.8648) = 1.0753 +32'h40149e17,32'h3f24a272,32'h3f2b5ab6, 32'h3f1f9840,32'h3f3064e8, 32'h3f1731ec,32'h3f38cb3c,// invsqrt(2.3221) = 0.6562 +32'h3f9fd097,32'h3f60861c,32'h3f69b028, 32'h3f59a694,32'h3f708fb0, 32'h3f4e3205,32'h3f7c043f,// invsqrt(1.2486) = 0.8949 +32'h3fb17512,32'h3f551225,32'h3f5dc484, 32'h3f4e8c5d,32'h3f644a4b, 32'h3f43ad65,32'h3f6f2943,// invsqrt(1.3864) = 0.8493 +32'h3da4a015,32'h405d381a,32'h40663f9e, 32'h40567277,32'h406d0541, 32'h404b2913,32'h40784ea5,// invsqrt(0.0804) = 3.5271 +32'h3ec7cdec,32'h3fc8cd5f,32'h3fd0ff8c, 32'h3fc2a7bc,32'h3fd7252e, 32'h3fb86903,32'h3fe163e7,// invsqrt(0.3902) = 1.6008 +32'h3f518cd8,32'h3f8aa5c2,32'h3f904e7c, 32'h3f866737,32'h3f948d07, 32'h3f7ea89e,32'h3f9b9fef,// invsqrt(0.8186) = 1.1053 +32'h407057d7,32'h3f01761c,32'h3f06beda, 32'h3efaff1d,32'h3f0ab568, 32'h3eedc944,32'h3f115054,// invsqrt(3.7554) = 0.5160 +32'h3f13019c,32'h3fa588ca,32'h3fac4a74, 32'h3fa0778a,32'h3fb15bb4, 32'h3f980576,32'h3fb9cdc9,// invsqrt(0.5742) = 1.3196 +32'h41d18398,32'h3e441815,32'h3e4c1911, 32'h3e3e1758,32'h3e5219ce, 32'h3e34161e,32'h3e5c1b08,// invsqrt(26.1893) = 0.1954 +32'h3f408d60,32'h3f90a345,32'h3f968a97, 32'h3f8c35c8,32'h3f9af814, 32'h3f84d4a3,32'h3fa25939,// invsqrt(0.7522) = 1.1530 +32'h40842be0,32'h3ef6e3aa,32'h3f007bb4, 32'h3eef54dc,32'h3f04431b, 32'h3ee2bc2e,32'h3f0a8f72,// invsqrt(4.1304) = 0.4920 +32'h41439111,32'h3e8f84cc,32'h3e95606c, 32'h3e8b2014,32'h3e99c524, 32'h3e83cd8c,32'h3ea117ac,// invsqrt(12.2229) = 0.2860 +32'h4000375b,32'h3f313fd2,32'h3f387be4, 32'h3f2bd2c3,32'h3f3de8f3, 32'h3f22c7ac,32'h3f46f40b,// invsqrt(2.0034) = 0.7065 +32'h3f924a66,32'h3f6aac3e,32'h3f744055, 32'h3f637d2c,32'h3f7b6f66, 32'h3f57840e,32'h3f83b442,// invsqrt(1.1429) = 0.9354 +32'h3f59330e,32'h3f882f27,32'h3f8dbe23, 32'h3f8403ea,32'h3f91e960, 32'h3f7a225c,32'h3f98dc1c,// invsqrt(0.8484) = 1.0857 +32'h3fe3f127,32'h3f3c001c,32'h3f43ac86, 32'h3f363ecd,32'h3f496dd5, 32'h3f2ca749,32'h3f530559,// invsqrt(1.7808) = 0.7494 +32'h400d3608,32'h3f28e59b,32'h3f2fca68, 32'h3f23ba02,32'h3f34f602, 32'h3f1b1c02,32'h3f3d9402,// invsqrt(2.2064) = 0.6732 +32'h3d3970dd,32'h40936290,32'h40996696, 32'h408edf8c,32'h409de99a, 32'h40875a84,32'h40a56ea2,// invsqrt(0.0453) = 4.6998 +32'h3fa68d8e,32'h3f5bef6d,32'h3f64e987, 32'h3f5533da,32'h3f6ba51a, 32'h3f49fb3b,32'h3f76ddb9,// invsqrt(1.3012) = 0.8767 +32'h3e2bedeb,32'h4019111a,32'h401f507e, 32'h4014618f,32'h40240009, 32'h400c9251,32'h402bcf47,// invsqrt(0.1679) = 2.4405 +32'h3f702e53,32'h3f81814c,32'h3f86ca7e, 32'h3f7b14cd,32'h3f8ac164, 32'h3f6dddcf,32'h3f915ce2,// invsqrt(0.9382) = 1.0324 +32'h3f28a0cb,32'h3f9a8ecf,32'h3fa0ddc7, 32'h3f95d394,32'h3fa59902, 32'h3f8df0dd,32'h3fad7bb9,// invsqrt(0.6587) = 1.2321 +32'h405fd438,32'h3f0626f8,32'h3f0ba0ba, 32'h3f020ba8,32'h3f0fbc0a, 32'h3ef666ed,32'h3f16943c,// invsqrt(3.4973) = 0.5347 +32'h3fed1983,32'h3f385584,32'h3f3fdb9e, 32'h3f32b0f0,32'h3f458032, 32'h3f29494f,32'h3f4ee7d3,// invsqrt(1.8523) = 0.7347 +32'h3df6f2cd,32'h40349ef0,32'h403bfe3e, 32'h402f1776,32'h404185b8, 32'h4025e055,32'h404abcd9,// invsqrt(0.1206) = 2.8798 +32'h413931c5,32'h3e937ba9,32'h3e9980b5, 32'h3e8ef7e0,32'h3e9e047e, 32'h3e877191,32'h3ea58acd,// invsqrt(11.5747) = 0.2939 +32'h3ed4478d,32'h3fc2d005,32'h3fcac39d, 32'h3fbcd953,32'h3fd0ba4f, 32'h3fb2e8d6,32'h3fdaaacc,// invsqrt(0.4146) = 1.5530 +32'h3e370cc5,32'h4014582e,32'h401a663a, 32'h400fcda5,32'h401ef0c3, 32'h40083c15,32'h40268253,// invsqrt(0.1788) = 2.3652 +32'h3f0c56cc,32'h3fa96bba,32'h3fb05601, 32'h3fa43c06,32'h3fb585b6, 32'h3f9b972e,32'h3fbe2a8e,// invsqrt(0.5482) = 1.3506 +32'h3fe626a5,32'h3f3b1897,32'h3f42bb8d, 32'h3f355e5e,32'h3f4875c6, 32'h3f2bd2aa,32'h3f52017a,// invsqrt(1.7981) = 0.7458 +32'h40e07f16,32'h3ebd700a,32'h3ec52b78, 32'h3eb7a377,32'h3ecaf80b, 32'h3eadf92e,32'h3ed4a254,// invsqrt(7.0155) = 0.3775 +32'h3f8aadc6,32'h3f7106f4,32'h3f7add70, 32'h3f69a616,32'h3f811f27, 32'h3f5d59fa,32'h3f874535,// invsqrt(1.0834) = 0.9607 +32'h3f6b3b16,32'h3f82dc51,32'h3f8833ad, 32'h3f7db598,32'h3f8c3532, 32'h3f705b31,32'h3f92e265,// invsqrt(0.9189) = 1.0432 +32'h3e6073e2,32'h4005f739,32'h400b6f07, 32'h4001dd5e,32'h400f88e2, 32'h3ff60f3a,32'h40165ea3,// invsqrt(0.2192) = 2.1359 +32'h3f7739a3,32'h3f7f4b21,32'h3f84db58, 32'h3f777a76,32'h3f88c3ad, 32'h3f6a7404,32'h3f8f46e6,// invsqrt(0.9657) = 1.0176 +32'h3ef4d1ab,32'h3fb56798,32'h3fbccf16, 32'h3fafd9f9,32'h3fc25cb5, 32'h3fa6989b,32'h3fcb9e13,// invsqrt(0.4782) = 1.4461 +32'h3e977b8c,32'h3fe69db9,32'h3ff0076d, 32'h3fdf8e72,32'h3ff716b4, 32'h3fd3ca51,32'h40016d6a,// invsqrt(0.2959) = 1.8385 +32'h3f955883,32'h3f684295,32'h3f71bd77, 32'h3f61266c,32'h3f78d9a0, 32'h3f554cd2,32'h3f82599d,// invsqrt(1.1668) = 0.9258 +32'h413e4c16,32'h3e917e03,32'h3e976e42, 32'h3e8d09d4,32'h3e9be272, 32'h3e859d86,32'h3ea34ec0,// invsqrt(11.8936) = 0.2900 +32'h3f25cde8,32'h3f9bde50,32'h3fa23afa, 32'h3f9718d0,32'h3fa7007a, 32'h3f8f24fb,32'h3faef44f,// invsqrt(0.6477) = 1.2426 +32'h3ecc09b8,32'h3fc6b545,32'h3fced191, 32'h3fc0a00c,32'h3fd4e6ca, 32'h3fb67cad,32'h3fdf0a29,// invsqrt(0.3985) = 1.5841 +32'h3f719f73,32'h3f811e3a,32'h3f866360, 32'h3f7a54b9,32'h3f8a573e, 32'h3f6d27d7,32'h3f90edae,// invsqrt(0.9438) = 1.0293 +32'h40df238c,32'h3ebe0357,32'h3ec5c4c9, 32'h3eb83242,32'h3ecb95de, 32'h3eae8075,32'h3ed547ab,// invsqrt(6.9731) = 0.3787 +32'h409f3b28,32'h3ee0ef5f,32'h3eea1db6, 32'h3eda0c9d,32'h3ef10077, 32'h3ece92af,32'h3efc7a65,// invsqrt(4.9760) = 0.4483 +32'h409aa028,32'h3ee442a1,32'h3eed93b7, 32'h3edd45d0,32'h3ef49088, 32'h3ed1a074,32'h3f001af2,// invsqrt(4.8321) = 0.4549 +32'h3e0fb273,32'h40276df6,32'h402e436e, 32'h40224ddc,32'h40336388, 32'h4019c307,32'h403bee5d,// invsqrt(0.1403) = 2.6695 +32'h3f9fba0f,32'h3f6095f2,32'h3f69c0a3, 32'h3f59b5ed,32'h3f70a0a7, 32'h3f4e408f,32'h3f7c1605,// invsqrt(1.2479) = 0.8952 +32'h3ed56225,32'h3fc24edb,32'h3fca3d2d, 32'h3fbc5c1d,32'h3fd02feb, 32'h3fb27237,32'h3fda19d1,// invsqrt(0.4168) = 1.5490 +32'h3fe8a680,32'h3f3a169d,32'h3f41af0b, 32'h3f346449,32'h3f47615f, 32'h3f2ae5bf,32'h3f50dfe9,// invsqrt(1.8176) = 0.7417 +32'h3f127eb8,32'h3fa5d2ad,32'h3fac975b, 32'h3fa0bf2a,32'h3fb1aade, 32'h3f984950,32'h3fba20b8,// invsqrt(0.5722) = 1.3219 +32'h3f293ff0,32'h3f9a4614,32'h3fa09214, 32'h3f958d13,32'h3fa54b15, 32'h3f8dae12,32'h3fad2a16,// invsqrt(0.6611) = 1.2299 +32'h3ff9555e,32'h3f33c141,32'h3f3b1783, 32'h3f2e4090,32'h3f409834, 32'h3f2514bf,32'h3f49c405,// invsqrt(1.9479) = 0.7165 +32'h3f777cda,32'h3f7f2874,32'h3f84c94c, 32'h3f7758d9,32'h3f88b11a, 32'h3f6a542c,32'h3f8f3370,// invsqrt(0.9667) = 1.0171 +32'h3f1b5733,32'h3fa10851,32'h3fa79af1, 32'h3f9c1a59,32'h3fac88e9, 32'h3f93e311,32'h3fb4c031,// invsqrt(0.6068) = 1.2837 +32'h3f98377c,32'h3f660f2f,32'h3f6f7312, 32'h3f5f0446,32'h3f767dfc, 32'h3f53476a,32'h3f811d6c,// invsqrt(1.1892) = 0.9170 +32'h4096c5e6,32'h3ee7287b,32'h3ef097d9, 32'h3ee014f5,32'h3ef7ab5f, 32'h3ed449bf,32'h3f01bb4a,// invsqrt(4.7117) = 0.4607 +32'h3f4b9175,32'h3f8cab7c,32'h3f926958, 32'h3f885d18,32'h3f96b7bc, 32'h3f812fc6,32'h3f9de50e,// invsqrt(0.7952) = 1.1214 +32'h3d725c44,32'h4080ebe4,32'h40862efe, 32'h4079f324,32'h408a2150, 32'h406ccb65,32'h4090b530,// invsqrt(0.0592) = 4.1110 +32'h3dbfd41a,32'h404cef28,32'h40554c82, 32'h4046a924,32'h405b9286, 32'h403c3473,32'h40660737,// invsqrt(0.0937) = 3.2674 +32'h3ff72ce9,32'h3f3489b4,32'h3f3be823, 32'h3f2f02df,32'h3f416ef7, 32'h3f25ccd4,32'h3f4aa503,// invsqrt(1.9311) = 0.7196 +32'h3ff2bb41,32'h3f362edd,32'h3f3d9e7d, 32'h3f309b24,32'h3f433236, 32'h3f274f9c,32'h3f4c7dbe,// invsqrt(1.8963) = 0.7262 +32'h3fba4a3c,32'h3f4ff554,32'h3f587248, 32'h3f49979d,32'h3f5ecfff, 32'h3f3efb6d,32'h3f696c2f,// invsqrt(1.4554) = 0.8289 +32'h3f8b61f3,32'h3f706af9,32'h3f7a3b18, 32'h3f690ee1,32'h3f80cb97, 32'h3f5ccaba,32'h3f86edab,// invsqrt(1.0889) = 0.9583 +32'h408bc680,32'h3ef01470,32'h3ef9e106, 32'h3ee8bafe,32'h3f009d3c, 32'h3edc7b42,32'h3f06bd1a,// invsqrt(4.3680) = 0.4785 +32'h3f9de052,32'h3f61e5eb,32'h3f6b1e53, 32'h3f5afb9d,32'h3f7208a1, 32'h3f4f751c,32'h3f7d8f22,// invsqrt(1.2334) = 0.9004 +32'h40131700,32'h3f257cc0,32'h3f2c3dec, 32'h3f206bde,32'h3f314ece, 32'h3f17fa67,32'h3f39c045,// invsqrt(2.2983) = 0.6596 +32'h3f0d3d6c,32'h3fa8e130,32'h3fafc5ce, 32'h3fa3b5b9,32'h3fb4f145, 32'h3f9b17f2,32'h3fbd8f0c,// invsqrt(0.5517) = 1.3463 +32'h3f9fb269,32'h3f609b53,32'h3f69c63c, 32'h3f59bb23,32'h3f70a66b, 32'h3f4e4580,32'h3f7c1c0e,// invsqrt(1.2476) = 0.8953 +32'h3f176027,32'h3fa320ba,32'h3fa9c940, 32'h3f9e2257,32'h3faec7a3, 32'h3f95cfb0,32'h3fb71a4a,// invsqrt(0.5913) = 1.3004 +32'h400b9d47,32'h3f29dc25,32'h3f30cb02, 32'h3f24a900,32'h3f35fe28, 32'h3f1bfe6c,32'h3f3ea8bc,// invsqrt(2.1815) = 0.6771 +32'h3f8e2121,32'h3f6e1557,32'h3f77cd12, 32'h3f66cb8c,32'h3f7f16de, 32'h3f5aa5e3,32'h3f859e44,// invsqrt(1.1104) = 0.9490 +32'h3e752cff,32'h40002dda,32'h40056932, 32'h3ff882b2,32'h400955b3, 32'h3feb6e58,32'h400fdfe0,// invsqrt(0.2394) = 2.0437 +32'h3e74cdaf,32'h400046cc,32'h40058328, 32'h3ff8b30e,32'h4009706d, 32'h3feb9c28,32'h400ffbe0,// invsqrt(0.2391) = 2.0452 +32'h3e950277,32'h3fe8859b,32'h3ff20339, 32'h3fe16765,32'h3ff9216f, 32'h3fd58a5f,32'h40027f3a,// invsqrt(0.2910) = 1.8537 +32'h3f73d9ff,32'h3f8086d4,32'h3f85c5ce, 32'h3f792f34,32'h3f89b508, 32'h3f6c11c5,32'h3f9043c0,// invsqrt(0.9525) = 1.0246 +32'h3e80b827,32'h3ffa2d91,32'h400231d6, 32'h3ff284fd,32'h40060620, 32'h3fe5c15c,32'h400c67f1,// invsqrt(0.2514) = 1.9944 +32'h3fdf69e3,32'h3f3de56b,32'h3f45a5a4, 32'h3f381541,32'h3f4b75cf, 32'h3f2e64fa,32'h3f552616,// invsqrt(1.7454) = 0.7569 +32'h3ef4dfd4,32'h3fb56259,32'h3fbcc9a1, 32'h3fafd4e4,32'h3fc25716, 32'h3fa693ca,32'h3fcb9830,// invsqrt(0.4783) = 1.4460 +32'h3f8ec043,32'h3f6d907e,32'h3f7742cd, 32'h3f664ac4,32'h3f7e8888, 32'h3f5a2be2,32'h3f8553b5,// invsqrt(1.1152) = 0.9469 +32'h41b39e36,32'h3e53c912,32'h3e5c6e04, 32'h3e4d4d5e,32'h3e62e9b8, 32'h3e427f30,32'h3e6db7e6,// invsqrt(22.4523) = 0.2110 +32'h3f157822,32'h3fa42a31,32'h3faadd8c, 32'h3f9f23ad,32'h3fafe411, 32'h3f96c37c,32'h3fb84442,// invsqrt(0.5839) = 1.3087 +32'h3fa7822c,32'h3f5b4e9c,32'h3f644224, 32'h3f5497f5,32'h3f6af8cb, 32'h3f49678a,32'h3f762936,// invsqrt(1.3087) = 0.8742 +32'h3fea2c70,32'h3f397b6d,32'h3f410d87, 32'h3f33cdda,32'h3f46bb1a, 32'h3f2a573b,32'h3f5031b9,// invsqrt(1.8295) = 0.7393 +32'h3fb5e94b,32'h3f52723d,32'h3f5b0930, 32'h3f4c0107,32'h3f617a67, 32'h3f414458,32'h3f6c3716,// invsqrt(1.4212) = 0.8388 +32'h3dee4e5a,32'h4037ddeb,32'h403f5f23, 32'h40323d00,32'h4045000e, 32'h4028db7a,32'h404e6195,// invsqrt(0.1164) = 2.9316 +32'h3ebb96ab,32'h3fcf3cbe,32'h3fd7b228, 32'h3fc8e4ad,32'h3fde0a39, 32'h3fbe51e8,32'h3fe89cff,// invsqrt(0.3664) = 1.6521 +32'h3e411ce7,32'h40106d7b,32'h4016529b, 32'h400c01a4,32'h401abe72, 32'h4004a33d,32'h40221cd9,// invsqrt(0.1886) = 2.3027 +32'h3f234fb2,32'h3f9d0db9,32'h3fa376c5, 32'h3f983eef,32'h3fa8458f, 32'h3f903b9f,32'h3fb048df,// invsqrt(0.6379) = 1.2520 +32'h3fe2ac1f,32'h3f3c86b6,32'h3f44389e, 32'h3f36c148,32'h3f49fe0c, 32'h3f2d22e6,32'h3f539c6e,// invsqrt(1.7709) = 0.7515 +32'h3eca8a7a,32'h3fc770eb,32'h3fcf94df, 32'h3fc155f3,32'h3fd5afd7, 32'h3fb72901,32'h3fdfdcc9,// invsqrt(0.3956) = 1.5899 +32'h40325c74,32'h3f164819,32'h3f1c6a63, 32'h3f11ae61,32'h3f21041b, 32'h3f0a0385,32'h3f28aef7,// invsqrt(2.7869) = 0.5990 +32'h3f4ffc35,32'h3f8b2b0b,32'h3f90d935, 32'h3f86e86b,32'h3f951bd5, 32'h3f7f9d6d,32'h3f9c358a,// invsqrt(0.8124) = 1.1094 +32'h3f4ec82e,32'h3f8b928c,32'h3f9144f0, 32'h3f874cc1,32'h3f958abb, 32'h3f802dc5,32'h3f9ca9b7,// invsqrt(0.8077) = 1.1127 +32'h3f4b9577,32'h3f8caa1a,32'h3f9267e6, 32'h3f885bc0,32'h3f96b640, 32'h3f812e80,32'h3f9de380,// invsqrt(0.7952) = 1.1214 +32'h3f9ac932,32'h3f64245c,32'h3f6d7436, 32'h3f5d2879,32'h3f747019, 32'h3f5184a8,32'h3f8009f5,// invsqrt(1.2093) = 0.9094 +32'h3f077aea,32'h3fac6ea1,32'h3fb3785f, 32'h3fa72753,32'h3fb8bfad, 32'h3f9e5b26,32'h3fc18bda,// invsqrt(0.5292) = 1.3746 +32'h4081d08d,32'h3ef91ece,32'h3f01a4ee, 32'h3ef17e84,32'h3f057513, 32'h3ee4c8b3,32'h3f0bcffc,// invsqrt(4.0567) = 0.4965 +32'h3f33d2b2,32'h3f95ab66,32'h3f9bc74a, 32'h3f91167a,32'h3fa05c36, 32'h3f89739c,32'h3fa7ff14,// invsqrt(0.7024) = 1.1932 +32'h3f00a0eb,32'h3fb0f708,32'h3fb83022, 32'h3fab8c34,32'h3fbd9af6, 32'h3fa284d3,32'h3fc6a257,// invsqrt(0.5025) = 1.4108 +32'h403377f2,32'h3f15d138,32'h3f1beea8, 32'h3f113b24,32'h3f2084bc, 32'h3f099658,32'h3f282988,// invsqrt(2.8042) = 0.5972 +32'h3f02adba,32'h3faf9247,32'h3fb6bcd1, 32'h3faa325f,32'h3fbc1cb9, 32'h3fa13d31,32'h3fc511e7,// invsqrt(0.5105) = 1.3996 +32'h3f8d2b2c,32'h3f6ee465,32'h3f78a493, 32'h3f679443,32'h3f7ff4b5, 32'h3f5b6409,32'h3f861277,// invsqrt(1.1029) = 0.9522 +32'h3f5a7998,32'h3f87c93b,32'h3f8d540f, 32'h3f83a11d,32'h3f917c2d, 32'h3f796729,32'h3f9869b6,// invsqrt(0.8534) = 1.0825 +32'h3e948361,32'h3fe8e903,32'h3ff26aaf, 32'h3fe1c7c1,32'h3ff98bf1, 32'h3fd5e5aa,32'h4002b704,// invsqrt(0.2901) = 1.8567 +32'h403a6606,32'h3f130183,32'h3f190193, 32'h3f0e8177,32'h3f1d819f, 32'h3f070164,32'h3f2501b2,// invsqrt(2.9125) = 0.5860 +32'h3e633ea0,32'h400523e4,32'h400a9312, 32'h40011082,32'h400ea674, 32'h3ff48b11,32'h4015716e,// invsqrt(0.2219) = 2.1228 +32'h3f1a30f0,32'h3fa1a1b1,32'h3fa83a93, 32'h3f9caf07,32'h3fad2d3d, 32'h3f946feb,32'h3fb56c59,// invsqrt(0.6023) = 1.2885 +32'h3e896a2f,32'h3ff22218,32'h3ffc0424, 32'h3feab890,32'h4001b6d6, 32'h3fde5e01,32'h4007e41d,// invsqrt(0.2684) = 1.9303 +32'h4275ec00,32'h3dfff813,32'h3e053558, 32'h3df8221d,32'h3e092053, 32'h3deb12d8,32'h3e0fa7f6,// invsqrt(61.4805) = 0.1275 +32'h3fb86ca7,32'h3f5101ea,32'h3f5989d4, 32'h3f4a9bfa,32'h3f5fefc4, 32'h3f3ff216,32'h3f6a99a9,// invsqrt(1.4408) = 0.8331 +32'h3f53a980,32'h3f89f43d,32'h3f8f95b7, 32'h3f85bb21,32'h3f93ced3, 32'h3f7d628f,32'h3f9ad8ad,// invsqrt(0.8268) = 1.0998 +32'h3f0553d4,32'h3fadd18f,32'h3fb4e9ca, 32'h3fa87f64,32'h3fba3bf6, 32'h3f9fa11c,32'h3fc31a3e,// invsqrt(0.5208) = 1.3857 +32'h3f10e3ea,32'h3fa6bd1b,32'h3fad8b5b, 32'h3fa1a26b,32'h3fb2a60b, 32'h3f99209c,32'h3fbb27db,// invsqrt(0.5660) = 1.3292 +32'h3ebc78d9,32'h3fcec03f,32'h3fd73095, 32'h3fc86bfe,32'h3fdd84d6, 32'h3fbddf93,32'h3fe81141,// invsqrt(0.3681) = 1.6482 +32'h3ef1b4ee,32'h3fb6919f,32'h3fbe0547, 32'h3fb0fae0,32'h3fc39c06, 32'h3fa7aa4e,32'h3fccec98,// invsqrt(0.4721) = 1.4554 +32'h3f19d1f9,32'h3fa1d38e,32'h3fa86e7a, 32'h3f9cdf5d,32'h3fad62ab, 32'h3f949db7,32'h3fb5a451,// invsqrt(0.6009) = 1.2901 +32'h3f1cc322,32'h3fa04cf8,32'h3fa6d7f2, 32'h3f9b64bc,32'h3fabc02e, 32'h3f933703,32'h3fb3ede7,// invsqrt(0.6124) = 1.2779 +32'h41d27a7e,32'h3e43a4f0,32'h3e4ba138, 32'h3e3da7b9,32'h3e519e6f, 32'h3e33ac5f,32'h3e5b99c9,// invsqrt(26.3098) = 0.1950 +32'h3f8b53e2,32'h3f70771b,32'h3f7a47b9, 32'h3f691aa5,32'h3f80d218, 32'h3f5cd5df,32'h3f86f47a,// invsqrt(1.0885) = 0.9585 +32'h3e94464e,32'h3fe918f5,32'h3ff29c97, 32'h3fe1f63c,32'h3ff9bf50, 32'h3fd611b2,32'h4002d1ed,// invsqrt(0.2896) = 1.8582 +32'h40b02cb2,32'h3ed5d85b,32'h3ede92d2, 32'h3ecf4c83,32'h3ee51eab, 32'h3ec4636e,32'h3ef007c0,// invsqrt(5.5055) = 0.4262 +32'h3fbf10a0,32'h3f4d57e2,32'h3f55b982, 32'h3f470ea9,32'h3f5c02bb, 32'h3f3c94a0,32'h3f667cc4,// invsqrt(1.4927) = 0.8185 +32'h3fd74587,32'h3f417438,32'h3f49599e, 32'h3f3b882c,32'h3f4f45aa, 32'h3f31a96d,32'h3f592469,// invsqrt(1.6818) = 0.7711 +32'h3f9c1a29,32'h3f632d9a,32'h3f6c7362, 32'h3f5c3944,32'h3f7367b8, 32'h3f50a20b,32'h3f7efef1,// invsqrt(1.2195) = 0.9055 +32'h3f4f6ae0,32'h3f8b5bc4,32'h3f910bec, 32'h3f8717a7,32'h3f955009, 32'h3f7ff6eb,32'h3f9c6c3a,// invsqrt(0.8102) = 1.1110 +32'h3de8e7d1,32'h4039fc83,32'h404193e1, 32'h40344afc,32'h40474568, 32'h402acdc7,32'h4050c29d,// invsqrt(0.1137) = 2.9653 +32'h3f3102bc,32'h3f96da93,32'h3f9d02d8, 32'h3f923c60,32'h3fa1a10c, 32'h3f8a8a0a,32'h3fa95362,// invsqrt(0.6914) = 1.2026 +32'h3fbde64c,32'h3f4df8ee,32'h3f566122, 32'h3f47aac8,32'h3f5caf48, 32'h3f3d2887,32'h3f673189,// invsqrt(1.4836) = 0.8210 +32'h3eeb1fe5,32'h3fb91b4d,32'h3fc0a979, 32'h3fb370ab,32'h3fc6541b, 32'h3fa9fef3,32'h3fcfc5d3,// invsqrt(0.4592) = 1.4757 +32'h415ea597,32'h3e868205,32'h3e8bff7d, 32'h3e8263eb,32'h3e901d97, 32'h3e770e28,32'h3e96fa6e,// invsqrt(13.9154) = 0.2681 +32'h3f65ebfe,32'h3f845ce0,32'h3f89c3ee, 32'h3f804f95,32'h3f8dd139, 32'h3f731d86,32'h3f94920b,// invsqrt(0.8981) = 1.0552 +32'h3f378bd0,32'h3f9424ce,32'h3f9a30c2, 32'h3f8f9bd8,32'h3f9eb9b8, 32'h3f880ce7,32'h3fa648a9,// invsqrt(0.7170) = 1.1810 +32'h3f9b07dc,32'h3f63f63c,32'h3f6d4434, 32'h3f5cfbc2,32'h3f743eae, 32'h3f515a4c,32'h3f7fe024,// invsqrt(1.2112) = 0.9086 +32'h3ec508cb,32'h3fca3574,32'h3fd27654, 32'h3fc404cc,32'h3fd8a6fc, 32'h3fb9b3b4,32'h3fe2f814,// invsqrt(0.3848) = 1.6120 +32'h405d8bdf,32'h3f06d76f,32'h3f0c5864, 32'h3f02b6b8,32'h3f10791c, 32'h3ef7ab0c,32'h3f175a4e,// invsqrt(3.4617) = 0.5375 +32'h3f5bf407,32'h3f875439,32'h3f8cda45, 32'h3f832faf,32'h3f90fecf, 32'h3f78903e,32'h3f97e65f,// invsqrt(0.8592) = 1.0788 +32'h3fea3de1,32'h3f397485,32'h3f410657, 32'h3f33c728,32'h3f46b3b4, 32'h3f2a50e3,32'h3f5029f9,// invsqrt(1.8300) = 0.7392 +32'h400e7042,32'h3f282ae8,32'h3f2f0816, 32'h3f230505,32'h3f342df9, 32'h3f1a708c,32'h3f3cc272,// invsqrt(2.2256) = 0.6703 +32'h403652f6,32'h3f14a3b2,32'h3f1ab4d3, 32'h3f1016d8,32'h3f1f41ac, 32'h3f08816f,32'h3f26d715,// invsqrt(2.8488) = 0.5925 +32'h4106d75f,32'h3eacd712,32'h3eb3e513, 32'h3ea78c92,32'h3eb92f94, 32'h3e9ebb11,32'h3ec20115,// invsqrt(8.4276) = 0.3445 +32'h3ffb7a7d,32'h3f32fc95,32'h3f3a4acf, 32'h3f2d81e9,32'h3f3fc57b, 32'h3f246020,32'h3f48e744,// invsqrt(1.9647) = 0.7134 +32'h3f1c464a,32'h3fa08cf3,32'h3fa71a89, 32'h3f9ba2c1,32'h3fac04bb, 32'h3f9371c5,32'h3fb435b7,// invsqrt(0.6104) = 1.2799 +32'h3ec5ffc9,32'h3fc9b72d,32'h3fd1f2e6, 32'h3fc38a63,32'h3fd81fb1, 32'h3fb93fbc,32'h3fe26a58,// invsqrt(0.3867) = 1.6081 +32'h3dcb6716,32'h404704a6,32'h404f242f, 32'h4040ecfe,32'h40553bd6, 32'h4036c593,32'h405f6341,// invsqrt(0.0993) = 3.1731 +32'h3e5831dc,32'h40088010,32'h400e125a, 32'h40045259,32'h40124011, 32'h3ffab6f9,32'h401936ee,// invsqrt(0.2111) = 2.1763 +32'h3f352616,32'h3f951eef,32'h3f9b3519, 32'h3f908e51,32'h3f9fc5b7, 32'h3f88f29d,32'h3fa7616b,// invsqrt(0.7076) = 1.1888 +32'h3fc21b4c,32'h3f4bba62,32'h3f540b22, 32'h3f457dd2,32'h3f5a47b2, 32'h3f3b18e2,32'h3f64aca2,// invsqrt(1.5165) = 0.8121 +32'h43d1dd17,32'h3d43ee41,32'h3d4bed87, 32'h3d3deecc,32'h3d51ecfc, 32'h3d33efb4,32'h3d5bec14,// invsqrt(419.7273) = 0.0488 +32'h3ead920d,32'h3fd7717e,32'h3fe03ca8, 32'h3fd0d91f,32'h3fe6d507, 32'h3fc5db2b,32'h3ff1d2fb,// invsqrt(0.3390) = 1.7175 +32'h3ef2d176,32'h3fb62688,32'h3fbd95d2, 32'h3fb09311,32'h3fc32949, 32'h3fa747f5,32'h3fcc7465,// invsqrt(0.4743) = 1.4521 +32'h4015375a,32'h3f244dd0,32'h3f2b029e, 32'h3f1f4634,32'h3f300a3a, 32'h3f16e432,32'h3f386c3d,// invsqrt(2.3315) = 0.6549 +32'h3fc62c50,32'h3f49a083,32'h3f51db4f, 32'h3f43746a,32'h3f580768, 32'h3f392aeb,32'h3f6250e7,// invsqrt(1.5482) = 0.8037 +32'h3ea8ae05,32'h3fda8b59,32'h3fe376e9, 32'h3fd3daac,32'h3fea2796, 32'h3fc8b437,32'h3ff54e0b,// invsqrt(0.3295) = 1.7422 +32'h3f81031e,32'h3f79e4d7,32'h3f820bfd, 32'h3f723e7d,32'h3f85df2a, 32'h3f657e92,32'h3f8c3f20,// invsqrt(1.0079) = 0.9961 +32'h3dca2a83,32'h4047a03b,32'h404fc61f, 32'h404183d1,32'h4055e289, 32'h40375475,32'h406011e5,// invsqrt(0.0987) = 3.1828 +32'h3eba37f3,32'h3fcfff89,32'h3fd87ce8, 32'h3fc9a183,32'h3fdedaef, 32'h3fbf04cd,32'h3fe977a5,// invsqrt(0.3637) = 1.6581 +32'h4087a78b,32'h3ef3b2fa,32'h3efda562, 32'h3eec3d2c,32'h3f028d98, 32'h3edfce29,32'h3f08c519,// invsqrt(4.2392) = 0.4857 +32'h3f2dcc7c,32'h3f983dca,32'h3f9e748f, 32'h3f9394b8,32'h3fa31da2, 32'h3f8bd042,32'h3faae218,// invsqrt(0.6789) = 1.2137 +32'h40baf7b1,32'h3ecf94c6,32'h3ed80dc9, 32'h3ec93a04,32'h3ede688c, 32'h3ebea2c1,32'h3ee8ffcf,// invsqrt(5.8427) = 0.4137 +32'h3eb14838,32'h3fd52d17,32'h3fdde090, 32'h3fcea67c,32'h3fe4672a, 32'h3fc3c624,32'h3fef4782,// invsqrt(0.3463) = 1.6994 +32'h3fafeb2a,32'h3f56002c,32'h3f5ebc42, 32'h3f4f731b,32'h3f654953, 32'h3f4487fe,32'h3f703470,// invsqrt(1.3744) = 0.8530 +32'h418f56b7,32'h3e6d13b0,32'h3e76c0e6, 32'h3e65d1c7,32'h3e7e02cf, 32'h3e59b944,32'h3e850da9,// invsqrt(17.9173) = 0.2362 +32'h3f31583c,32'h3f96b632,32'h3f9cdcfa, 32'h3f92191b,32'h3fa17a11, 32'h3f8a68a1,32'h3fa92a8b,// invsqrt(0.6928) = 1.2015 +32'h402a271d,32'h3f19dd23,32'h3f2024db, 32'h3f152759,32'h3f24daa5, 32'h3f0d4db2,32'h3f2cb44c,// invsqrt(2.6586) = 0.6133 +32'h3ecb62b5,32'h3fc706ca,32'h3fcf266a, 32'h3fc0ef12,32'h3fd53e22, 32'h3fb6c78b,32'h3fdf65a9,// invsqrt(0.3972) = 1.5866 +32'h407819c3,32'h3efed7b7,32'h3f049f48, 32'h3ef70a95,32'h3f0885d9, 32'h3eea0a07,32'h3f0f0621,// invsqrt(3.8766) = 0.5079 +32'h40006d58,32'h3f311a8c,32'h3f38551a, 32'h3f2baea2,32'h3f3dc104, 32'h3f22a571,32'h3f46ca35,// invsqrt(2.0067) = 0.7059 +32'h3c98a846,32'h40e5ba23,32'h40ef1a8d, 32'h40deb1d4,32'h40f622dc, 32'h40d2f94f,32'h4100edb0,// invsqrt(0.0186) = 7.3255 +32'h4099537b,32'h3ee539bc,32'h3eee94e9, 32'h3ede355c,32'h3ef5994a, 32'h3ed28364,32'h3f00a5a1,// invsqrt(4.7914) = 0.4568 +32'h3e6c2a94,32'h400299e6,32'h4007ee8c, 32'h3ffd34d3,32'h400bee09, 32'h3fefe133,32'h401297d8,// invsqrt(0.2306) = 2.0823 +32'h3f23ff15,32'h3f9cb9a7,32'h3fa31f45, 32'h3f97ed70,32'h3fa7eb7c, 32'h3f8fee6a,32'h3fafea82,// invsqrt(0.6406) = 1.2494 +32'h3f98170f,32'h3f6627b4,32'h3f6f8c97, 32'h3f5f1c0a,32'h3f769842, 32'h3f535def,32'h3f812b2e,// invsqrt(1.1882) = 0.9174 +32'h3f5e1cbe,32'h3f86ab6f,32'h3f8c2a98, 32'h3f828c10,32'h3f9049f6, 32'h3f775a39,32'h3f9728ea,// invsqrt(0.8676) = 1.0736 +32'h40eb00b6,32'h3eb92794,32'h3ec0b642, 32'h3eb37c92,32'h3ec66144, 32'h3eaa0a3a,32'h3ecfd39c,// invsqrt(7.3438) = 0.3690 +32'h3f436381,32'h3f8f9587,32'h3f9571d5, 32'h3f8b304c,32'h3f99d710, 32'h3f83dce9,32'h3fa12a73,// invsqrt(0.7632) = 1.1446 +32'h3f9cf83a,32'h3f628caf,32'h3f6bcbe5, 32'h3f5b9d46,32'h3f72bb4e, 32'h3f500e43,32'h3f7e4a51,// invsqrt(1.2263) = 0.9030 +32'h3e80f329,32'h3ff9f44d,32'h40021409, 32'h3ff24d79,32'h4005e772, 32'h3fe58cc4,32'h400c47cd,// invsqrt(0.2519) = 1.9926 +32'h3f9e26d4,32'h3f61b38b,32'h3f6ae9e4, 32'h3f5acac7,32'h3f71d2a7, 32'h3f4f46d8,32'h3f7d5696,// invsqrt(1.2356) = 0.8996 +32'h3e3bc4b9,32'h401277fa,32'h4018726d, 32'h400dfc25,32'h401cee43, 32'h40068315,32'h40246753,// invsqrt(0.1834) = 2.3353 +32'h3ce21caa,32'h40bcc27b,32'h40c476d3, 32'h40b6fb38,32'h40ca3e16, 32'h40ad59ca,32'h40d3df85,// invsqrt(0.0276) = 6.0191 +32'h3f3bede2,32'h3f9267ef,32'h3f9861bb, 32'h3f8dec97,32'h3f9cdd13, 32'h3f867459,32'h3fa45551,// invsqrt(0.7341) = 1.1671 +32'h4024f2e9,32'h3f1c45a6,32'h3f22a688, 32'h3f177cfc,32'h3f276f32, 32'h3f0f83e2,32'h3f2f684c,// invsqrt(2.5773) = 0.6229 +32'h4087498c,32'h3ef40794,32'h3efdfd71, 32'h3eec8f30,32'h3f02baeb, 32'h3ee01bdc,32'h3f08f495,// invsqrt(4.2277) = 0.4863 +32'h3fbccd10,32'h3f4e921d,32'h3f570092, 32'h3f483f47,32'h3f5d5369, 32'h3f3db536,32'h3f67dd7a,// invsqrt(1.4750) = 0.8234 +32'h3f530dfa,32'h3f8a2708,32'h3f8fca95, 32'h3f85ec5d,32'h3f94053f, 32'h3f7dbfd9,32'h3f9b11af,// invsqrt(0.8244) = 1.1013 +32'h40501510,32'h3f0b22bb,32'h3f10d08f, 32'h3f06e05d,32'h3f1512ed, 32'h3eff8e29,32'h3f1c2c36,// invsqrt(3.2513) = 0.5546 +32'h40b50433,32'h3ed2f73f,32'h3edb939f, 32'h3ecc81f6,32'h3ee208e8, 32'h3ec1be7e,32'h3eeccc61,// invsqrt(5.6568) = 0.4205 +32'h3faf2e6c,32'h3f567355,32'h3f5f341f, 32'h3f4fe2be,32'h3f65c4b6, 32'h3f44f1c1,32'h3f70b5b3,// invsqrt(1.3686) = 0.8548 +32'h40741917,32'h3f007637,32'h3f05b482, 32'h3ef90efc,32'h3f09a33a, 32'h3eebf33f,32'h3f103118,// invsqrt(3.8140) = 0.5120 +32'h40006097,32'h3f312358,32'h3f385e42, 32'h3f2bb729,32'h3f3dca71, 32'h3f22ad85,32'h3f46d415,// invsqrt(2.0059) = 0.7061 +32'h3e5873d6,32'h40086b41,32'h400dfcb1, 32'h40043e2d,32'h401229c5, 32'h3ffa90c0,32'h40191f92,// invsqrt(0.2114) = 2.1750 +32'h3e86f645,32'h3ff452d3,32'h3ffe4bc1, 32'h3fecd820,32'h4002e33a, 32'h3fe060f6,32'h40091ecf,// invsqrt(0.2636) = 1.9477 +32'h40bbf63b,32'h3ecf0809,32'h3ed77b4d, 32'h3ec8b196,32'h3eddd1c0, 32'h3ebe2180,32'h3ee861d6,// invsqrt(5.8738) = 0.4126 +32'h3f468cda,32'h3f8e6fb5,32'h3f944005, 32'h3f8a1378,32'h3f989c42, 32'h3f82cf14,32'h3f9fe0a6,// invsqrt(0.7756) = 1.1355 +32'h3ff33a22,32'h3f35ff52,32'h3f3d6d02, 32'h3f306d0e,32'h3f42ff46, 32'h3f2723f3,32'h3f4c4861,// invsqrt(1.9002) = 0.7254 +32'h4030b595,32'h3f16fb7e,32'h3f1d251b, 32'h3f125c49,32'h3f21c451, 32'h3f0aa845,32'h3f297855,// invsqrt(2.7611) = 0.6018 +32'h3f6d428c,32'h3f824cc1,32'h3f879e41, 32'h3f7c9f42,32'h3f8b9b61, 32'h3f6f5382,32'h3f924141,// invsqrt(0.9268) = 1.0387 +32'h3f8f51a7,32'h3f6d17e0,32'h3f76c542, 32'h3f65d5d7,32'h3f7e074b, 32'h3f59bd1c,32'h3f851003,// invsqrt(1.1197) = 0.9450 +32'h3f6d40ad,32'h3f824d44,32'h3f879eca, 32'h3f7ca040,32'h3f8b9bee, 32'h3f6f5473,32'h3f9241d4,// invsqrt(0.9268) = 1.0388 +32'h400eea23,32'h3f27e323,32'h3f2ebd63, 32'h3f22bf73,32'h3f33e113, 32'h3f1a2ea3,32'h3f3c71e3,// invsqrt(2.2330) = 0.6692 +32'h40a860fe,32'h3edabd50,32'h3ee3aaeb, 32'h3ed40b1c,32'h3eea5d20, 32'h3ec8e21b,32'h3ef58621,// invsqrt(5.2618) = 0.4359 +32'h400b46fc,32'h3f2a10bc,32'h3f3101be, 32'h3f24dbfa,32'h3f363680, 32'h3f1c2eb7,32'h3f3ee3c3,// invsqrt(2.1762) = 0.6779 +32'h3fc13a56,32'h3f4c30d7,32'h3f54866d, 32'h3f45f0a7,32'h3f5ac69d, 32'h3f3b85ab,32'h3f653199,// invsqrt(1.5096) = 0.8139 +32'h3fef84cf,32'h3f37669b,32'h3f3ee2f5, 32'h3f31c958,32'h3f448038, 32'h3f286de7,32'h3f4ddba9,// invsqrt(1.8712) = 0.7310 +32'h3da487fb,32'h405d484d,32'h4066507a, 32'h4056822c,32'h406d169c, 32'h404b37f4,32'h407860d4,// invsqrt(0.0803) = 3.5281 +32'h3d2a769a,32'h4099b93f,32'h409fff81, 32'h4095048e,32'h40a4b432, 32'h408d2cbd,32'h40ac8c03,// invsqrt(0.0416) = 4.9019 +32'h4082e301,32'h3ef81915,32'h3f011cba, 32'h3ef080cd,32'h3f04e8dd, 32'h3ee3d857,32'h3f0b3d19,// invsqrt(4.0902) = 0.4945 +32'h3f8715ec,32'h3f743631,32'h3f7e2df5, 32'h3f6cbc5f,32'h3f82d3e4, 32'h3f6046ab,32'h3f890ebe,// invsqrt(1.0554) = 0.9734 +32'h3cd53fd3,32'h40c25e7d,32'h40ca4d73, 32'h40bc6b45,32'h40d040ab, 32'h40b28092,32'h40da2b5e,// invsqrt(0.0260) = 6.1980 +32'h3f199172,32'h3fa1f58a,32'h3fa891d8, 32'h3f9d004f,32'h3fad8713, 32'h3f94bcec,32'h3fb5ca76,// invsqrt(0.5999) = 1.2911 +32'h3f6dec6c,32'h3f821e34,32'h3f876dce, 32'h3f7c4502,32'h3f8b6981, 32'h3f6efe02,32'h3f920d01,// invsqrt(0.9294) = 1.0373 +32'h3fa52c72,32'h3f5cda07,32'h3f65ddb3, 32'h3f561745,32'h3f6ca075, 32'h3f4ad2ad,32'h3f77e50d,// invsqrt(1.2904) = 0.8803 +32'h3ea59b63,32'h3fdc9001,32'h3fe590a9, 32'h3fd5cf84,32'h3fec5126, 32'h3fca8eb3,32'h3ff791f7,// invsqrt(0.3235) = 1.7583 +32'h3ff89da8,32'h3f34039f,32'h3f3b5c95, 32'h3f2e80e6,32'h3f40df4e, 32'h3f2551b1,32'h3f4a0e83,// invsqrt(1.9423) = 0.7175 +32'h40477146,32'h3f0e1e0d,32'h3f13eb08, 32'h3f09c450,32'h3f1844c4, 32'h3f028416,32'h3f1f84fe,// invsqrt(3.1163) = 0.5665 +32'h4022d907,32'h3f1d46e7,32'h3f23b24a, 32'h3f18765e,32'h3f2882d4, 32'h3f107023,32'h3f30890f,// invsqrt(2.5445) = 0.6269 +32'h3ed330fd,32'h3fc35056,32'h3fcb492a, 32'h3fbd55b6,32'h3fd143ca, 32'h3fb35ead,32'h3fdb3ad3,// invsqrt(0.4125) = 1.5570 +32'h3dc4f623,32'h404a3f07,32'h4052804c, 32'h40440e15,32'h4058b13f, 32'h4039bc7f,32'h406302d5,// invsqrt(0.0962) = 3.2246 +32'h3ece3d96,32'h3fc5a4e7,32'h3fcdb615, 32'h3fbf9804,32'h3fd3c2f8, 32'h3fb5828b,32'h3fddd871,// invsqrt(0.4028) = 1.5756 +32'h3e81067a,32'h3ff9e197,32'h40020a4c, 32'h3ff23b56,32'h4005dd6c, 32'h3fe57b95,32'h400c3d4d,// invsqrt(0.2520) = 1.9920 +32'h3f36f592,32'h3f946195,32'h3f9a7004, 32'h3f8fd6c3,32'h3f9efad7, 32'h3f8844b8,32'h3fa68ce2,// invsqrt(0.7147) = 1.1829 +32'h3c9a5a21,32'h40e47662,32'h40edc996, 32'h40dd77fc,32'h40f4c7fc, 32'h40d1cffc,32'h410037fe,// invsqrt(0.0188) = 7.2852 +32'h3ac55417,32'h41ca0edc,32'h41d24e28, 32'h41c3df62,32'h41d87da2, 32'h41b99042,32'h41e2ccc2,// invsqrt(0.0015) = 25.7727 +32'h3f4b66b0,32'h3f8cba46,32'h3f9278bb, 32'h3f886b6d,32'h3f96c793, 32'h3f813d5a,32'h3f9df5a6,// invsqrt(0.7945) = 1.1219 +32'h424dde02,32'h3e0be1d7,32'h3e119778, 32'h3e0799a0,32'h3e15dfb0, 32'h3e007697,32'h3e1d02b9,// invsqrt(51.4668) = 0.1394 +32'h3f56a214,32'h3f88fef5,32'h3f8e966d, 32'h3f84cd5b,32'h3f92c807, 32'h3f7ba00b,32'h3f99c55c,// invsqrt(0.8384) = 1.0921 +32'h3f1a1c5b,32'h3fa1ac7c,32'h3fa845cf, 32'h3f9cb97d,32'h3fad38cd, 32'h3f9479d4,32'h3fb57876,// invsqrt(0.6020) = 1.2889 +32'h400986bb,32'h3f2b2504,32'h3f32214d, 32'h3f25e7cc,32'h3f375e84, 32'h3f1d2c71,32'h3f4019df,// invsqrt(2.1488) = 0.6822 +32'h3ffcf07a,32'h3f327811,32'h3f39c0e3, 32'h3f2d0174,32'h3f3f3780, 32'h3f23e66e,32'h3f485286,// invsqrt(1.9761) = 0.7114 +32'h3f89c8a5,32'h3f71cf0a,32'h3f7badb2, 32'h3f6a680c,32'h3f818a58, 32'h3f5e11bb,32'h3f87b580,// invsqrt(1.0764) = 0.9638 +32'h3ee9b5a0,32'h3fb9aa8d,32'h3fc13e92, 32'h3fb3fb88,32'h3fc6ed96, 32'h3faa8281,32'h3fd0669d,// invsqrt(0.4565) = 1.4801 +32'h3f4e2732,32'h3f8bc901,32'h3f917d9d, 32'h3f87818b,32'h3f95c513, 32'h3f805fc7,32'h3f9ce6d7,// invsqrt(0.8053) = 1.1144 +32'h3db86413,32'h405106c6,32'h40598ee4, 32'h404aa0b1,32'h405ff4f9, 32'h403ff68c,32'h406a9f1e,// invsqrt(0.0900) = 3.3327 +32'h4054b8ca,32'h3f099c29,32'h3f0f3a0b, 32'h3f0565bf,32'h3f137075, 32'h3efcc0c8,32'h3f1a75d0,// invsqrt(3.3238) = 0.5485 +32'h3f9a9215,32'h3f644d05,32'h3f6d9e87, 32'h3f5d4fe2,32'h3f749baa, 32'h3f51a9ff,32'h3f8020c6,// invsqrt(1.2076) = 0.9100 +32'h401cfef5,32'h3f202e6a,32'h3f26b826, 32'h3f1b471e,32'h3f2b9f72, 32'h3f131af4,32'h3f33cb9c,// invsqrt(2.4531) = 0.6385 +32'h3ef8f514,32'h3fb3e401,32'h3fbb3bad, 32'h3fae623f,32'h3fc0bd6f, 32'h3fa534a8,32'h3fc9eb06,// invsqrt(0.4862) = 1.4341 +32'h3ff22360,32'h3f3667f7,32'h3f3dd9ed, 32'h3f30d280,32'h3f436f64, 32'h3f27840d,32'h3f4cbdd7,// invsqrt(1.8917) = 0.7271 +32'h3deb72a5,32'h4038fac3,32'h4040879b, 32'h40335120,32'h4046313e, 32'h4029e111,32'h404fa14d,// invsqrt(0.1150) = 2.9493 +32'h408c5e6a,32'h3eef9263,32'h3ef959aa, 32'h3ee83cec,32'h3f005790, 32'h3edc03d2,32'h3f06741d,// invsqrt(4.3865) = 0.4775 +32'h3f6ea093,32'h3f81ed0d,32'h3f873aa5, 32'h3f7be5b6,32'h3f8b34d7, 32'h3f6ea3ba,32'h3f91d5d5,// invsqrt(0.9321) = 1.0358 +32'h3f7cab14,32'h3f7c8756,32'h3f836b01, 32'h3f74cc57,32'h3f874881, 32'h3f67ea01,32'h3f8db9ab,// invsqrt(0.9870) = 1.0066 +32'h3eb116ed,32'h3fd54ac0,32'h3fddff70, 32'h3fcec33d,32'h3fe486f3, 32'h3fc3e162,32'h3fef68ce,// invsqrt(0.3459) = 1.7004 +32'h3d121cdc,32'h40a60a2b,32'h40acd11d, 32'h40a0f4f5,32'h40b1e653, 32'h40987c47,32'h40ba5f01,// invsqrt(0.0357) = 5.2946 +32'h3f863505,32'h3f75027b,32'h3f7f0295, 32'h3f6d8268,32'h3f834154, 32'h3f610247,32'h3f898164,// invsqrt(1.0485) = 0.9766 +32'h3f7a1021,32'h3f7dd73a,32'h3f8419cd, 32'h3f7611f2,32'h3f87fc71, 32'h3f691e79,32'h3f8e762e,// invsqrt(0.9768) = 1.0118 +32'h3f8e149f,32'h3f6e1fd2,32'h3f77d7fa, 32'h3f66d5b4,32'h3f7f2218, 32'h3f5aaf82,32'h3f85a425,// invsqrt(1.1100) = 0.9492 +32'h3fcbc9e6,32'h3f46d460,32'h3f4ef1f0, 32'h3f40be33,32'h3f55081d, 32'h3f36993e,32'h3f5f2d12,// invsqrt(1.5921) = 0.7925 +32'h408d9945,32'h3eee8773,32'h3ef843d6, 32'h3ee73a2a,32'h3eff9120, 32'h3edb0eae,32'h3f05de4e,// invsqrt(4.4250) = 0.4754 +32'h41f2b7cb,32'h3e363029,32'h3e3d9fd8, 32'h3e309c67,32'h3e43339b, 32'h3e2750ce,32'h3e4c7f34,// invsqrt(30.3397) = 0.1815 +32'h3f24871e,32'h3f9c78cf,32'h3fa2dbc7, 32'h3f97ae94,32'h3fa7a602, 32'h3f8fb2dd,32'h3fafa1b9,// invsqrt(0.6427) = 1.2474 +32'h3f2693a3,32'h3f9b81b2,32'h3fa1da94, 32'h3f96bf08,32'h3fa69d3e, 32'h3f8ecfec,32'h3fae8c5a,// invsqrt(0.6507) = 1.2397 +32'h3f4879f0,32'h3f8dc01e,32'h3f938944, 32'h3f896942,32'h3f97e020, 32'h3f822dd2,32'h3f9f1b90,// invsqrt(0.7831) = 1.1300 +32'h3f16f2e3,32'h3fa35bba,32'h3faa06a8, 32'h3f9e5b88,32'h3faf06da, 32'h3f9605df,32'h3fb75c83,// invsqrt(0.5896) = 1.3023 +32'h3fe7d6fb,32'h3f3a69d3,32'h3f4205a7, 32'h3f34b4f4,32'h3f47ba86, 32'h3f2b322a,32'h3f513d50,// invsqrt(1.8112) = 0.7430 +32'h4172b090,32'h3e80d57e,32'h3e8617ad, 32'h3e79c7b7,32'h3e8a0951, 32'h3e6ca241,32'h3e909c0b,// invsqrt(15.1681) = 0.2568 +32'h3f4699d7,32'h3f8e6b0d,32'h3f943b2d, 32'h3f8a0ef5,32'h3f989745, 32'h3f82cacd,32'h3f9fdb6d,// invsqrt(0.7758) = 1.1353 +32'h3f95761f,32'h3f682b93,32'h3f71a584, 32'h3f61101e,32'h3f78c0f8, 32'h3f5537b0,32'h3f824cb3,// invsqrt(1.1677) = 0.9254 +32'h3fc3356e,32'h3f4b26f4,32'h3f5371af, 32'h3f44eee7,32'h3f59a9bb, 32'h3f3a917c,32'h3f640726,// invsqrt(1.5251) = 0.8098 +32'h40686d58,32'h3f03a5c3,32'h3f090558, 32'h3eff3c26,32'h3f0d0d07, 32'h3ef1cd31,32'h3f13c482,// invsqrt(3.6317) = 0.5247 +32'h3f5d8100,32'h3f86dabe,32'h3f8c5bd6, 32'h3f82b9ed,32'h3f907ca7, 32'h3f77b11f,32'h3f975e05,// invsqrt(0.8652) = 1.0751 +32'h3f759cce,32'h3f8010aa,32'h3f854ad0, 32'h3f784a1a,32'h3f89366d, 32'h3f6b38bb,32'h3f8fbf1d,// invsqrt(0.9594) = 1.0209 +32'h3c861a45,32'h40f51aea,32'h40ff1c03, 32'h40ed9a16,32'h41034e6b, 32'h40e118b7,32'h41098f1a,// invsqrt(0.0164) = 7.8159 +32'h3fa07cbd,32'h3f600d91,32'h3f6932b1, 32'h3f5931b9,32'h3f700e89, 32'h3f4dc351,32'h3f7b7cf1,// invsqrt(1.2538) = 0.8931 +32'h3f8f91f5,32'h3f6ce2c1,32'h3f768df8, 32'h3f65a258,32'h3f7dce62, 32'h3f598c54,32'h3f84f233,// invsqrt(1.1216) = 0.9442 +32'h3f7b6299,32'h3f7d2c1d,32'h3f83c0c1, 32'h3f756c12,32'h3f87a0c7, 32'h3f688155,32'h3f8e1626,// invsqrt(0.9820) = 1.0091 +32'h405c8180,32'h3f0728c8,32'h3f0cad0f, 32'h3f030593,32'h3f10d045, 32'h3ef84076,32'h3f17b59d,// invsqrt(3.4454) = 0.5387 +32'h3f7347d8,32'h3f80ad6a,32'h3f85edf6, 32'h3f797a02,32'h3f89de5f, 32'h3f6c58a3,32'h3f906f0e,// invsqrt(0.9503) = 1.0258 +32'h3f18e27b,32'h3fa2521c,32'h3fa8f232, 32'h3f9d5a0b,32'h3fadea43, 32'h3f9511f0,32'h3fb6325e,// invsqrt(0.5972) = 1.2940 +32'h3f8b1dcd,32'h3f70a5d4,32'h3f7a785a, 32'h3f6947ef,32'h3f80eb1f, 32'h3f5d00c8,32'h3f870eb3,// invsqrt(1.0868) = 0.9592 +32'h3fd55555,32'h3f4254b0,32'h3f4a4340, 32'h3f3c61c5,32'h3f50362b, 32'h3f327792,32'h3f5a205e,// invsqrt(1.6667) = 0.7746 +32'h3f4fd656,32'h3f8b37b9,32'h3f90e667, 32'h3f86f4b6,32'h3f95296a, 32'h3f7fb4b6,32'h3f9c43c5,// invsqrt(0.8119) = 1.1098 +32'h4045e8a9,32'h3f0eaabf,32'h3f147d78, 32'h3f0a4cb3,32'h3f18db83, 32'h3f03054c,32'h3f2022ea,// invsqrt(3.0923) = 0.5687 +32'h3f1f25e3,32'h3f9f1838,32'h3fa59698, 32'h3f9a3970,32'h3faa7560, 32'h3f921b77,32'h3fb29359,// invsqrt(0.6217) = 1.2683 +32'h3f21f239,32'h3f9db6d3,32'h3fa426c7, 32'h3f98e2dc,32'h3fa8fabe, 32'h3f90d6ec,32'h3fb106ae,// invsqrt(0.6326) = 1.2573 +32'h4010c388,32'h3f26cfc0,32'h3f2d9ec2, 32'h3f21b47e,32'h3f32ba04, 32'h3f1931ba,32'h3f3b3cc8,// invsqrt(2.2619) = 0.6649 +32'h3ef6ef9f,32'h3fb4a01a,32'h3fbbff74, 32'h3faf1897,32'h3fc186f7, 32'h3fa5e166,32'h3fcabe28,// invsqrt(0.4823) = 1.4399 +32'h40070d76,32'h3f2cb472,32'h3f33c109, 32'h3f276b01,32'h3f390a7b, 32'h3f1e9b45,32'h3f41da37,// invsqrt(2.1102) = 0.6884 +32'h3ede079c,32'h3fbe7cb0,32'h3fc64315, 32'h3fb8a7e4,32'h3fcc17e0, 32'h3faeefe5,32'h3fd5cfdf,// invsqrt(0.4337) = 1.5186 +32'h3f945e09,32'h3f690650,32'h3f72892f, 32'h3f61e42a,32'h3f79ab56, 32'h3f560093,32'h3f82c776,// invsqrt(1.1591) = 0.9288 +32'h3e165e1e,32'h4023ac76,32'h402a5aaf, 32'h401ea9cb,32'h402f5d59, 32'h40165003,32'h4037b721,// invsqrt(0.1468) = 2.6096 +32'h3fb5610f,32'h3f52c137,32'h3f5b5b63, 32'h3f4c4d96,32'h3f61cf04, 32'h3f418cdf,32'h3f6c8fbb,// invsqrt(1.4170) = 0.8401 +32'h41591ae6,32'h3e8836ba,32'h3e8dc606, 32'h3e840b42,32'h3e91f17e, 32'h3e7a3046,32'h3e98e49d,// invsqrt(13.5691) = 0.2715 +32'h3f728892,32'h3f80e01d,32'h3f8622bb, 32'h3f79dc4d,32'h3f8a14b1, 32'h3f6cb5c2,32'h3f90a7f7,// invsqrt(0.9474) = 1.0274 +32'h3e00ab64,32'h4030efd4,32'h403828a3, 32'h402b8538,32'h403d933e, 32'h40227e35,32'h40469a41,// invsqrt(0.1257) = 2.8211 +32'h3f7652ee,32'h3f7fc293,32'h3f851981, 32'h3f77ee40,32'h3f8903aa, 32'h3f6ae1b6,32'h3f8f89ef,// invsqrt(0.9622) = 1.0195 +32'h40c96e0a,32'h3ec7fd8a,32'h3ed0273c, 32'h3ec1de44,32'h3ed64682, 32'h3eb7aa26,32'h3ee07aa0,// invsqrt(6.2947) = 0.3986 +32'h3fd03590,32'h3f44b522,32'h3f4cbc87, 32'h3f3eaf97,32'h3f52c213, 32'h3f34a659,32'h3f5ccb51,// invsqrt(1.6266) = 0.7841 +32'h3eac129d,32'h3fd86104,32'h3fe135f4, 32'h3fd1c14f,32'h3fe7d5a9, 32'h3fc6b723,32'h3ff2dfd5,// invsqrt(0.3361) = 1.7250 +32'h3ef88471,32'h3fb40cc0,32'h3fbb6617, 32'h3fae89c0,32'h3fc0e918, 32'h3fa55a14,32'h3fca18c4,// invsqrt(0.4854) = 1.4353 +32'h3d8d1f47,32'h406eee76,32'h4078af0e, 32'h40679e05,32'h407fff7f, 32'h405b6d48,32'h4086181e,// invsqrt(0.0689) = 3.8095 +32'h3bde985c,32'h413e3eb6,32'h41460294, 32'h41386bd0,32'h414bd57a, 32'h412eb6fb,32'h41558a4f,// invsqrt(0.0068) = 12.1330 +32'h3f91172f,32'h3f6ba42e,32'h3f754264, 32'h3f646d85,32'h3f7c790d, 32'h3f5867c2,32'h3f843f68,// invsqrt(1.1335) = 0.9393 +32'h4042ea47,32'h3f0fc227,32'h3f15a047, 32'h3f0b5b8e,32'h3f1a06e0, 32'h3f0405e5,32'h3f215c89,// invsqrt(3.0455) = 0.5730 +32'h3edf083a,32'h3fbe0efa,32'h3fc5d0e5, 32'h3fb83d8a,32'h3fcba256, 32'h3fae8b25,32'h3fd554bb,// invsqrt(0.4356) = 1.5151 +32'h3e5a2571,32'h4007e369,32'h400d6f4e, 32'h4003ba7e,32'h4011983a, 32'h3ff9973f,32'h40188718,// invsqrt(0.2130) = 2.1666 +32'h3f3c5067,32'h3f92419e,32'h3f9839d9, 32'h3f8dc773,32'h3f9cb405, 32'h3f865129,32'h3fa42a4f,// invsqrt(0.7356) = 1.1659 +32'h3f890a18,32'h3f7276ed,32'h3f7c5c6f, 32'h3f6b0acc,32'h3f81e448, 32'h3f5eabe9,32'h3f8813b9,// invsqrt(1.0706) = 0.9665 +32'h3fe1cb81,32'h3f3ce464,32'h3f449a1f, 32'h3f371c18,32'h3f4a626c, 32'h3f2d78ef,32'h3f540595,// invsqrt(1.7640) = 0.7529 +32'h3f42c17a,32'h3f8fd135,32'h3f95aff3, 32'h3f8b6a26,32'h3f9a1702, 32'h3f8413b8,32'h3fa16d70,// invsqrt(0.7608) = 1.1465 +32'h3faa2717,32'h3f5998ab,32'h3f627a53, 32'h3f52ef6c,32'h3f692392, 32'h3f47d559,32'h3f743da5,// invsqrt(1.3293) = 0.8673 +32'h3e6ee382,32'h4001dad8,32'h400727b2, 32'h3ffbc26a,32'h400b2155, 32'h3fee8249,32'h4011c166,// invsqrt(0.2333) = 2.0704 +32'h3f32be6b,32'h3f961ee5,32'h3f9c3f80, 32'h3f918670,32'h3fa0d7f4, 32'h3f89ddad,32'h3fa880b7,// invsqrt(0.6982) = 1.1968 +32'h41237120,32'h3e9cfda8,32'h3ea3660d, 32'h3e982f5d,32'h3ea83459, 32'h3e902cdf,32'h3eb036d7,// invsqrt(10.2151) = 0.3129 +32'h3f8ae1d7,32'h3f70d9c2,32'h3f7aae66, 32'h3f697a46,32'h3f8106f1, 32'h3f5d3078,32'h3f872bd8,// invsqrt(1.0850) = 0.9600 +32'h3f908102,32'h3f6c1e80,32'h3f75c1b4, 32'h3f64e419,32'h3f7cfc1b, 32'h3f58d817,32'h3f84840e,// invsqrt(1.1289) = 0.9412 +32'h434b599a,32'h3d8cbecd,32'h3d927d72, 32'h3d886fd1,32'h3d96cc6d, 32'h3d814182,32'h3d9dfabc,// invsqrt(203.3500) = 0.0701 +32'h3f88ab42,32'h3f72cafe,32'h3f7cb3ee, 32'h3f6b5c4a,32'h3f821151, 32'h3f5ef91d,32'h3f8842e7,// invsqrt(1.0677) = 0.9678 +32'h3fd2ec81,32'h3f437009,32'h3f4b6a28, 32'h3f3d7470,32'h3f5165c0, 32'h3f337bc9,32'h3f5b5e67,// invsqrt(1.6478) = 0.7790 +32'h3f9231cc,32'h3f6abffc,32'h3f7454e2, 32'h3f639050,32'h3f7b848e, 32'h3f579631,32'h3f83bf57,// invsqrt(1.1421) = 0.9357 +32'h3e595c7f,32'h4008222b,32'h400db09f, 32'h4003f753,32'h4011db77, 32'h3ffa0a83,32'h4018cd89,// invsqrt(0.2123) = 2.1705 +32'h418a7161,32'h3e713b81,32'h3e7b1423, 32'h3e69d908,32'h3e813b4e, 32'h3e5d8a3d,32'h3e8762b4,// invsqrt(17.3054) = 0.2404 +32'h421078c1,32'h3e26fae6,32'h3e2dcbac, 32'h3e21de52,32'h3e32e840, 32'h3e19595b,32'h3e3b6d37,// invsqrt(36.1179) = 0.1664 +32'h3f8e07c1,32'h3f6e2a9b,32'h3f77e333, 32'h3f66e028,32'h3f7f2da6, 32'h3f5ab96a,32'h3f85aa32,// invsqrt(1.1096) = 0.9493 +32'h3e3a86db,32'h4012f493,32'h4018f41b, 32'h400e74ec,32'h401d73c2, 32'h4006f582,32'h4024f32c,// invsqrt(0.1822) = 2.3430 +32'h3f09233d,32'h3fab630d,32'h3fb261df, 32'h3fa623f0,32'h3fb7a0fc, 32'h3f9d656a,32'h3fc05f82,// invsqrt(0.5357) = 1.3663 +32'h3de81ae0,32'h403a4e8d,32'h4041e945, 32'h40349a84,32'h40479d4e, 32'h402b191e,32'h40511eb4,// invsqrt(0.1133) = 2.9705 +32'h413cc84f,32'h3e921324,32'h3e98097a, 32'h3e8d9a65,32'h3e9c8239, 32'h3e86267a,32'h3ea3f624,// invsqrt(11.7989) = 0.2911 +32'h3fca8b19,32'h3f47709d,32'h3f4f948f, 32'h3f4155a8,32'h3f55af84, 32'h3f3728ba,32'h3f5fdc72,// invsqrt(1.5824) = 0.7950 +32'h3e9ae8d8,32'h3fe40d0d,32'h3fed5bf3, 32'h3fdd11e0,32'h3ff45720, 32'h3fd16f40,32'h3ffff9c0,// invsqrt(0.3026) = 1.8180 +32'h404bdcf4,32'h3f0c916e,32'h3f124e38, 32'h3f0843d5,32'h3f169bd1, 32'h3f0117d8,32'h3f1dc7ce,// invsqrt(3.1854) = 0.5603 +32'h3f4c21e0,32'h3f8c79b1,32'h3f923583, 32'h3f882cd2,32'h3f968262, 32'h3f81020b,32'h3f9dad29,// invsqrt(0.7974) = 1.1199 +32'h3f0bbd4e,32'h3fa9c8ad,32'h3fb0b6bf, 32'h3fa49620,32'h3fb5e94c, 32'h3f9bec8a,32'h3fbe92e2,// invsqrt(0.5459) = 1.3535 +32'h3f71a8e5,32'h3f811bb4,32'h3f8660c0, 32'h3f7a4fd5,32'h3f8a548a, 32'h3f6d2335,32'h3f90ead9,// invsqrt(0.9440) = 1.0292 +32'h4000570b,32'h3f3129ef,32'h3f38651d, 32'h3f2bbd8c,32'h3f3dd180, 32'h3f22b392,32'h3f46db7a,// invsqrt(2.0053) = 0.7062 +32'h3fb287ec,32'h3f546de2,32'h3f5d198e, 32'h3f4ded22,32'h3f639a4e, 32'h3f43168c,32'h3f6e70e4,// invsqrt(1.3948) = 0.8467 +32'h3ea4f376,32'h3fdd002a,32'h3fe60565, 32'h3fd63c3d,32'h3fecc951, 32'h3fcaf5b3,32'h3ff80fdb,// invsqrt(0.3222) = 1.7618 +32'h3f819fdb,32'h3f794d95,32'h3f81bd46, 32'h3f71abdc,32'h3f858e22, 32'h3f64f3a8,32'h3f8bea3c,// invsqrt(1.0127) = 0.9937 +32'h3fb96e8a,32'h3f507061,32'h3f58f25a, 32'h3f4a0ee5,32'h3f5f53d5, 32'h3f3f6c6d,32'h3f69f64d,// invsqrt(1.4487) = 0.8308 +32'h410ca4cf,32'h3ea93cb7,32'h3eb02513, 32'h3ea40e73,32'h3eb55357, 32'h3e9b6c01,32'h3ebdf5c9,// invsqrt(8.7902) = 0.3373 +32'h3e3232a0,32'h401659bb,32'h401c7cbd, 32'h4011bf79,32'h402116ff, 32'h400a13b6,32'h4028c2c2,// invsqrt(0.1740) = 2.3972 +32'h3edca9ca,32'h3fbf1372,32'h3fc6dffe, 32'h3fb93a08,32'h3fccb968, 32'h3faf7a59,32'h3fd67917,// invsqrt(0.4310) = 1.5232 +32'h3fb196a2,32'h3f54fe01,32'h3f5daf8f, 32'h3f4e78d8,32'h3f6434b8, 32'h3f439ae7,32'h3f6f12a9,// invsqrt(1.3874) = 0.8490 +32'h3f9bec31,32'h3f634f15,32'h3f6c963a, 32'h3f5c59b8,32'h3f738b96, 32'h3f50c0ca,32'h3f7f2485,// invsqrt(1.2181) = 0.9060 +32'h3efa9a99,32'h3fb34c77,32'h3fba9df4, 32'h3fadcf59,32'h3fc01b11, 32'h3fa4a97c,32'h3fc940ee,// invsqrt(0.4895) = 1.4294 +32'h3f827b4d,32'h3f787b98,32'h3f814ffe, 32'h3f70e04d,32'h3f851da4, 32'h3f6432cf,32'h3f8b7462,// invsqrt(1.0194) = 0.9904 +32'h3ec52c44,32'h3fca2343,32'h3fd26365, 32'h3fc3f329,32'h3fd8937f, 32'h3fb9a2ff,32'h3fe2e3a9,// invsqrt(0.3851) = 1.6114 +32'h3c41ea24,32'h411020f9,32'h411602f9, 32'h410bb779,32'h411a6c79, 32'h41045cfa,32'h4121c6f8,// invsqrt(0.0118) = 9.1919 +32'h3fcd32f9,32'h3f462524,32'h3f4e3b8e, 32'h3f401455,32'h3f544c5d, 32'h3f35f850,32'h3f5e6862,// invsqrt(1.6031) = 0.7898 +32'h3f32c595,32'h3f961be3,32'h3f9c3c5f, 32'h3f918386,32'h3fa0d4bc, 32'h3f89daea,32'h3fa87d58,// invsqrt(0.6983) = 1.1967 +32'h3f7357fa,32'h3f80a926,32'h3f85e985, 32'h3f7971bb,32'h3f89d9cc, 32'h3f6c50cc,32'h3f906a44,// invsqrt(0.9506) = 1.0257 +32'h413d8376,32'h3e91caf2,32'h3e97be54, 32'h3e8d5468,32'h3e9c34de, 32'h3e85e42c,32'h3ea3a51a,// invsqrt(11.8446) = 0.2906 +32'h3e9f04aa,32'h3fe115e6,32'h3fea45d0, 32'h3fda31f6,32'h3ff129c0, 32'h3fceb612,32'h3ffca5a4,// invsqrt(0.3106) = 1.7944 +32'h405e8a73,32'h3f068a39,32'h3f0c0807, 32'h3f026bde,32'h3f102662, 32'h3ef71d3a,32'h3f1703a3,// invsqrt(3.4772) = 0.5363 +32'h3f3077b7,32'h3f9715f3,32'h3f9d40a5, 32'h3f9275ef,32'h3fa1e0a9, 32'h3f8ac091,32'h3fa99607,// invsqrt(0.6893) = 1.2044 +32'h3f4803fc,32'h3f8de9e4,32'h3f93b4be, 32'h3f8991c0,32'h3f980ce2, 32'h3f82542f,32'h3f9f4a73,// invsqrt(0.7813) = 1.1313 +32'h3f08a721,32'h3fabb0cf,32'h3fb2b2ce, 32'h3fa66f51,32'h3fb7f44d, 32'h3f9dacd4,32'h3fc0b6ca,// invsqrt(0.5338) = 1.3687 +32'h3eb3afee,32'h3fd3bea1,32'h3fdc6325, 32'h3fcd433e,32'h3fe2de88, 32'h3fc27599,32'h3fedac2d,// invsqrt(0.3510) = 1.6880 +32'h3fd95170,32'h3f408a7a,32'h3f486656, 32'h3f3aa596,32'h3f4e4b3a, 32'h3f30d2c4,32'h3f581e0c,// invsqrt(1.6978) = 0.7675 +32'h3f886f82,32'h3f730022,32'h3f7ceb3e, 32'h3f6b8fce,32'h3f822dc9, 32'h3f5f29eb,32'h3f8860ba,// invsqrt(1.0659) = 0.9686 +32'h3f9518bf,32'h3f68743b,32'h3f71f123, 32'h3f61568d,32'h3f790ed1, 32'h3f557a6a,32'h3f82757a,// invsqrt(1.1648) = 0.9266 +32'h3fccd782,32'h3f46515c,32'h3f4e6994, 32'h3f403f32,32'h3f547bbe, 32'h3f3620ec,32'h3f5e9a04,// invsqrt(1.6003) = 0.7905 +32'h3fb6bab6,32'h3f51f983,32'h3f5a8b89, 32'h3f4b8bff,32'h3f60f90d, 32'h3f40d579,32'h3f6baf93,// invsqrt(1.4276) = 0.8370 +32'h4118dfdf,32'h3ea2537f,32'h3ea8f3a3, 32'h3e9d5b63,32'h3eadebbf, 32'h3e951336,32'h3eb633ec,// invsqrt(9.5547) = 0.3235 +32'h4130ea7b,32'h3e96e4ea,32'h3e9d0d9b, 32'h3e924666,32'h3ea1ac20, 32'h3e8a9389,32'h3ea95efd,// invsqrt(11.0572) = 0.3007 +32'h3fe6d5e1,32'h3f3ad186,32'h3f427196, 32'h3f35197a,32'h3f4829a2, 32'h3f2b9166,32'h3f51b1b6,// invsqrt(1.8034) = 0.7447 +32'h3f06ae82,32'h3facf149,32'h3fb4005b, 32'h3fa7a5fb,32'h3fb94ba9, 32'h3f9ed323,32'h3fc21e81,// invsqrt(0.5261) = 1.3787 +32'h3e3f6c57,32'h4011104e,32'h4016fc13, 32'h400c9f7b,32'h401b6ce7, 32'h400538c6,32'h4022d39c,// invsqrt(0.1869) = 2.3129 +32'h3d294b95,32'h409a40c5,32'h40a08c8f, 32'h409587ee,32'h40a54566, 32'h408da933,32'h40ad2421,// invsqrt(0.0413) = 4.9188 +32'h3edecd39,32'h3fbe2823,32'h3fc5eb15, 32'h3fb855ee,32'h3fcbbd4a, 32'h3faea240,32'h3fd570f8,// invsqrt(0.4352) = 1.5159 +32'h3f81d5d8,32'h3f7919ba,32'h3f81a249, 32'h3f717997,32'h3f85725a, 32'h3f64c409,32'h3f8bcd22,// invsqrt(1.0143) = 0.9929 +32'h3f497d62,32'h3f8d64be,32'h3f932a2a, 32'h3f8910ae,32'h3f977e3a, 32'h3f81d9e8,32'h3f9eb500,// invsqrt(0.7871) = 1.1272 +32'h3fb80a51,32'h3f5139b9,32'h3f59c3ea, 32'h3f4ad213,32'h3f602b8f, 32'h3f402556,32'h3f6ad84c,// invsqrt(1.4378) = 0.8340 +32'h3fafd859,32'h3f560b9f,32'h3f5ec82d, 32'h3f4f7e34,32'h3f655598, 32'h3f449282,32'h3f70414a,// invsqrt(1.3738) = 0.8532 +32'h3ecab137,32'h3fc75ddb,32'h3fcf8109, 32'h3fc14379,32'h3fd59b6b, 32'h3fb71780,32'h3fdfc764,// invsqrt(0.3959) = 1.5893 +32'h3f78e203,32'h3f7e711d,32'h3f8469e2, 32'h3f76a71e,32'h3f884ee1, 32'h3f69abcb,32'h3f8ecc8a,// invsqrt(0.9722) = 1.0142 +32'h3f806d8e,32'h3f7a762f,32'h3f8257a0, 32'h3f72cb62,32'h3f862d07, 32'h3f66040c,32'h3f8c90b2,// invsqrt(1.0033) = 0.9983 +32'h3ff0a416,32'h3f36f902,32'h3f3e70e2, 32'h3f315f19,32'h3f440acb, 32'h3f280940,32'h3f4d60a4,// invsqrt(1.8800) = 0.7293 +32'h3fe05613,32'h3f3d815a,32'h3f453d7e, 32'h3f37b440,32'h3f4b0a98, 32'h3f2e0914,32'h3f54b5c4,// invsqrt(1.7526) = 0.7554 +32'h403e7c4c,32'h3f116b99,32'h3f175b17, 32'h3f0cf7fa,32'h3f1bceb6, 32'h3f058c9c,32'h3f233a14,// invsqrt(2.9763) = 0.5796 +32'h3ec7ed76,32'h3fc8bd87,32'h3fd0ef0f, 32'h3fc29861,32'h3fd71435, 32'h3fb85a77,32'h3fe1521f,// invsqrt(0.3905) = 1.6003 +32'h3fa302ca,32'h3f5e4fd9,32'h3f6762c7, 32'h3f5781a6,32'h3f6e30fa, 32'h3f4c29fb,32'h3f7988a5,// invsqrt(1.2735) = 0.8861 +32'h3fc688fa,32'h3f497170,32'h3f51aa50, 32'h3f4346c8,32'h3f57d4f8, 32'h3f38ffb0,32'h3f621c10,// invsqrt(1.5511) = 0.8029 +32'h3f2571d9,32'h3f9c09a8,32'h3fa26817, 32'h3f9742d4,32'h3fa72eea, 32'h3f8f4cc9,32'h3faf24f5,// invsqrt(0.6463) = 1.2439 +32'h40038768,32'h3f2f00c2,32'h3f36255c, 32'h3f29a54e,32'h3f3b80d0, 32'h3f20b78e,32'h3f446e91,// invsqrt(2.0551) = 0.6976 +32'h3d58ddb7,32'h408849f0,32'h408dda04, 32'h40841de1,32'h40920613, 32'h407a538f,32'h4098fa2d,// invsqrt(0.0529) = 4.3459 +32'h3ee5a018,32'h3fbb4f60,32'h3fc2f492, 32'h3fb59379,32'h3fc8b079, 32'h3fac04fa,32'h3fd23ef8,// invsqrt(0.4485) = 1.4932 +32'h3fabdccd,32'h3f5882e1,32'h3f615933, 32'h3f51e223,32'h3f67f9f1, 32'h3f46d63c,32'h3f7305d8,// invsqrt(1.3427) = 0.8630 +32'h3ef25af5,32'h3fb6530b,32'h3fbdc425, 32'h3fb0be37,32'h3fc358f9, 32'h3fa770d6,32'h3fcca65a,// invsqrt(0.4734) = 1.4535 +32'h3f0fafa2,32'h3fa76f9a,32'h3fae4523, 32'h3fa24f74,32'h3fb3654a, 32'h3f99c489,32'h3fbbf035,// invsqrt(0.5613) = 1.3348 +32'h3fac3a63,32'h3f584806,32'h3f611bf2, 32'h3f51a916,32'h3f67bae2, 32'h3f46a030,32'h3f72c3c8,// invsqrt(1.3455) = 0.8621 +32'h3eddb093,32'h3fbea210,32'h3fc669fc, 32'h3fb8cc1f,32'h3fcc3fed, 32'h3faf1239,32'h3fd5f9d3,// invsqrt(0.4330) = 1.5197 +32'h3f55cb42,32'h3f8943b8,32'h3f8eddfe, 32'h3f851003,32'h3f9311b3, 32'h3f7c1e57,32'h3f9a128a,// invsqrt(0.8351) = 1.0943 +32'h3cc8531d,32'h40c88a92,32'h40d0ba06, 32'h40c266fb,32'h40d6dd9d, 32'h40b82bab,32'h40e118ed,// invsqrt(0.0245) = 6.3948 +32'h3d575a2e,32'h4088c45a,32'h408e596d, 32'h4084948b,32'h4092893b, 32'h407b3465,32'h40998393,// invsqrt(0.0526) = 4.3612 +32'h3f95810e,32'h3f682315,32'h3f719cad, 32'h3f6107e3,32'h3f78b7df, 32'h3f552fe4,32'h3f8247ef,// invsqrt(1.1680) = 0.9253 +32'h3f444f96,32'h3f8f3f17,32'h3f9517de, 32'h3f8adc81,32'h3f997a73, 32'h3f838d87,32'h3fa0c96d,// invsqrt(0.7668) = 1.1420 +32'h4041eb60,32'h3f102083,32'h3f16027e, 32'h3f0bb707,32'h3f1a6bfb, 32'h3f045c8e,32'h3f21c674,// invsqrt(3.0300) = 0.5745 +32'h3e07af3d,32'h402c4d5e,32'h403355c0, 32'h40270715,32'h40389c09, 32'h401e3c9a,32'h40416684,// invsqrt(0.1325) = 2.7472 +32'h3eda6684,32'h3fc01031,32'h3fc7e70e, 32'h3fba2f0a,32'h3fcdc834, 32'h3fb06276,32'h3fd794c9,// invsqrt(0.4266) = 1.5311 +32'h428b590b,32'h3df072a7,32'h3dfa4317, 32'h3de91654,32'h3e00cfb5, 32'h3ddcd1c9,32'h3e06f1fb,// invsqrt(69.6739) = 0.1198 +32'h3f83901e,32'h3f7775a5,32'h3f80c7ac, 32'h3f6fe25e,32'h3f84914f, 32'h3f63423e,32'h3f8ae15f,// invsqrt(1.0278) = 0.9864 +32'h40014c55,32'h3f308193,32'h3f37b5e2, 32'h3f2b1a57,32'h3f3d1d1d, 32'h3f2218f4,32'h3f461e80,// invsqrt(2.0203) = 0.7035 +32'h3eb83ce0,32'h3fd11d02,32'h3fd9a607, 32'h3fcab63d,32'h3fe00ccb, 32'h3fc00af7,32'h3feab811,// invsqrt(0.3598) = 1.6670 +32'h3f2117ee,32'h3f9e218a,32'h3fa495d8, 32'h3f994a4f,32'h3fa96d13, 32'h3f9138ec,32'h3fb17e76,// invsqrt(0.6293) = 1.2606 +32'h3f8fa20d,32'h3f6cd57b,32'h3f768028, 32'h3f65957b,32'h3f7dc029, 32'h3f598023,32'h3f84eac0,// invsqrt(1.1221) = 0.9440 +32'h3f85807e,32'h3f75a7ec,32'h3f7faec6, 32'h3f6e22c8,32'h3f8399f5, 32'h3f619a36,32'h3f89de3e,// invsqrt(1.0430) = 0.9792 +32'h3fc9d7ea,32'h3f47c910,32'h3f4ff09d, 32'h3f41ab65,32'h3f560e47, 32'h3f3779f4,32'h3f603fb8,// invsqrt(1.5769) = 0.7963 +32'h409a4b79,32'h3ee4813c,32'h3eedd4e0, 32'h3edd8280,32'h3ef4d39c, 32'h3ed1d9f3,32'h3f003e14,// invsqrt(4.8217) = 0.4554 +32'h40569ab2,32'h3f090150,32'h3f0e98e1, 32'h3f04cfa5,32'h3f12ca8d, 32'h3efba460,32'h3f19c802,// invsqrt(3.3532) = 0.5461 +32'h3f6e4529,32'h3f8205f7,32'h3f875494, 32'h3f7c1605,32'h3f8b4f8a, 32'h3f6ed17e,32'h3f91f1cd,// invsqrt(0.9307) = 1.0365 +32'h4011d2cf,32'h3f26344e,32'h3f2cfcf9, 32'h3f211dcf,32'h3f321379, 32'h3f18a2fa,32'h3f3a8e4e,// invsqrt(2.2785) = 0.6625 +32'h3f49505d,32'h3f8d748c,32'h3f933a9d, 32'h3f892001,32'h3f978f29, 32'h3f81e86c,32'h3f9ec6be,// invsqrt(0.7864) = 1.1277 +32'h409abf91,32'h3ee42b75,32'h3eed7b99, 32'h3edd2f5a,32'h3ef477b4, 32'h3ed18b2d,32'h3f000df1,// invsqrt(4.8359) = 0.4547 +32'h3d6934da,32'h40836d67,32'h4088caaf, 32'h407ecee2,32'h408cd0a5, 32'h407165ad,32'h4093853f,// invsqrt(0.0569) = 4.1909 +32'h40425a7d,32'h3f0ff74a,32'h3f15d797, 32'h3f0b8f12,32'h3f1a3fd0, 32'h3f0436b2,32'h3f219830,// invsqrt(3.0368) = 0.5738 +32'h3f69f428,32'h3f8337a0,32'h3f8892b6, 32'h3f7e669e,32'h3f8c9707, 32'h3f7102e7,32'h3f9348e2,// invsqrt(0.9139) = 1.0461 +32'h410f6b18,32'h3ea79798,32'h3eae6ec2, 32'h3ea27638,32'h3eb39022, 32'h3e99e942,32'h3ebc1d18,// invsqrt(8.9636) = 0.3340 +32'h3fa01fb2,32'h3f604ea0,32'h3f697668, 32'h3f5970ca,32'h3f70543e, 32'h3f4dff10,32'h3f7bc5f8,// invsqrt(1.2510) = 0.8941 +32'h3f37892b,32'h3f9425df,32'h3f9a31de, 32'h3f8f9ce1,32'h3f9ebadd, 32'h3f880de2,32'h3fa649dc,// invsqrt(0.7169) = 1.1810 +32'h3f4b7429,32'h3f8cb59d,32'h3f9273e2, 32'h3f8866e9,32'h3f96c295, 32'h3f813912,32'h3f9df06c,// invsqrt(0.7947) = 1.1217 +32'h3e43bb62,32'h400f7548,32'h40155046, 32'h400b110a,32'h4019b484, 32'h4003bf4d,32'h40210641,// invsqrt(0.1911) = 2.2873 +32'h3fe870b5,32'h3f3a2c24,32'h3f41c574, 32'h3f347928,32'h3f477870, 32'h3f2af984,32'h3f50f814,// invsqrt(1.8159) = 0.7421 +32'h3ef982ec,32'h3fb3b0d8,32'h3fbb066e, 32'h3fae30a8,32'h3fc0869e, 32'h3fa505ac,32'h3fc9b19a,// invsqrt(0.4873) = 1.4325 +32'h3f1aef8b,32'h3fa13e26,32'h3fa7d2f9, 32'h3f9c4e89,32'h3facc297, 32'h3f941482,32'h3fb4fc9e,// invsqrt(0.6052) = 1.2854 +32'h3e0f7196,32'h402793cd,32'h402e6ad0, 32'h4022728a,32'h40338c12, 32'h4019e5c6,32'h403c18d6,// invsqrt(0.1401) = 2.6718 +32'h3fb19e59,32'h3f54f961,32'h3f5daabe, 32'h3f4e745c,32'h3f642fc4, 32'h3f4396a8,32'h3f6f0d78,// invsqrt(1.3876) = 0.8489 +32'h3e93ee3a,32'h3fe95e50,32'h3ff2e4c6, 32'h3fe23977,32'h3ffa099f, 32'h3fd65164,32'h4002f8d9,// invsqrt(0.2889) = 1.8604 +32'h3fa2625d,32'h3f5ebd8e,32'h3f67d4f8, 32'h3f57ec00,32'h3f6ea686, 32'h3f4c8ebc,32'h3f7a03ca,// invsqrt(1.2686) = 0.8878 +32'h3f366f6d,32'h3f949819,32'h3f9aa8c1, 32'h3f900b9b,32'h3f9f353f, 32'h3f8876c8,32'h3fa6ca12,// invsqrt(0.7126) = 1.1846 +32'h3e812944,32'h3ff9bfed,32'h4001f8c7, 32'h3ff21ab4,32'h4005cb64, 32'h3fe55cab,32'h400c2a68,// invsqrt(0.2523) = 1.9910 +32'h40a8608a,32'h3edabd9b,32'h3ee3ab39, 32'h3ed40b65,32'h3eea5d6f, 32'h3ec8e25f,32'h3ef58675,// invsqrt(5.2618) = 0.4359 +32'h3d49126c,32'h408d8a55,32'h40935148, 32'h4089351d,32'h4097a67f, 32'h4081fc6d,32'h409edf2f,// invsqrt(0.0491) = 4.5134 +32'h3f128769,32'h3fa5cdc2,32'h3fac923c, 32'h3fa0ba65,32'h3fb1a599, 32'h3f9844cc,32'h3fba1b32,// invsqrt(0.5724) = 1.3218 +32'h3ea96767,32'h3fda13a4,32'h3fe2fa52, 32'h3fd366a2,32'h3fe9a754, 32'h3fc84648,32'h3ff4c7ae,// invsqrt(0.3309) = 1.7385 +32'h3f895ad6,32'h3f722f9f,32'h3f7c1237, 32'h3f6ac5ac,32'h3f81be15, 32'h3f5e6a6d,32'h3f87ebb4,// invsqrt(1.0731) = 0.9653 +32'h3fca5ecd,32'h3f47866f,32'h3f4fab45, 32'h3f416acf,32'h3f55c6e5, 32'h3f373cc4,32'h3f5ff4f0,// invsqrt(1.5810) = 0.7953 +32'h3ec235b7,32'h3fcbac86,32'h3fd3fcb6, 32'h3fc57063,32'h3fda38d9, 32'h3fbb0c28,32'h3fe49d14,// invsqrt(0.3793) = 1.6237 +32'h3e931521,32'h3fea0a4b,32'h3ff397c7, 32'h3fe2e02f,32'h3ffac1e3, 32'h3fd6ef55,32'h4003595f,// invsqrt(0.2873) = 1.8658 +32'h3f9c3a05,32'h3f63166f,32'h3f6c5b45, 32'h3f5c22cf,32'h3f734ee5, 32'h3f508cc4,32'h3f7ee4f0,// invsqrt(1.2205) = 0.9052 +32'h3ffac498,32'h3f333d73,32'h3f3a8e53, 32'h3f2dc0cb,32'h3f400afb, 32'h3f249bb3,32'h3f493013,// invsqrt(1.9591) = 0.7144 +32'h3f84bc23,32'h3f765d5c,32'h3f8035cf, 32'h3f6ed2aa,32'h3f83fb28, 32'h3f6240d7,32'h3f8a4412,// invsqrt(1.0370) = 0.9820 +32'h3de6f44c,32'h403ac538,32'h404264c8, 32'h40350d8d,32'h40481c73, 32'h402b861a,32'h4051a3e6,// invsqrt(0.1128) = 2.9778 +32'h3f20cf8b,32'h3f9e451d,32'h3fa4badf, 32'h3f996ccb,32'h3fa99331, 32'h3f915998,32'h3fb1a664,// invsqrt(0.6282) = 1.2617 +32'h3f831b59,32'h3f77e3bf,32'h3f8100f8, 32'h3f704d1a,32'h3f84cc4b, 32'h3f63a75c,32'h3f8b1f2a,// invsqrt(1.0243) = 0.9881 +32'h3f0462db,32'h3fae6f7a,32'h3fb58e26, 32'h3fa91879,32'h3fbae527, 32'h3fa03222,32'h3fc3cb7e,// invsqrt(0.5171) = 1.3906 +32'h3e5e51f1,32'h40069b51,32'h400c19d1, 32'h40027c70,32'h401038b2, 32'h3ff73c9f,32'h401716d3,// invsqrt(0.2171) = 2.1462 +32'h3fbf2699,32'h3f4d4c14,32'h3f55ad3a, 32'h3f470338,32'h3f5bf616, 32'h3f3c89ca,32'h3f666f85,// invsqrt(1.4934) = 0.8183 +32'h4133c12f,32'h3e95b2b0,32'h3e9bcee2, 32'h3e911d8c,32'h3ea06406, 32'h3e897a4e,32'h3ea80744,// invsqrt(11.2347) = 0.2983 +32'h412fefde,32'h3e97503d,32'h3e9d7d4f, 32'h3e92ae6f,32'h3ea21f1d, 32'h3e8af619,32'h3ea9d773,// invsqrt(10.9961) = 0.3016 +32'h40915110,32'h3eeb753b,32'h3ef51187, 32'h3ee44003,32'h3efc46bf, 32'h3ed83ca4,32'h3f04250f,// invsqrt(4.5411) = 0.4693 +32'h40a3e8d5,32'h3eddb3a1,32'h3ee6c02f, 32'h3ed6ea36,32'h3eed899a, 32'h3ecb9a84,32'h3ef8d94c,// invsqrt(5.1222) = 0.4418 +32'h3ed6efcf,32'h3fc19ac7,32'h3fc981c0, 32'h3fbbad8d,32'h3fcf6efb, 32'h3fb1ccd7,32'h3fd94fb1,// invsqrt(0.4198) = 1.5434 +32'h3f61c573,32'h3f8592ec,32'h3f8b06a3, 32'h3f817c24,32'h3f8f1d6c, 32'h3f755702,32'h3f95ee0f,// invsqrt(0.8819) = 1.0648 +32'h4042aa8c,32'h3f0fd9ad,32'h3f15b8c3, 32'h3f0b725c,32'h3f1a2014, 32'h3f041b7f,32'h3f2176f1,// invsqrt(3.0417) = 0.5734 +32'h3fa5bfd5,32'h3f5c77c0,32'h3f65776a, 32'h3f55b801,32'h3f6c3729, 32'h3f4a786c,32'h3f7776be,// invsqrt(1.2949) = 0.8788 +32'h4048ce5b,32'h3f0da250,32'h3f136a3e, 32'h3f094c5d,32'h3f17c031, 32'h3f021273,32'h3f1efa1b,// invsqrt(3.1376) = 0.5645 +32'h3f92386b,32'h3f6abaab,32'h3f744f59, 32'h3f638b28,32'h3f7b7edc, 32'h3f57914f,32'h3f83bc5b,// invsqrt(1.1423) = 0.9356 +32'h407b1f0d,32'h3efd4e27,32'h3f03d278, 32'h3ef58d12,32'h3f07b303, 32'h3ee8a098,32'h3f0e2940,// invsqrt(3.9238) = 0.5048 +32'h3f653231,32'h3f84927c,32'h3f89fbba, 32'h3f80838d,32'h3f8e0aa9, 32'h3f737ffd,32'h3f94ce37,// invsqrt(0.8953) = 1.0569 +32'h4015ab9b,32'h3f240df4,32'h3f2ac028, 32'h3f1f084d,32'h3f2fc5cf, 32'h3f16a98d,32'h3f38248f,// invsqrt(2.3386) = 0.6539 +32'h3f1656fe,32'h3fa3b057,32'h3faa5eb8, 32'h3f9ead8d,32'h3faf6181, 32'h3f965393,32'h3fb7bb7b,// invsqrt(0.5873) = 1.3049 +32'h3f82519c,32'h3f78a354,32'h3f8164ac, 32'h3f7106d2,32'h3f8532ed, 32'h3f64574d,32'h3f8b8aaf,// invsqrt(1.0181) = 0.9911 +32'h3f19ec41,32'h3fa1c5bd,32'h3fa86018, 32'h3f9cd1f8,32'h3fad53dc, 32'h3f949106,32'h3fb594ce,// invsqrt(0.6013) = 1.2896 +32'h3f59f610,32'h3f87f22d,32'h3f8d7ead, 32'h3f83c8ce,32'h3f91a80c, 32'h3f79b25e,32'h3f9897ab,// invsqrt(0.8514) = 1.0838 +32'h3df400fb,32'h4035b51b,32'h403d1fc3, 32'h4030251d,32'h4042afc1, 32'h4026dfca,32'h404bf514,// invsqrt(0.1191) = 2.8971 +32'h3f8bd6bc,32'h3f700680,32'h3f79d285, 32'h3f68ad7c,32'h3f8095c4, 32'h3f5c6e75,32'h3f86b548,// invsqrt(1.0925) = 0.9567 +32'h3f9165b1,32'h3f6b6487,32'h3f750024, 32'h3f642fd1,32'h3f7c34d9, 32'h3f582d4c,32'h3f841baf,// invsqrt(1.1359) = 0.9383 +32'h3f29a659,32'h3f9a177c,32'h3fa06196, 32'h3f955fe9,32'h3fa51929, 32'h3f8d8348,32'h3facf5ca,// invsqrt(0.6627) = 1.2284 +32'h3ec8328f,32'h3fc89ae0,32'h3fd0cafe, 32'h3fc276c9,32'h3fd6ef15, 32'h3fb83aa4,32'h3fe12b3a,// invsqrt(0.3910) = 1.5992 +32'h3e966639,32'h3fe771f6,32'h3ff0e454, 32'h3fe05c30,32'h3ff7fa1a, 32'h3fd48d3b,32'h4001e488,// invsqrt(0.2937) = 1.8451 +32'h40498c2c,32'h3f0d5f8e,32'h3f1324c2, 32'h3f090ba6,32'h3f1778aa, 32'h3f01d524,32'h3f1eaf2c,// invsqrt(3.1492) = 0.5635 +32'h3b617522,32'h4185aab5,32'h418b1f63, 32'h41819332,32'h418f36e6, 32'h417582af,32'h419608c0,// invsqrt(0.0034) = 17.0493 +32'h3f08a9a2,32'h3fabaf3d,32'h3fb2b12b, 32'h3fa66dcb,32'h3fb7f29d, 32'h3f9dab62,32'h3fc0b506,// invsqrt(0.5338) = 1.3687 +32'h40112b6f,32'h3f269403,32'h3f2d6095, 32'h3f217a95,32'h3f327a03, 32'h3f18fade,32'h3f3af9ba,// invsqrt(2.2683) = 0.6640 +32'h3ec074a1,32'h3fcc999e,32'h3fd4f37a, 32'h3fc65638,32'h3fdb36e0, 32'h3fbbe5e4,32'h3fe5a734,// invsqrt(0.3759) = 1.6311 +32'h407a6bce,32'h3efda8bf,32'h3f04019d, 32'h3ef5e4e3,32'h3f07e38b, 32'h3ee8f3c9,32'h3f0e5c17,// invsqrt(3.9128) = 0.5055 +32'h3fc21035,32'h3f4bc034,32'h3f541130, 32'h3f458376,32'h3f5a4dee, 32'h3f3b1e3a,32'h3f64b32a,// invsqrt(1.5161) = 0.8121 +32'h4105b455,32'h3ead92ca,32'h3eb4a874, 32'h3ea8428a,32'h3eb9f8b4, 32'h3e9f6776,32'h3ec2d3c9,// invsqrt(8.3565) = 0.3459 +32'h3f6cd905,32'h3f8269c5,32'h3f87bc74, 32'h3f7cd782,32'h3f8bba77, 32'h3f6f88cc,32'h3f9261d2,// invsqrt(0.9252) = 1.0396 +32'h3efadd10,32'h3fb334b5,32'h3fba8539, 32'h3fadb851,32'h3fc0019d, 32'h3fa493ab,32'h3fc92643,// invsqrt(0.4900) = 1.4286 +32'h3eb5022d,32'h3fd2f86d,32'h3fdb94d9, 32'h3fcc831b,32'h3fe20a2b, 32'h3fc1bf93,32'h3feccdb3,// invsqrt(0.3535) = 1.6818 +32'h40ed460f,32'h3eb84436,32'h3ebfc99b, 32'h3eb2a029,32'h3ec56da7, 32'h3ea9396a,32'h3eced466,// invsqrt(7.4148) = 0.3672 +32'h3f490dc7,32'h3f8d8bf7,32'h3f9352fb, 32'h3f8936b3,32'h3f97a83f, 32'h3f81fded,32'h3f9ee105,// invsqrt(0.7854) = 1.1284 +32'h3f915d7c,32'h3f6b6b2c,32'h3f75070e, 32'h3f643642,32'h3f7c3bf8, 32'h3f583367,32'h3f841f6a,// invsqrt(1.1357) = 0.9384 +32'h3fb74195,32'h3f51ac30,32'h3f5a3b0e, 32'h3f4b410a,32'h3f60a634, 32'h3f408e76,32'h3f6b58c9,// invsqrt(1.4317) = 0.8357 +32'h3fdbe15b,32'h3f3f6a74,32'h3f473a8e, 32'h3f398e61,32'h3f4d16a1, 32'h3f2fca41,32'h3f56dac1,// invsqrt(1.7178) = 0.7630 +32'h40826250,32'h3ef89367,32'h3f015c62, 32'h3ef0f761,32'h3f052a65, 32'h3ee448ac,32'h3f0b81bf,// invsqrt(4.0745) = 0.4954 +32'h3fe2acf2,32'h3f3c865e,32'h3f443843, 32'h3f36c0f3,32'h3f49fdaf, 32'h3f2d2296,32'h3f539c0c,// invsqrt(1.7709) = 0.7515 +32'h3e839785,32'h3ff76eaf,32'h4000c40d, 32'h3fefdb9f,32'h40048d94, 32'h3fe33bda,32'h400add77,// invsqrt(0.2570) = 1.9725 +32'h3e334e7e,32'h4015e289,32'h401c00ad, 32'h40114bed,32'h40209749, 32'h4009a63f,32'h40283cf7,// invsqrt(0.1751) = 2.3897 +32'h3e96f2d4,32'h3fe70612,32'h3ff07408, 32'h3fdff399,32'h3ff78681, 32'h3fd42a25,32'h4001a7fa,// invsqrt(0.2948) = 1.8417 +32'h400fa708,32'h3f27749d,32'h3f2e4a5b, 32'h3f22544f,32'h3f336aa9, 32'h3f19c923,32'h3f3bf5d5,// invsqrt(2.2446) = 0.6675 +32'h3e1ceaa1,32'h402038ca,32'h4026c2f2, 32'h401b512c,32'h402baa90, 32'h4013247b,32'h4033d741,// invsqrt(0.1532) = 2.5546 +32'h3f6e0746,32'h3f8216dd,32'h3f87662a, 32'h3f7c36c8,32'h3f8b61a4, 32'h3f6ef087,32'h3f9204c4,// invsqrt(0.9298) = 1.0371 +32'h40365ed1,32'h3f149edd,32'h3f1aafcb, 32'h3f101229,32'h3f1f3c7f, 32'h3f087cff,32'h3f26d1a9,// invsqrt(2.8495) = 0.5924 +32'h3f792a26,32'h3f7e4c45,32'h3f8456b6, 32'h3f768367,32'h3f883b25, 32'h3f6989f6,32'h3f8eb7dd,// invsqrt(0.9733) = 1.0136 +32'h3f839a90,32'h3f776bd3,32'h3f80c290, 32'h3f6fd8d9,32'h3f848c0c, 32'h3f63393a,32'h3f8adbdc,// invsqrt(1.0282) = 0.9862 +32'h3efe294d,32'h3fb20a1b,32'h3fb94e6f, 32'h3fac96db,32'h3fbec1af, 32'h3fa38171,32'h3fc7d719,// invsqrt(0.4964) = 1.4193 +32'h3f242afa,32'h3f9ca4b2,32'h3fa30976, 32'h3f97d920,32'h3fa7d508, 32'h3f8fdb2c,32'h3fafd2fc,// invsqrt(0.6413) = 1.2488 +32'h3f52456e,32'h3f8a68da,32'h3f900f17, 32'h3f862c2c,32'h3f944bc4, 32'h3f7e38be,32'h3f9b5b91,// invsqrt(0.8214) = 1.1034 +32'h3f7e58f6,32'h3f7bb194,32'h3f82fbc2, 32'h3f73fd1f,32'h3f86d5fd, 32'h3f6725b1,32'h3f8d41b3,// invsqrt(0.9935) = 1.0032 +32'h40a02594,32'h3ee04a81,32'h3ee9721d, 32'h3ed96ccb,32'h3ef04fd3, 32'h3ecdfb47,32'h3efbc157,// invsqrt(5.0046) = 0.4470 +32'h3f655b67,32'h3f848692,32'h3f89ef54, 32'h3f807800,32'h3f8dfde6, 32'h3f736a1c,32'h3f94c0d8,// invsqrt(0.8959) = 1.0565 +32'h42605641,32'h3e060011,32'h3e0b783b, 32'h3e01e5f1,32'h3e0f925b, 32'h3df61f78,32'h3e166890,// invsqrt(56.0842) = 0.1335 +32'h3e9a1fac,32'h3fe4a1b2,32'h3fedf6a9, 32'h3fdda1f8,32'h3ff4f662, 32'h3fd1f7c2,32'h4000504c,// invsqrt(0.3010) = 1.8226 +32'h40000f84,32'h3f315b62,32'h3f389896, 32'h3f2bed7c,32'h3f3e067c, 32'h3f22e0fc,32'h3f4712fc,// invsqrt(2.0009) = 0.7069 +32'h419b3f48,32'h3e63cd87,32'h3e6d19d6, 32'h3e5cd44d,32'h3e741311, 32'h3e5134ea,32'h3e7fb274,// invsqrt(19.4059) = 0.2270 +32'h3f98defb,32'h3f659104,32'h3f6eefc0, 32'h3f5e89f7,32'h3f75f6cd, 32'h3f52d38b,32'h3f80d69c,// invsqrt(1.1943) = 0.9150 +32'h3e9b793b,32'h3fe3a30f,32'h3feceda2, 32'h3fdcab21,32'h3ff3e591, 32'h3fd10dea,32'h3fff82c8,// invsqrt(0.3037) = 1.8147 +32'h3fdf1bc6,32'h3f3e06a7,32'h3f45c83a, 32'h3f383577,32'h3f4b9969, 32'h3f2e837e,32'h3f554b62,// invsqrt(1.7430) = 0.7574 +32'h40acdabe,32'h3ed7e39c,32'h3ee0b36f, 32'h3ed147bf,32'h3ee74f4d, 32'h3ec643f9,32'h3ef25313,// invsqrt(5.4017) = 0.4303 +32'h3fd15ef9,32'h3f44293b,32'h3f4c2ae9, 32'h3f3e27f8,32'h3f522c2c, 32'h3f3425dd,32'h3f5c2e47,// invsqrt(1.6357) = 0.7819 +32'h4026e6ee,32'h3f1b5ae0,32'h3f21b22d, 32'h3f169966,32'h3f2673a6, 32'h3f0eac45,32'h3f2e60c7,// invsqrt(2.6078) = 0.6192 +32'h3f72c899,32'h3f80cf1e,32'h3f86110a, 32'h3f79bb59,32'h3f8a027b, 32'h3f6c968a,32'h3f9094e3,// invsqrt(0.9484) = 1.0269 +32'h3f2a7fb0,32'h3f99b526,32'h3f9ffb3d, 32'h3f950096,32'h3fa4afce, 32'h3f8d28fa,32'h3fac876a,// invsqrt(0.6660) = 1.2253 +32'h400c6567,32'h3f2962ea,32'h3f304cd4, 32'h3f24337a,32'h3f357c44, 32'h3f1b8f16,32'h3f3e20a9,// invsqrt(2.1937) = 0.6752 +32'h3e963ccf,32'h3fe791da,32'h3ff10585, 32'h3fe07b1a,32'h3ff81c46, 32'h3fd4aa85,32'h4001f66e,// invsqrt(0.2934) = 1.8461 +32'h3fe34853,32'h3f3c45e2,32'h3f43f525, 32'h3f368271,32'h3f49b897, 32'h3f2ce75d,32'h3f5353ab,// invsqrt(1.7756) = 0.7505 +32'h3fa41c88,32'h3f5d90b2,32'h3f669bd4, 32'h3f56c859,32'h3f6d642d, 32'h3f4b7a70,32'h3f78b217,// invsqrt(1.2821) = 0.8832 +32'h3f88423d,32'h3f73287d,32'h3f7d153e, 32'h3f6bb6ec,32'h3f824367, 32'h3f5f4efa,32'h3f887760,// invsqrt(1.0645) = 0.9692 +32'h3fb7ff44,32'h3f514001,32'h3f59ca74, 32'h3f4ad82b,32'h3f60324b, 32'h3f402b1c,32'h3f6adf5b,// invsqrt(1.4375) = 0.8341 +32'h40de1961,32'h3ebe7511,32'h3ec63b26, 32'h3eb8a080,32'h3ecc0fb6, 32'h3eaee8e5,32'h3ed5c751,// invsqrt(6.9406) = 0.3796 +32'h400ec9ca,32'h3f27f627,32'h3f2ed12d, 32'h3f22d1e2,32'h3f33f572, 32'h3f1a4019,32'h3f3c873b,// invsqrt(2.2311) = 0.6695 +32'h3fa4af88,32'h3f5d2db9,32'h3f6634d1, 32'h3f566868,32'h3f6cfa22, 32'h3f4b1f8b,32'h3f7842ff,// invsqrt(1.2866) = 0.8816 +32'h3e103920,32'h40271fb8,32'h402df1fe, 32'h40220203,32'h40330fb3, 32'h40197b2c,32'h403b968b,// invsqrt(0.1408) = 2.6646 +32'h3f87cce7,32'h3f739172,32'h3f7d827c, 32'h3f6c1cab,32'h3f827ba2, 32'h3f5faf5e,32'h3f88b248,// invsqrt(1.0609) = 0.9709 +32'h40002cb4,32'h3f31472f,32'h3f38838f, 32'h3f2bd9e7,32'h3f3df0d7, 32'h3f22ce6f,32'h3f46fc4f,// invsqrt(2.0027) = 0.7066 +32'h3e5785c1,32'h4008b686,32'h400e4b08, 32'h40048723,32'h40127a6b, 32'h3ffb1b00,32'h4019740e,// invsqrt(0.2105) = 2.1797 +32'h3f44f5d1,32'h3f8f0297,32'h3f94d8e7, 32'h3f8aa1dc,32'h3f9939a2, 32'h3f8355f9,32'h3fa08585,// invsqrt(0.7694) = 1.1401 +32'h3eb889fe,32'h3fd0f14b,32'h3fd97888, 32'h3fca8bde,32'h3fdfddf6, 32'h3fbfe2d3,32'h3fea8701,// invsqrt(0.3604) = 1.6657 +32'h3de3f30d,32'h403bff54,32'h4043abb6, 32'h40363e0b,32'h40496cff, 32'h402ca691,32'h40530479,// invsqrt(0.1113) = 2.9974 +32'h3e6484f0,32'h4004c4b3,32'h400a2fff, 32'h4000b43b,32'h400e4077, 32'h3ff3dc3a,32'h40150695,// invsqrt(0.2232) = 2.1168 +32'h3eee9812,32'h3fb7c181,32'h3fbf4191, 32'h3fb22175,32'h3fc4e19d, 32'h3fa8c162,32'h3fce41b0,// invsqrt(0.4660) = 1.4649 +32'h3f44b32a,32'h3f8f1ad0,32'h3f94f21c, 32'h3f8ab956,32'h3f995396, 32'h3f836c37,32'h3fa0a0b5,// invsqrt(0.7684) = 1.1408 +32'h3e9650f2,32'h3fe78257,32'h3ff0f55f, 32'h3fe06c10,32'h3ff80ba6, 32'h3fd49c45,32'h4001edb8,// invsqrt(0.2936) = 1.8456 +32'h3f8f7c6a,32'h3f6cf489,32'h3f76a079, 32'h3f65b394,32'h3f7de16e, 32'h3f599ca7,32'h3f84fc2d,// invsqrt(1.1210) = 0.9445 +32'h40290518,32'h3f1a60ec,32'h3f20ae06, 32'h3f15a719,32'h3f2567d9, 32'h3f0dc6ba,32'h3f2d4838,// invsqrt(2.6409) = 0.6153 +32'h3d7c842b,32'h407c9aca,32'h4083751f, 32'h4074df31,32'h408752ec, 32'h4067fbdd,32'h408dc495,// invsqrt(0.0616) = 4.0275 +32'h3f19926f,32'h3fa1f505,32'h3fa8914e, 32'h3f9cffcd,32'h3fad8685, 32'h3f94bc72,32'h3fb5c9e0,// invsqrt(0.5999) = 1.2911 +32'h400fc59b,32'h3f2762ce,32'h3f2e37d2, 32'h3f22430c,32'h3f335794, 32'h3f19b8c8,32'h3f3be1d8,// invsqrt(2.2464) = 0.6672 +32'h3e8371d9,32'h3ff79221,32'h4000d67f, 32'h3feffdfc,32'h4004a092, 32'h3fe35c68,32'h400af15c,// invsqrt(0.2567) = 1.9736 +32'h4055158c,32'h3f097e32,32'h3f0f1adc, 32'h3f0548b3,32'h3f13505b, 32'h3efc89c0,32'h3f1a542e,// invsqrt(3.3294) = 0.5480 +32'h408113ed,32'h3ef9d492,32'h3f020385, 32'h3ef22eb7,32'h3f05d673, 32'h3ee56fa0,32'h3f0c35fe,// invsqrt(4.0337) = 0.4979 +32'h3f4316c2,32'h3f8fb1c2,32'h3f958f38, 32'h3f8b4baa,32'h3f99f550, 32'h3f83f6d7,32'h3fa14a23,// invsqrt(0.7621) = 1.1455 +32'h3f2df268,32'h3f982d31,32'h3f9e6348, 32'h3f9384a0,32'h3fa30bda, 32'h3f8bc104,32'h3faacf76,// invsqrt(0.6795) = 1.2131 +32'h407ba91d,32'h3efd08a2,32'h3f03ae4a, 32'h3ef549ae,32'h3f078dc5, 32'h3ee860c0,32'h3f0e023c,// invsqrt(3.9322) = 0.5043 +32'h3feeaad4,32'h3f37ba49,32'h3f3f3a0d, 32'h3f321a76,32'h3f44d9e0, 32'h3f28bac0,32'h3f4e3996,// invsqrt(1.8646) = 0.7323 +32'h3f9934c6,32'h3f6550b4,32'h3f6eacd0, 32'h3f5e4b9f,32'h3f75b1e5, 32'h3f52987b,32'h3f80b284,// invsqrt(1.1969) = 0.9140 +32'h3f0a5e22,32'h3faa9f99,32'h3fb19670, 32'h3fa56677,32'h3fb6cf91, 32'h3f9cb1ea,32'h3fbf841e,// invsqrt(0.5405) = 1.3602 +32'h3f95e6ba,32'h3f67d44e,32'h3f714ab0, 32'h3f60bb85,32'h3f786379, 32'h3f54e78c,32'h3f821bb9,// invsqrt(1.1711) = 0.9241 +32'h3fc9aa94,32'h3f47df83,32'h3f5007fb, 32'h3f41c129,32'h3f562655, 32'h3f378e92,32'h3f6058ec,// invsqrt(1.5755) = 0.7967 +32'h403ee6e2,32'h3f1142fa,32'h3f1730d0, 32'h3f0cd09a,32'h3f1ba330, 32'h3f05674e,32'h3f230c7c,// invsqrt(2.9828) = 0.5790 +32'h3f7e8854,32'h3f7b9a28,32'h3f82ef92, 32'h3f73e66a,32'h3f86c971, 32'h3f67102f,32'h3f8d348e,// invsqrt(0.9943) = 1.0029 +32'h3f57b0e0,32'h3f88a8db,32'h3f8e3ccf, 32'h3f8479e4,32'h3f926bc6, 32'h3f7b01e6,32'h3f9964b7,// invsqrt(0.8425) = 1.0894 +32'h405f2383,32'h3f065c0c,32'h3f0bd7f8, 32'h3f023f1c,32'h3f0ff4e8, 32'h3ef6c86a,32'h3f16cfcf,// invsqrt(3.4865) = 0.5356 +32'h40a95519,32'h3eda1f6d,32'h3ee30696, 32'h3ed3720e,32'h3ee9b3f4, 32'h3ec8511a,32'h3ef4d4e8,// invsqrt(5.2916) = 0.4347 +32'h3dec4028,32'h4038aa3c,32'h404033cc, 32'h40330311,32'h4045daf7, 32'h4029971d,32'h404f46eb,// invsqrt(0.1154) = 2.9443 +32'h3fc4bea5,32'h3f4a5b8b,32'h3f529df9, 32'h3f4429b8,32'h3f58cfcc, 32'h3f39d6af,32'h3f6322d5,// invsqrt(1.5371) = 0.8066 +32'h3f877d30,32'h3f73d90f,32'h3f7dcd05, 32'h3f6c6216,32'h3f82a1ff, 32'h3f5ff123,32'h3f88da79,// invsqrt(1.0585) = 0.9720 +32'h3f980a5c,32'h3f663151,32'h3f6f9698, 32'h3f5f255b,32'h3f76a28d, 32'h3f5366c2,32'h3f813093,// invsqrt(1.1878) = 0.9175 +32'h3f1e50c2,32'h3f9f832b,32'h3fa605e9, 32'h3f9aa11d,32'h3faae7f7, 32'h3f927daf,32'h3fb30b65,// invsqrt(0.6184) = 1.2716 +32'h3f8263d1,32'h3f7891f8,32'h3f815ba3, 32'h3f70f5fd,32'h3f8529a0, 32'h3f64475c,32'h3f8b80f1,// invsqrt(1.0187) = 0.9908 +32'h3f703a5b,32'h3f817e0e,32'h3f86c71e, 32'h3f7b0e83,32'h3f8abdea, 32'h3f6dd7db,32'h3f91593f,// invsqrt(0.9384) = 1.0323 +32'h3c92aba2,32'h40ea5e67,32'h40f3ef51, 32'h40e331b7,32'h40fb1c01, 32'h40d73c93,32'h41038893,// invsqrt(0.0179) = 7.4735 +32'h3f03d3f1,32'h3faecded,32'h3fb5f075, 32'h3fa97408,32'h3fbb4a5a, 32'h3fa088df,32'h3fc43583,// invsqrt(0.5150) = 1.3935 +32'h3fafdbcb,32'h3f560986,32'h3f5ec5fe, 32'h3f4f7c2c,32'h3f655358, 32'h3f449095,32'h3f703eef,// invsqrt(1.3739) = 0.8531 +32'h3ffb329f,32'h3f33162d,32'h3f3a6573, 32'h3f2d9ab9,32'h3f3fe0e7, 32'h3f2477a2,32'h3f4903fe,// invsqrt(1.9625) = 0.7138 +32'h3e680e41,32'h4003c0b9,32'h40092167, 32'h3fff706b,32'h400d29ea, 32'h3ff1feb6,32'h4013e2c5,// invsqrt(0.2266) = 2.1007 +32'h4022275c,32'h3f1d9cf9,32'h3f240bdf, 32'h3f18c9cd,32'h3f28df0b, 32'h3f10bf2e,32'h3f30e9aa,// invsqrt(2.5337) = 0.6282 +32'h3f32a3f6,32'h3f962a02,32'h3f9c4b12, 32'h3f919136,32'h3fa0e3de, 32'h3f89e7e3,32'h3fa88d31,// invsqrt(0.6978) = 1.1971 +32'h3fd4583e,32'h3f42c85d,32'h3f4abba5, 32'h3f3cd1e7,32'h3f50b21b, 32'h3f32e1ce,32'h3f5aa234,// invsqrt(1.6589) = 0.7764 +32'h3fa7dcbc,32'h3f5b136b,32'h3f640489, 32'h3f545e94,32'h3f6ab960, 32'h3f49312e,32'h3f75e6c6,// invsqrt(1.3114) = 0.8732 +32'h40616c5a,32'h3f05ad50,32'h3f0b2219, 32'h3f0195b8,32'h3f0f39b0, 32'h3ef58777,32'h3f160bac,// invsqrt(3.5222) = 0.5328 +32'h40255e20,32'h3f1c12f5,32'h3f2271c6, 32'h3f174bd9,32'h3f2738e3, 32'h3f0f5555,32'h3f2f2f67,// invsqrt(2.5839) = 0.6221 +32'h3fb4b0d6,32'h3f5327e4,32'h3f5bc640, 32'h3f4cb11e,32'h3f623d06, 32'h3f41eb2a,32'h3f6d02fa,// invsqrt(1.4116) = 0.8417 +32'h409d451b,32'h3ee25549,32'h3eeb923d, 32'h3edb6793,32'h3ef27ff3, 32'h3ecfdb63,32'h3efe0c23,// invsqrt(4.9147) = 0.4511 +32'h3e7f8c6b,32'h3ffb19fd,32'h4002acdf, 32'h3ff36a2c,32'h400684c8, 32'h3fe69a7b,32'h400ceca0,// invsqrt(0.2496) = 2.0018 +32'h3f26618f,32'h3f9b9917,32'h3fa1f2ef, 32'h3f96d5b6,32'h3fa6b650, 32'h3f8ee569,32'h3faea69d,// invsqrt(0.6499) = 1.2404 +32'h3f934fca,32'h3f69dbad,32'h3f736741, 32'h3f62b2fe,32'h3f7a8ff0, 32'h3f56c485,32'h3f833f35,// invsqrt(1.1509) = 0.9322 +32'h3f4d1aaa,32'h3f8c2464,32'h3f91dcbc, 32'h3f87da22,32'h3f9626fe, 32'h3f80b3b5,32'h3f9d4d6b,// invsqrt(0.8012) = 1.1172 +32'h408b552e,32'h3ef075fd,32'h3efa468f, 32'h3ee9198f,32'h3f00d17e, 32'h3edcd4d9,32'h3f06f3da,// invsqrt(4.3541) = 0.4792 +32'h3ec67056,32'h3fc97df1,32'h3fd1b753, 32'h3fc352e7,32'h3fd7e25d, 32'h3fb90b2b,32'h3fe22a19,// invsqrt(0.3876) = 1.6063 +32'h3e78916c,32'h3ffe9a58,32'h40047f58, 32'h3ff6cf17,32'h400864f8, 32'h3fe9d1aa,32'h400ee3af,// invsqrt(0.2427) = 2.0297 +32'h3f2f4019,32'h3f979c0b,32'h3f9dcc35, 32'h3f92f7eb,32'h3fa27055, 32'h3f8b3bb6,32'h3faa2c8a,// invsqrt(0.6846) = 1.2086 +32'h3fdc0eca,32'h3f3f56b1,32'h3f4725fc, 32'h3f397b38,32'h3f4d0174, 32'h3f2fb81a,32'h3f56c492,// invsqrt(1.7192) = 0.7627 +32'h3f853ffd,32'h3f75e35a,32'h3f7feca2, 32'h3f6e5c64,32'h3f83b9cc, 32'h3f61d0cb,32'h3f89ff98,// invsqrt(1.0410) = 0.9801 +32'h3eca4260,32'h3fc79474,32'h3fcfb9dc, 32'h3fc17866,32'h3fd5d5ea, 32'h3fb749a4,32'h3fe004ac,// invsqrt(0.3950) = 1.5910 +32'h4090c30c,32'h3eebe89e,32'h3ef589a0, 32'h3ee4afdd,32'h3efcc261, 32'h3ed8a69c,32'h3f0465d1,// invsqrt(4.5238) = 0.4702 +32'h418c61ad,32'h3e6f8f9a,32'h3e7956c4, 32'h3e683a39,32'h3e805612, 32'h3e5c0144,32'h3e86728d,// invsqrt(17.5477) = 0.2387 +32'h3f714236,32'h3f81372b,32'h3f867d56, 32'h3f7a8513,32'h3f8a71f6, 32'h3f6d55a6,32'h3f9109ad,// invsqrt(0.9424) = 1.0301 +32'h3e8c430d,32'h3fefa9c0,32'h3ff971fc, 32'h3fe85393,32'h40006415, 32'h3fdc1948,32'h4006813a,// invsqrt(0.2739) = 1.9106 +32'h3f8763b9,32'h3f73effc,32'h3f7de4e2, 32'h3f6c7850,32'h3f82ae47, 32'h3f600631,32'h3f88e757,// invsqrt(1.0577) = 0.9723 +32'h41aec2c6,32'h3e56b558,32'h3e5f78d4, 32'h3e5022bb,32'h3e660b71, 32'h3e452e61,32'h3e70ffcb,// invsqrt(21.8451) = 0.2140 +32'h3fafdf78,32'h3f560749,32'h3f5ec3ab, 32'h3f4f7a01,32'h3f6550f3, 32'h3f448e87,32'h3f703c6d,// invsqrt(1.3740) = 0.8531 +32'h41206fac,32'h3e9e7460,32'h3ea4ec10, 32'h3e999a9c,32'h3ea9c5d4, 32'h3e9184ff,32'h3eb1db71,// invsqrt(10.0273) = 0.3158 +32'h3eecc75d,32'h3fb8757c,32'h3fbffce4, 32'h3fb2cfee,32'h3fc5a272, 32'h3fa966ab,32'h3fcf0bb5,// invsqrt(0.4625) = 1.4705 +32'h3eaaac72,32'h3fd94398,32'h3fe221c8, 32'h3fd29cf4,32'h3fe8c86c, 32'h3fc78738,32'h3ff3de28,// invsqrt(0.3333) = 1.7320 +32'h3f5f267e,32'h3f865b26,32'h3f8bd708, 32'h3f823e3c,32'h3f8ff3f2, 32'h3f76c6c3,32'h3f96cecc,// invsqrt(0.8717) = 1.0711 +32'h4109b0f5,32'h3eab0ac3,32'h3eb205fa, 32'h3ea5ce5a,32'h3eb74264, 32'h3e9d1456,32'h3ebffc69,// invsqrt(8.6057) = 0.3409 +32'h3efceed6,32'h3fb278a5,32'h3fb9c17d, 32'h3fad0203,32'h3fbf381f, 32'h3fa3e6f6,32'h3fc8532c,// invsqrt(0.4940) = 1.4228 +32'h3f3fcceb,32'h3f90ebc4,32'h3f96d60a, 32'h3f8c7c0f,32'h3f9b45bf, 32'h3f851736,32'h3fa2aa98,// invsqrt(0.7492) = 1.1553 +32'h3f8e0c3c,32'h3f6e26d9,32'h3f77df4b, 32'h3f66dc84,32'h3f7f29a0, 32'h3f5ab5f7,32'h3f85a817,// invsqrt(1.1097) = 0.9493 +32'h3dda8906,32'h40400106,32'h4047d745, 32'h403a2057,32'h404db7f5, 32'h40305489,32'h405783c3,// invsqrt(0.1067) = 3.0613 +32'h3f875403,32'h3f73fe25,32'h3f7df39f, 32'h3f6c860a,32'h3f82b5dd, 32'h3f601332,32'h3f88ef49,// invsqrt(1.0573) = 0.9725 +32'h3e09ecfb,32'h402ae588,32'h4031df3a, 32'h4025aa43,32'h40371a7f, 32'h401cf224,32'h403fd29e,// invsqrt(0.1347) = 2.7248 +32'h4088424f,32'h3ef3286d,32'h3efd152d, 32'h3eebb6dc,32'h3f02435f, 32'h3edf4eec,32'h3f087757,// invsqrt(4.2581) = 0.4846 +32'h40460336,32'h3f0ea12e,32'h3f147383, 32'h3f0a436d,32'h3f18d143, 32'h3f02fc82,32'h3f20182e,// invsqrt(3.0939) = 0.5685 +32'h3f04034b,32'h3faeae91,32'h3fb5cfd1, 32'h3fa955a2,32'h3fbb28c0, 32'h3fa06c12,32'h3fc41250,// invsqrt(0.5157) = 1.3926 +32'h3e220ae5,32'h401daad1,32'h40241a47, 32'h4018d738,32'h4028ede0, 32'h4010cbe4,32'h4030f934,// invsqrt(0.1582) = 2.5138 +32'h3f5b3752,32'h3f878e6c,32'h3f8d16d8, 32'h3f83681a,32'h3f913d2a, 32'h3f78fb24,32'h3f9827b2,// invsqrt(0.8563) = 1.0806 +32'h3f6da2d5,32'h3f823259,32'h3f8782c5, 32'h3f7c6c10,32'h3f8b7f16, 32'h3f6f2301,32'h3f92239d,// invsqrt(0.9283) = 1.0379 +32'h4077d405,32'h3efefb90,32'h3f04b1f0, 32'h3ef72d55,32'h3f08990d, 32'h3eea2af2,32'h3f0f1a3f,// invsqrt(3.8723) = 0.5082 +32'h3e070919,32'h402cb73d,32'h4033c3f1, 32'h40276db6,32'h40390d78, 32'h401e9dd5,32'h4041dd59,// invsqrt(0.1319) = 2.7538 +32'h3ede9fd8,32'h3fbe3b83,32'h3fc5ff3f, 32'h3fb868b6,32'h3fcbd20c, 32'h3faeb40a,32'h3fd586b8,// invsqrt(0.4348) = 1.5165 +32'h3f53cd99,32'h3f89e87b,32'h3f8f897b, 32'h3f85afbb,32'h3f93c23b, 32'h3f7d4cf7,32'h3f9acb7a,// invsqrt(0.8274) = 1.0994 +32'h408bb7d1,32'h3ef0210d,32'h3ef9ee27, 32'h3ee8c739,32'h3f00a3fe, 32'h3edc86d7,32'h3f06c42e,// invsqrt(4.3662) = 0.4786 +32'h3fb43fe3,32'h3f536a02,32'h3f5c0b11, 32'h3f4cf135,32'h3f6283dd, 32'h3f4227e2,32'h3f6d4d30,// invsqrt(1.4082) = 0.8427 +32'h408fe57e,32'h3eec9df5,32'h3ef6465d, 32'h3ee55fa7,32'h3efd84ab, 32'h3ed94d25,32'h3f04cb97,// invsqrt(4.4968) = 0.4716 +32'h3f8f5b46,32'h3f6d0feb,32'h3f76bcf9, 32'h3f65ce20,32'h3f7dfec4, 32'h3f59b5cd,32'h3f850b8c,// invsqrt(1.1200) = 0.9449 +32'h3fe4d700,32'h3f3ba19b,32'h3f434a29, 32'h3f35e330,32'h3f490894, 32'h3f2c507f,32'h3f529b45,// invsqrt(1.7878) = 0.7479 +32'h3fd65278,32'h3f41e1cb,32'h3f49cba9, 32'h3f3bf264,32'h3f4fbb10, 32'h3f320e0e,32'h3f599f66,// invsqrt(1.6744) = 0.7728 +32'h41352f8f,32'h3e951b09,32'h3e9b3109, 32'h3e908a89,32'h3e9fc189, 32'h3e88ef08,32'h3ea75d0a,// invsqrt(11.3241) = 0.2972 +32'h3f9745f6,32'h3f66c68e,32'h3f7031ed, 32'h3f5fb608,32'h3f774274, 32'h3f53efd1,32'h3f818455,// invsqrt(1.1818) = 0.9199 +32'h3e0d1fa5,32'h4028f300,32'h402fd858, 32'h4023c6fd,32'h4035045b, 32'h401b284e,32'h403da30a,// invsqrt(0.1378) = 2.6937 +32'h3f268bff,32'h3f9b8543,32'h3fa1de4b, 32'h3f96c27d,32'h3fa6a111, 32'h3f8ed333,32'h3fae905b,// invsqrt(0.6506) = 1.2398 +32'h401071e5,32'h3f26fedd,32'h3f2dcfcb, 32'h3f21e229,32'h3f32ec7f, 32'h3f195cff,32'h3f3b71a9,// invsqrt(2.2570) = 0.6656 +32'h3f3ddf48,32'h3f91a7ad,32'h3f97999f, 32'h3f8d3237,32'h3f9c0f15, 32'h3f85c3c9,32'h3fa37d83,// invsqrt(0.7417) = 1.1612 +32'h4114ed57,32'h3ea4769f,32'h3eab2d18, 32'h3e9f6dc3,32'h3eb035f3, 32'h3e9709ac,32'h3eb89a0b,// invsqrt(9.3079) = 0.3278 +32'h3fec8dc7,32'h3f388bee,32'h3f401440, 32'h3f32e5af,32'h3f45ba7f, 32'h3f297b48,32'h3f4f24e6,// invsqrt(1.8481) = 0.7356 +32'h3fce1c16,32'h3f45b4f7,32'h3f4dc6cc, 32'h3f3fa796,32'h3f53d42c, 32'h3f35914a,32'h3f5dea78,// invsqrt(1.6102) = 0.7881 +32'h40759619,32'h3f00126a,32'h3f054ca2, 32'h3ef84d7f,32'h3f09384d, 32'h3eeb3bf1,32'h3f0fc113,// invsqrt(3.8373) = 0.5105 +32'h3f85ffda,32'h3f753312,32'h3f7f3528, 32'h3f6db182,32'h3f835b5c, 32'h3f612ee7,32'h3f899caa,// invsqrt(1.0469) = 0.9774 +32'h3f956b85,32'h3f6833cf,32'h3f71ae17, 32'h3f61181a,32'h3f78c9cc, 32'h3f553f41,32'h3f825152,// invsqrt(1.1673) = 0.9256 +32'h408eab6d,32'h3eeda1d7,32'h3ef754da, 32'h3ee65b94,32'h3efe9b1c, 32'h3eda3bcf,32'h3f055d70,// invsqrt(4.4584) = 0.4736 +32'h3f9a1071,32'h3f64acfe,32'h3f6e026c, 32'h3f5dacec,32'h3f75027e, 32'h3f520223,32'h3f8056a4,// invsqrt(1.2036) = 0.9115 +32'h3f85eef1,32'h3f75428c,32'h3f7f4544, 32'h3f6dc083,32'h3f8363a7, 32'h3f613d1e,32'h3f89a559,// invsqrt(1.0464) = 0.9776 +32'h3f8dc235,32'h3f6e6500,32'h3f781ffa, 32'h3f6718c4,32'h3f7f6c36, 32'h3f5aef0a,32'h3f85caf8,// invsqrt(1.1075) = 0.9502 +32'h3fba8716,32'h3f4fd365,32'h3f584ef7, 32'h3f4976b8,32'h3f5eaba4, 32'h3f3edc43,32'h3f694619,// invsqrt(1.4572) = 0.8284 +32'h3f908cca,32'h3f6c14e0,32'h3f75b7b0, 32'h3f64dac4,32'h3f7cf1cc, 32'h3f58cf41,32'h3f847ea8,// invsqrt(1.1293) = 0.9410 +32'h419d0e1d,32'h3e627ce6,32'h3e6bbb77, 32'h3e5b8df8,32'h3e72aa64, 32'h3e4fffc3,32'h3e7e3899,// invsqrt(19.6319) = 0.2257 +32'h40096203,32'h3f2b3be1,32'h3f323919, 32'h3f25fdf7,32'h3f377703, 32'h3f1d4170,32'h3f40338a,// invsqrt(2.1466) = 0.6825 +32'h3fe2ea97,32'h3f3c6cc1,32'h3f441d9a, 32'h3f36a81f,32'h3f49e23d, 32'h3f2d0b10,32'h3f537f4c,// invsqrt(1.7728) = 0.7511 +32'h3ffe05d3,32'h3f321689,32'h3f395b5f, 32'h3f2ca2e8,32'h3f3ecf00, 32'h3f238cdc,32'h3f47e50c,// invsqrt(1.9846) = 0.7099 +32'h3f000775,32'h3fb160f7,32'h3fb89e65, 32'h3fabf2e5,32'h3fbe0c77, 32'h3fa2e61d,32'h3fc7193f,// invsqrt(0.5001) = 1.4141 +32'h3ecf8cc9,32'h3fc5050d,32'h3fcd0fb5, 32'h3fbefd0f,32'h3fd317b3, 32'h3fb4efbe,32'h3fdd2504,// invsqrt(0.4054) = 1.5706 +32'h3c6014a2,32'h410613af,32'h410b8ca6, 32'h4101f8f5,32'h410fa75f, 32'h40f6437f,32'h41167e95,// invsqrt(0.0137) = 8.5508 +32'h3f8d3333,32'h3f6edd9b,32'h3f789d81, 32'h3f678dad,32'h3f7fed6f, 32'h3f5b5dcd,32'h3f860ea8,// invsqrt(1.1031) = 0.9521 +32'h3fbaa291,32'h3f4fc418,32'h3f583f09, 32'h3f4967e2,32'h3f5e9b3e, 32'h3f3ece35,32'h3f6934eb,// invsqrt(1.4581) = 0.8281 +32'h40ae44cf,32'h3ed702e3,32'h3edfc989, 32'h3ed06de6,32'h3ee65e86, 32'h3ec57597,32'h3ef156d5,// invsqrt(5.4459) = 0.4285 +32'h3f81401c,32'h3f79a9da,32'h3f81ed4b, 32'h3f72054f,32'h3f85bf91, 32'h3f654866,32'h3f8c1e05,// invsqrt(1.0098) = 0.9952 +32'h3e02eff9,32'h402f65d7,32'h40368e92, 32'h402a074c,32'h403bed1e, 32'h40211463,32'h4044e007,// invsqrt(0.1279) = 2.7965 +32'h3e5a78cb,32'h4007c97b,32'h400d5451, 32'h4003a15a,32'h40117c72, 32'h3ff9679e,32'h401869fd,// invsqrt(0.2134) = 2.1650 +32'h3ee2cb64,32'h3fbc79b7,32'h3fc42b17, 32'h3fb6b4af,32'h3fc9f01f, 32'h3fad16f6,32'h3fd38dd8,// invsqrt(0.4430) = 1.5025 +32'h4148c02a,32'h3e8da751,32'h3e936f73, 32'h3e895137,32'h3e97c58d, 32'h3e82170b,32'h3e9effb9,// invsqrt(12.5469) = 0.2823 +32'h40142f64,32'h3f24dfe5,32'h3f2b9aab, 32'h3f1fd3d1,32'h3f30a6bf, 32'h3f176a5a,32'h3f391036,// invsqrt(2.3154) = 0.6572 +32'h3f2109da,32'h3f9e2873,32'h3fa49d0a, 32'h3f995102,32'h3fa9747c, 32'h3f913f46,32'h3fb18639,// invsqrt(0.6291) = 1.2608 +32'h3d33b9dd,32'h4095b5bc,32'h409bd20d, 32'h40912080,32'h40a0674a, 32'h40897d1b,32'h40a80aaf,// invsqrt(0.0439) = 4.7739 +32'h3f4199a7,32'h3f903eec,32'h3f962224, 32'h3f8bd481,32'h3f9a8c8f, 32'h3f84787a,32'h3fa1e896,// invsqrt(0.7563) = 1.1499 +32'h40089e4a,32'h3f2bb65d,32'h3f32b895, 32'h3f2674b3,32'h3f37fa3f, 32'h3f1db1ed,32'h3f40bd05,// invsqrt(2.1347) = 0.6844 +32'h3f5f0583,32'h3f866515,32'h3f8be15f, 32'h3f8247de,32'h3f8ffe96, 32'h3f76d902,32'h3f96d9f3,// invsqrt(0.8712) = 1.0714 +32'h3ed3fa23,32'h3fc2f395,32'h3fcae8a0, 32'h3fbcfbcc,32'h3fd0e068, 32'h3fb3097e,32'h3fdad2b6,// invsqrt(0.4140) = 1.5541 +32'h3e9f5a69,32'h3fe0d94f,32'h3fea06bf, 32'h3fd9f73a,32'h3ff0e8d4, 32'h3fce7e6d,32'h3ffc61a1,// invsqrt(0.3112) = 1.7925 +32'h3ea25ff4,32'h3fdebf36,32'h3fe7d6b0, 32'h3fd7ed9a,32'h3feea84c, 32'h3fcc9041,32'h3ffa05a5,// invsqrt(0.3171) = 1.7757 +32'h3f9d5bf6,32'h3f6244d9,32'h3f6b8120, 32'h3f5b57a3,32'h3f726e55, 32'h3f4fcc49,32'h3f7df9af,// invsqrt(1.2294) = 0.9019 +32'h3f471954,32'h3f8e3d6d,32'h3f940bb0, 32'h3f89e2ba,32'h3f986662, 32'h3f82a0e6,32'h3f9fa836,// invsqrt(0.7777) = 1.1339 +32'h3f9e25be,32'h3f61b451,32'h3f6aeab3, 32'h3f5acb88,32'h3f71d37c, 32'h3f4f478f,32'h3f7d5775,// invsqrt(1.2355) = 0.8997 +32'h4049eec0,32'h3f0d3d08,32'h3f1300d4, 32'h3f08ea2f,32'h3f1753ad, 32'h3f01b570,32'h3f1e886c,// invsqrt(3.1552) = 0.5630 +32'h3db94753,32'h4050866e,32'h4059094e, 32'h404a2446,32'h405f6b76, 32'h403f80ae,32'h406a0f0e,// invsqrt(0.0905) = 3.3247 +32'h402bcafe,32'h3f1920a8,32'h3f1f60ae, 32'h3f1470a2,32'h3f2410b4, 32'h3f0ca09a,32'h3f2be0bc,// invsqrt(2.6843) = 0.6104 +32'h3f68235a,32'h3f83babc,32'h3f891b2c, 32'h3f7f64d0,32'h3f8d2380, 32'h3f71f3b7,32'h3f93dc0c,// invsqrt(0.9068) = 1.0501 +32'h3f86d1a4,32'h3f747401,32'h3f7e6e4b, 32'h3f6cf84a,32'h3f82f501, 32'h3f607f6f,32'h3f89316e,// invsqrt(1.0533) = 0.9744 +32'h3fe5e0a7,32'h3f3b3511,32'h3f42d931, 32'h3f3579f9,32'h3f489449, 32'h3f2becd1,32'h3f522171,// invsqrt(1.7959) = 0.7462 +32'h3f9976c2,32'h3f651f62,32'h3f6e797b, 32'h3f5e1bd0,32'h3f757d0e, 32'h3f526b31,32'h3f8096d7,// invsqrt(1.1989) = 0.9133 +32'h3e0bcb6d,32'h4029c01a,32'h4030add2, 32'h40248dd0,32'h4035e01c, 32'h401be4aa,32'h403e8942,// invsqrt(0.1365) = 2.7065 +32'h3fa7619e,32'h3f5b63ee,32'h3f645856, 32'h3f54aca0,32'h3f6b0fa4, 32'h3f497b1f,32'h3f764125,// invsqrt(1.3077) = 0.8745 +32'h3f39e104,32'h3f933612,32'h3f993848, 32'h3f8eb46b,32'h3f9db9ef, 32'h3f8731a8,32'h3fa53cb2,// invsqrt(0.7261) = 1.1736 +32'h3d815092,32'h407999f6,32'h4081e505, 32'h4071f5e6,32'h4085b70d, 32'h406539cd,32'h408c151a,// invsqrt(0.0631) = 3.9796 +32'h4004a44b,32'h3f2e446d,32'h3f356157, 32'h3f28eebd,32'h3f3ab707, 32'h3f200a98,32'h3f439b2c,// invsqrt(2.0725) = 0.6946 +32'h3d920681,32'h406ae2c6,32'h40747917, 32'h4063b208,32'h407ba9d4, 32'h4057b623,32'h4083d2dc,// invsqrt(0.0713) = 3.7450 +32'h3e01dd3a,32'h40301f00,32'h40374f49, 32'h402abac9,32'h403cb37f, 32'h4021be6d,32'h4045afdb,// invsqrt(0.1268) = 2.8081 +32'h3f7a41a4,32'h3f7dbe1c,32'h3f840cbc, 32'h3f75f99a,32'h3f87eefd, 32'h3f690769,32'h3f8e6816,// invsqrt(0.9776) = 1.0114 +32'h3f427f5c,32'h3f8fe9a4,32'h3f95c962, 32'h3f8b81d6,32'h3f9a3130, 32'h3f842a29,32'h3fa188dd,// invsqrt(0.7598) = 1.1473 +32'h3f30b19e,32'h3f96fd30,32'h3f9d26de, 32'h3f925ded,32'h3fa1c621, 32'h3f8aa9d3,32'h3fa97a3b,// invsqrt(0.6902) = 1.2037 +32'h3f3bae73,32'h3f9280ab,32'h3f987b79, 32'h3f8e0491,32'h3f9cf793, 32'h3f868b10,32'h3fa47114,// invsqrt(0.7331) = 1.1679 +32'h3e9897e7,32'h3fe5c675,32'h3fef275f, 32'h3fdebdc5,32'h3ff6300f, 32'h3fd3049f,32'h4000f49a,// invsqrt(0.2980) = 1.8318 +32'h3dab60fe,32'h4058d108,32'h4061aa8a, 32'h40522de5,32'h40684dad, 32'h40471e02,32'h40735d90,// invsqrt(0.0837) = 3.4569 +32'h3fc9a50f,32'h3f47e23f,32'h3f500ad4, 32'h3f41c3d0,32'h3f562944, 32'h3f379116,32'h3f605bfe,// invsqrt(1.5753) = 0.7967 +32'h3f07e712,32'h3fac29f6,32'h3fb330e6, 32'h3fa6e4c2,32'h3fb8761a, 32'h3f9e1c16,32'h3fc13ec6,// invsqrt(0.5309) = 1.3725 +32'h40596e78,32'h3f081c8a,32'h3f0daac4, 32'h3f03f1df,32'h3f11d56f, 32'h3efa002d,32'h3f18c738,// invsqrt(3.3974) = 0.5425 +32'h3e8f1c5f,32'h3fed43ff,32'h3ff6f32d, 32'h3fe6009b,32'h3ffe3691, 32'h3fd9e5a1,32'h400528c6,// invsqrt(0.2795) = 1.8915 +32'h3fcbe15d,32'h3f46c8ee,32'h3f4ee608, 32'h3f40b31b,32'h3f54fbdb, 32'h3f368ebc,32'h3f5f203b,// invsqrt(1.5928) = 0.7924 +32'h3fab6075,32'h3f58d15e,32'h3f61aae5, 32'h3f522e3a,32'h3f684e0a, 32'h3f471e52,32'h3f735df2,// invsqrt(1.3389) = 0.8642 +32'h402cd809,32'h3f18a94c,32'h3f1ee474, 32'h3f13fcee,32'h3f2390d2, 32'h3f0c32fd,32'h3f2b5ac3,// invsqrt(2.7007) = 0.6085 +32'h3db95aec,32'h40507b68,32'h4058fdd4, 32'h404a1996,32'h405f5fa6, 32'h403f768e,32'h406a02ae,// invsqrt(0.0905) = 3.3240 +32'h3ec0d0c5,32'h3fcc68b5,32'h3fd4c093, 32'h3fc626cf,32'h3fdb0279, 32'h3fbbb8fa,32'h3fe5704e,// invsqrt(0.3766) = 1.6295 +32'h40ab789c,32'h3ed8c219,32'h3ee19aff, 32'h3ed21f6b,32'h3ee83dad, 32'h3ec7104b,32'h3ef34ccd,// invsqrt(5.3585) = 0.4320 +32'h402d9ffa,32'h3f18514d,32'h3f1e88dd, 32'h3f13a7a1,32'h3f233289, 32'h3f0be22c,32'h3f2af7fe,// invsqrt(2.7129) = 0.6071 +32'h3f91d888,32'h3f6b07c8,32'h3f749f9c, 32'h3f63d5e9,32'h3f7bd17b, 32'h3f57d820,32'h3f83e7a2,// invsqrt(1.1394) = 0.9368 +32'h4023bcd7,32'h3f1cd958,32'h3f234042, 32'h3f180c29,32'h3f280d71, 32'h3f100b85,32'h3f300e15,// invsqrt(2.5584) = 0.6252 +32'h402d56be,32'h3f187176,32'h3f1eaa56, 32'h3f13c6ce,32'h3f2354fe, 32'h3f0bffb5,32'h3f2b1c17,// invsqrt(2.7084) = 0.6076 +32'h3fe95f05,32'h3f39ccfd,32'h3f41626b, 32'h3f341ceb,32'h3f47127d, 32'h3f2aa222,32'h3f508d46,// invsqrt(1.8232) = 0.7406 +32'h3e6ed150,32'h4001dfcb,32'h40072cd8, 32'h3ffbcc00,32'h400b26a2, 32'h3fee8b5f,32'h4011c6f3,// invsqrt(0.2332) = 2.0707 +32'h3f5a48eb,32'h3f87d85e,32'h3f8d63d0, 32'h3f83afc9,32'h3f918c65, 32'h3f7982f6,32'h3f987ab3,// invsqrt(0.8527) = 1.0829 +32'h3ebce26f,32'h3fce866d,32'h3fd6f467, 32'h3fc833f2,32'h3fdd46e2, 32'h3fbdaa79,32'h3fe7d05b,// invsqrt(0.3689) = 1.6464 +32'h3fad51c9,32'h3f57996c,32'h3f606636, 32'h3f50ffd3,32'h3f66ffcf, 32'h3f45ffd6,32'h3f71ffcc,// invsqrt(1.3541) = 0.8594 +32'h3f4cdde6,32'h3f8c392c,32'h3f91f25c, 32'h3f87ee47,32'h3f963d41, 32'h3f80c6ca,32'h3f9d64be,// invsqrt(0.8003) = 1.1179 +32'h40369906,32'h3f14872b,32'h3f1a9722, 32'h3f0ffb31,32'h3f1f231b, 32'h3f08673c,32'h3f26b710,// invsqrt(2.8531) = 0.5920 +32'h3f6a94ae,32'h3f830ab3,32'h3f8863f3, 32'h3f7e0f84,32'h3f8c66e4, 32'h3f70b062,32'h3f931675,// invsqrt(0.9163) = 1.0447 +32'h3ffb4275,32'h3f331089,32'h3f3a5f93, 32'h3f2d9540,32'h3f3fdadc, 32'h3f247273,32'h3f48fda9,// invsqrt(1.9630) = 0.7137 +32'h3eb9d4d2,32'h3fd036fc,32'h3fd8b69e, 32'h3fc9d743,32'h3fdf1657, 32'h3fbf37b8,32'h3fe9b5e2,// invsqrt(0.3630) = 1.6599 +32'h40060ef0,32'h3f2d5818,32'h3f346b5c, 32'h3f2809a4,32'h3f39b9d0, 32'h3f1f318e,32'h3f4291e6,// invsqrt(2.0947) = 0.6909 +32'h3ce7d5eb,32'h40ba6a40,32'h40c20618, 32'h40b4b55d,32'h40c7bafb, 32'h40ab328e,32'h40d13dca,// invsqrt(0.0283) = 5.9444 +32'h3f34a44e,32'h3f955477,32'h3f9b6ccf, 32'h3f90c234,32'h3f9fff12, 32'h3f8923c6,32'h3fa79d80,// invsqrt(0.7056) = 1.1904 +32'h3f6612a1,32'h3f8451c2,32'h3f89b85c, 32'h3f8044ce,32'h3f8dc550, 32'h3f73091b,32'h3f948590,// invsqrt(0.8987) = 1.0548 +32'h40b11ab3,32'h3ed5487a,32'h3eddfd12, 32'h3ecec109,32'h3ee48483, 32'h3ec3df4c,32'h3eef6640,// invsqrt(5.5345) = 0.4251 +32'h3df72050,32'h40348e4d,32'h403beced, 32'h402f0755,32'h404173e5, 32'h4025d10d,32'h404aaa2d,// invsqrt(0.1207) = 2.8788 +32'h3f7a2412,32'h3f7dcd1b,32'h3f841489, 32'h3f760822,32'h3f87f705, 32'h3f69152d,32'h3f8e707f,// invsqrt(0.9771) = 1.0116 +32'h3f61916f,32'h3f85a252,32'h3f8b16a9, 32'h3f818b11,32'h3f8f2deb, 32'h3f757349,32'h3f95ff57,// invsqrt(0.8811) = 1.0653 +32'h3f1bfcf3,32'h3fa0b2ac,32'h3fa741ce, 32'h3f9bc754,32'h3fac2d26, 32'h3f93946a,32'h3fb46010,// invsqrt(0.6093) = 1.2811 +32'h4005a9fa,32'h3f2d9983,32'h3f34af73, 32'h3f28490e,32'h3f39ffe8, 32'h3f1f6da2,32'h3f42db54,// invsqrt(2.0885) = 0.6920 +32'h3fef8d53,32'h3f376359,32'h3f3edf91, 32'h3f31c62f,32'h3f447cbb, 32'h3f286ae9,32'h3f4dd801,// invsqrt(1.8715) = 0.7310 +32'h3fbf3ffd,32'h3f4d3e73,32'h3f559f0a, 32'h3f46f602,32'h3f5be77c, 32'h3f3c7d46,32'h3f666039,// invsqrt(1.4941) = 0.8181 +32'h3fa2f8b6,32'h3f5e56b8,32'h3f6769ef, 32'h3f578850,32'h3f6e3858, 32'h3f4c304c,32'h3f79905c,// invsqrt(1.2732) = 0.8862 +32'h3fb2f4df,32'h3f542d2e,32'h3f5cd636, 32'h3f4dae69,32'h3f6354fb, 32'h3f42db20,32'h3f6e2844,// invsqrt(1.3981) = 0.8457 +32'h3fc9919a,32'h3f47ebe5,32'h3f5014df, 32'h3f41cd2a,32'h3f56339a, 32'h3f3799f2,32'h3f6066d2,// invsqrt(1.5748) = 0.7969 +32'h40547f38,32'h3f09aecc,32'h3f0f4d71, 32'h3f0577d0,32'h3f13846c, 32'h3efce303,32'h3f1a8abb,// invsqrt(3.3203) = 0.5488 +32'h3f284f40,32'h3f9ab43b,32'h3fa104bb, 32'h3f95f7db,32'h3fa5c11b, 32'h3f8e133c,32'h3fada5ba,// invsqrt(0.6575) = 1.2333 +32'h3e8ed6a3,32'h3fed7de2,32'h3ff72f6e, 32'h3fe638b9,32'h3ffe7497, 32'h3fda1aca,32'h40054943,// invsqrt(0.2790) = 1.8933 +32'h3f2741c4,32'h3f9b30aa,32'h3fa1863e, 32'h3f96707b,32'h3fa6466d, 32'h3f8e8582,32'h3fae3166,// invsqrt(0.6533) = 1.2372 +32'h400577de,32'h3f2dba16,32'h3f34d15b, 32'h3f2868a3,32'h3f3a22cf, 32'h3f1f8b8d,32'h3f42ffe5,// invsqrt(2.0854) = 0.6925 +32'h3f3005de,32'h3f9746c8,32'h3f9d7377, 32'h3f92a544,32'h3fa214fa, 32'h3f8aed69,32'h3fa9ccd5,// invsqrt(0.6876) = 1.2060 +32'h3f31278b,32'h3f96cae7,32'h3f9cf287, 32'h3f922d2e,32'h3fa19040, 32'h3f8a7ba5,32'h3fa941c9,// invsqrt(0.6920) = 1.2021 +32'h3e96568a,32'h3fe77e08,32'h3ff0f0e4, 32'h3fe067e3,32'h3ff80709, 32'h3fd49851,32'h4001eb4e,// invsqrt(0.2936) = 1.8454 +32'h3f81713c,32'h3f797a76,32'h3f81d4a0, 32'h3f71d75d,32'h3f85a62d, 32'h3f651cdf,32'h3f8c036c,// invsqrt(1.0113) = 0.9944 +32'h3f3b1444,32'h3f92bcfe,32'h3f98ba42, 32'h3f8e3f0b,32'h3f9d3835, 32'h3f86c276,32'h3fa4b4ca,// invsqrt(0.7308) = 1.1698 +32'h3e86767e,32'h3ff4c6ce,32'h3ffec478, 32'h3fed488e,32'h4003215c, 32'h3fe0cb79,32'h40095fe6,// invsqrt(0.2626) = 1.9513 +32'h3f33306f,32'h3f95ef1a,32'h3f9c0dc3, 32'h3f91581d,32'h3fa0a4c1, 32'h3f89b1ca,32'h3fa84b14,// invsqrt(0.7000) = 1.1953 +32'h40ad1289,32'h3ed7c0cd,32'h3ee08f34, 32'h3ed12601,32'h3ee72a01, 32'h3ec62401,32'h3ef22c01,// invsqrt(5.4085) = 0.4300 +32'h40bb7078,32'h3ecf51db,32'h3ed7c823, 32'h3ec8f925,32'h3ede20d9, 32'h3ebe654c,32'h3ee8b4b2,// invsqrt(5.8575) = 0.4132 +32'h3f1fe872,32'h3f9eb751,32'h3fa531bd, 32'h3f99db80,32'h3faa0d8e, 32'h3f91c27a,32'h3fb22695,// invsqrt(0.6246) = 1.2653 +32'h40093003,32'h3f2b5b12,32'h3f325991, 32'h3f261c34,32'h3f379870, 32'h3f1d5e17,32'h3f40568d,// invsqrt(2.1436) = 0.6830 +32'h3f470ecc,32'h3f8e4130,32'h3f940f9a, 32'h3f89e660,32'h3f986a6a, 32'h3f82a45b,32'h3f9fac6f,// invsqrt(0.7776) = 1.1340 +32'h3fb881fb,32'h3f50f5d5,32'h3f597d41, 32'h3f4a9044,32'h3f5fe2d2, 32'h3f3fe6fd,32'h3f6a8c19,// invsqrt(1.4415) = 0.8329 +32'h3eae8caa,32'h3fd6d69d,32'h3fdf9b74, 32'h3fd042fb,32'h3fe62f15, 32'h3fc54cee,32'h3ff12522,// invsqrt(0.3409) = 1.7127 +32'h435440ac,32'h3d89c314,32'h3d8f628c, 32'h3d858b79,32'h3d939a27, 32'h3d7d0843,32'h3d9aa17e,// invsqrt(212.2526) = 0.0686 +32'h3ee2087f,32'h3fbccae7,32'h3fc47f97, 32'h3fb70362,32'h3fca471c, 32'h3fad6186,32'h3fd3e8f9,// invsqrt(0.4415) = 1.5050 +32'h40ea4463,32'h3eb971f2,32'h3ec103a8, 32'h3eb3c4a9,32'h3ec6b0f1, 32'h3eaa4e85,32'h3ed02715,// invsqrt(7.3208) = 0.3696 +32'h3ecd0375,32'h3fc63c19,32'h3fce5373, 32'h3fc02a96,32'h3fd464f6, 32'h3fb60d65,32'h3fde8227,// invsqrt(0.4004) = 1.5803 +32'h3e77b5c6,32'h3fff0b21,32'h4004ba09, 32'h3ff73c6c,32'h4008a164, 32'h3fea393e,32'h400f22fb,// invsqrt(0.2419) = 2.0332 +32'h3f9d5a23,32'h3f624628,32'h3f6b827e, 32'h3f5b58e8,32'h3f726fbe, 32'h3f4fcd7e,32'h3f7dfb28,// invsqrt(1.2293) = 0.9019 +32'h3f1617d5,32'h3fa3d2c4,32'h3faa828e, 32'h3f9eceed,32'h3faf8665, 32'h3f967332,32'h3fb7e220,// invsqrt(0.5863) = 1.3060 +32'h3fa1bb9a,32'h3f5f3046,32'h3f684c5e, 32'h3f585b34,32'h3f6f2170, 32'h3f4cf817,32'h3f7a848d,// invsqrt(1.2635) = 0.8896 +32'h3f154600,32'h3fa445c0,32'h3faafa3a, 32'h3f9f3e64,32'h3fb00196, 32'h3f96dcca,32'h3fb86330,// invsqrt(0.5831) = 1.3096 +32'h3d378f2e,32'h40942372,32'h409a2f58, 32'h408f9a86,32'h409eb844, 32'h40880ba8,32'h40a64722,// invsqrt(0.0448) = 4.7238 +32'h401f2d98,32'h3f1f145e,32'h3f259296, 32'h3f1a35b4,32'h3f2a7140, 32'h3f1217ee,32'h3f328f06,// invsqrt(2.4872) = 0.6341 +32'h3faff837,32'h3f55f83c,32'h3f5eb400, 32'h3f4f6b69,32'h3f6540d3, 32'h3f4480b5,32'h3f702b87,// invsqrt(1.3748) = 0.8529 +32'h3f8bc81a,32'h3f70130f,32'h3f79df98, 32'h3f68b9a9,32'h3f809c7f, 32'h3f5c79ff,32'h3f86bc55,// invsqrt(1.0920) = 0.9569 +32'h3eccfe7e,32'h3fc63e7f,32'h3fce55f2, 32'h3fc02ce9,32'h3fd46789, 32'h3fb60f9a,32'h3fde84d8,// invsqrt(0.4004) = 1.5804 +32'h407a364b,32'h3efdc3dd,32'h3f040fba, 32'h3ef5ff2c,32'h3f07f212, 32'h3ee90cb1,32'h3f0e6b50,// invsqrt(3.9096) = 0.5057 +32'h4000c98c,32'h3f30db1b,32'h3f381312, 32'h3f2b7123,32'h3f3d7d0b, 32'h3f226b2e,32'h3f468300,// invsqrt(2.0123) = 0.7049 +32'h3f300fed,32'h3f974275,32'h3f9d6ef7, 32'h3f92a113,32'h3fa21059, 32'h3f8ae971,32'h3fa9c7fb,// invsqrt(0.6877) = 1.2058 +32'h3f7ec6a5,32'h3f7b7b61,32'h3f82df8e, 32'h3f73c894,32'h3f86b8f4, 32'h3f66f3eb,32'h3f8d2348,// invsqrt(0.9952) = 1.0024 +32'h3e39489b,32'h40137292,32'h4019773f, 32'h400eef10,32'h401dfac0, 32'h40076937,32'h40258099,// invsqrt(0.1809) = 2.3509 +32'h3dc7f09b,32'h4048bbf3,32'h4050ed6b, 32'h404296d9,32'h40571285, 32'h40385904,32'h4061505a,// invsqrt(0.0976) = 3.2005 +32'h3f15e21c,32'h3fa3f01d,32'h3faaa119, 32'h3f9eeb60,32'h3fafa5d6, 32'h3f968e25,32'h3fb80311,// invsqrt(0.5855) = 1.3069 +32'h41d1d405,32'h3e43f27d,32'h3e4bf1ef, 32'h3e3df2e6,32'h3e51f186, 32'h3e33f397,32'h3e5bf0d5,// invsqrt(26.2285) = 0.1953 +32'h40ab4b65,32'h3ed8deb3,32'h3ee1b8c4, 32'h3ed23b25,32'h3ee85c51, 32'h3ec72a8f,32'h3ef36ce7,// invsqrt(5.3530) = 0.4322 +32'h3e671bad,32'h400405cc,32'h4009694c, 32'h3ffff657,32'h400d73ed, 32'h3ff27d96,32'h4014304d,// invsqrt(0.2257) = 2.1050 +32'h3f3045c7,32'h3f972b59,32'h3f9d56e9, 32'h3f928aac,32'h3fa1f796, 32'h3f8ad437,32'h3fa9ae0b,// invsqrt(0.6886) = 1.2051 +32'h3e8f560b,32'h3fed143e,32'h3ff6c17a, 32'h3fe5d251,32'h3ffe0367, 32'h3fd9b9c6,32'h40050df9,// invsqrt(0.2800) = 1.8900 +32'h3f544dab,32'h3f89bedc,32'h3f8f5e29, 32'h3f858763,32'h3f9395a3, 32'h3f7d0085,32'h3f9a9cc3,// invsqrt(0.8293) = 1.0981 +32'h40440fb9,32'h3f0f5669,32'h3f153025, 32'h3f0af31d,32'h3f199371, 32'h3f03a2f3,32'h3f20e39b,// invsqrt(3.0635) = 0.5713 +32'h3fae0d61,32'h3f57251d,32'h3f5fed28, 32'h3f508f14,32'h3f668330, 32'h3f459505,32'h3f717d3f,// invsqrt(1.3598) = 0.8576 +32'h3dd4486c,32'h4042cf9f,32'h404ac333, 32'h403cd8f1,32'h4050b9e1, 32'h4032e878,32'h405aaa5a,// invsqrt(0.1037) = 3.1060 +32'h403674ff,32'h3f1495d4,32'h3f1aa664, 32'h3f100967,32'h3f1f32d1, 32'h3f0874b3,32'h3f26c785,// invsqrt(2.8509) = 0.5923 +32'h3f813536,32'h3f79b462,32'h3f81f2c5, 32'h3f720f83,32'h3f85c534, 32'h3f655211,32'h3f8c23ee,// invsqrt(1.0094) = 0.9953 +32'h3f3a1c93,32'h3f931e82,32'h3f991fc1, 32'h3f8e9d94,32'h3f9da0b0, 32'h3f871c05,32'h3fa5223f,// invsqrt(0.7270) = 1.1728 +32'h4378cefb,32'h3d7e7ad8,32'h3d846ef2, 32'h3d76b08c,32'h3d885418, 32'h3d69b4bb,32'h3d8ed200,// invsqrt(248.8085) = 0.0634 +32'h40aee362,32'h3ed6a152,32'h3edf63fd, 32'h3ed00f53,32'h3ee5f5fd, 32'h3ec51bfe,32'h3ef0e952,// invsqrt(5.4653) = 0.4278 +32'h3f5e82cb,32'h3f868c89,32'h3f8c0a6f, 32'h3f826e1c,32'h3f9028dc, 32'h3f772179,32'h3f97063c,// invsqrt(0.8692) = 1.0726 +32'h40af888e,32'h3ed63c40,32'h3edefaca, 32'h3ecfad58,32'h3ee589b2, 32'h3ec4bf2b,32'h3ef077df,// invsqrt(5.4854) = 0.4270 +32'h3f800e9f,32'h3f7ad2f5,32'h3f8287e8, 32'h3f732550,32'h3f865eba, 32'h3f66593f,32'h3f8cc4c3,// invsqrt(1.0004) = 0.9998 +32'h3f81ffaa,32'h3f78f1a5,32'h3f818d6d, 32'h3f7152bd,32'h3f855ce2, 32'h3f649f3a,32'h3f8bb6a3,// invsqrt(1.0156) = 0.9923 +32'h411e57ac,32'h3e9f7faf,32'h3ea60249, 32'h3e9a9dbc,32'h3eaae43c, 32'h3e927a7c,32'h3eb3077c,// invsqrt(9.8964) = 0.3179 +32'h3e9eee0a,32'h3fe125ea,32'h3fea567c, 32'h3fda417d,32'h3ff13ae9, 32'h3fcec4c8,32'h3ffcb79f,// invsqrt(0.3104) = 1.7949 +32'h3f3aeefd,32'h3f92cb9e,32'h3f98c97b, 32'h3f8e4d39,32'h3f9d47e1, 32'h3f86cfe5,32'h3fa4c535,// invsqrt(0.7302) = 1.1702 +32'h40334b6c,32'h3f15e3d1,32'h3f1c0203, 32'h3f114d2b,32'h3f2098a9, 32'h3f09a76c,32'h3f283e68,// invsqrt(2.8015) = 0.5975 +32'h3f671d4f,32'h3f840555,32'h3f8968d1, 32'h3f7ff571,32'h3f8d736e, 32'h3f727cbc,32'h3f942fc8,// invsqrt(0.9028) = 1.0525 +32'h3fe9b9db,32'h3f39a8de,32'h3f413cd3, 32'h3f33f9e8,32'h3f46ebca, 32'h3f2a80f6,32'h3f5064bc,// invsqrt(1.8260) = 0.7400 +32'h3f3dd319,32'h3f91ac59,32'h3f979e7c, 32'h3f8d36bf,32'h3f9c1417, 32'h3f85c814,32'h3fa382c2,// invsqrt(0.7415) = 1.1613 +32'h3dfcd4ed,32'h403281ca,32'h4039cb02, 32'h402d0ae1,32'h403f41eb, 32'h4023ef5c,32'h40485d70,// invsqrt(0.1235) = 2.8461 +32'h3eaad7ee,32'h3fd927ef,32'h3fe204fe, 32'h3fd28224,32'h3fe8aaca, 32'h3fc76dd2,32'h3ff3bf1d,// invsqrt(0.3337) = 1.7312 +32'h3cf7ac8b,32'h40b45b29,32'h40bbb7b3, 32'h40aed5c2,32'h40c13d1a, 32'h40a5a216,32'h40ca70c6,// invsqrt(0.0302) = 5.7512 +32'h3f803772,32'h3f7aab03,32'h3f82731e, 32'h3f72fe98,32'h3f864954, 32'h3f663490,32'h3f8cae58,// invsqrt(1.0017) = 0.9992 +32'h3ff08c39,32'h3f370215,32'h3f3e7a55, 32'h3f3167e6,32'h3f441484, 32'h3f281196,32'h3f4d6ad4,// invsqrt(1.8793) = 0.7295 +32'h3f3e8993,32'h3f916687,32'h3f9755d1, 32'h3f8cf310,32'h3f9bc948, 32'h3f8587f4,32'h3fa33464,// invsqrt(0.7443) = 1.1591 +32'h3fa2c478,32'h3f5e7a64,32'h3f678f0f, 32'h3f57aae3,32'h3f6e5e8f, 32'h3f4c510d,32'h3f79b865,// invsqrt(1.2716) = 0.8868 +32'h3fbf514f,32'h3f4d3529,32'h3f55955f, 32'h3f46ed01,32'h3f5bdd87, 32'h3f3c74bd,32'h3f6655cb,// invsqrt(1.4947) = 0.8180 +32'h3fd0cef8,32'h3f446cd3,32'h3f4c7144, 32'h3f3e697e,32'h3f527498, 32'h3f3463f0,32'h3f5c7a26,// invsqrt(1.6313) = 0.7829 +32'h4002ebb4,32'h3f2f68b3,32'h3f36918c, 32'h3f2a0a12,32'h3f3bf02e, 32'h3f211703,32'h3f44e33d,// invsqrt(2.0456) = 0.6992 +32'h3f9b3397,32'h3f63d61c,32'h3f6d22c4, 32'h3f5cdc9e,32'h3f741c42, 32'h3f513ccb,32'h3f7fbc15,// invsqrt(1.2125) = 0.9081 +32'h3f25bc94,32'h3f9be676,32'h3fa24376, 32'h3f9720b6,32'h3fa70936, 32'h3f8f2c77,32'h3faefd75,// invsqrt(0.6474) = 1.2428 +32'h3f3065a0,32'h3f971db3,32'h3f9d48b5, 32'h3f927d71,32'h3fa1e8f7, 32'h3f8ac7af,32'h3fa99eb9,// invsqrt(0.6891) = 1.2047 +32'h3e7d74d8,32'h3ffc22be,32'h400336a7, 32'h3ff46ad2,32'h4007129d, 32'h3fe78d9f,32'h400d8136,// invsqrt(0.2475) = 2.0100 +32'h3f8f279b,32'h3f6d3aaf,32'h3f76e97d, 32'h3f65f795,32'h3f7e2c97, 32'h3f59dd14,32'h3f85238c,// invsqrt(1.1184) = 0.9456 +32'h40f0506a,32'h3eb718d9,32'h3ebe9207, 32'h3eb17df7,32'h3ec42ce9, 32'h3ea8267e,32'h3ecd8462,// invsqrt(7.5098) = 0.3649 +32'h3dcbfbec,32'h4046bbfd,32'h404ed88f, 32'h4040a68f,32'h4054edfd, 32'h403682d9,32'h405f11b3,// invsqrt(0.0996) = 3.1686 +32'h3f86cd61,32'h3f7477de,32'h3f7e7250, 32'h3f6cfc09,32'h3f82f712, 32'h3f6082fb,32'h3f893399,// invsqrt(1.0531) = 0.9744 +32'h3fabc4bd,32'h3f58920b,32'h3f6168fb, 32'h3f51f0d6,32'h3f680a30, 32'h3f46e429,32'h3f7316dd,// invsqrt(1.3419) = 0.8632 +32'h3f5818cd,32'h3f8887fa,32'h3f8e1a96, 32'h3f845a04,32'h3f92488c, 32'h3f7ac582,32'h3f993fcf,// invsqrt(0.8441) = 1.0884 +32'h3e2886e1,32'h401a9ab1,32'h4020ea25, 32'h4015df19,32'h4025a5bd, 32'h400dfbc7,32'h402d890f,// invsqrt(0.1646) = 2.4650 +32'h406a4cb2,32'h3f031ed2,32'h3f0878e6, 32'h3efe3688,32'h3f0c7c74, 32'h3ef0d559,32'h3f132d0c,// invsqrt(3.6609) = 0.5226 +32'h4038ea4a,32'h3f139827,32'h3f199e5d, 32'h3f0f137f,32'h3f1e2305, 32'h3f078bbb,32'h3f25aac9,// invsqrt(2.8893) = 0.5883 +32'h4133a1e3,32'h3e95bfba,32'h3e9bdc73, 32'h3e912a2e,32'h3ea071fe, 32'h3e898647,32'h3ea815e5,// invsqrt(11.2270) = 0.2984 +32'h3ea34617,32'h3fde2203,32'h3fe73312, 32'h3fd75536,32'h3fedffde, 32'h3fcbffe3,32'h3ff95531,// invsqrt(0.3189) = 1.7708 +32'h3f09b462,32'h3fab08a3,32'h3fb203c3, 32'h3fa5cc4a,32'h3fb7401c, 32'h3f9d1261,32'h3fbffa05,// invsqrt(0.5379) = 1.3635 +32'h400c47d1,32'h3f2974c6,32'h3f305f6a, 32'h3f2444ca,32'h3f358f66, 32'h3f1b9f7c,32'h3f3e34b4,// invsqrt(2.1919) = 0.6754 +32'h3f6f3cda,32'h3f81c297,32'h3f870e73, 32'h3f7b9363,32'h3f8b0758, 32'h3f6e55bc,32'h3f91a62c,// invsqrt(0.9345) = 1.0344 +32'h3eca5756,32'h3fc78a1e,32'h3fcfaf1a, 32'h3fc16e61,32'h3fd5cad7, 32'h3fb74026,32'h3fdff912,// invsqrt(0.3952) = 1.5907 +32'h4000d24b,32'h3f30d51a,32'h3f380cd2, 32'h3f2b6b50,32'h3f3d769c, 32'h3f2265aa,32'h3f467c42,// invsqrt(2.0128) = 0.7048 +32'h3f7440a7,32'h3f806bcf,32'h3f85a9ad, 32'h3f78facf,32'h3f899814, 32'h3f6be023,32'h3f90256b,// invsqrt(0.9541) = 1.0238 +32'h3ed9a5af,32'h3fc06533,32'h3fc83f89, 32'h3fba8173,32'h3fce2349, 32'h3fb0b088,32'h3fd7f434,// invsqrt(0.4251) = 1.5338 +32'h3e0c4701,32'h40297544,32'h40305fee, 32'h40244545,32'h40358fed, 32'h401b9ff0,32'h403e3542,// invsqrt(0.1370) = 2.7018 +32'h40094246,32'h3f2b4fac,32'h3f324db2, 32'h3f261126,32'h3f378c38, 32'h3f1d539e,32'h3f4049c1,// invsqrt(2.1447) = 0.6828 +32'h40e7b9e8,32'h3eba7584,32'h3ec211d2, 32'h3eb4c049,32'h3ec7c70d, 32'h3eab3ce7,32'h3ed14a6f,// invsqrt(7.2414) = 0.3716 +32'h3f3debca,32'h3f91a2e1,32'h3f9794a1, 32'h3f8d2d91,32'h3f9c09f1, 32'h3f85bf61,32'h3fa37821,// invsqrt(0.7419) = 1.1610 +32'h403ce064,32'h3f1209d4,32'h3f17ffc8, 32'h3f0d915d,32'h3f1c783f, 32'h3f061ded,32'h3f23ebaf,// invsqrt(2.9512) = 0.5821 +32'h4129533a,32'h3e9a3d4a,32'h3ea088ee, 32'h3e95848e,32'h3ea541aa, 32'h3e8da600,32'h3ead2038,// invsqrt(10.5828) = 0.3074 +32'h3eb7ac2e,32'h3fd16f50,32'h3fd9fbb1, 32'h3fcb0606,32'h3fe064fa, 32'h3fc0568d,32'h3feb1473,// invsqrt(0.3587) = 1.6696 +32'h3eadc418,32'h3fd75276,32'h3fe01c5c, 32'h3fd0bb0a,32'h3fe6b3c8, 32'h3fc5beab,32'h3ff1b027,// invsqrt(0.3394) = 1.7165 +32'h3ede3cf6,32'h3fbe65d1,32'h3fc62b47, 32'h3fb891b8,32'h3fcbff60, 32'h3faedae4,32'h3fd5b634,// invsqrt(0.4341) = 1.5178 +32'h3e732062,32'h4000b7db,32'h4005f8d4, 32'h3ff98e3f,32'h4009e98f, 32'h3fec6bd0,32'h40107ac6,// invsqrt(0.2374) = 2.0523 +32'h3f5e76f9,32'h3f86901c,32'h3f8c0e28, 32'h3f827194,32'h3f902cb0, 32'h3f77280a,32'h3f970a3f,// invsqrt(0.8690) = 1.0727 +32'h3f284dd5,32'h3f9ab4e2,32'h3fa10568, 32'h3f95f87d,32'h3fa5c1cd, 32'h3f8e13d4,32'h3fada676,// invsqrt(0.6574) = 1.2333 +32'h3fa5659d,32'h3f5cb3d9,32'h3f65b5f7, 32'h3f55f243,32'h3f6c778d, 32'h3f4aaf9e,32'h3f77ba32,// invsqrt(1.2922) = 0.8797 +32'h4050b722,32'h3f0aecac,32'h3f10984a, 32'h3f06abf5,32'h3f14d901, 32'h3eff2add,32'h3f1bef87,// invsqrt(3.2612) = 0.5537 +32'h4071d339,32'h3f011067,32'h3f0654fd, 32'h3efa39ec,32'h3f0a486e, 32'h3eed0e74,32'h3f10de2a,// invsqrt(3.7785) = 0.5144 +32'h3f974f4a,32'h3f66bf71,32'h3f702a85, 32'h3f5faf22,32'h3f773ad4, 32'h3f53e948,32'h3f818057,// invsqrt(1.1821) = 0.9198 +32'h3f5b3dd5,32'h3f878c68,32'h3f8d14c0, 32'h3f836626,32'h3f913b02, 32'h3f78f771,32'h3f982570,// invsqrt(0.8564) = 1.0806 +32'h3eaa2cc0,32'h3fd9950c,32'h3fe27690, 32'h3fd2ebea,32'h3fe91fb2, 32'h3fc7d206,32'h3ff43996,// invsqrt(0.3324) = 1.7346 +32'h3f365193,32'h3f94a442,32'h3f9ab56a, 32'h3f901765,32'h3f9f4247, 32'h3f8881f4,32'h3fa6d7b8,// invsqrt(0.7122) = 1.1850 +32'h3f3ea7e7,32'h3f915af6,32'h3f9749c7, 32'h3f8ce7da,32'h3f9bbce4, 32'h3f857d56,32'h3fa32768,// invsqrt(0.7447) = 1.1588 +32'h401b4d0e,32'h3f210d94,32'h3f27a06a, 32'h3f1c1f72,32'h3f2c8e8c, 32'h3f13e7e6,32'h3f34c619,// invsqrt(2.4266) = 0.6420 +32'h3f5d682c,32'h3f86e24e,32'h3f8c63b4, 32'h3f82c141,32'h3f9084c1, 32'h3f77bf02,32'h3f976681,// invsqrt(0.8649) = 1.0753 +32'h40434e4b,32'h3f0f9d53,32'h3f1579f3, 32'h3f0b37db,32'h3f19df6b, 32'h3f03e413,32'h3f213333,// invsqrt(3.0517) = 0.5724 +32'h3e47345c,32'h400e33c6,32'h401401a4, 32'h4009d95f,32'h40185c0b, 32'h40029809,32'h401f9d61,// invsqrt(0.1945) = 2.2673 +32'h3f8c8f99,32'h3f6f6875,32'h3f792e07, 32'h3f681448,32'h3f80411a, 32'h3f5bdd51,32'h3f865c95,// invsqrt(1.0981) = 0.9543 +32'h3f82988c,32'h3f785fc4,32'h3f814183, 32'h3f70c553,32'h3f850ebb, 32'h3f641941,32'h3f8b64c4,// invsqrt(1.0203) = 0.9900 +32'h3eb455e3,32'h3fd35d1c,32'h3fdbfda4, 32'h3fcce4b5,32'h3fe2760b, 32'h3fc21c0a,32'h3fed3eb6,// invsqrt(0.3522) = 1.6850 +32'h3ec3688e,32'h3fcb0c5e,32'h3fd35604, 32'h3fc4d522,32'h3fd98d40, 32'h3fba7912,32'h3fe3e950,// invsqrt(0.3817) = 1.6187 +32'h422377e6,32'h3e1cfa68,32'h3e2362aa, 32'h3e182c35,32'h3e2830dd, 32'h3e1029e2,32'h3e303330,// invsqrt(40.8671) = 0.1564 +32'h3d65957a,32'h408475ce,32'h4089dde0, 32'h408067bf,32'h408debef, 32'h40734b50,32'h4094ae06,// invsqrt(0.0561) = 4.2239 +32'h4067d0f8,32'h3f03d222,32'h3f093387, 32'h3eff922e,32'h3f0d3c93, 32'h3ef21eb3,32'h3f13f651,// invsqrt(3.6221) = 0.5254 +32'h404cec9d,32'h3f0c3423,32'h3f11ed1f, 32'h3f07e966,32'h3f1637dc, 32'h3f00c22a,32'h3f1d5f18,// invsqrt(3.2019) = 0.5588 +32'h3f9705a9,32'h3f66f7aa,32'h3f70650a, 32'h3f5fe5a2,32'h3f777712, 32'h3f541ceb,32'h3f819fe5,// invsqrt(1.1799) = 0.9206 +32'h4000e8f8,32'h3f30c58c,32'h3f37fca2, 32'h3f2b5c3c,32'h3f3d65f2, 32'h3f225762,32'h3f466acd,// invsqrt(2.0142) = 0.7046 +32'h3f49b4d5,32'h3f8d514d,32'h3f9315ed, 32'h3f88fdd5,32'h3f976965, 32'h3f81c80d,32'h3f9e9f2d,// invsqrt(0.7879) = 1.1266 +32'h3f76b5bd,32'h3f7f8f56,32'h3f84fed7, 32'h3f77bc95,32'h3f88e837, 32'h3f6ab2a8,32'h3f8f6d2e,// invsqrt(0.9637) = 1.0187 +32'h402c7bc1,32'h3f18d21d,32'h3f1f0eef, 32'h3f14247f,32'h3f23bc8d, 32'h3f0c5879,32'h3f2b8893,// invsqrt(2.6951) = 0.6091 +32'h3f754675,32'h3f802733,32'h3f856245, 32'h3f7875cc,32'h3f894e92, 32'h3f6b621f,32'h3f8fd868,// invsqrt(0.9581) = 1.0216 +32'h3e96b36d,32'h3fe736a6,32'h3ff0a698, 32'h3fe022b1,32'h3ff7ba8d, 32'h3fd456c2,32'h4001c33e,// invsqrt(0.2943) = 1.8432 +32'h40d9fe0e,32'h3ec03e30,32'h3ec816ee, 32'h3eba5ba1,32'h3ecdf97d, 32'h3eb08cb4,32'h3ed7c86a,// invsqrt(6.8123) = 0.3831 +32'h400cf8be,32'h3f290a4e,32'h3f2ff09a, 32'h3f23dd95,32'h3f351d53, 32'h3f1b3db5,32'h3f3dbd33,// invsqrt(2.2027) = 0.6738 +32'h3f95c0b8,32'h3f67f1b8,32'h3f71694c, 32'h3f60d808,32'h3f7882fc, 32'h3f55028f,32'h3f822c3b,// invsqrt(1.1699) = 0.9245 +32'h3fafcbfe,32'h3f561324,32'h3f5ed001, 32'h3f4f857f,32'h3f655da7, 32'h3f44996b,32'h3f7049bb,// invsqrt(1.3734) = 0.8533 +32'h3d76f499,32'h407f6ece,32'h4084ede9, 32'h40779d0c,32'h4088d6ca, 32'h406a94c8,32'h408f5aec,// invsqrt(0.0603) = 4.0726 +32'h3ea54e15,32'h3fdcc38e,32'h3fe5c650, 32'h3fd6017d,32'h3fec8861, 32'h3fcabe0a,32'h3ff7cbd4,// invsqrt(0.3229) = 1.7599 +32'h3f9e2a06,32'h3f61b143,32'h3f6ae785, 32'h3f5ac892,32'h3f71d036, 32'h3f4f44c0,32'h3f7d5408,// invsqrt(1.2357) = 0.8996 +32'h3f65bcd2,32'h3f846a76,32'h3f89d212, 32'h3f805cc1,32'h3f8ddfc7, 32'h3f73367a,32'h3f94a14b,// invsqrt(0.8974) = 1.0556 +32'h3ff3ca50,32'h3f35c979,32'h3f3d34f7, 32'h3f3038dc,32'h3f42c594, 32'h3f26f27f,32'h3f4c0bf1,// invsqrt(1.9046) = 0.7246 +32'h3e670f96,32'h40040940,32'h40096ce5, 32'h3ffffd0a,32'h400d77a1, 32'h3ff283ef,32'h4014342e,// invsqrt(0.2256) = 2.1052 +32'h3f7827c4,32'h3f7ed086,32'h3f849b89, 32'h3f77039b,32'h3f8881fe, 32'h3f6a036b,32'h3f8f0217,// invsqrt(0.9694) = 1.0157 +32'h4018de8b,32'h3f225434,32'h3f28f460, 32'h3f1d5c13,32'h3f2dec81, 32'h3f1513dc,32'h3f3634b8,// invsqrt(2.3886) = 0.6470 +32'h3ed48b1c,32'h3fc2b10d,32'h3fcaa361, 32'h3fbcbb4e,32'h3fd09920, 32'h3fb2cc65,32'h3fda8809,// invsqrt(0.4151) = 1.5521 +32'h40462f39,32'h3f0e9157,32'h3f146307, 32'h3f0a3413,32'h3f18c04b, 32'h3f02edf7,32'h3f200667,// invsqrt(3.0966) = 0.5683 +32'h3f8afd47,32'h3f70c1fb,32'h3f7a95a7, 32'h3f69633a,32'h3f80fa34, 32'h3f5d1aa2,32'h3f871e80,// invsqrt(1.0859) = 0.9597 +32'h3f1bf787,32'h3fa0b577,32'h3fa744b6, 32'h3f9bca09,32'h3fac3025, 32'h3f9396fb,32'h3fb46333,// invsqrt(0.6092) = 1.2812 +32'h3fcd8a64,32'h3f45fafc,32'h3f4e0fae, 32'h3f3feb77,32'h3f541f33, 32'h3f35d199,32'h3f5e3911,// invsqrt(1.6058) = 0.7891 +32'h3f3beb25,32'h3f926900,32'h3f9862d6, 32'h3f8ded9f,32'h3f9cde37, 32'h3f867554,32'h3fa45682,// invsqrt(0.7341) = 1.1672 +32'h40062474,32'h3f2d4a30,32'h3f345ce4, 32'h3f27fc2a,32'h3f39aaea, 32'h3f1f24c9,32'h3f42824b,// invsqrt(2.0960) = 0.6907 +32'h3f505050,32'h3f8b0ef0,32'h3f90bbf5, 32'h3f86cd2d,32'h3f94fdb9, 32'h3f7f69cf,32'h3f9c15fe,// invsqrt(0.8137) = 1.1086 +32'h3f0797f3,32'h3fac5c2a,32'h3fb36526, 32'h3fa7156c,32'h3fb8abe4, 32'h3f9e4a31,32'h3fc1771f,// invsqrt(0.5297) = 1.3740 +32'h405a9f4a,32'h3f07bd86,32'h3f0d47df, 32'h3f0395c3,32'h3f116fa1, 32'h3ef951a7,32'h3f185c91,// invsqrt(3.4160) = 0.5411 +32'h3f3b5518,32'h3f92a398,32'h3f989fd2, 32'h3f8e266c,32'h3f9d1cfe, 32'h3f86ab23,32'h3fa49847,// invsqrt(0.7318) = 1.1690 +32'h3da50432,32'h405cf4f5,32'h4065f9bb, 32'h40563160,32'h406cbd50, 32'h404aeb69,32'h40780347,// invsqrt(0.0806) = 3.5229 +32'h3eae19e2,32'h3fd71d63,32'h3fdfe51d, 32'h3fd08796,32'h3fe67aea, 32'h3fc58ded,32'h3ff17493,// invsqrt(0.3400) = 1.7149 +32'h41a3ebec,32'h3e5db18a,32'h3e66be02, 32'h3e56e82f,32'h3e6d875d, 32'h3e4b9899,32'h3e78d6f3,// invsqrt(20.4902) = 0.2209 +32'h3f892b0b,32'h3f7259cc,32'h3f7c3e1e, 32'h3f6aee8f,32'h3f81d4ad, 32'h3f5e9129,32'h3f880360,// invsqrt(1.0716) = 0.9660 +32'h3ec8e92b,32'h3fc83fa1,32'h3fd06c05, 32'h3fc21e55,32'h3fd68d51, 32'h3fb7e6d8,32'h3fe0c4cf,// invsqrt(0.3924) = 1.5964 +32'h400e80ec,32'h3f282112,32'h3f2efdda, 32'h3f22fb7d,32'h3f34236f, 32'h3f1a6784,32'h3f3cb768,// invsqrt(2.2266) = 0.6702 +32'h3ee9ba3a,32'h3fb9a8b9,32'h3fc13cab, 32'h3fb3f9c3,32'h3fc6eba1, 32'h3faa80d3,32'h3fd06491,// invsqrt(0.4565) = 1.4801 +32'h3f7ec1c9,32'h3f7b7dc7,32'h3f82e0cd, 32'h3f73cae7,32'h3f86ba3c, 32'h3f66f61e,32'h3f8d24a1,// invsqrt(0.9951) = 1.0024 +32'h3f277fa1,32'h3f9b13ff,32'h3fa16867, 32'h3f9654b0,32'h3fa627b6, 32'h3f8e6b2e,32'h3fae1138,// invsqrt(0.6543) = 1.2363 +32'h3e852ede,32'h3ff5f327,32'h3ffffd14, 32'h3fee6bb6,32'h4003c243, 32'h3fe1df4e,32'h400a0877,// invsqrt(0.2601) = 1.9607 +32'h40df44db,32'h3ebdf52a,32'h3ec5b608, 32'h3eb82485,32'h3ecb86ad, 32'h3eae7370,32'h3ed537c2,// invsqrt(6.9772) = 0.3786 +32'h401cd912,32'h3f2041c2,32'h3f26cc47, 32'h3f1b59dd,32'h3f2bb42b, 32'h3f132cb7,32'h3f33e151,// invsqrt(2.4507) = 0.6388 +32'h3f20384a,32'h3f9e8fc0,32'h3fa5088f, 32'h3f99b526,32'h3fa9e32a, 32'h3f919e24,32'h3fb1fa2c,// invsqrt(0.6259) = 1.2640 +32'h4020a7a3,32'h3f1e58c4,32'h3f24cf54, 32'h3f197fd8,32'h3f29a840, 32'h3f116ba4,32'h3f31bc74,// invsqrt(2.5102) = 0.6312 +32'h3e25305b,32'h401c2893,32'h40228845, 32'h401760cd,32'h4027500b, 32'h400f692e,32'h402f47aa,// invsqrt(0.1613) = 2.4898 +32'h41cd6c3e,32'h3e460983,32'h3e4e1ecd, 32'h3e3ff98c,32'h3e542ec4, 32'h3e35def1,32'h3e5e495f,// invsqrt(25.6779) = 0.1973 +32'h40456e81,32'h3f0ed6db,32'h3f14ab61, 32'h3f0a7776,32'h3f190ac6, 32'h3f032dce,32'h3f20546e,// invsqrt(3.0849) = 0.5694 +32'h3e443b5f,32'h400f4677,32'h40151f8c, 32'h400ae3a8,32'h4019825c, 32'h4003944f,32'h4020d1b5,// invsqrt(0.1916) = 2.2844 +32'h3df7a621,32'h40345d7f,32'h403bba21, 32'h402ed806,32'h40413f9a, 32'h4025a43b,32'h404a7365,// invsqrt(0.1209) = 2.8757 +32'h3ed3bd30,32'h3fc30fa2,32'h3fcb05d2, 32'h3fbd16fe,32'h3fd0fe76, 32'h3fb32341,32'h3fdaf233,// invsqrt(0.4136) = 1.5550 +32'h3db6c096,32'h4051f623,32'h405a8805, 32'h404b88b9,32'h4060f56f, 32'h4040d25f,32'h406babc9,// invsqrt(0.0892) = 3.3476 +32'h3f82b645,32'h3f784385,32'h3f8132d0, 32'h3f70a9f1,32'h3f84ff99, 32'h3f63ff50,32'h3f8b54ea,// invsqrt(1.0212) = 0.9896 +32'h3f79840a,32'h3f7e1e72,32'h3f843edd, 32'h3f7656fb,32'h3f882298, 32'h3f695fe1,32'h3f8e9e26,// invsqrt(0.9747) = 1.0129 +32'h3e624a6f,32'h40056ba7,32'h400addc3, 32'h40015612,32'h400ef358, 32'h3ff50edf,32'h4015c1fa,// invsqrt(0.2210) = 2.1272 +32'h3fa4d3e8,32'h3f5d1550,32'h3f661b68, 32'h3f5650be,32'h3f6cdffa, 32'h3f4b0920,32'h3f782798,// invsqrt(1.2877) = 0.8812 +32'h3fe8d617,32'h3f3a0397,32'h3f419b3f, 32'h3f3451d9,32'h3f474cfd, 32'h3f2ad447,32'h3f50ca8f,// invsqrt(1.8190) = 0.7414 +32'h3fa9c684,32'h3f59d685,32'h3f62bab5, 32'h3f532b62,32'h3f6965d8, 32'h3f480e27,32'h3f748313,// invsqrt(1.3264) = 0.8683 +32'h3d7c6f17,32'h407ca556,32'h40837a9d, 32'h4074e96b,32'h40875893, 32'h4068058e,32'h408dca81,// invsqrt(0.0616) = 4.0282 +32'h3e5d9e45,32'h4006d1d6,32'h400c5290, 32'h4002b14a,32'h4010731c, 32'h3ff7a0c2,32'h40175405,// invsqrt(0.2164) = 2.1495 +32'h3e4f29aa,32'h400b71b2,32'h401122be, 32'h40072ce8,32'h40156788, 32'h40000f99,32'h401c84d7,// invsqrt(0.2023) = 2.2233 +32'h3fd204ae,32'h3f43dbc8,32'h3f4bda4e, 32'h3f3ddce4,32'h3f51d932, 32'h3f33debd,32'h3f5bd759,// invsqrt(1.6408) = 0.7807 +32'h3f82c927,32'h3f783198,32'h3f81297c, 32'h3f709891,32'h3f84f5ff, 32'h3f63eeda,32'h3f8b4adb,// invsqrt(1.0218) = 0.9893 +32'h3eb79230,32'h3fd17e23,32'h3fda0b1f, 32'h3fcb1466,32'h3fe074dc, 32'h3fc0642a,32'h3feb2518,// invsqrt(0.3585) = 1.6701 +32'h3d9da4cb,32'h4062108e,32'h406b4ab3, 32'h405b24f2,32'h4072364e, 32'h404f9c43,32'h407dbefd,// invsqrt(0.0770) = 3.6043 +32'h3e868864,32'h3ff4b685,32'h3ffeb385, 32'h3fed38c5,32'h400318a3, 32'h3fe0bc84,32'h400956c3,// invsqrt(0.2628) = 1.9508 +32'h3fa63b2d,32'h3f5c25e6,32'h3f652238, 32'h3f5568a8,32'h3f6bdf76, 32'h3f4a2d41,32'h3f771add,// invsqrt(1.2987) = 0.8775 +32'h3fde727d,32'h3f3e4ee7,32'h3f46136e, 32'h3f387b82,32'h3f4be6d4, 32'h3f2ec5da,32'h3f559c7c,// invsqrt(1.7379) = 0.7586 +32'h3efeecce,32'h3fb1c5c8,32'h3fb90753, 32'h3fac54a0,32'h3fbe787c, 32'h3fa342b3,32'h3fc78a69,// invsqrt(0.4979) = 1.4172 +32'h3d932f8a,32'h4069f54b,32'h407381eb, 32'h4062cbd3,32'h407aab63, 32'h4056dc0c,32'h40834d95,// invsqrt(0.0719) = 3.7302 +32'h3f54e52b,32'h3f898dd0,32'h3f8f2b1d, 32'h3f8557d7,32'h3f936117, 32'h3f7ca670,32'h3f9a65b6,// invsqrt(0.8316) = 1.0966 +32'h3f309c5f,32'h3f970645,32'h3f9d3052, 32'h3f9266ba,32'h3fa1cfdc, 32'h3f8ab22a,32'h3fa9846c,// invsqrt(0.6899) = 1.2040 +32'h3f52c108,32'h3f8a403d,32'h3f8fe4d2, 32'h3f8604ce,32'h3f942042, 32'h3f7dee28,32'h3f9b2dfc,// invsqrt(0.8233) = 1.1021 +32'h3f927e8f,32'h3f6a8273,32'h3f7414d5, 32'h3f6354a9,32'h3f7b429f, 32'h3f575dad,32'h3f839ccd,// invsqrt(1.1445) = 0.9347 +32'h3f86f772,32'h3f7451c2,32'h3f7e4aa6, 32'h3f6cd718,32'h3f82e2a8, 32'h3f605ffc,32'h3f891e36,// invsqrt(1.0544) = 0.9738 +32'h3f4b0d09,32'h3f8cd953,32'h3f92990e, 32'h3f888988,32'h3f96e8da, 32'h3f8159df,32'h3f9e1883,// invsqrt(0.7932) = 1.1228 +32'h3fc1ef57,32'h3f4bd177,32'h3f542329, 32'h3f459432,32'h3f5a606e, 32'h3f3b2e15,32'h3f64c68b,// invsqrt(1.5151) = 0.8124 +32'h3f792e99,32'h3f7e4a00,32'h3f845588, 32'h3f768134,32'h3f8839ee, 32'h3f6987e1,32'h3f8eb698,// invsqrt(0.9734) = 1.0136 +32'h3f29f1a5,32'h3f99f555,32'h3fa03e0b, 32'h3f953ece,32'h3fa4f492, 32'h3f8d63eb,32'h3faccf75,// invsqrt(0.6638) = 1.2273 +32'h40bec4ec,32'h3ecd809c,32'h3ed5e3e6, 32'h3ec73624,32'h3edc2e5e, 32'h3ebcba07,32'h3ee6aa7b,// invsqrt(5.9615) = 0.4096 +32'h3ddbd3f9,32'h403f7048,32'h4047409e, 32'h40399407,32'h404d1cdf, 32'h402fcf9b,32'h4056e14b,// invsqrt(0.1073) = 3.0523 +32'h3dc8316c,32'h40489b72,32'h4050cb96, 32'h40427757,32'h4056efb1, 32'h40383b2a,32'h40612bde,// invsqrt(0.0978) = 3.1985 +32'h3ea9509a,32'h3fda2252,32'h3fe3099a, 32'h3fd374dd,32'h3fe9b70f, 32'h3fc853c4,32'h3ff4d828,// invsqrt(0.3307) = 1.7390 +32'h3cc5fd9b,32'h40c9b84a,32'h40d1f40e, 32'h40c38b77,32'h40d820e1, 32'h40b940c1,32'h40e26b97,// invsqrt(0.0242) = 6.4324 +32'h3e6e9fa1,32'h4001ed4f,32'h40073aea, 32'h3ffbe637,32'h400b351e, 32'h3feea434,32'h4011d620,// invsqrt(0.2330) = 2.0715 +32'h40c48732,32'h3eca7815,32'h3ed2bbad, 32'h3ec44563,32'h3ed8ee5f, 32'h3eb9f0e4,32'h3ee342de,// invsqrt(6.1415) = 0.4035 +32'h3f08356b,32'h3fabf86b,32'h3fb2fd55, 32'h3fa6b4bb,32'h3fb84105, 32'h3f9dee96,32'h3fc1072a,// invsqrt(0.5321) = 1.3709 +32'h3f873afa,32'h3f7414ba,32'h3f7e0b1f, 32'h3f6c9bed,32'h3f82c1f6, 32'h3f6027ee,32'h3f88fbf5,// invsqrt(1.0565) = 0.9729 +32'h3f9574dc,32'h3f682c8e,32'h3f71a689, 32'h3f611111,32'h3f78c205, 32'h3f553897,32'h3f824d40,// invsqrt(1.1676) = 0.9254 +32'h412bd511,32'h3e991c2b,32'h3e9f5c03, 32'h3e946c49,32'h3ea40be5, 32'h3e8c9c7b,32'h3eabdbb3,// invsqrt(10.7395) = 0.3051 +32'h4007604f,32'h3f2c7f92,32'h3f338a00, 32'h3f2737bf,32'h3f38d1d3, 32'h3f1e6ab5,32'h3f419edd,// invsqrt(2.1153) = 0.6876 +32'h3f89576b,32'h3f7232a2,32'h3f7c155a, 32'h3f6ac898,32'h3f81bfb2, 32'h3f5e6d31,32'h3f87ed65,// invsqrt(1.0730) = 0.9654 +32'h3fb34fc9,32'h3f53f75e,32'h3f5c9e32, 32'h3f4d7a3e,32'h3f631b52, 32'h3f42a9b4,32'h3f6debdc,// invsqrt(1.4009) = 0.8449 +32'h3e1d0b54,32'h4020281b,32'h4026b195, 32'h401b4100,32'h402b98b0, 32'h40131529,32'h4033c487,// invsqrt(0.1534) = 2.5535 +32'h3f1a846a,32'h3fa17602,32'h3fa80d1c, 32'h3f9c84ae,32'h3facfe70, 32'h3f9447ce,32'h3fb53b51,// invsqrt(0.6036) = 1.2872 +32'h3fcea621,32'h3f4572e3,32'h3f4d8206, 32'h3f3f6788,32'h3f538d60, 32'h3f35549c,32'h3f5da04c,// invsqrt(1.6144) = 0.7870 +32'h3e536254,32'h400a0b74,32'h400fade2, 32'h4005d1a2,32'h4013e7b4, 32'h3ffd8d34,32'h401af2bc,// invsqrt(0.2064) = 2.2010 +32'h3f82f774,32'h3f7805b5,32'h3f8112a5, 32'h3f706e06,32'h3f84de7d, 32'h3f63c68d,32'h3f8b323a,// invsqrt(1.0232) = 0.9886 +32'h3ef98b1b,32'h3fb3ade5,32'h3fbb035d, 32'h3fae2dcc,32'h3fc08376, 32'h3fa502f7,32'h3fc9ae4b,// invsqrt(0.4874) = 1.4324 +32'h3e91f1dc,32'h3feaf362,32'h3ff48a60, 32'h3fe3c223,32'h3ffbbb9f, 32'h3fd7c564,32'h4003dc2f,// invsqrt(0.2850) = 1.8730 +32'h3d4bdbfb,32'h408c91c4,32'h40924e92, 32'h40884429,32'h40969c2d, 32'h40811827,32'h409dc82f,// invsqrt(0.0498) = 4.4824 +32'h3f9dcfc7,32'h3f61f1c2,32'h3f6b2aa6, 32'h3f5b0718,32'h3f721550, 32'h3f4f7ffc,32'h3f7d9c6c,// invsqrt(1.2329) = 0.9006 +32'h4321a3c9,32'h3d9ddd12,32'h3da44e96, 32'h3d9907f0,32'h3da923b8, 32'h3d90fa0c,32'h3db1319c,// invsqrt(161.6398) = 0.0787 +32'h3f7da598,32'h3f7c0a82,32'h3f832a0b, 32'h3f745355,32'h3f8705a2, 32'h3f67775e,32'h3f8d739d,// invsqrt(0.9908) = 1.0046 +32'h404d1d21,32'h3f0c238d,32'h3f11dbdb, 32'h3f07d951,32'h3f162617, 32'h3f00b2ef,32'h3f1d4c79,// invsqrt(3.2049) = 0.5586 +32'h40688bd7,32'h3f039d21,32'h3f08fc5b, 32'h3eff2b69,32'h3f0d03c8, 32'h3ef1bd56,32'h3f13bad1,// invsqrt(3.6335) = 0.5246 +32'h3e84fef1,32'h3ff61f74,32'h40001598, 32'h3fee96a8,32'h4003d9fe, 32'h3fe207fd,32'h400a2154,// invsqrt(0.2598) = 1.9621 +32'h4038fd00,32'h3f1390b0,32'h3f199698, 32'h3f0f0c42,32'h3f1e1b06, 32'h3f0784e0,32'h3f25a268,// invsqrt(2.8904) = 0.5882 +32'h3f753a68,32'h3f802a59,32'h3f85658b, 32'h3f787be5,32'h3f8951f1, 32'h3f6b67e7,32'h3f8fdbf1,// invsqrt(0.9579) = 1.0217 +32'h4006d943,32'h3f2cd5dc,32'h3f33e3d0, 32'h3f278b65,32'h3f392e47, 32'h3f1eb9f4,32'h3f41ffb8,// invsqrt(2.1070) = 0.6889 +32'h3f8667b0,32'h3f74d448,32'h3f7ed280, 32'h3f6d559f,32'h3f832894, 32'h3f60d7da,32'h3f896777,// invsqrt(1.0500) = 0.9759 +32'h409f50cc,32'h3ee0e017,32'h3eea0dcf, 32'h3ed9fdcd,32'h3ef0f019, 32'h3ece84a8,32'h3efc693f,// invsqrt(4.9786) = 0.4482 +32'h3f79b92e,32'h3f7e0367,32'h3f8430ca, 32'h3f763cc4,32'h3f88141c, 32'h3f69470b,32'h3f8e8ef8,// invsqrt(0.9755) = 1.0125 +32'h3f057c60,32'h3fadb727,32'h3fb4ce4d, 32'h3fa865ca,32'h3fba1faa, 32'h3f9f88db,32'h3fc2fc99,// invsqrt(0.5214) = 1.3848 +32'h3f59d790,32'h3f87fbb1,32'h3f8d8894, 32'h3f83d208,32'h3f91b23e, 32'h3f79c3d9,32'h3f98a25a,// invsqrt(0.8509) = 1.0840 +32'h4012439d,32'h3f25f42b,32'h3f2cba37, 32'h3f20dfa2,32'h3f31cec0, 32'h3f186812,32'h3f3a4650,// invsqrt(2.2854) = 0.6615 +32'h3e2958dd,32'h401a3ab9,32'h40208643, 32'h40158211,32'h40253eeb, 32'h400da3a5,32'h402d1d57,// invsqrt(0.1654) = 2.4590 +32'h40c0803d,32'h3ecc9372,32'h3ed4ed0f, 32'h3ec6503e,32'h3edb3044, 32'h3ebbe03a,32'h3ee5a048,// invsqrt(6.0157) = 0.4077 +32'h40bad67f,32'h3ecfa736,32'h3ed820fa, 32'h3ec94be4,32'h3ede7c4c, 32'h3ebeb3af,32'h3ee91481,// invsqrt(5.8387) = 0.4138 +32'h3fbcf802,32'h3f4e7aa3,32'h3f56e822, 32'h3f482884,32'h3f5d3a42, 32'h3f3d9fa6,32'h3f67c320,// invsqrt(1.4763) = 0.8230 +32'h408f276d,32'h3eed3ad5,32'h3ef6e9a5, 32'h3ee5f7ba,32'h3efe2cc0, 32'h3ed9dd37,32'h3f0523a2,// invsqrt(4.4736) = 0.4728 +32'h3f5fc7cb,32'h3f862ab1,32'h3f8ba499, 32'h3f820f43,32'h3f8fc007, 32'h3f766dc3,32'h3f969869,// invsqrt(0.8741) = 1.0696 +32'h3f52bf9d,32'h3f8a40b5,32'h3f8fe54e, 32'h3f860541,32'h3f9420c1, 32'h3f7def02,32'h3f9b2e81,// invsqrt(0.8232) = 1.1021 +32'h3f0f9127,32'h3fa7815f,32'h3fae57a2, 32'h3fa260ae,32'h3fb37854, 32'h3f99d4da,32'h3fbc0428,// invsqrt(0.5608) = 1.3353 +32'h42603925,32'h3e0608c4,32'h3e0b8149, 32'h3e01ee5f,32'h3e0f9bad, 32'h3df62f71,32'h3e167253,// invsqrt(56.0558) = 0.1336 +32'h3efd7b24,32'h3fb2473a,32'h3fb98e0e, 32'h3facd21c,32'h3fbf032c, 32'h3fa3b994,32'h3fc81bb4,// invsqrt(0.4951) = 1.4212 +32'h402d5019,32'h3f187462,32'h3f1ead61, 32'h3f13c9a4,32'h3f235820, 32'h3f0c0265,32'h3f2b1f5f,// invsqrt(2.7080) = 0.6077 +32'h3f5584c8,32'h3f895a5d,32'h3f8ef58f, 32'h3f8525f6,32'h3f9329f6, 32'h3f7c47ee,32'h3f9a2bf5,// invsqrt(0.8341) = 1.0950 +32'h3f956cea,32'h3f6832ba,32'h3f71acf6, 32'h3f61170d,32'h3f78c8a3, 32'h3f553e42,32'h3f8250b7,// invsqrt(1.1674) = 0.9255 +32'h3ec5c3da,32'h3fc9d5bc,32'h3fd212b4, 32'h3fc3a802,32'h3fd8406e, 32'h3fb95bcc,32'h3fe28ca4,// invsqrt(0.3863) = 1.6090 +32'h3e502652,32'h400b1cf7,32'h4010ca8e, 32'h4006dac5,32'h40150cbf, 32'h3fff8390,32'h401c25bc,// invsqrt(0.2033) = 2.2180 +32'h40ca7bfd,32'h3ec7780e,32'h3ecf9c4d, 32'h3ec15cde,32'h3ed5b77c, 32'h3eb72f8f,32'h3edfe4cb,// invsqrt(6.3276) = 0.3975 +32'h3ddfba16,32'h403dc35f,32'h40458233, 32'h4037f43f,32'h404b5153, 32'h402e45b5,32'h4054ffdd,// invsqrt(0.1092) = 3.0256 +32'h3d85101d,32'h40760f92,32'h40800d54, 32'h406e8742,32'h4083d17c, 32'h4061f967,32'h408a186a,// invsqrt(0.0650) = 3.9232 +32'h3fa62e35,32'h3f5c2e7d,32'h3f652b29, 32'h3f5570fc,32'h3f6be8aa, 32'h3f4a3524,32'h3f772482,// invsqrt(1.2983) = 0.8776 +32'h3fe84fe5,32'h3f3a3949,32'h3f41d323, 32'h3f3485e6,32'h3f478686, 32'h3f2b0597,32'h3f5106d5,// invsqrt(1.8149) = 0.7423 +32'h407618e8,32'h3effe0b7,32'h3f052930, 32'h3ef80b78,32'h3f0913d0, 32'h3eeafd64,32'h3f0f9ada,// invsqrt(3.8453) = 0.5100 +32'h41c2abd1,32'h3e4b6eb5,32'h3e53bc5e, 32'h3e453475,32'h3e59f69d, 32'h3e3ad362,32'h3e6457b0,// invsqrt(24.3339) = 0.2027 +32'h40a5e54e,32'h3edc5ed8,32'h3ee55d7e, 32'h3ed59fdc,32'h3eec1c7a, 32'h3eca618d,32'h3ef75ac9,// invsqrt(5.1842) = 0.4392 +32'h40129e53,32'h3f25c0cc,32'h3f2c84c0, 32'h3f20add6,32'h3f3197b6, 32'h3f1838e5,32'h3f3a0ca7,// invsqrt(2.2909) = 0.6607 +32'h3ec13685,32'h3fcc32db,32'h3fd48886, 32'h3fc5f29b,32'h3fdac8c7, 32'h3fbb8786,32'h3fe533dc,// invsqrt(0.3774) = 1.6279 +32'h3f922bef,32'h3f6ac4b1,32'h3f7459c7, 32'h3f6394df,32'h3f7b8999, 32'h3f579a83,32'h3f83c1fb,// invsqrt(1.1420) = 0.9358 +32'h4014c8b7,32'h3f248adb,32'h3f2b4228, 32'h3f1f8162,32'h3f304ba2, 32'h3f171c42,32'h3f38b0c2,// invsqrt(2.3248) = 0.6559 +32'h3f0d5a78,32'h3fa8cfd5,32'h3fafb3bf, 32'h3fa3a4e6,32'h3fb4deae, 32'h3f9b0803,32'h3fbd7b91,// invsqrt(0.5522) = 1.3458 +32'h3f16a6a6,32'h3fa3850b,32'h3faa31a8, 32'h3f9e8395,32'h3faf331d, 32'h3f962bd0,32'h3fb78ae2,// invsqrt(0.5885) = 1.3036 +32'h3eee69af,32'h3fb7d361,32'h3fbf542b, 32'h3fb232c9,32'h3fc4f4c3, 32'h3fa8d1cc,32'h3fce55c0,// invsqrt(0.4657) = 1.4654 +32'h3f92b8c1,32'h3f6a53ec,32'h3f73e469, 32'h3f63278f,32'h3f7b10c7, 32'h3f5732f4,32'h3f8382b1,// invsqrt(1.1463) = 0.9340 +32'h3d31689e,32'h4096af3c,32'h409cd5bc, 32'h4092125c,32'h40a1729c, 32'h408a623c,32'h40a922bc,// invsqrt(0.0433) = 4.8050 +32'h3eecf1f6,32'h3fb864e6,32'h3fbfeba2, 32'h3fb2bfda,32'h3fc590ae, 32'h3fa95770,32'h3fcef918,// invsqrt(0.4628) = 1.4700 +32'h40ee9bb4,32'h3eb7c01b,32'h3ebf401d, 32'h3eb2201b,32'h3ec4e01d, 32'h3ea8c019,32'h3ece401f,// invsqrt(7.4565) = 0.3662 +32'h3f97893c,32'h3f66934f,32'h3f6ffc96, 32'h3f5f8459,32'h3f770b8b, 32'h3f53c0c0,32'h3f816792,// invsqrt(1.1839) = 0.9191 +32'h3f27cdce,32'h3f9aefdb,32'h3fa142ca, 32'h3f9631a8,32'h3fa600fe, 32'h3f8e49fe,32'h3fade8a8,// invsqrt(0.6555) = 1.2351 +32'h3ef3ad40,32'h3fb5d450,32'h3fbd403e, 32'h3fb0435d,32'h3fc2d131, 32'h3fa6fc73,32'h3fcc181b,// invsqrt(0.4759) = 1.4495 +32'h3e36be32,32'h4014780f,32'h401a8768, 32'h400fec8b,32'h401f12eb, 32'h4008595c,32'h4026a61a,// invsqrt(0.1785) = 2.3672 +32'h3fc31a70,32'h3f4b3501,32'h3f53804f, 32'h3f44fc86,32'h3f59b8ca, 32'h3f3a9e64,32'h3f6416ec,// invsqrt(1.5242) = 0.8100 +32'h3f704229,32'h3f817bf3,32'h3f86c4ed, 32'h3f7b0a6e,32'h3f8abba9, 32'h3f6dd3fd,32'h3f9156e2,// invsqrt(0.9385) = 1.0322 +32'h3f9b589f,32'h3f63baf2,32'h3f6d067f, 32'h3f5cc249,32'h3f73ff29, 32'h3f5123da,32'h3f7f9d98,// invsqrt(1.2136) = 0.9077 +32'h3e8f43c5,32'h3fed235c,32'h3ff6d136, 32'h3fe5e0f9,32'h3ffe1399, 32'h3fd9c7a8,32'h40051675,// invsqrt(0.2798) = 1.8905 +32'h3f2e4402,32'h3f98098c,32'h3f9e3e2e, 32'h3f936212,32'h3fa2e5a8, 32'h3f8ba047,32'h3faaa773,// invsqrt(0.6807) = 1.2120 +32'h3e5ca3cf,32'h40071e46,32'h400ca21f, 32'h4002fb63,32'h4010c501, 32'h3ff82d27,32'h4017a9d1,// invsqrt(0.2155) = 2.1543 +32'h3f9487b0,32'h3f68e5a2,32'h3f72672b, 32'h3f61c47a,32'h3f798852, 32'h3f55e28f,32'h3f82b51e,// invsqrt(1.1604) = 0.9283 +32'h3f7c4994,32'h3f7cb81d,32'h3f838463, 32'h3f74fba0,32'h3f8762a2, 32'h3f6816cd,32'h3f8dd50c,// invsqrt(0.9855) = 1.0073 +32'h3f35d4b5,32'h3f94d743,32'h3f9aea7f, 32'h3f9048d6,32'h3f9f78ec, 32'h3f88b0ca,32'h3fa710f8,// invsqrt(0.7103) = 1.1866 +32'h40f071fd,32'h3eb70c10,32'h3ebe84b8, 32'h3eb17192,32'h3ec41f36, 32'h3ea81ac0,32'h3ecd7608,// invsqrt(7.5139) = 0.3648 +32'h3e12c901,32'h4025a8b2,32'h402c6baa, 32'h40209678,32'h40317de4, 32'h401822c3,32'h4039f199,// invsqrt(0.1433) = 2.6412 +32'h3fcc6ebb,32'h3f468427,32'h3f4e9e72, 32'h3f407070,32'h3f54b22a, 32'h3f364f92,32'h3f5ed308,// invsqrt(1.5971) = 0.7913 +32'h3f5016ec,32'h3f8b221c,32'h3f90cfe9, 32'h3f86dfc3,32'h3f951243, 32'h3f7f8d05,32'h3f9c2b83,// invsqrt(0.8128) = 1.1092 +32'h3e803f25,32'h3ffaa37d,32'h40026f34, 32'h3ff2f74c,32'h4006454c, 32'h3fe62da7,32'h400caa1f,// invsqrt(0.2505) = 1.9981 +32'h3e72c27c,32'h4000d0bd,32'h400612bb, 32'h3ff9be7f,32'h400a0439, 32'h3fec9986,32'h401096b5,// invsqrt(0.2371) = 2.0538 +32'h3f270871,32'h3f9b4b49,32'h3fa1a1f3, 32'h3f968a49,32'h3fa662f3, 32'h3f8e9df5,32'h3fae4f47,// invsqrt(0.6525) = 1.2380 +32'h3df3703e,32'h4035eb17,32'h403d57f3, 32'h40305972,32'h4042e998, 32'h4027115e,32'h404c31ac,// invsqrt(0.1189) = 2.9005 +32'h3e81bc95,32'h3ff931f9,32'h4001aee7, 32'h3ff19119,32'h40057f58, 32'h3fe4da4d,32'h400bdabd,// invsqrt(0.2534) = 1.9866 +32'h3f65b5f9,32'h3f846c6f,32'h3f89d420, 32'h3f805eab,32'h3f8de1e5, 32'h3f733a1b,32'h3f94a382,// invsqrt(0.8973) = 1.0557 +32'h3fc9b300,32'h3f47db57,32'h3f5003a3, 32'h3f41bd1d,32'h3f5621dd, 32'h3f378abd,32'h3f60543d,// invsqrt(1.5758) = 0.7966 +32'h3e99615b,32'h3fe52f5e,32'h3fee8a1e, 32'h3fde2b4e,32'h3ff58e2e, 32'h3fd279de,32'h40009fcf,// invsqrt(0.2996) = 1.8270 +32'h42198981,32'h3e21f9ba,32'h3e289635, 32'h3e1d045f,32'h3e2d8b91, 32'h3e14c0c6,32'h3e35cf2a,// invsqrt(38.3843) = 0.1614 +32'h3ec7b76b,32'h3fc8d8ae,32'h3fd10b52, 32'h3fc2b2b3,32'h3fd7314d, 32'h3fb87366,32'h3fe1709a,// invsqrt(0.3901) = 1.6011 +32'h3eceda07,32'h3fc55a1c,32'h3fcd683c, 32'h3fbf4f83,32'h3fd372d5, 32'h3fb53ddb,32'h3fdd847d,// invsqrt(0.4040) = 1.5733 +32'h3fbca3e3,32'h3f4ea8a8,32'h3f571808, 32'h3f485520,32'h3f5d6b90, 32'h3f3dc9e9,32'h3f67f6c7,// invsqrt(1.4738) = 0.8237 +32'h3fd46e36,32'h3f42be4a,32'h3f4ab129, 32'h3f3cc824,32'h3f50a750, 32'h3f32d88e,32'h3f5a96e6,// invsqrt(1.6596) = 0.7762 +32'h3e38b7ce,32'h4013ac51,32'h4019b359, 32'h400f270a,32'h401e38a0, 32'h40079e40,32'h4025c16a,// invsqrt(0.1804) = 2.3545 +32'h4022ed9b,32'h3f1d3cf8,32'h3f23a7f3, 32'h3f186cbd,32'h3f28782f, 32'h3f106704,32'h3f307de8,// invsqrt(2.5458) = 0.6267 +32'h405c5f66,32'h3f07333d,32'h3f0cb7f1, 32'h3f030fb6,32'h3f10db78, 32'h3ef853a9,32'h3f17c159,// invsqrt(3.4433) = 0.5389 +32'h3f8573ce,32'h3f75b399,32'h3f7fbaed, 32'h3f6e2e19,32'h3f83a036, 32'h3f61a4f0,32'h3f89e4cb,// invsqrt(1.0426) = 0.9794 +32'h3efccde9,32'h3fb28444,32'h3fb9cd96, 32'h3fad0d48,32'h3fbf4492, 32'h3fa3f1a2,32'h3fc86038,// invsqrt(0.4938) = 1.4231 +32'h3f59df52,32'h3f87f946,32'h3f8d860f, 32'h3f83cfae,32'h3f91afa6, 32'h3f79bf65,32'h3f989fa1,// invsqrt(0.8511) = 1.0840 +32'h4037f756,32'h3f13f97d,32'h3f1a03ab, 32'h3f0f71d9,32'h3f1e8b4f, 32'h3f07e51f,32'h3f261809,// invsqrt(2.8745) = 0.5898 +32'h3d364190,32'h4094aaca,32'h409abc35, 32'h40901db9,32'h409f4945, 32'h408887f2,32'h40a6df0c,// invsqrt(0.0445) = 4.7407 +32'h3ee2c30d,32'h3fbc7d2e,32'h3fc42eb2, 32'h3fb6b80a,32'h3fc9f3d6, 32'h3fad1a25,32'h3fd391bb,// invsqrt(0.4429) = 1.5026 +32'h40145dfb,32'h3f24c600,32'h3f2b7fb7, 32'h3f1fbab7,32'h3f308b01, 32'h3f175293,32'h3f38f325,// invsqrt(2.3182) = 0.6568 +32'h401227ba,32'h3f2603ff,32'h3f2ccab1, 32'h3f20eefa,32'h3f31dfb6, 32'h3f18769c,32'h3f3a5814,// invsqrt(2.2837) = 0.6617 +32'h3f7a51c0,32'h3f7db5f2,32'h3f84087b, 32'h3f75f1ae,32'h3f87ea9d, 32'h3f68ffe8,32'h3f8e6380,// invsqrt(0.9778) = 1.0113 +32'h3f5c65cc,32'h3f873147,32'h3f8cb5e7, 32'h3f830dcf,32'h3f90d95f, 32'h3f785010,32'h3f97bf26,// invsqrt(0.8609) = 1.0777 +32'h40012890,32'h3f309a02,32'h3f37cf50, 32'h3f2b3207,32'h3f3d374b, 32'h3f222f65,32'h3f4639ed,// invsqrt(2.0181) = 0.7039 +32'h3f97556a,32'h3f66bac6,32'h3f7025a9, 32'h3f5faa9b,32'h3f7735d3, 32'h3f53e4fe,32'h3f817db8,// invsqrt(1.1823) = 0.9197 +32'h403e8865,32'h3f1166fb,32'h3f175649, 32'h3f0cf380,32'h3f1bc9c4, 32'h3f05885f,32'h3f2334e5,// invsqrt(2.9771) = 0.5796 +32'h3f60c441,32'h3f85df43,32'h3f8b5617, 32'h3f81c624,32'h3f8f6f36, 32'h3f75e337,32'h3f9643be,// invsqrt(0.8780) = 1.0672 +32'h3e91253f,32'h3feb98c3,32'h3ff53681, 32'h3fe46274,32'h3ffc6cd0, 32'h3fd85d45,32'h40043900,// invsqrt(0.2835) = 1.8782 +32'h411a10ff,32'h3ea1b271,32'h3ea84c03, 32'h3e9cbf44,32'h3ead3f30, 32'h3e947f4e,32'h3eb57f26,// invsqrt(9.6291) = 0.3223 +32'h415b0806,32'h3e879d0e,32'h3e8d2614, 32'h3e83764a,32'h3e914cd8, 32'h3e791605,32'h3e983820,// invsqrt(13.6895) = 0.2703 +32'h3f9c8f97,32'h3f62d858,32'h3f6c1aa4, 32'h3f5be69e,32'h3f730c5e, 32'h3f5053be,32'h3f7e9f3e,// invsqrt(1.2231) = 0.9042 +32'h40210d32,32'h3f1e26cf,32'h3f249b55, 32'h3f194f6b,32'h3f2972b9, 32'h3f113dc3,32'h3f318461,// invsqrt(2.5164) = 0.6304 +32'h3ea6224a,32'h3fdc3662,32'h3fe53361, 32'h3fd578a4,32'h3febf120, 32'h3fca3c65,32'h3ff72d5f,// invsqrt(0.3245) = 1.7555 +32'h403e74ad,32'h3f116e81,32'h3f175e1e, 32'h3f0cfacc,32'h3f1bd1d4, 32'h3f058f48,32'h3f233d58,// invsqrt(2.9759) = 0.5797 +32'h3fb76cae,32'h3f51938d,32'h3f5a2169, 32'h3f4b2928,32'h3f608bce, 32'h3f4077d5,32'h3f6b3d21,// invsqrt(1.4330) = 0.8354 +32'h3f8c1555,32'h3f6fd0d9,32'h3f799aad, 32'h3f687979,32'h3f807906, 32'h3f5c3d30,32'h3f86972b,// invsqrt(1.0944) = 0.9559 +32'h3daedc54,32'h4056a5a7,32'h405f687f, 32'h40501385,32'h4065faa1, 32'h40451ff8,32'h4070ee2f,// invsqrt(0.0854) = 3.4223 +32'h3e67917c,32'h4003e433,32'h40094654, 32'h3fffb532,32'h400d4fed, 32'h3ff23fdf,32'h40140a96,// invsqrt(0.2261) = 2.1029 +32'h3fd13e33,32'h3f443897,32'h3f4c3ae6, 32'h3f3e36db,32'h3f523ca1, 32'h3f3433f8,32'h3f5c3f84,// invsqrt(1.6347) = 0.7821 +32'h40b15144,32'h3ed527a7,32'h3edddae7, 32'h3ecea137,32'h3ee46157, 32'h3ec3c126,32'h3eef4168,// invsqrt(5.5412) = 0.4248 +32'h3d1ddb91,32'h409fbe55,32'h40a6437d, 32'h409ada77,32'h40ab275b, 32'h4092b405,32'h40b34dcd,// invsqrt(0.0385) = 5.0939 +32'h40398e73,32'h3f1356cf,32'h3f195a5b, 32'h3f0ed427,32'h3f1ddd03, 32'h3f074fb9,32'h3f256171,// invsqrt(2.8993) = 0.5873 +32'h40a8dc46,32'h3eda6d68,32'h3ee357c0, 32'h3ed3bda6,32'h3eea0782, 32'h3ec898b8,32'h3ef52c70,// invsqrt(5.2769) = 0.4353 +32'h404dd1d2,32'h3f0be5fc,32'h3f119bc7, 32'h3f079da3,32'h3f15e41f, 32'h3f007a64,32'h3f1d075e,// invsqrt(3.2159) = 0.5576 +32'h3f1963af,32'h3fa20db1,32'h3fa8aafd, 32'h3f9d17b9,32'h3fada0f5, 32'h3f94d31b,32'h3fb5e593,// invsqrt(0.5992) = 1.2919 +32'h3f83e65b,32'h3f7724b2,32'h3f809d8c, 32'h3f6f93e6,32'h3f8465f2, 32'h3f62f7e8,32'h3f8ab3f1,// invsqrt(1.0305) = 0.9851 +32'h4088307f,32'h3ef33853,32'h3efd25b9, 32'h3eebc646,32'h3f024be3, 32'h3edf5d85,32'h3f088043,// invsqrt(4.2559) = 0.4847 +32'h3f78209d,32'h3f7ed432,32'h3f849d73, 32'h3f77072b,32'h3f8883f6, 32'h3f6a06cb,32'h3f8f0427,// invsqrt(0.9692) = 1.0157 +32'h41d61a78,32'h3e41fb24,32'h3e49e60c, 32'h3e3c0af7,32'h3e4fd639, 32'h3e322556,32'h3e59bbda,// invsqrt(26.7629) = 0.1933 +32'h3f3d22f3,32'h3f91f01f,32'h3f97e507, 32'h3f8d7872,32'h3f9c5cb4, 32'h3f860651,32'h3fa3ced5,// invsqrt(0.7388) = 1.1634 +32'h3f2be225,32'h3f991658,32'h3f9f55f3, 32'h3f9466a3,32'h3fa405a7, 32'h3f8c9721,32'h3fabd529,// invsqrt(0.6714) = 1.2204 +32'h3fbd151c,32'h3f4e6abf,32'h3f56d797, 32'h3f48191c,32'h3f5d293a, 32'h3f3d910d,32'h3f67b149,// invsqrt(1.4772) = 0.8228 +32'h3ec752d6,32'h3fc90b55,32'h3fd1400a, 32'h3fc2e3cd,32'h3fd76791, 32'h3fb8a1ea,32'h3fe1a974,// invsqrt(0.3893) = 1.6027 +32'h3d3c793f,32'h409231c4,32'h4098295a, 32'h408db815,32'h409ca309, 32'h4086429a,32'h40a41884,// invsqrt(0.0460) = 4.6618 +32'h3f05a429,32'h3fad9d4a,32'h3fb4b362, 32'h3fa84cb8,32'h3fba03f4, 32'h3f9f711a,32'h3fc2df92,// invsqrt(0.5220) = 1.3840 +32'h3f990ca7,32'h3f656ec1,32'h3f6ecc17, 32'h3f5e68c0,32'h3f75d218, 32'h3f52b414,32'h3f80c362,// invsqrt(1.1957) = 0.9145 +32'h3f1e60d4,32'h3f9f7b13,32'h3fa5fd7c, 32'h3f9a9943,32'h3faadf4b, 32'h3f927640,32'h3fb3024e,// invsqrt(0.6187) = 1.2714 +32'h3f405bb6,32'h3f90b5f0,32'h3f969e04, 32'h3f8c47e1,32'h3f9b0c13, 32'h3f84e5c7,32'h3fa26e2d,// invsqrt(0.7514) = 1.1536 +32'h3f229337,32'h3f9d68a9,32'h3fa3d56b, 32'h3f989716,32'h3fa8a6fe, 32'h3f908f23,32'h3fb0aef1,// invsqrt(0.6351) = 1.2549 +32'h3dd2b73d,32'h404388bb,32'h404b83dc, 32'h403d8c61,32'h40518035, 32'h40339277,32'h405b7a1f,// invsqrt(0.1029) = 3.1176 +32'h3e8ce92e,32'h3fef1c4f,32'h3ff8dec5, 32'h3fe7ca76,32'h4000184f, 32'h3fdb9763,32'h400631d9,// invsqrt(0.2752) = 1.9062 +32'h3e696254,32'h40036098,32'h4008bd5a, 32'h3ffeb60c,32'h400cc2ec, 32'h3ff14e27,32'h401376df,// invsqrt(0.2279) = 2.0947 +32'h3f57951c,32'h3f88b1a7,32'h3f8e45f7, 32'h3f84826b,32'h3f927533, 32'h3f7b120e,32'h3f996e97,// invsqrt(0.8421) = 1.0897 +32'h3e397f54,32'h40135cd0,32'h4019609a, 32'h400ed9f9,32'h401de371, 32'h4007553c,32'h4025682e,// invsqrt(0.1811) = 2.3495 +32'h3f93f73b,32'h3f695736,32'h3f72dd62, 32'h3f623295,32'h3f7a0203, 32'h3f564ade,32'h3f82f4dd,// invsqrt(1.1560) = 0.9301 +32'h3f81c39e,32'h3f792b38,32'h3f81ab64, 32'h3f718a8d,32'h3f857bba, 32'h3f64d41a,32'h3f8bd6f3,// invsqrt(1.0138) = 0.9932 +32'h3f57012e,32'h3f88e0a5,32'h3f8e76e0, 32'h3f84aff9,32'h3f92a78d, 32'h3f7b685f,32'h3f99a356,// invsqrt(0.8399) = 1.0912 +32'h3dc325d7,32'h404b2f11,32'h40537a21, 32'h4044f6c5,32'h4059b26d, 32'h403a98f0,32'h40641042,// invsqrt(0.0953) = 3.2395 +32'h400e8271,32'h3f28202d,32'h3f2efceb, 32'h3f22fa9f,32'h3f342279, 32'h3f1a66b1,32'h3f3cb667,// invsqrt(2.2267) = 0.6701 +32'h3ed686b5,32'h3fc1ca2f,32'h3fc9b317, 32'h3fbbdb81,32'h3fcfa1c5, 32'h3fb1f860,32'h3fd984e7,// invsqrt(0.4190) = 1.5449 +32'h402332aa,32'h3f1d1bb0,32'h3f23854f, 32'h3f184c7a,32'h3f285486, 32'h3f104873,32'h3f30588d,// invsqrt(2.5500) = 0.6262 +32'h3e9c873b,32'h3fe2de66,32'h3fec20f2, 32'h3fdbec7d,32'h3ff312db, 32'h3fd0594e,32'h3ffea60a,// invsqrt(0.3057) = 1.8086 +32'h3fa7cc6d,32'h3f5b1e10,32'h3f640f9e, 32'h3f5468e6,32'h3f6ac4c8, 32'h3f493af5,32'h3f75f2b9,// invsqrt(1.3109) = 0.8734 +32'h3e8b07c7,32'h3ff0b8e3,32'h3ffa8c31, 32'h3fe95a6a,32'h4000f555, 32'h3fdd1249,32'h40071966,// invsqrt(0.2715) = 1.9190 +32'h3eb682b0,32'h3fd219bb,32'h3fdaad11, 32'h3fcbab3a,32'h3fe11b92, 32'h3fc0f30f,32'h3febd3bd,// invsqrt(0.3565) = 1.6749 +32'h4041d5bb,32'h3f10288f,32'h3f160ade, 32'h3f0bbed4,32'h3f1a749a, 32'h3f0463f2,32'h3f21cf7c,// invsqrt(3.0287) = 0.5746 +32'h3f96ebc8,32'h3f670b77,32'h3f7079a5, 32'h3f5ff8d4,32'h3f778c48, 32'h3f542f19,32'h3f81ab01,// invsqrt(1.1791) = 0.9209 +32'h4042188b,32'h3f100fbd,32'h3f15f109, 32'h3f0ba6c4,32'h3f1a5a02, 32'h3f044d26,32'h3f21b3a0,// invsqrt(3.0327) = 0.5742 +32'h401ba906,32'h3f20ddf9,32'h3f276edf, 32'h3f1bf14d,32'h3f2c5b8b, 32'h3f13bc2e,32'h3f3490aa,// invsqrt(2.4322) = 0.6412 +32'h42196518,32'h3e220cf2,32'h3e28aa36, 32'h3e1d1700,32'h3e2da028, 32'h3e14d26c,32'h3e35e4bc,// invsqrt(38.3487) = 0.1615 +32'h3d7cf303,32'h407c636b,32'h4083584f, 32'h4074a984,32'h40873542, 32'h4067c904,32'h408da582,// invsqrt(0.0618) = 4.0240 +32'h3ffccb6e,32'h3f328524,32'h3f39ce7f, 32'h3f2d0e21,32'h3f3f4583, 32'h3f23f270,32'h3f486134,// invsqrt(1.9750) = 0.7116 +32'h3e865193,32'h3ff4e86f,32'h3ffee779, 32'h3fed6928,32'h40033360, 32'h3fe0ea5c,32'h400972c6,// invsqrt(0.2623) = 1.9524 +32'h3f0b40b1,32'h3faa1494,32'h3fb105be, 32'h3fa4dfb4,32'h3fb63a9e, 32'h3f9c323e,32'h3fbee814,// invsqrt(0.5440) = 1.3559 +32'h3fc2652c,32'h3f4b93a8,32'h3f53e2d4, 32'h3f455848,32'h3f5a1e34, 32'h3f3af551,32'h3f64812b,// invsqrt(1.5187) = 0.8115 +32'h4085b4dc,32'h3ef577cc,32'h3eff7caf, 32'h3eedf420,32'h3f03802d, 32'h3ee16e04,32'h3f09c33b,// invsqrt(4.1783) = 0.4892 +32'h409ef3e6,32'h3ee121c4,32'h3eea522a, 32'h3eda3d77,32'h3ef13677, 32'h3ecec0f8,32'h3efcb2f6,// invsqrt(4.9673) = 0.4487 +32'h3f13dcda,32'h3fa50de3,32'h3fabca89, 32'h3fa00066,32'h3fb0d806, 32'h3f979497,32'h3fb943d5,// invsqrt(0.5776) = 1.3158 +32'h401cae01,32'h3f2057c6,32'h3f26e332, 32'h3f1b6f36,32'h3f2bcbc2, 32'h3f1340f0,32'h3f33fa08,// invsqrt(2.4481) = 0.6391 +32'h3f62fd4d,32'h3f85370b,32'h3f8aa701, 32'h3f812312,32'h3f8ebafa, 32'h3f74ae3e,32'h3f9586ed,// invsqrt(0.8867) = 1.0620 +32'h3e8590b2,32'h3ff59905,32'h3fff9f43, 32'h3fee1455,32'h400391f9, 32'h3fe18c87,32'h4009d5e1,// invsqrt(0.2609) = 1.9579 +32'h3f32847f,32'h3f96373d,32'h3f9c58d7, 32'h3f919e0a,32'h3fa0f20a, 32'h3f89f409,32'h3fa89c0b,// invsqrt(0.6973) = 1.1975 +32'h3f59557d,32'h3f88245d,32'h3f8db2e9, 32'h3f83f974,32'h3f91ddd2, 32'h3f7a0e8c,32'h3f98d000,// invsqrt(0.8490) = 1.0853 +32'h3e31deba,32'h40167d2d,32'h401ca1a1, 32'h4011e1d5,32'h40213cf9, 32'h400a3443,32'h4028ea8b,// invsqrt(0.1737) = 2.3994 +32'h415d40a5,32'h3e86ee5a,32'h3e8c703e, 32'h3e82ccef,32'h3e9091a9, 32'h3e77d522,32'h3e977407,// invsqrt(13.8283) = 0.2689 +32'h41621428,32'h3e857baa,32'h3e8aee6e, 32'h3e816598,32'h3e8f0480, 32'h3e752c49,32'h3e95d3f4,// invsqrt(14.1299) = 0.2660 +32'h3fcd4aa8,32'h3f4619b5,32'h3f4e2fa8, 32'h3f400940,32'h3f54401e, 32'h3f35edd1,32'h3f5e5b8d,// invsqrt(1.6038) = 0.7896 +32'h3f4df9f7,32'h3f8bd859,32'h3f918d96, 32'h3f87906b,32'h3f95d583, 32'h3f806dde,32'h3f9cf810,// invsqrt(0.8046) = 1.1148 +32'h3f839c44,32'h3f776a39,32'h3f80c1ba, 32'h3f6fd74c,32'h3f848b31, 32'h3f6337c2,32'h3f8adaf6,// invsqrt(1.0282) = 0.9862 +32'h3cf262fe,32'h40b65005,32'h40bdc100, 32'h40b0bb49,32'h40c355bd, 32'h40a76e10,32'h40cca2f7,// invsqrt(0.0296) = 5.8135 +32'h41e48a3a,32'h3e3bc11c,32'h3e436af4, 32'h3e3601bb,32'h3e492a55, 32'h3e2c6d6e,32'h3e52bea2,// invsqrt(28.5675) = 0.1871 +32'h3f68f996,32'h3f837e1d,32'h3f88dc14, 32'h3f7eef49,32'h3f8ce28d, 32'h3f718460,32'h3f939802,// invsqrt(0.9101) = 1.0483 +32'h3fd01cea,32'h3f44c0c8,32'h3f4cc8a6, 32'h3f3ebae1,32'h3f52ce8d, 32'h3f34b10b,32'h3f5cd863,// invsqrt(1.6259) = 0.7843 +32'h40925db1,32'h3eea9cc6,32'h3ef4303c, 32'h3ee36e2e,32'h3efb5ed4, 32'h3ed775da,32'h3f03ab94,// invsqrt(4.5739) = 0.4676 +32'h3fb00913,32'h3f55edfd,32'h3f5ea955, 32'h3f4f617a,32'h3f6535d8, 32'h3f44774b,32'h3f702007,// invsqrt(1.3753) = 0.8527 +32'h3fbb1075,32'h3f4f8708,32'h3f57ff7c, 32'h3f492cb2,32'h3f5e59d2, 32'h3f3e9622,32'h3f68f062,// invsqrt(1.4614) = 0.8272 +32'h3ce08b3b,32'h40bd6aeb,32'h40c52623, 32'h40b79e80,32'h40caf28e, 32'h40adf47a,32'h40d49c95,// invsqrt(0.0274) = 6.0401 +32'h3f944bc9,32'h3f6914a7,32'h3f72981b, 32'h3f61f20f,32'h3f79bab3, 32'h3f560dbe,32'h3f82cf82,// invsqrt(1.1586) = 0.9291 +32'h3f8b9598,32'h3f703e7b,32'h3f7a0cc9, 32'h3f68e3c0,32'h3f80b3c2, 32'h3f5ca1df,32'h3f86d4b3,// invsqrt(1.0905) = 0.9576 +32'h3f887f23,32'h3f72f238,32'h3f7cdcc3, 32'h3f6b8251,32'h3f822655, 32'h3f5f1d25,32'h3f8858ec,// invsqrt(1.0664) = 0.9684 +32'h401cb756,32'h3f205300,32'h3f26de3a, 32'h3f1b6a95,32'h3f2bc6a5, 32'h3f133c8d,32'h3f33f4ad,// invsqrt(2.4487) = 0.6390 +32'h3fa4055c,32'h3f5da058,32'h3f66ac1d, 32'h3f56d785,32'h3f6d74f1, 32'h3f4b88cf,32'h3f78c3a7,// invsqrt(1.2814) = 0.8834 +32'h4016f3e6,32'h3f235b2e,32'h3f2a0616, 32'h3f1e5b00,32'h3f2f0644, 32'h3f16055f,32'h3f375be5,// invsqrt(2.3586) = 0.6511 +32'h3e48b5b1,32'h400dab03,32'h4013734d, 32'h400954cc,32'h4017c984, 32'h40021a71,32'h401f03df,// invsqrt(0.1960) = 2.2587 +32'h3f565c06,32'h3f891556,32'h3f8eadb8, 32'h3f84e30d,32'h3f92e001, 32'h3f7bc926,32'h3f99de7b,// invsqrt(0.8373) = 1.0928 +32'h40051d83,32'h3f2df502,32'h3f350eae, 32'h3f28a1c0,32'h3f3a61f0, 32'h3f1fc1a9,32'h3f434207,// invsqrt(2.0799) = 0.6934 +32'h3e2430cf,32'h401ca1ea,32'h40230690, 32'h4017d66d,32'h4027d20d, 32'h400fd89d,32'h402fcfdd,// invsqrt(0.1603) = 2.4973 +32'h4100f007,32'h3eb0c0b5,32'h3eb7f798, 32'h3eab578b,32'h3ebd60c3, 32'h3ea252f0,32'h3ec6655e,// invsqrt(8.0586) = 0.3523 +32'h4048d06e,32'h3f0da194,32'h3f13697b, 32'h3f094ba8,32'h3f17bf68, 32'h3f0211c7,32'h3f1ef949,// invsqrt(3.1377) = 0.5645 +32'h3ed5f9dc,32'h3fc209ec,32'h3fc9f56e, 32'h3fbc194b,32'h3fcfe60f, 32'h3fb232e8,32'h3fd9cc72,// invsqrt(0.4179) = 1.5469 +32'h3f9d19cc,32'h3f627479,32'h3f6bb2b3, 32'h3f5b85ce,32'h3f72a15e, 32'h3f4ff807,32'h3f7e2f25,// invsqrt(1.2273) = 0.9026 +32'h3f9505c9,32'h3f688304,32'h3f720086, 32'h3f6164e2,32'h3f791ea8, 32'h3f5587fe,32'h3f827dc6,// invsqrt(1.1642) = 0.9268 +32'h3f16d51d,32'h3fa36bd9,32'h3faa176f, 32'h3f9e6b29,32'h3faf181f, 32'h3f9614ad,32'h3fb76e9b,// invsqrt(0.5892) = 1.3028 +32'h40127865,32'h3f25d641,32'h3f2c9b15, 32'h3f20c2a2,32'h3f31aeb4, 32'h3f184c9a,32'h3f3a24bc,// invsqrt(2.2886) = 0.6610 +32'h402e8391,32'h3f17edda,32'h3f1e215b, 32'h3f134739,32'h3f22c7fb, 32'h3f0b86d7,32'h3f2a885d,// invsqrt(2.7268) = 0.6056 +32'h402e55a7,32'h3f1801da,32'h3f1e362c, 32'h3f135a9c,32'h3f22dd6a, 32'h3f0b9936,32'h3f2a9ed0,// invsqrt(2.7240) = 0.6059 +32'h3f4ab2ec,32'h3f8cf89f,32'h3f92b9a1, 32'h3f88a7de,32'h3f970a62, 32'h3f81769d,32'h3f9e3ba3,// invsqrt(0.7918) = 1.1238 +32'h3f37c424,32'h3f940e18,32'h3f9a191e, 32'h3f8f85d3,32'h3f9ea163, 32'h3f87f80c,32'h3fa62f2a,// invsqrt(0.7178) = 1.1803 +32'h3d4abad2,32'h408cf5e0,32'h4092b6c4, 32'h4088a534,32'h40970770, 32'h40817417,32'h409e388d,// invsqrt(0.0495) = 4.4949 +32'h3fa2d378,32'h3f5e7024,32'h3f678464, 32'h3f57a0f4,32'h3f6e5394, 32'h3f4c47a4,32'h3f79ace4,// invsqrt(1.2721) = 0.8866 +32'h41578621,32'h3e88b667,32'h3e8e4ae9, 32'h3e848706,32'h3e927a4a, 32'h3e7b1ac8,32'h3e9973ec,// invsqrt(13.4702) = 0.2725 +32'h3f6c10d7,32'h3f82a104,32'h3f87f5f5, 32'h3f7d42a1,32'h3f8bf5aa, 32'h3f6fee47,32'h3f929fd6,// invsqrt(0.9221) = 1.0414 +32'h3ea14d57,32'h3fdf7c81,32'h3fe89bb6, 32'h3fd8a51b,32'h3fef731d, 32'h3fcd3e19,32'h3ffada1f,// invsqrt(0.3150) = 1.7816 +32'h3e79bd0b,32'h3ffe0170,32'h40042fc4, 32'h3ff63adc,32'h4008130e, 32'h3fe9453c,32'h400e8dde,// invsqrt(0.2439) = 2.0249 +32'h3f71cda6,32'h3f8111e4,32'h3f86568a, 32'h3f7a3ccf,32'h3f8a4a07, 32'h3f6d1130,32'h3f90dfd6,// invsqrt(0.9445) = 1.0289 +32'h41116de4,32'h3ea66def,32'h3ead38f4, 32'h3ea155ac,32'h3eb25138, 32'h3e98d7e7,32'h3ebacefd,// invsqrt(9.0893) = 0.3317 +32'h41215bf4,32'h3e9e0032,32'h3ea47324, 32'h3e9929fc,32'h3ea9495a, 32'h3e911a4d,32'h3eb15909,// invsqrt(10.0849) = 0.3149 +32'h401682eb,32'h3f239872,32'h3f2a45da, 32'h3f1e9664,32'h3f2f47e8, 32'h3f163da2,32'h3f37a0aa,// invsqrt(2.3517) = 0.6521 +32'h3d1497b0,32'h40a4a5fe,32'h40ab5e66, 32'h409f9bb0,32'h40b068b4, 32'h4097352d,32'h40b8cf37,// invsqrt(0.0363) = 5.2503 +32'h40d62a84,32'h3ec1f3e0,32'h3ec9de7c, 32'h3ebc03ec,32'h3ecfce70, 32'h3eb21ea9,32'h3ed9b3b3,// invsqrt(6.6927) = 0.3865 +32'h4113908e,32'h3ea53889,32'h3eabf6ed, 32'h3ea029be,32'h3eb105b8, 32'h3e97bbc2,32'h3eb973b4,// invsqrt(9.2228) = 0.3293 +32'h3ef8aab5,32'h3fb3fee5,32'h3fbb57ab, 32'h3fae7c51,32'h3fc0da3f, 32'h3fa54d5a,32'h3fca0936,// invsqrt(0.4857) = 1.4349 +32'h3f713c9a,32'h3f8138ab,32'h3f867ee7, 32'h3f7a87fe,32'h3f8a7393, 32'h3f6d586a,32'h3f910b5d,// invsqrt(0.9423) = 1.0301 +32'h3fa6edd1,32'h3f5baffa,32'h3f64a77c, 32'h3f54f658,32'h3f6b611e, 32'h3f49c0f5,32'h3f769681,// invsqrt(1.3041) = 0.8757 +32'h407c6fb6,32'h3efca506,32'h3f037a73, 32'h3ef4e91d,32'h3f075868, 32'h3ee80544,32'h3f0dca54,// invsqrt(3.9443) = 0.5035 +32'h404b1e70,32'h3f0cd34b,32'h3f1292c6, 32'h3f0883ae,32'h3f16e262, 32'h3f015454,32'h3f1e11bc,// invsqrt(3.1737) = 0.5613 +32'h3f2e49ff,32'h3f9806ef,32'h3f9e3b77, 32'h3f935f8a,32'h3fa2e2dc, 32'h3f8b9de1,32'h3faaa485,// invsqrt(0.6808) = 1.2120 +32'h3f046ba9,32'h3fae69ad,32'h3fb5881d, 32'h3fa912da,32'h3fbadef0, 32'h3fa02cce,32'h3fc3c4fc,// invsqrt(0.5173) = 1.3904 +32'h3f958c71,32'h3f681a3f,32'h3f71937b, 32'h3f60ff52,32'h3f78ae68, 32'h3f5527c7,32'h3f8242fa,// invsqrt(1.1683) = 0.9252 +32'h4107c947,32'h3eac3cd8,32'h3eb3448e, 32'h3ea6f710,32'h3eb88a56, 32'h3e9e2d6e,32'h3ec153f8,// invsqrt(8.4866) = 0.3433 +32'h3e2e74ea,32'h4017f43b,32'h401e27ff, 32'h40134d68,32'h4022ced2, 32'h400b8cb4,32'h402a8f86,// invsqrt(0.1704) = 2.4227 +32'h3ed2208e,32'h3fc3ceca,32'h3fcbccc8, 32'h3fbdd04c,32'h3fd1cb46, 32'h3fb3d2ce,32'h3fdbc8c4,// invsqrt(0.4104) = 1.5610 +32'h3f2e9a88,32'h3f97e3dc,32'h3f9e16f4, 32'h3f933d89,32'h3fa2bd47, 32'h3f8b7daa,32'h3faa7d26,// invsqrt(0.6820) = 1.2109 +32'h3f41cd7d,32'h3f902ba0,32'h3f960e0e, 32'h3f8bc1cc,32'h3f9a77e2, 32'h3f8466c2,32'h3fa1d2ec,// invsqrt(0.7570) = 1.1493 +32'h3f6d509f,32'h3f8248e4,32'h3f879a3c, 32'h3f7c97c5,32'h3f8b973e, 32'h3f6f4c6a,32'h3f923ceb,// invsqrt(0.9270) = 1.0386 +32'h3e47b3e5,32'h400e0656,32'h4013d25a, 32'h4009ad54,32'h40182b5c, 32'h40026e4f,32'h401f6a61,// invsqrt(0.1950) = 2.2644 +32'h4080fb54,32'h3ef9ec63,32'h3f020fea, 32'h3ef245ce,32'h3f05e335, 32'h3ee58580,32'h3f0c435c,// invsqrt(4.0307) = 0.4981 +32'h3f260a2f,32'h3f9bc203,32'h3fa21d85, 32'h3f96fd61,32'h3fa6e227, 32'h3f8f0afd,32'h3faed48b,// invsqrt(0.6486) = 1.2417 +32'h3f3bbc09,32'h3f927b5e,32'h3f9875f4, 32'h3f8dff6d,32'h3f9cf1e5, 32'h3f868632,32'h3fa46b20,// invsqrt(0.7333) = 1.1677 +32'h3ea3a241,32'h3fdde36b,32'h3fe6f1ed, 32'h3fd7188a,32'h3fedbcce, 32'h3fcbc668,32'h3ff90ef0,// invsqrt(0.3196) = 1.7689 +32'h41db4dfd,32'h3e3faaba,32'h3e477d73, 32'h3e39ccae,32'h3e4d5b7e, 32'h3e300547,32'h3e5722e5,// invsqrt(27.4131) = 0.1910 +32'h3f818107,32'h3f796b3f,32'h3f81ccb6, 32'h3f71c89e,32'h3f859e06, 32'h3f650ee6,32'h3f8bfae2,// invsqrt(1.0118) = 0.9942 +32'h3e817973,32'h3ff9728c,32'h4001d082, 32'h3ff1cfb1,32'h4005a1ef, 32'h3fe5159a,32'h400bfefb,// invsqrt(0.2529) = 1.9886 +32'h3ed81430,32'h3fc1179f,32'h3fc8f93d, 32'h3fbb2e68,32'h3fcee274, 32'h3fb15463,32'h3fd8bc79,// invsqrt(0.4220) = 1.5393 +32'h3f9149d7,32'h3f6b7b16,32'h3f75179e, 32'h3f6445af,32'h3f7c4d05, 32'h3f584204,32'h3f842858,// invsqrt(1.1351) = 0.9386 +32'h40873ef9,32'h3ef4111e,32'h3efe075e, 32'h3eec986e,32'h3f02c007, 32'h3ee0249e,32'h3f08f9ef,// invsqrt(4.2264) = 0.4864 +32'h3ea73184,32'h3fdb837b,32'h3fe4792d, 32'h3fd4cb36,32'h3feb3172, 32'h3fc99818,32'h3ff66490,// invsqrt(0.3265) = 1.7499 +32'h3df66779,32'h4034d1fa,32'h403c335c, 32'h402f48ef,32'h4041bc67, 32'h40260f34,32'h404af623,// invsqrt(0.1203) = 2.8830 +32'h3f4d03d9,32'h3f8c2c31,32'h3f91e4da, 32'h3f87e1b2,32'h3f962f58, 32'h3f80bade,32'h3f9d562c,// invsqrt(0.8008) = 1.1174 +32'h3f539007,32'h3f89fc8b,32'h3f8f9e5c, 32'h3f85c32d,32'h3f93d7b9, 32'h3f7d71cf,32'h3f9ae1fe,// invsqrt(0.8264) = 1.1000 +32'h40a7cf61,32'h3edb1c23,32'h3ee40d9d, 32'h3ed46708,32'h3eeac2b8, 32'h3ec93930,32'h3ef5f090,// invsqrt(5.2441) = 0.4367 +32'h3eb09950,32'h3fd5968e,32'h3fde4e55, 32'h3fcf0cb8,32'h3fe4d82a, 32'h3fc426ff,32'h3fefbde3,// invsqrt(0.3449) = 1.7027 +32'h3f531148,32'h3f8a25f3,32'h3f8fc975, 32'h3f85eb51,32'h3f940417, 32'h3f7dbdde,32'h3f9b1079,// invsqrt(0.8245) = 1.1013 +32'h3f4d19f7,32'h3f8c24a2,32'h3f91dcfc, 32'h3f87da5e,32'h3f962740, 32'h3f80b3ee,32'h3f9d4db0,// invsqrt(0.8012) = 1.1172 +32'h3f62a915,32'h3f854fc9,32'h3f8ac0c1, 32'h3f813b0e,32'h3f8ed57c, 32'h3f74dbaf,32'h3f95a2b2,// invsqrt(0.8854) = 1.0628 +32'h3fa10aab,32'h3f5faac0,32'h3f68cbd8, 32'h3f58d1ef,32'h3f6fa4a9, 32'h3f4d6891,32'h3f7b0e07,// invsqrt(1.2581) = 0.8915 +32'h3fdd9b72,32'h3f3eab27,32'h3f467371, 32'h3f38d4ef,32'h3f4c49a9, 32'h3f2f1a91,32'h3f560407,// invsqrt(1.7313) = 0.7600 +32'h3f967143,32'h3f676978,32'h3f70db7d, 32'h3f6053f5,32'h3f77f101, 32'h3f54856f,32'h3f81dfc4,// invsqrt(1.1753) = 0.9224 +32'h3f8d06b1,32'h3f6f0349,32'h3f78c4b9, 32'h3f67b234,32'h3f800ae7, 32'h3f5b8067,32'h3f8623cd,// invsqrt(1.1018) = 0.9527 +32'h3fe5d930,32'h3f3b381b,32'h3f42dc5b, 32'h3f357ceb,32'h3f48978b, 32'h3f2bef9c,32'h3f5224db,// invsqrt(1.7957) = 0.7462 +32'h3eafac50,32'h3fd62671,32'h3fdee417, 32'h3fcf9834,32'h3fe57254, 32'h3fc4ab24,32'h3ff05f64,// invsqrt(0.3431) = 1.7072 +32'h3eb7dde5,32'h3fd152fd,32'h3fd9de37, 32'h3fcaea92,32'h3fe046a2, 32'h3fc03c8b,32'h3feaf4a9,// invsqrt(0.3591) = 1.6687 +32'h4041b9b0,32'h3f1032fe,32'h3f1615ba, 32'h3f0bc8f1,32'h3f1a7fc7, 32'h3f046d86,32'h3f21db32,// invsqrt(3.0270) = 0.5748 +32'h3e3e566a,32'h40117a11,32'h40176a26, 32'h400d0600,32'h401bde36, 32'h400599e5,32'h40234a51,// invsqrt(0.1859) = 2.3195 +32'h3e354b29,32'h40150faf,32'h401b2539, 32'h40107f88,32'h401fb560, 32'h4008e49c,32'h4027504c,// invsqrt(0.1770) = 2.3766 +32'h3e70afbb,32'h40015e77,32'h4006a63d, 32'h3ffad145,32'h400a9c12, 32'h3fed9dd5,32'h401135c9,// invsqrt(0.2350) = 2.0626 +32'h3f213a6f,32'h3f9e109d,32'h3fa4843b, 32'h3f9939e7,32'h3fa95af1, 32'h3f912961,32'h3fb16b77,// invsqrt(0.6298) = 1.2601 +32'h3ee5acaa,32'h3fbb4a40,32'h3fc2ef3d, 32'h3fb58e81,32'h3fc8aafb, 32'h3fac0045,32'h3fd23937,// invsqrt(0.4486) = 1.4931 +32'h3fb25ab5,32'h3f5488cd,32'h3f5d3592, 32'h3f4e073a,32'h3f63b726, 32'h3f432f45,32'h3f6e8f1b,// invsqrt(1.3934) = 0.8472 +32'h3debc9d7,32'h4038d88c,32'h404063ff, 32'h40332ff5,32'h40460c95, 32'h4029c1a4,32'h404f7ae6,// invsqrt(0.1151) = 2.9472 +32'h3f2cc784,32'h3f98b098,32'h3f9eec0c, 32'h3f940401,32'h3fa398a3, 32'h3f8c39b0,32'h3fab62f4,// invsqrt(0.6749) = 1.2172 +32'h4084b478,32'h3ef6647a,32'h3f003983, 32'h3eeed990,32'h3f03fef8, 32'h3ee24760,32'h3f0a4810,// invsqrt(4.1470) = 0.4911 +32'h3fd102c9,32'h3f445478,32'h3f4c57eb, 32'h3f3e51e3,32'h3f525a81, 32'h3f344d93,32'h3f5c5ed1,// invsqrt(1.6329) = 0.7826 +32'h3e388b09,32'h4013be3a,32'h4019c5fe, 32'h400f3867,32'h401e4bd1, 32'h4007aeb3,32'h4025d585,// invsqrt(0.1802) = 2.3556 +32'h3fe2e155,32'h3f3c7099,32'h3f44219b, 32'h3f36abd9,32'h3f49e65b, 32'h3f2d0e97,32'h3f53839d,// invsqrt(1.7725) = 0.7511 +32'h3f72bfcf,32'h3f80d173,32'h3f861377, 32'h3f79bfde,32'h3f8a04fb, 32'h3f6c9ad3,32'h3f909781,// invsqrt(0.9482) = 1.0269 +32'h40db670d,32'h3ebf9fc7,32'h3ec7720d, 32'h3eb9c212,32'h3ecd4fc2, 32'h3eaffb39,32'h3ed7169b,// invsqrt(6.8563) = 0.3819 +32'h3f905ded,32'h3f6c3b2e,32'h3f75df8e, 32'h3f64ffe6,32'h3f7d1ad6, 32'h3f58f26e,32'h3f849427,// invsqrt(1.1279) = 0.9416 +32'h3f4732e9,32'h3f8e344a,32'h3f94022e, 32'h3f89d9df,32'h3f985c99, 32'h3f829883,32'h3f9f9df5,// invsqrt(0.7781) = 1.1336 +32'h3f6b3b95,32'h3f82dc2d,32'h3f883388, 32'h3f7db553,32'h3f8c350c, 32'h3f705af1,32'h3f92e23e,// invsqrt(0.9189) = 1.0432 +32'h3f4df71a,32'h3f8bd952,32'h3f918e99, 32'h3f87915c,32'h3f95d68e, 32'h3f806ec3,32'h3f9cf927,// invsqrt(0.8046) = 1.1149 +32'h3f30eb94,32'h3f96e472,32'h3f9d0d1e, 32'h3f9245f1,32'h3fa1ab9f, 32'h3f8a931a,32'h3fa95e76,// invsqrt(0.6911) = 1.2029 +32'h3fb6c42b,32'h3f51f414,32'h3f5a85e1, 32'h3f4b86bb,32'h3f60f33b, 32'h3f40d07c,32'h3f6ba97b,// invsqrt(1.4279) = 0.8369 +32'h40297757,32'h3f1a2cda,32'h3f2077d3, 32'h3f15749e,32'h3f25300e, 32'h3f0d96e7,32'h3f2d0dc5,// invsqrt(2.6479) = 0.6145 +32'h3fa748e1,32'h3f5b7427,32'h3f646938, 32'h3f54bc59,32'h3f6b2105, 32'h3f498a04,32'h3f76535a,// invsqrt(1.3069) = 0.8747 +32'h3edcc335,32'h3fbf0871,32'h3fc6d48b, 32'h3fb92f5e,32'h3fccad9e, 32'h3faf703e,32'h3fd66cbe,// invsqrt(0.4312) = 1.5229 +32'h3f901464,32'h3f6c776f,32'h3f761e45, 32'h3f653a4f,32'h3f7d5b65, 32'h3f5929c4,32'h3f84b5f8,// invsqrt(1.1256) = 0.9425 +32'h4093f7e3,32'h3ee956b1,32'h3ef2dcd8, 32'h3ee23215,32'h3efa0175, 32'h3ed64a64,32'h3f02f493,// invsqrt(4.6240) = 0.4650 +32'h414dcafa,32'h3e8be84f,32'h3e919e33, 32'h3e879fe4,32'h3e95e69e, 32'h3e807c87,32'h3e9d09fb,// invsqrt(12.8621) = 0.2788 +32'h40e03fa2,32'h3ebd8ad5,32'h3ec5475b, 32'h3eb7bd70,32'h3ecb14c0, 32'h3eae11c9,32'h3ed4c067,// invsqrt(7.0078) = 0.3778 +32'h408c37b1,32'h3eefb375,32'h3ef97c17, 32'h3ee85cfc,32'h3f006948, 32'h3edc2232,32'h3f0686ad,// invsqrt(4.3818) = 0.4777 +32'h3fc771b0,32'h3f48fbc8,32'h3f512fda, 32'h3f42d4ba,32'h3f5756e8, 32'h3f3893a2,32'h3f619800,// invsqrt(1.5582) = 0.8011 +32'h3da69d7c,32'h405be4ea,32'h4064de95, 32'h405529a9,32'h406b99d5, 32'h4049f192,32'h4076d1ec,// invsqrt(0.0814) = 3.5060 +32'h3f0416a3,32'h3faea1c6,32'h3fb5c280, 32'h3fa9493b,32'h3fbb1b0b, 32'h3fa06053,32'h3fc403f3,// invsqrt(0.5160) = 1.3922 +32'h3f21731a,32'h3f9df4de,32'h3fa4675a, 32'h3f991f01,32'h3fa93d37, 32'h3f910fe6,32'h3fb14c52,// invsqrt(0.6307) = 1.2592 +32'h3ee0a4c3,32'h3fbd6027,32'h3fc51aef, 32'h3fb79411,32'h3fcae705, 32'h3fadea97,32'h3fd4907f,// invsqrt(0.4388) = 1.5097 +32'h3efd0030,32'h3fb27286,32'h3fb9bb1e, 32'h3facfc14,32'h3fbf3190, 32'h3fa3e157,32'h3fc84c4d,// invsqrt(0.4941) = 1.4226 +32'h3f38adbd,32'h3f93b057,32'h3f99b78a, 32'h3f8f2af2,32'h3f9e3cf0, 32'h3f87a1f2,32'h3fa5c5f0,// invsqrt(0.7214) = 1.1774 +32'h3f875fd5,32'h3f73f37e,32'h3f7de888, 32'h3f6c7bb6,32'h3f82b028, 32'h3f600969,32'h3f88e94e,// invsqrt(1.0576) = 0.9724 +32'h401234d7,32'h3f25fc8d,32'h3f2cc2f1, 32'h3f20e7c2,32'h3f31d7bc, 32'h3f186fc6,32'h3f3a4fb9,// invsqrt(2.2845) = 0.6616 +32'h3e99d0b0,32'h3fe4dc5e,32'h3fee33ba, 32'h3fdddad8,32'h3ff53540, 32'h3fd22da4,32'h4000713a,// invsqrt(0.3004) = 1.8245 +32'h3fd359d5,32'h3f433d76,32'h3f4b3585, 32'h3f3d436a,32'h3f512f90, 32'h3f334d57,32'h3f5b25a3,// invsqrt(1.6512) = 0.7782 +32'h3d430222,32'h408fb95b,32'h40959721, 32'h408b5308,32'h4099fd74, 32'h4083fdd1,32'h40a152ab,// invsqrt(0.0476) = 4.5830 +32'h42251b4c,32'h3e1c3288,32'h3e2292a2, 32'h3e176a74,32'h3e275ab6, 32'h3e0f7253,32'h3e2f52d7,// invsqrt(41.2767) = 0.1556 +32'h3e803cd6,32'h3ffaa5be,32'h40027061, 32'h3ff2f97d,32'h40064682, 32'h3fe62fba,32'h400cab63,// invsqrt(0.2505) = 1.9981 +32'h3faa3119,32'h3f599245,32'h3f6273ab, 32'h3f52e938,32'h3f691cb8, 32'h3f47cf79,32'h3f743677,// invsqrt(1.3296) = 0.8672 +32'h408b5e7f,32'h3ef06df3,32'h3efa3e31, 32'h3ee911c4,32'h3f00cd30, 32'h3edccd77,32'h3f06ef57,// invsqrt(4.3553) = 0.4792 +32'h3f9527f7,32'h3f68685f,32'h3f71e4cb, 32'h3f614b0e,32'h3f79021c, 32'h3f556f86,32'h3f826ed2,// invsqrt(1.1653) = 0.9264 +32'h3fdadbd2,32'h3f3fdcb1,32'h3f47b175, 32'h3f39fd1f,32'h3f4d9107, 32'h3f30332b,32'h3f575afb,// invsqrt(1.7098) = 0.7648 +32'h40232bd7,32'h3f1d1ef9,32'h3f2388bb, 32'h3f184fa9,32'h3f28580b, 32'h3f104b77,32'h3f305c3d,// invsqrt(2.5496) = 0.6263 +32'h3f01d867,32'h3fb02245,32'h3fb752b1, 32'h3faabdf5,32'h3fbcb701, 32'h3fa1c16f,32'h3fc5b387,// invsqrt(0.5072) = 1.4041 +32'h3dac4aa0,32'h40583dd5,32'h40611155, 32'h40519f34,32'h4067aff6, 32'h404696d3,32'h4072b857,// invsqrt(0.0841) = 3.4477 +32'h40001ede,32'h3f3150c2,32'h3f388d86, 32'h3f2be32f,32'h3f3dfb19, 32'h3f22d73a,32'h3f47070e,// invsqrt(2.0019) = 0.7068 +32'h3f989271,32'h3f65ca92,32'h3f6f2ba7, 32'h3f5ec1c1,32'h3f763477, 32'h3f530866,32'h3f80f6e9,// invsqrt(1.1920) = 0.9159 +32'h3e74bb9a,32'h40004b89,32'h40058817, 32'h3ff8bc3e,32'h40097581, 32'h3feba4dd,32'h40100132,// invsqrt(0.2390) = 2.0455 +32'h3ed9cc94,32'h3fc05404,32'h3fc82da7, 32'h3fba70cb,32'h3fce10e1, 32'h3fb0a0c1,32'h3fd7e0eb,// invsqrt(0.4254) = 1.5332 +32'h40c18fca,32'h3ecc03c0,32'h3ed4577e, 32'h3ec5c4f1,32'h3eda964d, 32'h3ebb5c42,32'h3ee4fefc,// invsqrt(6.0488) = 0.4066 +32'h3e8215fd,32'h3ff8dc48,32'h4001824f, 32'h3ff13e07,32'h40055170, 32'h3fe48b9b,32'h400baaa6,// invsqrt(0.2541) = 1.9839 +32'h400c017b,32'h3f299f51,32'h3f308bb3, 32'h3f246e08,32'h3f35bcfc, 32'h3f1bc68f,32'h3f3e6475,// invsqrt(2.1876) = 0.6761 +32'h4090aaab,32'h3eebfc7e,32'h3ef59e4e, 32'h3ee4c321,32'h3efcd7ab, 32'h3ed8b8dc,32'h3f0470f8,// invsqrt(4.5208) = 0.4703 +32'h3f47fc63,32'h3f8dec96,32'h3f93b78c, 32'h3f89945d,32'h3f980fc5, 32'h3f8256a9,32'h3f9f4d79,// invsqrt(0.7812) = 1.1314 +32'h3eca4a8a,32'h3fc7906d,32'h3fcfb5ab, 32'h3fc1747f,32'h3fd5d199, 32'h3fb745f1,32'h3fe00027,// invsqrt(0.3951) = 1.5909 +32'h3f14cd3e,32'h3fa4885a,32'h3fab3f8d, 32'h3f9f7ef5,32'h3fb048f3, 32'h3f9719f5,32'h3fb8adf3,// invsqrt(0.5813) = 1.3116 +32'h3f33031f,32'h3f960213,32'h3f9c2181, 32'h3f916a80,32'h3fa0b914, 32'h3f89c336,32'h3fa8605e,// invsqrt(0.6993) = 1.1959 +32'h3f0d7508,32'h3fa8bffb,32'h3fafa33e, 32'h3fa39587,32'h3fb4cdb1, 32'h3f9af973,32'h3fbd69c5,// invsqrt(0.5526) = 1.3453 +32'h3f8131b1,32'h3f79b7c8,32'h3f81f48a, 32'h3f7212cf,32'h3f85c707, 32'h3f655530,32'h3f8c25d6,// invsqrt(1.0093) = 0.9954 +32'h3f99d9a7,32'h3f64d5b3,32'h3f6e2cc9, 32'h3f5dd461,32'h3f752e1b, 32'h3f522785,32'h3f806d7c,// invsqrt(1.2020) = 0.9121 +32'h3e972258,32'h3fe6e1be,32'h3ff04e39, 32'h3fdfd063,32'h3ff75f95, 32'h3fd408c9,32'h40019397,// invsqrt(0.2952) = 1.8406 +32'h3fdd838a,32'h3f3eb570,32'h3f467e26, 32'h3f38dee7,32'h3f4c54af, 32'h3f2f2404,32'h3f560f93,// invsqrt(1.7306) = 0.7602 +32'h3e92b093,32'h3fea5a75,32'h3ff3eb35, 32'h3fe32de4,32'h3ffb17c6, 32'h3fd738f3,32'h4003865c,// invsqrt(0.2865) = 1.8683 +32'h3f807de9,32'h3f7a663e,32'h3f824f55, 32'h3f72bbee,32'h3f86247d, 32'h3f65f568,32'h3f8c87c0,// invsqrt(1.0038) = 0.9981 +32'h3f65aca4,32'h3f846f20,32'h3f89d6ed, 32'h3f806146,32'h3f8de4c6, 32'h3f733f0b,32'h3f94a687,// invsqrt(0.8972) = 1.0558 +32'h3f9e4410,32'h3f619eb1,32'h3f6ad431, 32'h3f5ab692,32'h3f71bc50, 32'h3f4f33b2,32'h3f7d3f30,// invsqrt(1.2365) = 0.8993 +32'h3ed39ce7,32'h3fc31e82,32'h3fcb154e, 32'h3fbd2569,32'h3fd10e67, 32'h3fb330ea,32'h3fdb02e6,// invsqrt(0.4133) = 1.5555 +32'h3fc99802,32'h3f47e8b8,32'h3f501190, 32'h3f41ca15,32'h3f563033, 32'h3f379707,32'h3f606341,// invsqrt(1.5750) = 0.7968 +32'h3e7911e0,32'h3ffe58a9,32'h40045d29, 32'h3ff68f6b,32'h400841c9, 32'h3fe99558,32'h400ebed2,// invsqrt(0.2432) = 2.0276 +32'h3e08e427,32'h402b8a87,32'h40328af5, 32'h40264a34,32'h4037cb48, 32'h401d89ab,32'h40408bd1,// invsqrt(0.1337) = 2.7350 +32'h406666e1,32'h3f04398f,32'h3f099f2c, 32'h3f002d58,32'h3f0dab62, 32'h3ef2dca7,32'h3f146a66,// invsqrt(3.6000) = 0.5270 +32'h3ecf79e5,32'h3fc50e05,32'h3fcd190b, 32'h3fbf05c1,32'h3fd3214f, 32'h3fb4f7fa,32'h3fdd2f16,// invsqrt(0.4052) = 1.5709 +32'h3e64a84c,32'h4004ba6f,32'h400a254f, 32'h4000aa47,32'h400e3577, 32'h3ff3c95e,32'h4014fb0f,// invsqrt(0.2233) = 2.1162 +32'h3f962e68,32'h3f679cf5,32'h3f711113, 32'h3f6085dd,32'h3f78282b, 32'h3f54b4b7,32'h3f81fca9,// invsqrt(1.1733) = 0.9232 +32'h3f0df54f,32'h3fa873ab,32'h3faf53d1, 32'h3fa34b8e,32'h3fb47bee, 32'h3f9ab35e,32'h3fbd141e,// invsqrt(0.5545) = 1.3429 +32'h3fed6cfd,32'h3f38351a,32'h3f3fb9e2, 32'h3f329184,32'h3f455d78, 32'h3f292b8b,32'h3f4ec371,// invsqrt(1.8549) = 0.7342 +32'h402ddbeb,32'h3f183708,32'h3f1e6d86, 32'h3f138e2a,32'h3f231664, 32'h3f0bca0d,32'h3f2ada81,// invsqrt(2.7165) = 0.6067 +32'h3f11b6b0,32'h3fa64457,32'h3fad0da9, 32'h3fa12d5a,32'h3fb224a6, 32'h3f98b1b3,32'h3fbaa04d,// invsqrt(0.5692) = 1.3255 +32'h3f223db9,32'h3f9d921c,32'h3fa40090, 32'h3f98bf45,32'h3fa8d367, 32'h3f90b534,32'h3fb0dd78,// invsqrt(0.6338) = 1.2561 +32'h3f5df6cf,32'h3f86b6f0,32'h3f8c3692, 32'h3f829737,32'h3f90564b, 32'h3f776f5b,32'h3f9735d4,// invsqrt(0.8670) = 1.0739 +32'h3fbd467e,32'h3f4e4fd0,32'h3f56bb8f, 32'h3f47ff00,32'h3f5d0c5e, 32'h3f3d7851,32'h3f67930d,// invsqrt(1.4787) = 0.8224 +32'h401811d7,32'h3f22c151,32'h3f2965f1, 32'h3f1dc5d9,32'h3f2e6169, 32'h3f157811,32'h3f36af31,// invsqrt(2.3761) = 0.6487 +32'h3cd47f64,32'h40c2b66b,32'h40caa8f7, 32'h40bcc082,32'h40d09ee0, 32'h40b2d152,32'h40da8e10,// invsqrt(0.0259) = 6.2089 +32'h3cdeea03,32'h40be1bdb,32'h40c5de4d, 32'h40b84a06,32'h40cbb022, 32'h40ae96f8,32'h40d56330,// invsqrt(0.0272) = 6.0621 +32'h3fcdc3e0,32'h3f45df53,32'h3f4df2e3, 32'h3f3fd0a6,32'h3f540190, 32'h3f35b832,32'h3f5e1a04,// invsqrt(1.6075) = 0.7887 +32'h3f3de5cd,32'h3f91a52d,32'h3f979705, 32'h3f8d2fcb,32'h3f9c0c67, 32'h3f85c17d,32'h3fa37ab5,// invsqrt(0.7418) = 1.1611 +32'h3f83fecd,32'h3f770dce,32'h3f8091a2, 32'h3f6f7db5,32'h3f8459ae, 32'h3f62e2e2,32'h3f8aa718,// invsqrt(1.0312) = 0.9847 +32'h3fc3d3d1,32'h3f4ad4bb,32'h3f531c1b, 32'h3f449f32,32'h3f5951a4, 32'h3f3a45fa,32'h3f63aadc,// invsqrt(1.5299) = 0.8085 +32'h3f369623,32'h3f948857,32'h3f9a985b, 32'h3f8ffc55,32'h3f9f245d, 32'h3f886850,32'h3fa6b862,// invsqrt(0.7132) = 1.1841 +32'h3f90066e,32'h3f6c82e5,32'h3f762a33, 32'h3f65456b,32'h3f7d67ad, 32'h3f59344b,32'h3f84bc67,// invsqrt(1.1252) = 0.9427 +32'h3f56c22e,32'h3f88f4b8,32'h3f8e8bc4, 32'h3f84c36e,32'h3f92bd0e, 32'h3f7b8d3c,32'h3f99b9de,// invsqrt(0.8389) = 1.0918 +32'h3f4525cf,32'h3f8ef12e,32'h3f94c6c8, 32'h3f8a90fb,32'h3f9926fb, 32'h3f8345fc,32'h3fa071fa,// invsqrt(0.7701) = 1.1395 +32'h3f03ef17,32'h3faebbf0,32'h3fb5ddbc, 32'h3fa96298,32'h3fbb3714, 32'h3fa0785a,32'h3fc42152,// invsqrt(0.5154) = 1.3930 +32'h3fd77f8b,32'h3f415a2c,32'h3f493e81, 32'h3f3b6eeb,32'h3f4f29c1, 32'h3f319181,32'h3f59072b,// invsqrt(1.6836) = 0.7707 +32'h3aaff458,32'h41d5fa97,32'h41deb673, 32'h41cf6db2,32'h41e54358, 32'h41c482de,32'h41f02e2c,// invsqrt(0.0013) = 27.2932 +32'h3e518741,32'h400aa79b,32'h40105068, 32'h40066902,32'h40148f02, 32'h3ffeac04,32'h401ba202,// invsqrt(0.2046) = 2.2107 +32'h3fcb7765,32'h3f46fcac,32'h3f4f1be2, 32'h3f40e543,32'h3f55334b, 32'h3f36be40,32'h3f5f5a4e,// invsqrt(1.5896) = 0.7932 +32'h3f53f862,32'h3f89da8f,32'h3f8f7afd, 32'h3f85a23c,32'h3f93b350, 32'h3f7d3364,32'h3f9abbda,// invsqrt(0.8280) = 1.0990 +32'h4117684c,32'h3ea31c57,32'h3ea9c4af, 32'h3e9e1e16,32'h3eaec2f0, 32'h3e95cba9,32'h3eb7155d,// invsqrt(9.4630) = 0.3251 +32'h3f932e9b,32'h3f69f609,32'h3f7382b0, 32'h3f62cc8b,32'h3f7aac2d, 32'h3f56dcb9,32'h3f834dff,// invsqrt(1.1499) = 0.9326 +32'h3dd9e132,32'h40404aeb,32'h4048242e, 32'h403a67f8,32'h404e0720, 32'h40309864,32'h4057d6b4,// invsqrt(0.1064) = 3.0659 +32'h3fe40324,32'h3f3bf8b2,32'h3f43a4ce, 32'h3f36379d,32'h3f4965e3, 32'h3f2ca07a,32'h3f52fd06,// invsqrt(1.7813) = 0.7492 +32'h3e94e2c4,32'h3fe89e5b,32'h3ff21cfb, 32'h3fe17f62,32'h3ff93bf4, 32'h3fd5a11a,32'h40028d1e,// invsqrt(0.2908) = 1.8544 +32'h3e678c0e,32'h4003e5bf,32'h400947f0, 32'h3fffb832,32'h400d5195, 32'h3ff242b6,32'h40140c53,// invsqrt(0.2261) = 2.1030 +32'h3ededff4,32'h3fbe2025,32'h3fc5e2c3, 32'h3fb84e2e,32'h3fcbb4ba, 32'h3fae9ae8,32'h3fd56800,// invsqrt(0.4353) = 1.5157 +32'h3f785353,32'h3f7eba2c,32'h3f848fe8, 32'h3f76edf1,32'h3f887605, 32'h3f69eee5,32'h3f8ef58c,// invsqrt(0.9700) = 1.0153 +32'h411464d3,32'h3ea4c233,32'h3eab7bc3, 32'h3e9fb708,32'h3eb086ee, 32'h3e974f15,32'h3eb8eee1,// invsqrt(9.2746) = 0.3284 +32'h3ddf0e41,32'h403e0c69,32'h4045ce39, 32'h40383b0d,32'h404b9f95, 32'h402e88c9,32'h405551d9,// invsqrt(0.1089) = 3.0301 +32'h3f8110aa,32'h3f79d7ba,32'h3f82052a, 32'h3f7231c7,32'h3f85d824, 32'h3f657286,32'h3f8c37c4,// invsqrt(1.0083) = 0.9959 +32'h4013c7a3,32'h3f2519bc,32'h3f2bd6de, 32'h3f200be3,32'h3f30e4b7, 32'h3f179f78,32'h3f395122,// invsqrt(2.3091) = 0.6581 +32'h4064d39f,32'h3f04adde,32'h3f0a183a, 32'h3f009e18,32'h3f0e2800, 32'h3ef3b249,32'h3f14ecf4,// invsqrt(3.5754) = 0.5289 +32'h4007d015,32'h3f2c3887,32'h3f33400f, 32'h3f26f2e1,32'h3f3885b5, 32'h3f1e2977,32'h3f414f1f,// invsqrt(2.1221) = 0.6865 +32'h3ec340f7,32'h3fcb20f3,32'h3fd36b70, 32'h3fc4e916,32'h3fd9a34e, 32'h3fba8bfa,32'h3fe4006a,// invsqrt(0.3814) = 1.6193 +32'h3f6fed69,32'h3f8192d0,32'h3f86dcb9, 32'h3f7b36c1,32'h3f8ad427, 32'h3f6dfdfa,32'h3f91708b,// invsqrt(0.9372) = 1.0330 +32'h3fb0c9ce,32'h3f557941,32'h3f5e2fd5, 32'h3f4ef051,32'h3f64b8c5, 32'h3f440c17,32'h3f6f9cff,// invsqrt(1.3812) = 0.8509 +32'h3fb549e5,32'h3f52cead,32'h3f5b6966, 32'h3f4c5aa3,32'h3f61dd71, 32'h3f41993c,32'h3f6c9ed8,// invsqrt(1.4163) = 0.8403 +32'h3fb51511,32'h3f52ed6b,32'h3f5b8965, 32'h3f4c7870,32'h3f61fe60, 32'h3f41b577,32'h3f6cc159,// invsqrt(1.4147) = 0.8408 +32'h3f8400f2,32'h3f770bcd,32'h3f809097, 32'h3f6f7bc4,32'h3f84589b, 32'h3f62e10a,32'h3f8aa5f8,// invsqrt(1.0313) = 0.9847 +32'h4088b8fe,32'h3ef2becc,32'h3efca73c, 32'h3eeb5077,32'h3f020ac8, 32'h3edeedea,32'h3f083c0f,// invsqrt(4.2726) = 0.4838 +32'h403bd0b6,32'h3f12734e,32'h3f186d90, 32'h3f0df79d,32'h3f1ce941, 32'h3f067eca,32'h3f246214,// invsqrt(2.9346) = 0.5837 +32'h3e3f4398,32'h40111fc1,32'h40170c27, 32'h400cae75,32'h401b7d73, 32'h400546f5,32'h4022e4f3,// invsqrt(0.1868) = 2.3138 +32'h3f800c75,32'h3f7ad513,32'h3f828902, 32'h3f73275f,32'h3f865fdd, 32'h3f665b31,32'h3f8cc5f3,// invsqrt(1.0004) = 0.9998 +32'h408724cc,32'h3ef428c0,32'h3efe1ff7, 32'h3eecaf57,32'h3f02ccb0, 32'h3ee03a53,32'h3f090733,// invsqrt(4.2232) = 0.4866 +32'h3fbc39c0,32'h3f4ee2e3,32'h3f5754a4, 32'h3f488d94,32'h3f5da9f4, 32'h3f3dff64,32'h3f683824,// invsqrt(1.4705) = 0.8246 +32'h3f3ba65d,32'h3f9283d3,32'h3f987ec1, 32'h3f8e07a0,32'h3f9cfaf4, 32'h3f868df6,32'h3fa4749e,// invsqrt(0.7330) = 1.1680 +32'h3e746258,32'h400062f4,32'h4005a077, 32'h3ff8e9a7,32'h40098e99, 32'h3febcfe1,32'h40101b7b,// invsqrt(0.2387) = 2.0470 +32'h3eb07c47,32'h3fd5a81f,32'h3fde609d, 32'h3fcf1dc0,32'h3fe4eafc, 32'h3fc43721,32'h3fefd19b,// invsqrt(0.3447) = 1.7033 +32'h3e877a6c,32'h3ff3db8c,32'h3ffdcf9c, 32'h3fec6480,32'h4002a354, 32'h3fdff36c,32'h4008dbde,// invsqrt(0.2646) = 1.9440 +32'h3ea72045,32'h3fdb8ecf,32'h3fe484f6, 32'h3fd4d630,32'h3feb3d94, 32'h3fc9a27f,32'h3ff67145,// invsqrt(0.3264) = 1.7503 +32'h402c9fe3,32'h3f18c21e,32'h3f1efe4a, 32'h3f1414fe,32'h3f23ab6a, 32'h3f0c49c8,32'h3f2b76a0,// invsqrt(2.6973) = 0.6089 +32'h4014c380,32'h3f248dbe,32'h3f2b4528, 32'h3f1f842d,32'h3f304eb9, 32'h3f171ee8,32'h3f38b3ff,// invsqrt(2.3244) = 0.6559 +32'h3ebbe781,32'h3fcf1025,32'h3fd783bf, 32'h3fc8b973,32'h3fddda71, 32'h3fbe28f3,32'h3fe86af1,// invsqrt(0.3670) = 1.6507 +32'h3f66fd50,32'h3f840e79,32'h3f897255, 32'h3f800395,32'h3f8d7d39, 32'h3f728d86,32'h3f943a0b,// invsqrt(0.9023) = 1.0527 +32'h3f0e4eb3,32'h3fa83ebb,32'h3faf1cb8, 32'h3fa3183d,32'h3fb44335, 32'h3f9a82c0,32'h3fbcd8b2,// invsqrt(0.5559) = 1.3412 +32'h3f539c96,32'h3f89f872,32'h3f8f9a19, 32'h3f85bf36,32'h3f93d356, 32'h3f7d6a4b,32'h3f9add67,// invsqrt(0.8266) = 1.0999 +32'h3fba42a2,32'h3f4ff992,32'h3f5876b2, 32'h3f499bba,32'h3f5ed48a, 32'h3f3eff52,32'h3f6970f2,// invsqrt(1.4552) = 0.8290 +32'h3f02eca1,32'h3faf6815,32'h3fb690e7, 32'h3faa0978,32'h3fbbef84, 32'h3fa11671,32'h3fc4e28b,// invsqrt(0.5114) = 1.3983 +32'h3f151f54,32'h3fa45b0b,32'h3fab1065, 32'h3f9f5308,32'h3fb01868, 32'h3f96f059,32'h3fb87b17,// invsqrt(0.5825) = 1.3102 +32'h3f972324,32'h3f66e122,32'h3f704d97, 32'h3f5fcfcc,32'h3f775eee, 32'h3f54083a,32'h3f819340,// invsqrt(1.1808) = 0.9203 +32'h3e1f14d4,32'h401f20bf,32'h40259f79, 32'h401a41b4,32'h402a7e84, 32'h4012234c,32'h40329cec,// invsqrt(0.1554) = 2.5371 +32'h3f2446c3,32'h3f9c9772,32'h3fa2fbab, 32'h3f97cc48,32'h3fa7c6d6, 32'h3f8fcf01,32'h3fafc41d,// invsqrt(0.6417) = 1.2483 +32'h400fb1a7,32'h3f276e6d,32'h3f2e43e9, 32'h3f224e4f,32'h3f336407, 32'h3f19c374,32'h3f3beee3,// invsqrt(2.2452) = 0.6674 +32'h3f25e1dc,32'h3f9bd4f0,32'h3fa23138, 32'h3f970fb9,32'h3fa6f66f, 32'h3f8f1c5f,32'h3faee9c9,// invsqrt(0.6480) = 1.2423 +32'h3fb77885,32'h3f518cca,32'h3f5a1a60, 32'h3f4b229a,32'h3f608490, 32'h3f4071a0,32'h3f6b358a,// invsqrt(1.4334) = 0.8353 +32'h3f1a33d7,32'h3fa1a02c,32'h3fa838fe, 32'h3f9cad8e,32'h3fad2b9c, 32'h3f946e86,32'h3fb56aa4,// invsqrt(0.6024) = 1.2885 +32'h4011f630,32'h3f262029,32'h3f2ce801, 32'h3f210a47,32'h3f31fde3, 32'h3f189079,32'h3f3a77b1,// invsqrt(2.2807) = 0.6622 +32'h3fa5aaa5,32'h3f5c85d9,32'h3f658616, 32'h3f55c5ab,32'h3f6c4643, 32'h3f4a855e,32'h3f778690,// invsqrt(1.2943) = 0.8790 +32'h3fdb71c1,32'h3f3f9b1b,32'h3f476d31, 32'h3f39bd8a,32'h3f4d4ac2, 32'h3f2ff6ef,32'h3f57115d,// invsqrt(1.7144) = 0.7637 +32'h3fc71378,32'h3f492b52,32'h3f516155, 32'h3f4302cf,32'h3f5789d7, 32'h3f38bf4b,32'h3f61cd5b,// invsqrt(1.5553) = 0.8019 +32'h3f75ac28,32'h3f800caa,32'h3f8546a6, 32'h3f784259,32'h3f893224, 32'h3f6b3162,32'h3f8fba9f,// invsqrt(0.9597) = 1.0208 +32'h3e288208,32'h401a9cea,32'h4020ec76, 32'h4015e141,32'h4025a81f, 32'h400dfdd2,32'h402d8b8e,// invsqrt(0.1646) = 2.4651 +32'h400b3908,32'h3f2a1941,32'h3f310a9d, 32'h3f24e43d,32'h3f363fa1, 32'h3f1c368a,32'h3f3eed54,// invsqrt(2.1754) = 0.6780 +32'h3f7fa8e9,32'h3f7b0bff,32'h3f82a597, 32'h3f735c9b,32'h3f867d48, 32'h3f668da1,32'h3f8ce4c6,// invsqrt(0.9987) = 1.0007 +32'h412b8e39,32'h3e993bc5,32'h3e9f7ce7, 32'h3e948aeb,32'h3ea42dc1, 32'h3e8cb981,32'h3eabff2b,// invsqrt(10.7222) = 0.3054 +32'h3f857123,32'h3f75b60d,32'h3f7fbd7c, 32'h3f6e307b,32'h3f83a187, 32'h3f61a731,32'h3f89e62c,// invsqrt(1.0425) = 0.9794 +32'h3f343e44,32'h3f957eb6,32'h3f9b98c8, 32'h3f90eb29,32'h3fa02c55, 32'h3f894a92,32'h3fa7ccec,// invsqrt(0.7041) = 1.1918 +32'h3eeb0d40,32'h3fb922a4,32'h3fc0b11e, 32'h3fb377c9,32'h3fc65bf9, 32'h3faa05b1,32'h3fcfce11,// invsqrt(0.4591) = 1.4759 +32'h40bf08e7,32'h3ecd5c09,32'h3ed5bdd5, 32'h3ec712b0,32'h3edc072e, 32'h3ebc9871,32'h3ee6816d,// invsqrt(5.9698) = 0.4093 +32'h40f40bfa,32'h3eb5b103,32'h3ebd1b80, 32'h3eb02124,32'h3ec2ab5e, 32'h3ea6dc07,32'h3ecbf07b,// invsqrt(7.6265) = 0.3621 +32'h3d58d7d0,32'h40884bcb,32'h408ddbf3, 32'h40841fad,32'h40920811, 32'h407a56f8,32'h4098fc42,// invsqrt(0.0529) = 4.3462 +32'h3f1ebb4e,32'h3f9f4d99,32'h3fa5ce27, 32'h3f9a6d2e,32'h3faaae92, 32'h3f924c7d,32'h3fb2cf43,// invsqrt(0.6200) = 1.2700 +32'h3f5ed3d8,32'h3f86740f,32'h3f8bf0f5, 32'h3f825662,32'h3f900ea2, 32'h3f76f484,32'h3f96eac2,// invsqrt(0.8704) = 1.0719 +32'h3f82cd08,32'h3f782dea,32'h3f812792, 32'h3f709500,32'h3f84f407, 32'h3f63eb7a,32'h3f8b48ca,// invsqrt(1.0219) = 0.9892 +32'h3f58a4c8,32'h3f885bd7,32'h3f8deca7, 32'h3f842f3c,32'h3f921942, 32'h3f7a7471,32'h3f990e45,// invsqrt(0.8463) = 1.0870 +32'h3fd86a3e,32'h3f40f137,32'h3f48d144, 32'h3f3b092e,32'h3f4eb94e, 32'h3f31311e,32'h3f58915e,// invsqrt(1.6907) = 0.7691 +32'h3f881a54,32'h3f734c21,32'h3f7d3a57, 32'h3f6bd979,32'h3f825680, 32'h3f5f6fb6,32'h3f888b61,// invsqrt(1.0633) = 0.9698 +32'h3e9278f8,32'h3fea86ec,32'h3ff4197e, 32'h3fe358ff,32'h3ffb476b, 32'h3fd761c9,32'h40039f50,// invsqrt(0.2861) = 1.8696 +32'h3f81c2d1,32'h3f792bfd,32'h3f81abca, 32'h3f718b4b,32'h3f857c23, 32'h3f64d4ce,32'h3f8bd761,// invsqrt(1.0138) = 0.9932 +32'h3e1e7d8c,32'h401f6c9f,32'h4025ee71, 32'h401a8b41,32'h402acfcf, 32'h401268fa,32'h4032f216,// invsqrt(0.1548) = 2.5418 +32'h3f5a75c8,32'h3f87ca6a,32'h3f8d554a, 32'h3f83a242,32'h3f917d72, 32'h3f796955,32'h3f986b09,// invsqrt(0.8534) = 1.0825 +32'h40964b0e,32'h3ee786e0,32'h3ef0fa19, 32'h3ee07077,32'h3ef81083, 32'h3ed4a070,32'h3f01f045,// invsqrt(4.6967) = 0.4614 +32'h3fbe1ed2,32'h3f4dda4e,32'h3f564142, 32'h3f478d18,32'h3f5c8e78, 32'h3f3d0c67,32'h3f670f29,// invsqrt(1.4853) = 0.8205 +32'h3faf6c74,32'h3f564d68,32'h3f5f0ca6, 32'h3f4fbdfa,32'h3f659c14, 32'h3f44ceed,32'h3f708b21,// invsqrt(1.3705) = 0.8542 +32'h42472c8b,32'h3e0e3690,32'h3e14048c, 32'h3e09dc14,32'h3e185f08, 32'h3e029a99,32'h3e1fa083,// invsqrt(49.7935) = 0.1417 +32'h3fd1c574,32'h3f43f94a,32'h3f4bf904, 32'h3f3df97f,32'h3f51f8cf, 32'h3f33f9d6,32'h3f5bf878,// invsqrt(1.6388) = 0.7811 +32'h3e0be627,32'h4029afe2,32'h40309cf0, 32'h40247e17,32'h4035cebb, 32'h401bd5c5,32'h403e770d,// invsqrt(0.1366) = 2.7055 +32'h3ef7b2bc,32'h3fb458e8,32'h3fbbb55a, 32'h3faed393,32'h3fc13aaf, 32'h3fa5a004,32'h3fca6e3e,// invsqrt(0.4838) = 1.4377 +32'h3e7c9f42,32'h3ffc8d3e,32'h40036e13, 32'h3ff4d210,32'h40074baa, 32'h3fe7ef6d,32'h400dbcfc,// invsqrt(0.2467) = 2.0133 +32'h3f0f7a6b,32'h3fa78ea4,32'h3fae6572, 32'h3fa26d8a,32'h3fb3868c, 32'h3f99e10a,32'h3fbc130c,// invsqrt(0.5605) = 1.3358 +32'h3f0f8b08,32'h3fa784f2,32'h3fae5b5a, 32'h3fa26424,32'h3fb37c28, 32'h3f99d822,32'h3fbc082a,// invsqrt(0.5607) = 1.3355 +32'h3eb54d0a,32'h3fd2ccd9,32'h3fdb677f, 32'h3fcc58dd,32'h3fe1db7b, 32'h3fc1978e,32'h3fec9cca,// invsqrt(0.3541) = 1.6805 +32'h4004bd08,32'h3f2e342f,32'h3f35506f, 32'h3f28defe,32'h3f3aa5a0, 32'h3f1ffbae,32'h3f4388f1,// invsqrt(2.0740) = 0.6944 +32'h3ee62737,32'h3fbb185c,32'h3fc2bb50, 32'h3fb55e25,32'h3fc87587, 32'h3fabd274,32'h3fd20138,// invsqrt(0.4495) = 1.4915 +32'h3f7bf4e3,32'h3f7ce293,32'h3f839a7b, 32'h3f7524c7,32'h3f877960, 32'h3f683dca,32'h3f8decdf,// invsqrt(0.9842) = 1.0080 +32'h3ef7043a,32'h3fb49891,32'h3fbbf79d, 32'h3faf1149,32'h3fc17ee5, 32'h3fa5da7b,32'h3fcab5b3,// invsqrt(0.4825) = 1.4397 +32'h3f27ceac,32'h3f9aef75,32'h3fa1425f, 32'h3f963145,32'h3fa6008f, 32'h3f8e499f,32'h3fade835,// invsqrt(0.6555) = 1.2351 +32'h3f4d60b3,32'h3f8c0c7d,32'h3f91c3db, 32'h3f87c2f7,32'h3f960d61, 32'h3f809dc1,32'h3f9d3297,// invsqrt(0.8023) = 1.1165 +32'h4029401a,32'h3f1a4601,32'h3f209201, 32'h3f158d01,32'h3f254b01, 32'h3f0dae01,32'h3f2d2a01,// invsqrt(2.6445) = 0.6149 +32'h3f2f4687,32'h3f979943,32'h3f9dc951, 32'h3f92f53a,32'h3fa26d5a, 32'h3f8b3929,32'h3faa296b,// invsqrt(0.6847) = 1.2085 +32'h3f06d3fb,32'h3facd93f,32'h3fb3e757, 32'h3fa78eae,32'h3fb931e8, 32'h3f9ebd10,32'h3fc20386,// invsqrt(0.5267) = 1.3779 +32'h4112de05,32'h3ea59cd7,32'h3eac5f53, 32'h3ea08afa,32'h3eb17130, 32'h3e9817e0,32'h3eb9e44a,// invsqrt(9.1792) = 0.3301 +32'h3f9b6362,32'h3f63b30f,32'h3f6cfe49, 32'h3f5cbaa3,32'h3f73f6b5, 32'h3f511c9b,32'h3f7f94bd,// invsqrt(1.2140) = 0.9076 +32'h3fb01f6d,32'h3f55e069,32'h3f5e9b34, 32'h3f4f5451,32'h3f65274d, 32'h3f446ad4,32'h3f7010ca,// invsqrt(1.3760) = 0.8525 +32'h402b7cbe,32'h3f194394,32'h3f1f8508, 32'h3f14927d,32'h3f24361f, 32'h3f0cc0ad,32'h3f2c07ef,// invsqrt(2.6795) = 0.6109 +32'h419f72b0,32'h3e60c830,32'h3e69f4ee, 32'h3e59e6a1,32'h3e70d67d, 32'h3e4e6eb4,32'h3e7c4e6a,// invsqrt(19.9310) = 0.2240 +32'h3ecd5549,32'h3fc61495,32'h3fce2a51, 32'h3fc00447,32'h3fd43a9f, 32'h3fb5e91b,32'h3fde55cb,// invsqrt(0.4010) = 1.5791 +32'h3d8f7462,32'h406cfb2b,32'h4076a761, 32'h4065ba02,32'h407de88a, 32'h4059a2bf,32'h4084ffe6,// invsqrt(0.0700) = 3.7784 +32'h40990ef2,32'h3ee56d09,32'h3eeeca4d, 32'h3ede6716,32'h3ef5d040, 32'h3ed2b280,32'h3f00c26b,// invsqrt(4.7831) = 0.4572 +32'h3fce395f,32'h3f45a6ec,32'h3f4db830, 32'h3f3f99fa,32'h3f53c522, 32'h3f358466,32'h3f5ddab6,// invsqrt(1.6111) = 0.7878 +32'h3f36fd1c,32'h3f945e87,32'h3f9a6cd5, 32'h3f8fd3cc,32'h3f9ef790, 32'h3f8841e9,32'h3fa68973,// invsqrt(0.7148) = 1.1828 +32'h3fd6abab,32'h3f41b97f,32'h3f49a1b9, 32'h3f3bcb54,32'h3f4f8fe4, 32'h3f31e90c,32'h3f59722c,// invsqrt(1.6771) = 0.7722 +32'h3cf90551,32'h40b3de23,32'h40bb3593, 32'h40ae5c90,32'h40c0b726, 32'h40a52f45,32'h40c9e471,// invsqrt(0.0304) = 5.7356 +32'h42282577,32'h3e1ac773,32'h3e2118bb, 32'h3e160a7c,32'h3e25d5b2, 32'h3e0e24e2,32'h3e2dbb4c,// invsqrt(42.0366) = 0.1542 +32'h3f6d6d7e,32'h3f8240f8,32'h3f8791fc, 32'h3f7c8868,32'h3f8b8ec0, 32'h3f6f3ddc,32'h3f923406,// invsqrt(0.9275) = 1.0384 +32'h40327865,32'h3f163c55,32'h3f1c5e25, 32'h3f11a2fa,32'h3f20f780, 32'h3f09f8b7,32'h3f28a1c3,// invsqrt(2.7886) = 0.5988 +32'h3ef96dc1,32'h3fb3b877,32'h3fbb0e5d, 32'h3fae380b,32'h3fc08ec9, 32'h3fa50cac,32'h3fc9ba28,// invsqrt(0.4872) = 1.4327 +32'h40caa643,32'h3ec7633e,32'h3ecf86a4, 32'h3ec148b2,32'h3ed5a130, 32'h3eb71c72,32'h3edfcd70,// invsqrt(6.3328) = 0.3974 +32'h3f390b62,32'h3f938af4,32'h3f9990a0, 32'h3f8f06b3,32'h3f9e14e1, 32'h3f877f9c,32'h3fa59bf8,// invsqrt(0.7228) = 1.1762 +32'h3e9babdc,32'h3fe37e08,32'h3fecc718, 32'h3fdc873c,32'h3ff3bde4, 32'h3fd0ebe8,32'h3fff5938,// invsqrt(0.3040) = 1.8136 +32'h3f156461,32'h3fa4350b,32'h3faae8d7, 32'h3f9f2e32,32'h3fafefb0, 32'h3f96cd72,32'h3fb85070,// invsqrt(0.5836) = 1.3090 +32'h3f81d38a,32'h3f791bf0,32'h3f81a370, 32'h3f717bbc,32'h3f85738a, 32'h3f64c611,32'h3f8bce60,// invsqrt(1.0143) = 0.9929 +32'h4162f79f,32'h3e8538b6,32'h3e8aa8be, 32'h3e8124b1,32'h3e8ebcc3, 32'h3e74b14f,32'h3e9588cd,// invsqrt(14.1855) = 0.2655 +32'h3d8953b0,32'h407235ec,32'h407c18c7, 32'h406acbc9,32'h4081c176, 32'h405e7037,32'h4087ef3e,// invsqrt(0.0671) = 3.8618 +32'h3f5631c1,32'h3f8922dc,32'h3f8ebbcb, 32'h3f84f029,32'h3f92ee7f, 32'h3f7be1fe,32'h3f99eda9,// invsqrt(0.8367) = 1.0932 +32'h3f540b7d,32'h3f89d459,32'h3f8f7487, 32'h3f859c37,32'h3f93aca9, 32'h3f7d27fd,32'h3f9ab4e2,// invsqrt(0.8283) = 1.0988 +32'h3eb1bacc,32'h3fd4e855,32'h3fdd98ff, 32'h3fce63d5,32'h3fe41d7f, 32'h3fc386ff,32'h3feefa55,// invsqrt(0.3471) = 1.6973 +32'h3fd0bb8a,32'h3f4475f7,32'h3f4c7ac7, 32'h3f3e725a,32'h3f527e64, 32'h3f346c56,32'h3f5c8469,// invsqrt(1.6307) = 0.7831 +32'h3f7a8167,32'h3f7d9dcf,32'h3f83fbec, 32'h3f75da49,32'h3f87ddaf, 32'h3f68e9bf,32'h3f8e55f5,// invsqrt(0.9785) = 1.0109 +32'h41358395,32'h3e94f882,32'h3e9b0d1a, 32'h3e906910,32'h3e9f9c8c, 32'h3e88cf53,32'h3ea73649,// invsqrt(11.3446) = 0.2969 +32'h3f92d7ee,32'h3f6a3b0b,32'h3f73ca83, 32'h3f630f70,32'h3f7af61e, 32'h3f571c1a,32'h3f8374ba,// invsqrt(1.1472) = 0.9336 +32'h3ddc5614,32'h403f37ba,32'h404705c2, 32'h40395d34,32'h404ce048, 32'h402f9bab,32'h4056a1d1,// invsqrt(0.1076) = 3.0488 +32'h3e10e8d2,32'h4026ba48,32'h402d886a, 32'h40219fae,32'h4032a304, 32'h40191e03,32'h403b24af,// invsqrt(0.1415) = 2.6583 +32'h3da2288a,32'h405ee541,32'h4067fe49, 32'h4058127b,32'h406ed10f, 32'h404cb331,32'h407a3059,// invsqrt(0.0792) = 3.5538 +32'h3f14182c,32'h3fa4ecd1,32'h3faba81e, 32'h3f9fe058,32'h3fb0b498, 32'h3f977639,32'h3fb91eb7,// invsqrt(0.5785) = 1.3148 +32'h3f2d9344,32'h3f9856e0,32'h3f9e8eaa, 32'h3f93ad08,32'h3fa33882, 32'h3f8be74b,32'h3faafe3f,// invsqrt(0.6780) = 1.2144 +32'h3f918271,32'h3f6b4d44,32'h3f74e7ee, 32'h3f641945,32'h3f7c1bed, 32'h3f5817f0,32'h3f840ea1,// invsqrt(1.1368) = 0.9379 +32'h3f3ea82e,32'h3f915adb,32'h3f9749ab, 32'h3f8ce7c0,32'h3f9bbcc6, 32'h3f857d3c,32'h3fa3274a,// invsqrt(0.7448) = 1.1588 +32'h4090817f,32'h3eec1e1a,32'h3ef5c14a, 32'h3ee4e3b6,32'h3efcfbae, 32'h3ed8d7ba,32'h3f0483d5,// invsqrt(4.5158) = 0.4706 +32'h409bfd8f,32'h3ee3426d,32'h3eec890f, 32'h3edc4d74,32'h3ef37e08, 32'h3ed0b52b,32'h3eff1651,// invsqrt(4.8747) = 0.4529 +32'h4025ba9b,32'h3f1be763,32'h3f22446d, 32'h3f17219c,32'h3f270a34, 32'h3f0f2d51,32'h3f2efe7f,// invsqrt(2.5895) = 0.6214 +32'h3e9a0dc4,32'h3fe4aefb,32'h3fee047d, 32'h3fddaed9,32'h3ff5049f, 32'h3fd203f6,32'h400057c1,// invsqrt(0.3009) = 1.8231 +32'h3e36ff98,32'h40145d85,32'h401a6bc9, 32'h400fd2d2,32'h401ef67c, 32'h400840fd,32'h40268851,// invsqrt(0.1787) = 2.3655 +32'h4060a3e4,32'h3f05e8e7,32'h3f0b601f, 32'h3f01cf7c,32'h3f0f798a, 32'h3ef5f4ec,32'h3f164e90,// invsqrt(3.5100) = 0.5338 +32'h402dc9fb,32'h3f183ee3,32'h3f1e75b3, 32'h3f1395c7,32'h3f231ecf, 32'h3f0bd144,32'h3f2ae352,// invsqrt(2.7155) = 0.6068 +32'h3f0a848e,32'h3faa87ed,32'h3fb17dcd, 32'h3fa54f85,32'h3fb6b635, 32'h3f9c9c2d,32'h3fbf698d,// invsqrt(0.5411) = 1.3595 +32'h3fa702a4,32'h3f5ba247,32'h3f64993b, 32'h3f54e911,32'h3f6b5271, 32'h3f49b461,32'h3f768721,// invsqrt(1.3048) = 0.8755 +32'h3de0a366,32'h403d60ba,32'h40451b88, 32'h4037949f,32'h404ae7a3, 32'h402deb1e,32'h40549124,// invsqrt(0.1097) = 3.0194 +32'h3dcb9f99,32'h4046e906,32'h404f076e, 32'h4040d237,32'h40551e3d, 32'h4036ac34,32'h405f4440,// invsqrt(0.0994) = 3.1714 +32'h4059e817,32'h3f07f689,32'h3f0d8336, 32'h3f03cd08,32'h3f11acb8, 32'h3ef9ba60,32'h3f189c90,// invsqrt(3.4048) = 0.5419 +32'h40334f51,32'h3f15e231,32'h3f1c0052, 32'h3f114b97,32'h3f2096eb, 32'h3f09a5ee,32'h3f283c94,// invsqrt(2.8017) = 0.5974 +32'h3f4ae627,32'h3f8ce6d2,32'h3f92a719, 32'h3f88969c,32'h3f96f74e, 32'h3f816643,32'h3f9e27a7,// invsqrt(0.7926) = 1.1233 +32'h3d7a90bc,32'h407d960d,32'h4083f7e2, 32'h4075d2c3,32'h4087d987, 32'h4068e29e,32'h408e5199,// invsqrt(0.0612) = 4.0431 +32'h40963493,32'h3ee79833,32'h3ef10c21, 32'h3ee08142,32'h3ef82312, 32'h3ed4b059,32'h3f01f9fe,// invsqrt(4.6939) = 0.4616 +32'h3f96a4df,32'h3f6741d1,32'h3f70b237, 32'h3f602d84,32'h3f77c684, 32'h3f546104,32'h3f81c982,// invsqrt(1.1769) = 0.9218 +32'h404b7066,32'h3f0cb6ea,32'h3f12753c, 32'h3f08682c,32'h3f16c3fa, 32'h3f013a44,32'h3f1df1e2,// invsqrt(3.1787) = 0.5609 +32'h3efd8ed7,32'h3fb2404d,32'h3fb986d7, 32'h3faccb64,32'h3fbefbc0, 32'h3fa3b337,32'h3fc813ed,// invsqrt(0.4952) = 1.4210 +32'h3eac2b77,32'h3fd85165,32'h3fe125b3, 32'h3fd1b22b,32'h3fe7c4ed, 32'h3fc6a8cb,32'h3ff2ce4d,// invsqrt(0.3363) = 1.7245 +32'h3e0e0805,32'h40286892,32'h402f4844, 32'h402340cc,32'h4034700a, 32'h401aa92d,32'h403d07a9,// invsqrt(0.1387) = 2.6851 +32'h401958e8,32'h3f221363,32'h3f28b0e9, 32'h3f1d1d3e,32'h3f2da70e, 32'h3f14d855,32'h3f35ebf7,// invsqrt(2.3961) = 0.6460 +32'h3ede4a4a,32'h3fbe601c,32'h3fc62556, 32'h3fb88c30,32'h3fcbf942, 32'h3faed5a6,32'h3fd5afcc,// invsqrt(0.4342) = 1.5177 +32'h3ccd8863,32'h40c5fbf3,32'h40ce10af, 32'h40bfec67,32'h40d4203b, 32'h40b5d27c,32'h40de3a26,// invsqrt(0.0251) = 6.3133 +32'h3ff7d05c,32'h3f344e20,32'h3f3baa22, 32'h3f2ec91f,32'h3f412f23, 32'h3f25961e,32'h3f4a6224,// invsqrt(1.9360) = 0.7187 +32'h40051a71,32'h3f2df704,32'h3f3510c6, 32'h3f28a3b3,32'h3f3a6417, 32'h3f1fc381,32'h3f434449,// invsqrt(2.0797) = 0.6934 +32'h41f99ead,32'h3e33a6da,32'h3e3afc08, 32'h3e2e26f8,32'h3e407bea, 32'h3e24fc7f,32'h3e49a663,// invsqrt(31.2025) = 0.1790 +32'h3f992d61,32'h3f65563d,32'h3f6eb293, 32'h3f5e50fc,32'h3f75b7d4, 32'h3f529d91,32'h3f80b5a0,// invsqrt(1.1967) = 0.9141 +32'h3ff953fc,32'h3f33c1c1,32'h3f3b1807, 32'h3f2e410c,32'h3f4098bc, 32'h3f251534,32'h3f49c494,// invsqrt(1.9479) = 0.7165 +32'h402ea14e,32'h3f17e0ea,32'h3f1e13e3, 32'h3f133aae,32'h3f22ba1e, 32'h3f0b7af5,32'h3f2a79d7,// invsqrt(2.7286) = 0.6054 +32'h3f16982b,32'h3fa38ce7,32'h3faa39d7, 32'h3f9e8b34,32'h3faf3b8a, 32'h3f963309,32'h3fb793b5,// invsqrt(0.5883) = 1.3038 +32'h3fe07a2a,32'h3f3d721e,32'h3f452da2, 32'h3f37a57b,32'h3f4afa45, 32'h3f2dfb16,32'h3f54a4aa,// invsqrt(1.7537) = 0.7551 +32'h3de36ca9,32'h403c36d8,32'h4043e57d, 32'h403673db,32'h4049a879, 32'h402cd98c,32'h405342c8,// invsqrt(0.1110) = 3.0009 +32'h3f854746,32'h3f75dca1,32'h3f7fe5a3, 32'h3f6e55e0,32'h3f83b632, 32'h3f61ca9f,32'h3f89fbd3,// invsqrt(1.0412) = 0.9800 +32'h3dd071af,32'h404498c2,32'h404c9efe, 32'h403e9415,32'h4052a3ab, 32'h40348c4a,32'h405cab76,// invsqrt(0.1018) = 3.1345 +32'h3f96cf11,32'h3f672175,32'h3f709089, 32'h3f600e25,32'h3f77a3d9, 32'h3f54434c,32'h3f81b759,// invsqrt(1.1782) = 0.9213 +32'h3f11cf07,32'h3fa63676,32'h3facff37, 32'h3fa11fe6,32'h3fb215c8, 32'h3f98a4f5,32'h3fba90b9,// invsqrt(0.5696) = 1.3250 +32'h3f245e3a,32'h3f9c8c44,32'h3fa2f008, 32'h3f97c171,32'h3fa7badb, 32'h3f8fc4bc,32'h3fafb790,// invsqrt(0.6421) = 1.2480 +32'h3fbe81de,32'h3f4da4c4,32'h3f560988, 32'h3f475931,32'h3f5c551b, 32'h3f3cdb3c,32'h3f66d310,// invsqrt(1.4883) = 0.8197 +32'h3fa0425c,32'h3f60365c,32'h3f695d26, 32'h3f595944,32'h3f703a3e, 32'h3f4de8c7,32'h3f7baabb,// invsqrt(1.2520) = 0.8937 +32'h40290d8d,32'h3f1a5d10,32'h3f20aa00, 32'h3f15a35b,32'h3f2563b5, 32'h3f0dc32e,32'h3f2d43e2,// invsqrt(2.6415) = 0.6153 +32'h40ea1298,32'h3eb985aa,32'h3ec1182e, 32'h3eb3d7c7,32'h3ec6c611, 32'h3eaa60a1,32'h3ed03d37,// invsqrt(7.3148) = 0.3697 +32'h3f851ce8,32'h3f7603bf,32'h3f80072d, 32'h3f6e7bcb,32'h3f83cb26, 32'h3f61ee8b,32'h3f8a11c7,// invsqrt(1.0399) = 0.9806 +32'h3e204a6c,32'h401e86c8,32'h4024ff39, 32'h4019ac74,32'h4029d98e, 32'h401195e7,32'h4031f01b,// invsqrt(0.1565) = 2.5275 +32'h3fc069b4,32'h3f4c9f6d,32'h3f54f987, 32'h3f465bda,32'h3f5b3d1a, 32'h3f3beb3b,32'h3f65adb9,// invsqrt(1.5032) = 0.8156 +32'h3fe738bf,32'h3f3aa991,32'h3f4247ff, 32'h3f34f2be,32'h3f47fed2, 32'h3f2b6cb4,32'h3f5184dc,// invsqrt(1.8064) = 0.7440 +32'h3f1d4d75,32'h3fa0066d,32'h3fa68e87, 32'h3f9b205a,32'h3fab749a, 32'h3f92f63b,32'h3fb39eb9,// invsqrt(0.6145) = 1.2757 +32'h3f5a2b2f,32'h3f87e19f,32'h3f8d6d72, 32'h3f83b8c2,32'h3f919650, 32'h3f7993f7,32'h3f988517,// invsqrt(0.8522) = 1.0832 +32'h3e03b6b1,32'h402ee155,32'h403604a7, 32'h402986d8,32'h403b5f24, 32'h40209ab1,32'h40444b4b,// invsqrt(0.1286) = 2.7883 +32'h3fb08f43,32'h3f559ca2,32'h3f5e54a8, 32'h3f4f129d,32'h3f64dead, 32'h3f442c94,32'h3f6fc4b6,// invsqrt(1.3794) = 0.8515 +32'h3fcd5821,32'h3f461336,32'h3f4e28e4, 32'h3f4002f3,32'h3f543927, 32'h3f35e7d8,32'h3f5e5442,// invsqrt(1.6043) = 0.7895 +32'h3f34b7a2,32'h3f954c7a,32'h3f9b6480, 32'h3f90ba77,32'h3f9ff683, 32'h3f891c70,32'h3fa7948a,// invsqrt(0.7059) = 1.1902 +32'h3f708363,32'h3f816a63,32'h3f86b2a5, 32'h3f7ae861,32'h3f8aa8d7, 32'h3f6db3ba,32'h3f91432b,// invsqrt(0.9395) = 1.0317 +32'h3fcfa217,32'h3f44faf1,32'h3f4d052f, 32'h3f3ef342,32'h3f530cde, 32'h3f34e675,32'h3f5d19ab,// invsqrt(1.6221) = 0.7852 +32'h4002b776,32'h3f2f8bbd,32'h3f36b603, 32'h3f2a2c08,32'h3f3c15b8, 32'h3f213730,32'h3f450a90,// invsqrt(2.0424) = 0.6997 +32'h3ee6e657,32'h3fbacadd,32'h3fc26aa7, 32'h3fb51305,32'h3fc8227f, 32'h3fab8b48,32'h3fd1aa3c,// invsqrt(0.4510) = 1.4891 +32'h40f5107e,32'h3eb55056,32'h3ebcb6e2, 32'h3eafc36e,32'h3ec243ca, 32'h3ea68340,32'h3ecb83f8,// invsqrt(7.6583) = 0.3614 +32'h3e96a674,32'h3fe7409a,32'h3ff0b0f4, 32'h3fe02c57,32'h3ff7c537, 32'h3fd45fe6,32'h4001c8d4,// invsqrt(0.2942) = 1.8435 +32'h3e81d430,32'h3ff91b51,32'h4001a31d, 32'h3ff17b22,32'h40057334, 32'h3fe4c57e,32'h400bce06,// invsqrt(0.2536) = 1.9859 +32'h3e5c5917,32'h4007352d,32'h400cb9f5, 32'h40031197,32'h4010dd8b, 32'h3ff85738,32'h4017c386,// invsqrt(0.2152) = 2.1557 +32'h4083cdb2,32'h3ef73bd0,32'h3f00a993, 32'h3eefaa4e,32'h3f047254, 32'h3ee30d22,32'h3f0ac0ea,// invsqrt(4.1189) = 0.4927 +32'h3f741cba,32'h3f807542,32'h3f85b383, 32'h3f790d21,32'h3f89a234, 32'h3f6bf17d,32'h3f903005,// invsqrt(0.9536) = 1.0241 +32'h3f9da77f,32'h3f620e9e,32'h3f6b48ae, 32'h3f5b2311,32'h3f72343b, 32'h3f4f9a7c,32'h3f7dbcd0,// invsqrt(1.2317) = 0.9011 +32'h3f893408,32'h3f7251dc,32'h3f7c35da, 32'h3f6ae6dd,32'h3f81d06d, 32'h3f5e89df,32'h3f87feec,// invsqrt(1.0719) = 0.9659 +32'h3f55da4b,32'h3f893ee4,32'h3f8ed8f8, 32'h3f850b55,32'h3f930c87, 32'h3f7c1579,32'h3f9a0d1f,// invsqrt(0.8354) = 1.0941 +32'h3f42110b,32'h3f901286,32'h3f95f3ee, 32'h3f8ba977,32'h3f9a5cfd, 32'h3f844fb4,32'h3fa1b6c0,// invsqrt(0.7581) = 1.1485 +32'h4035c54c,32'h3f14dd92,32'h3f1af110, 32'h3f104ef3,32'h3f1f7faf, 32'h3f08b696,32'h3f27180c,// invsqrt(2.8402) = 0.5934 +32'h3fd1aa3c,32'h3f440602,32'h3f4c0641, 32'h3f3e05d4,32'h3f520670, 32'h3f340585,32'h3f5c06bf,// invsqrt(1.6380) = 0.7813 +32'h421346e1,32'h3e2561d8,32'h3e2c21eb, 32'h3e2051c9,32'h3e3131f9, 32'h3e17e1b1,32'h3e39a211,// invsqrt(36.8192) = 0.1648 +32'h3ee7e739,32'h3fba634b,32'h3fc1fedb, 32'h3fb4ae9f,32'h3fc7b387, 32'h3fab2c2b,32'h3fd135fb,// invsqrt(0.4529) = 1.4859 +32'h401f1545,32'h3f1f2087,32'h3f259f3e, 32'h3f1a417d,32'h3f2a7e47, 32'h3f122318,32'h3f329cac,// invsqrt(2.4857) = 0.6343 +32'h3d7af701,32'h407d625c,32'h4083dcfc, 32'h4075a0a8,32'h4087bdd6, 32'h4068b326,32'h408e3497,// invsqrt(0.0613) = 4.0399 +32'h3eec5fda,32'h3fb89ddb,32'h3fc026e9, 32'h3fb2f710,32'h3fc5cdb4, 32'h3fa98bbf,32'h3fcf3905,// invsqrt(0.4617) = 1.4718 +32'h3c63757c,32'h410513d5,32'h410a825b, 32'h410100f0,32'h410e9540, 32'h40f46d92,32'h41155f67,// invsqrt(0.0139) = 8.4871 +32'h40119218,32'h3f26593c,32'h3f2d2368, 32'h3f21419b,32'h3f323b09, 32'h3f18c4e3,32'h3f3ab7c1,// invsqrt(2.2745) = 0.6631 +32'h400408d7,32'h3f2eaae6,32'h3f35cbff, 32'h3f295213,32'h3f3b24d1, 32'h3f2068b3,32'h3f440e31,// invsqrt(2.0630) = 0.6962 +32'h419cee81,32'h3e6293b4,32'h3e6bd333, 32'h3e5ba414,32'h3e72c2d2, 32'h3e5014b4,32'h3e7e5232,// invsqrt(19.6165) = 0.2258 +32'h3f8052d3,32'h3f7a9044,32'h3f826533, 32'h3f72e4aa,32'h3f863b00, 32'h3f661c00,32'h3f8c9f55,// invsqrt(1.0025) = 0.9987 +32'h3e8dfcf3,32'h3fee33ab,32'h3ff7eca2, 32'h3fe6e8f1,32'h3fff375b, 32'h3fdac1bc,32'h4005af48,// invsqrt(0.2773) = 1.8989 +32'h3d36c763,32'h40947453,32'h409a8385, 32'h408fe8ed,32'h409f0eeb, 32'h408855ee,32'h40a6a1ea,// invsqrt(0.0446) = 4.7339 +32'h3fd8f49f,32'h3f40b3a5,32'h3f48912f, 32'h3f3acd7e,32'h3f4e7756, 32'h3f30f893,32'h3f584c41,// invsqrt(1.6950) = 0.7681 +32'h3f1b08c4,32'h3fa13108,32'h3fa7c552, 32'h3f9c41d1,32'h3facb489, 32'h3f940875,32'h3fb4ede5,// invsqrt(0.6056) = 1.2850 +32'h40d68e3b,32'h3ec1c6c9,32'h3ec9af8d, 32'h3ebbd836,32'h3ecf9e20, 32'h3eb1f540,32'h3ed98116,// invsqrt(6.7049) = 0.3862 +32'h3d37be68,32'h40941068,32'h409a1b86, 32'h408f8811,32'h409ea3dd, 32'h4087fa2b,32'h40a631c3,// invsqrt(0.0449) = 4.7214 +32'h3e46dfcb,32'h400e51fe,32'h40142118, 32'h4009f6aa,32'h40187c6c, 32'h4002b3ca,32'h401fbf4c,// invsqrt(0.1942) = 2.2691 +32'h4013ec06,32'h3f25056c,32'h3f2bc1ba, 32'h3f1ff832,32'h3f30cef4, 32'h3f178cd1,32'h3f393a55,// invsqrt(2.3113) = 0.6578 +32'h3fbf3a49,32'h3f4d4183,32'h3f55a239, 32'h3f46f8f9,32'h3f5beac3, 32'h3f3c8015,32'h3f6663a7,// invsqrt(1.4940) = 0.8181 +32'h3f548c95,32'h3f89aa78,32'h3f8f48f0, 32'h3f85739e,32'h3f937fca, 32'h3f7cdb11,32'h3f9a85e0,// invsqrt(0.8303) = 1.0975 +32'h40d8c784,32'h3ec0c7b1,32'h3ec8a60b, 32'h3ebae0ec,32'h3ece8cd0, 32'h3eb10afb,32'h3ed862c1,// invsqrt(6.7744) = 0.3842 +32'h3f1428a4,32'h3fa4e3a7,32'h3fab9e93, 32'h3f9fd775,32'h3fb0aac5, 32'h3f976dcd,32'h3fb9146d,// invsqrt(0.5787) = 1.3145 +32'h412ea7a3,32'h3e97de29,32'h3e9e1106, 32'h3e933803,32'h3ea2b72b, 32'h3e8b786e,32'h3eaa76c0,// invsqrt(10.9159) = 0.3027 +32'h3f35a46c,32'h3f94eb0a,32'h3f9aff15, 32'h3f905c02,32'h3f9f8e1e, 32'h3f88c2f5,32'h3fa7272b,// invsqrt(0.7095) = 1.1872 +32'h40623708,32'h3f057160,32'h3f0ae3b7, 32'h3f015b9e,32'h3f0ef978, 32'h3ef51961,32'h3f15c866,// invsqrt(3.5346) = 0.5319 +32'h3d728159,32'h4080e209,32'h408624bb, 32'h4079e007,32'h408a16c0, 32'h406cb94a,32'h4090aa1f,// invsqrt(0.0592) = 4.1098 +32'h3f6624f6,32'h3f844c7d,32'h3f89b2e0, 32'h3f803fb2,32'h3f8dbfaa, 32'h3f72ff6c,32'h3f947fa6,// invsqrt(0.8990) = 1.0547 +32'h3f2a6e0e,32'h3f99bd1a,32'h3fa00384, 32'h3f95084b,32'h3fa4b853, 32'h3f8d3047,32'h3fac9057,// invsqrt(0.6657) = 1.2256 +32'h3d37a75e,32'h409419b1,32'h409a2530, 32'h408f9111,32'h409eadcf, 32'h408802b2,32'h40a63c2e,// invsqrt(0.0448) = 4.7226 +32'h3ee34f6b,32'h3fbc42f2,32'h3fc3f216, 32'h3fb67f97,32'h3fc9b571, 32'h3face4aa,32'h3fd3505e,// invsqrt(0.4440) = 1.5008 +32'h3ffd073c,32'h3f32700a,32'h3f39b888, 32'h3f2cf9ac,32'h3f3f2ee6, 32'h3f23df0e,32'h3f484984,// invsqrt(1.9768) = 0.7112 +32'h3fa3d512,32'h3f5dc0ff,32'h3f66ce19, 32'h3f56f72b,32'h3f6d97ed, 32'h3f4ba6cb,32'h3f78e84d,// invsqrt(1.2799) = 0.8839 +32'h4012081d,32'h3f2615f6,32'h3f2cdd64, 32'h3f210064,32'h3f31f2f6, 32'h3f18871c,32'h3f3a6c3e,// invsqrt(2.2817) = 0.6620 +32'h3f649855,32'h3f84bf11,32'h3f8a2a22, 32'h3f80aec6,32'h3f8e3a6e, 32'h3f73d1e2,32'h3f950043,// invsqrt(0.8929) = 1.0582 +32'h3fbbafc8,32'h3f4f2ee0,32'h3f57a3ba, 32'h3f48d73c,32'h3f5dfb5e, 32'h3f3e452c,32'h3f688d6e,// invsqrt(1.4663) = 0.8258 +32'h3f8a542f,32'h3f7154f5,32'h3f7b2ea1, 32'h3f69f1b4,32'h3f8148f1, 32'h3f5da19d,32'h3f8770fc,// invsqrt(1.0807) = 0.9619 +32'h4044c2a5,32'h3f0f152f,32'h3f14ec40, 32'h3f0ab3e1,32'h3f194d8d, 32'h3f03670b,32'h3f209a63,// invsqrt(3.0744) = 0.5703 +32'h41ca99ef,32'h3e47694f,32'h3e4f8cf5, 32'h3e414e94,32'h3e55a7b0, 32'h3e372205,32'h3e5fd43f,// invsqrt(25.3252) = 0.1987 +32'h406f4535,32'h3f01c053,32'h3f070c17, 32'h3efb8efe,32'h3f0b04eb, 32'h3eee5193,32'h3f11a3a1,// invsqrt(3.7386) = 0.5172 +32'h3fbb275d,32'h3f4f7a55,32'h3f57f243, 32'h3f492062,32'h3f5e4c36, 32'h3f3e8a78,32'h3f68e220,// invsqrt(1.4621) = 0.8270 +32'h3eed496f,32'h3fb842e6,32'h3fbfc83e, 32'h3fb29ee4,32'h3fc56c40, 32'h3fa93837,32'h3fced2ed,// invsqrt(0.4635) = 1.4689 +32'h4029da57,32'h3f19ffe5,32'h3f204909, 32'h3f15490b,32'h3f24ffe3, 32'h3f0d6d9e,32'h3f2cdb50,// invsqrt(2.6540) = 0.6138 +32'h3eb0aab4,32'h3fd58c0a,32'h3fde4364, 32'h3fcf0287,32'h3fe4cce7, 32'h3fc41d58,32'h3fefb216,// invsqrt(0.3451) = 1.7024 +32'h3f4e1328,32'h3f8bcfcc,32'h3f9184b0, 32'h3f878821,32'h3f95cc5b, 32'h3f806605,32'h3f9cee77,// invsqrt(0.8050) = 1.1146 +32'h3eb234c3,32'h3fd49f6d,32'h3fdd4d1d, 32'h3fce1d28,32'h3fe3cf62, 32'h3fc3440b,32'h3feea87f,// invsqrt(0.3481) = 1.6950 +32'h400bde4c,32'h3f29b4a6,32'h3f30a1e6, 32'h3f2482b6,32'h3f35d3d6, 32'h3f1bda25,32'h3f3e7c67,// invsqrt(2.1854) = 0.6764 +32'h403830a9,32'h3f13e274,32'h3f19ebb2, 32'h3f0f5b85,32'h3f1e72a1, 32'h3f07cff8,32'h3f25fe2e,// invsqrt(2.8780) = 0.5895 +32'h3eb51b10,32'h3fd2e9ed,32'h3fdb85c3, 32'h3fcc750d,32'h3fe1faa3, 32'h3fc1b242,32'h3fecbd6e,// invsqrt(0.3537) = 1.6814 +32'h41131549,32'h3ea57db7,32'h3eac3eed, 32'h3ea06cce,32'h3eb14fd6, 32'h3e97fb4a,32'h3eb9c15a,// invsqrt(9.1927) = 0.3298 +32'h4012094c,32'h3f26154a,32'h3f2cdcb0, 32'h3f20ffbd,32'h3f31f23d, 32'h3f18867d,32'h3f3a6b7d,// invsqrt(2.2818) = 0.6620 +32'h3e4e38aa,32'h400bc315,32'h40117773, 32'h40077bcd,32'h4015bebb, 32'h40005a57,32'h401ce031,// invsqrt(0.2014) = 2.2283 +32'h40fa5376,32'h3eb365ef,32'h3ebab877, 32'h3eade80a,32'h3ec0365c, 32'h3ea4c0e1,32'h3ec95d85,// invsqrt(7.8227) = 0.3575 +32'h3e817e01,32'h3ff96e28,32'h4001ce3a, 32'h3ff1cb70,32'h40059f96, 32'h3fe51193,32'h400bfc84,// invsqrt(0.2529) = 1.9884 +32'h3f184691,32'h3fa2a521,32'h3fa9489a, 32'h3f9daa85,32'h3fae4335, 32'h3f955e2d,32'h3fb68f8d,// invsqrt(0.5948) = 1.2966 +32'h40255555,32'h3f1c171c,32'h3f227618, 32'h3f174fdf,32'h3f273d55, 32'h3f0f5924,32'h3f2f3410,// invsqrt(2.5833) = 0.6222 +32'h3f3eb34c,32'h3f91569f,32'h3f974542, 32'h3f8ce3a4,32'h3f9bb83c, 32'h3f857958,32'h3fa32288,// invsqrt(0.7449) = 1.1586 +32'h429de42d,32'h3de1e329,32'h3deb1b74, 32'h3ddaf8f1,32'h3df205ad, 32'h3dcf7294,32'h3dfd8c0a,// invsqrt(78.9457) = 0.1125 +32'h3f638ea5,32'h3f850c7a,32'h3f8a7ab3, 32'h3f80f9ce,32'h3f8e8d5e, 32'h3f74600e,32'h3f955725,// invsqrt(0.8889) = 1.0607 +32'h4029c8b8,32'h3f1a07e3,32'h3f205159, 32'h3f1550c9,32'h3f250873, 32'h3f0d74f5,32'h3f2ce447,// invsqrt(2.6529) = 0.6140 +32'h3f811c32,32'h3f79cc91,32'h3f81ff5b, 32'h3f7226f5,32'h3f85d229, 32'h3f656847,32'h3f8c3181,// invsqrt(1.0087) = 0.9957 +32'h3f97cdb5,32'h3f665f49,32'h3f6fc670, 32'h3f5f51eb,32'h3f76d3cd, 32'h3f5390f9,32'h3f814a5f,// invsqrt(1.1860) = 0.9183 +32'h3e9e092d,32'h3fe1c8b6,32'h3feaffed, 32'h3fdadf4e,32'h3ff1e956, 32'h3fcf5a4a,32'h3ffd6e5a,// invsqrt(0.3087) = 1.7999 +32'h3e2f891b,32'h40177c81,32'h401dab61, 32'h4012d958,32'h40224e8a, 32'h400b1ebf,32'h402a0923,// invsqrt(0.1714) = 2.4153 +32'h407666af,32'h3effb852,32'h3f05142b, 32'h3ef7e450,32'h3f08fe2c, 32'h3eead84c,32'h3f0f842e,// invsqrt(3.8500) = 0.5096 +32'h3e0844d3,32'h402beeb2,32'h4032f337, 32'h4026ab4f,32'h4038369b, 32'h401de5a9,32'h4040fc41,// invsqrt(0.1331) = 2.7413 +32'h3dd1a13f,32'h40440a36,32'h404c0aa0, 32'h403e09e6,32'h40520af0, 32'h40340960,32'h405c0b76,// invsqrt(0.1024) = 3.1256 +32'h3f5fe2d5,32'h3f862297,32'h3f8b9c2b, 32'h3f820769,32'h3f8fb759, 32'h3f765ee2,32'h3f968f51,// invsqrt(0.8746) = 1.0693 +32'h3f768032,32'h3f7fab16,32'h3f850d47, 32'h3f77d77b,32'h3f88f715, 32'h3f6acc23,32'h3f8f7cc0,// invsqrt(0.9629) = 1.0191 +32'h3fef9616,32'h3f375ffe,32'h3f3edc13, 32'h3f31c2ef,32'h3f447923, 32'h3f2867d5,32'h3f4dd43d,// invsqrt(1.8718) = 0.7309 +32'h3f2ec927,32'h3f97cf99,32'h3f9e01dd, 32'h3f9329e5,32'h3fa2a791, 32'h3f8b6b0f,32'h3faa6667,// invsqrt(0.6828) = 1.2102 +32'h3f4de8dd,32'h3f8bde27,32'h3f9193a1, 32'h3f87960c,32'h3f95dbbc, 32'h3f807334,32'h3f9cfe94,// invsqrt(0.8043) = 1.1150 +32'h3f4eab40,32'h3f8b9c51,32'h3f914f1b, 32'h3f87563a,32'h3f959532, 32'h3f8036bd,32'h3f9cb4af,// invsqrt(0.8073) = 1.1130 +32'h3f06a583,32'h3facf710,32'h3fb4065e, 32'h3fa7ab94,32'h3fb951da, 32'h3f9ed872,32'h3fc224fd,// invsqrt(0.5260) = 1.3789 +32'h3de3fab9,32'h403bfc2a,32'h4043a86a, 32'h40363afa,32'h4049699a, 32'h402ca3a9,32'h405300eb,// invsqrt(0.1113) = 2.9972 +32'h4038dcf9,32'h3f139d78,32'h3f19a3e6, 32'h3f0f18a6,32'h3f1e28b8, 32'h3f07909e,32'h3f25b0c0,// invsqrt(2.8885) = 0.5884 +32'h3f00a358,32'h3fb0f55c,32'h3fb82e66, 32'h3fab8a96,32'h3fbd992c, 32'h3fa2834a,32'h3fc6a078,// invsqrt(0.5025) = 1.4107 +32'h3fe09601,32'h3f3d665f,32'h3f452169, 32'h3f379a19,32'h3f4aedaf, 32'h3f2df04d,32'h3f54977b,// invsqrt(1.7546) = 0.7549 +32'h3f7482e2,32'h3f805a69,32'h3f859792, 32'h3f78d916,32'h3f898571, 32'h3f6bc030,32'h3f9011e4,// invsqrt(0.9551) = 1.0232 +32'h3f82fa5f,32'h3f7802f2,32'h3f811135, 32'h3f706b58,32'h3f84dd02, 32'h3f63c403,32'h3f8b30ac,// invsqrt(1.0233) = 0.9886 +32'h3ea0263f,32'h3fe04a09,32'h3fe971a1, 32'h3fd96c57,32'h3ff04f53, 32'h3fcdfad9,32'h3ffbc0d1,// invsqrt(0.3128) = 1.7880 +32'h3f9a65e6,32'h3f646dad,32'h3f6dc085, 32'h3f5d6f8b,32'h3f74bea7, 32'h3f51c7fd,32'h3f80331b,// invsqrt(1.2062) = 0.9105 +32'h3f39991b,32'h3f935294,32'h3f9955f3, 32'h3f8ed00d,32'h3f9dd87b, 32'h3f874bd7,32'h3fa55cb1,// invsqrt(0.7250) = 1.1744 +32'h3f576433,32'h3f88c12b,32'h3f8e561d, 32'h3f849175,32'h3f9285d3, 32'h3f7b2e8e,32'h3f998001,// invsqrt(0.8414) = 1.0902 +32'h40e5bd71,32'h3ebb4369,32'h3ec2e81f, 32'h3eb587e1,32'h3ec8a3a7, 32'h3eabf9fd,32'h3ed2318b,// invsqrt(7.1794) = 0.3732 +32'h3fdf7bb6,32'h3f3dddd9,32'h3f459dc2, 32'h3f380de9,32'h3f4b6db1, 32'h3f2e5e05,32'h3f551d95,// invsqrt(1.7460) = 0.7568 +32'h42260e8c,32'h3e1bbff7,32'h3e221b64, 32'h3e16fb64,32'h3e26dff6, 32'h3e0f091c,32'h3e2ed23e,// invsqrt(41.5142) = 0.1552 +32'h3f17842d,32'h3fa30d55,32'h3fa9b50f, 32'h3f9e0f89,32'h3faeb2db, 32'h3f95bde0,32'h3fb70484,// invsqrt(0.5919) = 1.2998 +32'h3f4b928b,32'h3f8cab1c,32'h3f9268f4, 32'h3f885cbb,32'h3f96b755, 32'h3f812f6e,32'h3f9de4a2,// invsqrt(0.7952) = 1.1214 +32'h3ed31e98,32'h3fc358d8,32'h3fcb5206, 32'h3fbd5df6,32'h3fd14ce8, 32'h3fb3667e,32'h3fdb4461,// invsqrt(0.4123) = 1.5573 +32'h3e2db5c2,32'h401847c0,32'h401e7eec, 32'h40139e5e,32'h4023284e, 32'h400bd967,32'h402aed45,// invsqrt(0.1696) = 2.4279 +32'h3f9b5e37,32'h3f63b6d9,32'h3f6d023b, 32'h3f5cbe50,32'h3f73fac4, 32'h3f512016,32'h3f7f98fe,// invsqrt(1.2138) = 0.9077 +32'h3f37979d,32'h3f94200b,32'h3f9a2bcd, 32'h3f8f973a,32'h3f9eb49e, 32'h3f880888,32'h3fa64350,// invsqrt(0.7172) = 1.1808 +32'h405a5d81,32'h3f07d1f7,32'h3f0d5d25, 32'h3f03a994,32'h3f118588, 32'h3ef97733,32'h3f187383,// invsqrt(3.4120) = 0.5414 +32'h3f9d4678,32'h3f62544e,32'h3f6b9137, 32'h3f5b669f,32'h3f727ee5, 32'h3f4fda7b,32'h3f7e0b09,// invsqrt(1.2287) = 0.9021 +32'h3f9f4b4f,32'h3f60e3f7,32'h3f6a11d7, 32'h3f5a018f,32'h3f70f43f, 32'h3f4e8836,32'h3f7c6d98,// invsqrt(1.2445) = 0.8964 +32'h401d61f7,32'h3f1ffc00,32'h3f2683ac, 32'h3f1b163e,32'h3f2b696e, 32'h3f12eca7,32'h3f339305,// invsqrt(2.4591) = 0.6377 +32'h3f21ee61,32'h3f9db8b2,32'h3fa428ba, 32'h3f98e4ad,32'h3fa8fcbf, 32'h3f90d8a4,32'h3fb108c8,// invsqrt(0.6325) = 1.2573 +32'h41d17038,32'h3e442127,32'h3e4c2281, 32'h3e3e2023,32'h3e522385, 32'h3e341e72,32'h3e5c2536,// invsqrt(26.1798) = 0.1954 +32'h424f36eb,32'h3e0b6d3c,32'h3e111e1a, 32'h3e072896,32'h3e1562c0, 32'h3e000b80,32'h3e1c7fd6,// invsqrt(51.8036) = 0.1389 +32'h3edbe4c3,32'h3fbf68f9,32'h3fc73903, 32'h3fb98cf1,32'h3fcd150b, 32'h3fafc8e5,32'h3fd6d917,// invsqrt(0.4295) = 1.5259 +32'h3fdbbc7b,32'h3f3f7a83,32'h3f474b45, 32'h3f399df2,32'h3f4d27d6, 32'h3f2fd900,32'h3f56ecc8,// invsqrt(1.7167) = 0.7632 +32'h3f3dc675,32'h3f91b133,32'h3f97a389, 32'h3f8d3b73,32'h3f9c1949, 32'h3f85cc88,32'h3fa38834,// invsqrt(0.7413) = 1.1614 +32'h3db96dfa,32'h405070b2,32'h4058f2ae, 32'h404a0f34,32'h405f542c, 32'h403f6cb8,32'h4069f6a8,// invsqrt(0.0905) = 3.3233 +32'h3fdac93a,32'h3f3fe4d8,32'h3f47b9f0, 32'h3f3a0505,32'h3f4d99c3, 32'h3f303aa7,32'h3f576421,// invsqrt(1.7093) = 0.7649 +32'h417c71c7,32'h3e7ca3fd,32'h3e8379ea, 32'h3e74e81c,32'h3e8757da, 32'h3e680451,32'h3e8dc9c0,// invsqrt(15.7778) = 0.2518 +32'h3fae0575,32'h3f572a02,32'h3f5ff241, 32'h3f5093d4,32'h3f668870, 32'h3f459985,32'h3f7182bf,// invsqrt(1.3595) = 0.8576 +32'h3f80a619,32'h3f7a3f1f,32'h3f823af9, 32'h3f729601,32'h3f860f87, 32'h3f65d17a,32'h3f8c71cb,// invsqrt(1.0051) = 0.9975 +32'h3f7ba573,32'h3f7d0a7a,32'h3f83af3f, 32'h3f754b76,32'h3f878ec1, 32'h3f686270,32'h3f8e0344,// invsqrt(0.9830) = 1.0086 +32'h3f76d1be,32'h3f7f80d6,32'h3f84f74b, 32'h3f77ae86,32'h3f88e073, 32'h3f6aa557,32'h3f8f650a,// invsqrt(0.9641) = 1.0184 +32'h40caaddb,32'h3ec75f82,32'h3ecf82c1, 32'h3ec14514,32'h3ed59d30, 32'h3eb71905,32'h3edfc93f,// invsqrt(6.3337) = 0.3973 +32'h3f73cac4,32'h3f808ad8,32'h3f85c9fa, 32'h3f7936fb,32'h3f89b955, 32'h3f6c1923,32'h3f904840,// invsqrt(0.9523) = 1.0247 +32'h400189f5,32'h3f305792,32'h3f378a2a, 32'h3f2af1a0,32'h3f3cf01c, 32'h3f21f261,32'h3f45ef5b,// invsqrt(2.0240) = 0.7029 +32'h3f4c94c4,32'h3f8c5239,32'h3f920c70, 32'h3f880691,32'h3f965819, 32'h3f80ddcc,32'h3f9d80de,// invsqrt(0.7991) = 1.1186 +32'h4122f5a9,32'h3e9d3916,32'h3ea3a3e8, 32'h3e9868f9,32'h3ea87405, 32'h3e906372,32'h3eb0798c,// invsqrt(10.1850) = 0.3133 +32'h3fd5b669,32'h3f422888,32'h3f4a154a, 32'h3f3c36f7,32'h3f5006db, 32'h3f324f05,32'h3f59eecd,// invsqrt(1.6696) = 0.7739 +32'h3eba8a71,32'h3fcfd187,32'h3fd84d04, 32'h3fc974e8,32'h3fdea9a2, 32'h3fbeda8b,32'h3fe943ff,// invsqrt(0.3643) = 1.6567 +32'h3fd19ede,32'h3f440b53,32'h3f4c0bc9, 32'h3f3e0afa,32'h3f520c22, 32'h3f340a66,32'h3f5c0cb6,// invsqrt(1.6377) = 0.7814 +32'h3f7252f5,32'h3f80ee5e,32'h3f863191, 32'h3f79f7f1,32'h3f8a23f8, 32'h3f6ccff2,32'h3f90b7f7,// invsqrt(0.9466) = 1.0278 +32'h40dc11e5,32'h3ebf5557,32'h3ec72495, 32'h3eb979ea,32'h3ecd0002, 32'h3eafb6dd,32'h3ed6c30f,// invsqrt(6.8772) = 0.3813 +32'h3f41c4d4,32'h3f902ed9,32'h3f961169, 32'h3f8bc4ec,32'h3f9a7b56, 32'h3f8469b7,32'h3fa1d68b,// invsqrt(0.7569) = 1.1494 +32'h3f2dc388,32'h3f9841b6,32'h3f9e78a4, 32'h3f939884,32'h3fa321d6, 32'h3f8bd3dc,32'h3faae67e,// invsqrt(0.6788) = 1.2138 +32'h3f54ab16,32'h3f89a098,32'h3f8f3ea8, 32'h3f856a0b,32'h3f937535, 32'h3f7cc8ed,32'h3f9a7aca,// invsqrt(0.8307) = 1.0972 +32'h3f5b352e,32'h3f878f15,32'h3f8d1789, 32'h3f8368be,32'h3f913de0, 32'h3f78fc5b,32'h3f982870,// invsqrt(0.8563) = 1.0807 +32'h40a2fb1a,32'h3ede5517,32'h3ee7683d, 32'h3ed786bb,32'h3eee3699, 32'h3ecc2ecc,32'h3ef98e88,// invsqrt(5.0932) = 0.4431 +32'h3e90e473,32'h3febcd6b,32'h3ff56d51, 32'h3fe49580,32'h3ffca53c, 32'h3fd88da1,32'h4004568d,// invsqrt(0.2830) = 1.8798 +32'h3f0761a2,32'h3fac7eba,32'h3fb3891f, 32'h3fa736ed,32'h3fb8d0eb, 32'h3f9e69ee,32'h3fc19dea,// invsqrt(0.5288) = 1.3751 +32'h3e963ee9,32'h3fe7903c,32'h3ff103d6, 32'h3fe07989,32'h3ff81a89, 32'h3fd4a908,32'h4001f585,// invsqrt(0.2934) = 1.8460 +32'h3f8e6dcc,32'h3f6dd53a,32'h3f778a57, 32'h3f668d65,32'h3f7ed22d, 32'h3f5a6b02,32'h3f857a48,// invsqrt(1.1127) = 0.9480 +32'h406c803c,32'h3f02823d,32'h3f07d5ec, 32'h3efd06f5,32'h3f0bd4b0, 32'h3eefb5bf,32'h3f127d4a,// invsqrt(3.6953) = 0.5202 +32'h3f516e0a,32'h3f8aaff4,32'h3f905918, 32'h3f867119,32'h3f9497f3, 32'h3f7ebb58,32'h3f9bab60,// invsqrt(0.8181) = 1.1056 +32'h3f7adc24,32'h3f7d6fed,32'h3f83e40b, 32'h3f75adcf,32'h3f87c51b, 32'h3f68bf9b,32'h3f8e3c34,// invsqrt(0.9799) = 1.0102 +32'h3fe11a00,32'h3f3d2ecf,32'h3f44e794, 32'h3f37643c,32'h3f4ab228, 32'h3f2dbd47,32'h3f54591d,// invsqrt(1.7586) = 0.7541 +32'h3ebf1a97,32'h3fcd5287,32'h3fd5b3f0, 32'h3fc70979,32'h3fdbfcff, 32'h3fbc8fb6,32'h3fe676c2,// invsqrt(0.3732) = 1.6368 +32'h408356bd,32'h3ef7abad,32'h3f00e3ca, 32'h3ef016bf,32'h3f04ae41, 32'h3ee373de,32'h3f0affb2,// invsqrt(4.1043) = 0.4936 +32'h3fe7336d,32'h3f3aabb7,32'h3f424a3b, 32'h3f34f4d3,32'h3f48011f, 32'h3f2b6ead,32'h3f518745,// invsqrt(1.8063) = 0.7441 +32'h3f54243e,32'h3f89cc4e,32'h3f8f6c28, 32'h3f85946b,32'h3f93a40b, 32'h3f7d1937,32'h3f9aabda,// invsqrt(0.8287) = 1.0985 +32'h3f1305c8,32'h3fa58671,32'h3fac4802, 32'h3fa07543,32'h3fb1592f, 32'h3f98034d,32'h3fb9cb25,// invsqrt(0.5743) = 1.3196 +32'h3f72d58d,32'h3f80cbae,32'h3f860d76, 32'h3f79b4af,32'h3f89fecc, 32'h3f6c903a,32'h3f909107,// invsqrt(0.9486) = 1.0268 +32'h3f6a5e75,32'h3f8319da,32'h3f8873ba, 32'h3f7e2ce6,32'h3f8c7721, 32'h3f70cc38,32'h3f932778,// invsqrt(0.9155) = 1.0451 +32'h4090fed0,32'h3eebb7fa,32'h3ef55700, 32'h3ee480b7,32'h3efc8e43, 32'h3ed879f0,32'h3f044a85,// invsqrt(4.5311) = 0.4698 +32'h4113978d,32'h3ea5349f,32'h3eabf2d9, 32'h3ea025f3,32'h3eb10185, 32'h3e97b829,32'h3eb96f4f,// invsqrt(9.2245) = 0.3293 +32'h3e51f1c1,32'h400a846c,32'h40102bca, 32'h400646e6,32'h40146950, 32'h3ffe6b64,32'h401b7a84,// invsqrt(0.2050) = 2.2085 +32'h3f2f386b,32'h3f979f5e,32'h3f9dcfaa, 32'h3f92fb24,32'h3fa273e4, 32'h3f8b3ec4,32'h3faa3044,// invsqrt(0.6845) = 1.2087 +32'h4028f657,32'h3f1a67aa,32'h3f20b509, 32'h3f15ada1,32'h3f256f11, 32'h3f0dccea,32'h3f2d4fc8,// invsqrt(2.6400) = 0.6155 +32'h3f291dc4,32'h3f9a55a9,32'h3fa0a24d, 32'h3f959c2e,32'h3fa55bc8, 32'h3f8dbc62,32'h3fad3b94,// invsqrt(0.6606) = 1.2303 +32'h3f616011,32'h3f85b0f4,32'h3f8b25e4, 32'h3f819940,32'h3f8f3d98, 32'h3f758e29,32'h3f960fc4,// invsqrt(0.8804) = 1.0658 +32'h40120ced,32'h3f26133a,32'h3f2cda8a, 32'h3f20fdbd,32'h3f31f007, 32'h3f188498,32'h3f3a692c,// invsqrt(2.2820) = 0.6620 +32'h401c7605,32'h3f207474,32'h3f27010a, 32'h3f1b8b02,32'h3f2bea7c, 32'h3f135b46,32'h3f341a39,// invsqrt(2.4447) = 0.6396 +32'h40719831,32'h3f01202a,32'h3f066566, 32'h3efa587c,32'h3f0a5952, 32'h3eed2b68,32'h3f10efdc,// invsqrt(3.7749) = 0.5147 +32'h3f9d9ad8,32'h3f6217b0,32'h3f6b5220, 32'h3f5b2bdc,32'h3f723df4, 32'h3f4fa2d1,32'h3f7dc6ff,// invsqrt(1.2313) = 0.9012 +32'h3f4d2421,32'h3f8c2129,32'h3f91d95f, 32'h3f87d701,32'h3f962387, 32'h3f80b0bd,32'h3f9d49cb,// invsqrt(0.8013) = 1.1171 +32'h40b4df98,32'h3ed30c97,32'h3edba9d7, 32'h3ecc96a7,32'h3ee21fc7, 32'h3ec1d218,32'h3eece456,// invsqrt(5.6523) = 0.4206 +32'h40a406e4,32'h3edd9f4f,32'h3ee6ab09, 32'h3ed6d683,32'h3eed73d5, 32'h3ecb87db,32'h3ef8c27d,// invsqrt(5.1258) = 0.4417 +32'h4102b852,32'h3eaf8b2a,32'h3eb6b56a, 32'h3eaa2b7a,32'h3ebc151a, 32'h3ea136a9,32'h3ec509eb,// invsqrt(8.1700) = 0.3499 +32'h3f829eb5,32'h3f7859e9,32'h3f813e77, 32'h3f70bfa6,32'h3f850b98, 32'h3f6413e0,32'h3f8b617b,// invsqrt(1.0205) = 0.9899 +32'h3ef5cfa8,32'h3fb509c8,32'h3fbc6d72, 32'h3faf7f08,32'h3fc1f832, 32'h3fa64274,32'h3fcb34c6,// invsqrt(0.4801) = 1.4432 +32'h3f077daf,32'h3fac6cde,32'h3fb37688, 32'h3fa7259d,32'h3fb8bdc9, 32'h3f9e5988,32'h3fc189df,// invsqrt(0.5293) = 1.3746 +32'h4007c384,32'h3f2c4080,32'h3f33485b, 32'h3f26fa9b,32'h3f388e3f, 32'h3f1e30c8,32'h3f415812,// invsqrt(2.1213) = 0.6866 +32'h426e53d2,32'h3e0201f8,32'h3e07506a, 32'h3dfc0e44,32'h3e0b4b40, 32'h3deeca25,32'h3e11ed50,// invsqrt(59.5819) = 0.1296 +32'h400ac2f3,32'h3f2a6192,32'h3f3155e2, 32'h3f252a57,32'h3f368d1d, 32'h3f1c78f4,32'h3f3f3e80,// invsqrt(2.1681) = 0.6791 +32'h3f948de3,32'h3f68e0c6,32'h3f72621c, 32'h3f61bfc5,32'h3f79831d, 32'h3f55de19,32'h3f82b265,// invsqrt(1.1606) = 0.9282 +32'h3efe4bd0,32'h3fb1fe05,32'h3fb941dc, 32'h3fac8b25,32'h3fbeb4bd, 32'h3fa37659,32'h3fc7c989,// invsqrt(0.4967) = 1.4189 +32'h3f0a7da7,32'h3faa8c2d,32'h3fb18239, 32'h3fa553a4,32'h3fb6bac2, 32'h3f9ca014,32'h3fbf6e52,// invsqrt(0.5410) = 1.3596 +32'h3f546d07,32'h3f89b4b1,32'h3f8f5393, 32'h3f857d87,32'h3f938abd, 32'h3f7cedd7,32'h3f9a9159,// invsqrt(0.8298) = 1.0978 +32'h3f12854c,32'h3fa5cef4,32'h3fac937b, 32'h3fa0bb8e,32'h3fb1a6e0, 32'h3f9845e5,32'h3fba1c89,// invsqrt(0.5723) = 1.3218 +32'h4007a120,32'h3f2c5655,32'h3f335f15, 32'h3f270fc6,32'h3f38a5a4, 32'h3f1e44d6,32'h3f417094,// invsqrt(2.1192) = 0.6869 +32'h3f171e08,32'h3fa34467,32'h3fa9ee61, 32'h3f9e44ec,32'h3faeeddc, 32'h3f95f074,32'h3fb74254,// invsqrt(0.5903) = 1.3016 +32'h3fb338c0,32'h3f5404fc,32'h3f5cac60, 32'h3f4d8772,32'h3f6329ea, 32'h3f42b636,32'h3f6dfb26,// invsqrt(1.4002) = 0.8451 +32'h3f084354,32'h3fabefa4,32'h3fb2f432, 32'h3fa6ac39,32'h3fb8379d, 32'h3f9de687,32'h3fc0fd4f,// invsqrt(0.5323) = 1.3707 +32'h3f280e8c,32'h3f9ad200,32'h3fa123b7, 32'h3f9614b7,32'h3fa5e101, 32'h3f8e2e93,32'h3fadc725,// invsqrt(0.6565) = 1.2342 +32'h3f0c7d45,32'h3fa95486,32'h3fb03dda, 32'h3fa42587,32'h3fb56cd9, 32'h3f9b81de,32'h3fbe1082,// invsqrt(0.5488) = 1.3499 +32'h3c9fa0f2,32'h40e0a79c,32'h40e9d306, 32'h40d9c70d,32'h40f0b395, 32'h40ce50c9,32'h40fc29d9,// invsqrt(0.0195) = 7.1637 +32'h3ead13f8,32'h3fd7bfe9,32'h3fe08e46, 32'h3fd12523,32'h3fe7290b, 32'h3fc6232e,32'h3ff22b00,// invsqrt(0.3380) = 1.7199 +32'h3f37ba35,32'h3f941219,32'h3f9a1d49, 32'h3f8f89b5,32'h3f9ea5ad, 32'h3f87fbb9,32'h3fa633a9,// invsqrt(0.7177) = 1.1804 +32'h3dc6cc6d,32'h40494f40,32'h405186bb, 32'h404325a4,32'h4057b058, 32'h4038e04b,32'h4061f5b1,// invsqrt(0.0971) = 3.2097 +32'h4057fa55,32'h3f08919b,32'h3f0e249d, 32'h3f04635b,32'h3f1252dd, 32'h3efad732,32'h3f194a9f,// invsqrt(3.3747) = 0.5444 +32'h3c74b0dc,32'h41004e5a,32'h41058b04, 32'h40f8c1b3,32'h41097885, 32'h40ebaa08,32'h4110045a,// invsqrt(0.0149) = 8.1828 +32'h3f8b3640,32'h3f7090b2,32'h3f7a625b, 32'h3f693372,32'h3f80dfcd, 32'h3f5ced5f,32'h3f8702d6,// invsqrt(1.0876) = 0.9589 +32'h3f2b8340,32'h3f9940ab,32'h3f9f8201, 32'h3f948fab,32'h3fa43301, 32'h3f8cbe01,32'h3fac04ab,// invsqrt(0.6700) = 1.2217 +32'h3d82e936,32'h40781333,32'h408119aa, 32'h40707b19,32'h4084e5b6, 32'h4063d2f0,32'h408b39cb,// invsqrt(0.0639) = 3.9553 +32'h40e366ab,32'h3ebc3952,32'h3ec3e812, 32'h3eb67643,32'h3ec9ab21, 32'h3eacdbd3,32'h3ed34591,// invsqrt(7.1063) = 0.3751 +32'h401b6bba,32'h3f20fdaf,32'h3f278fdf, 32'h3f1c100a,32'h3f2c7d84, 32'h3f13d94d,32'h3f34b441,// invsqrt(2.4285) = 0.6417 +32'h3fcdc3c9,32'h3f45df5e,32'h3f4df2ee, 32'h3f3fd0b1,32'h3f54019b, 32'h3f35b83c,32'h3f5e1a10,// invsqrt(1.6075) = 0.7887 +32'h3e9c40c5,32'h3fe31187,32'h3fec5629, 32'h3fdc1e0d,32'h3ff349a3, 32'h3fd08842,32'h3ffedf6e,// invsqrt(0.3052) = 1.8102 +32'h3ef2d1f0,32'h3fb6265a,32'h3fbd95a2, 32'h3fb092e4,32'h3fc32918, 32'h3fa747cb,32'h3fcc7431,// invsqrt(0.4743) = 1.4521 +32'h3f313cc4,32'h3f96c1df,32'h3f9ce921, 32'h3f92246d,32'h3fa18693, 32'h3f8a735a,32'h3fa937a6,// invsqrt(0.6923) = 1.2018 +32'h417720a1,32'h3e7f580b,32'h3e84e210, 32'h3e7786fb,32'h3e88ca98, 32'h3e6a7fe1,32'h3e8f4e26,// invsqrt(15.4455) = 0.2544 +32'h3f8c3579,32'h3f6fb55a,32'h3f797e10, 32'h3f685ed2,32'h3f806a4c, 32'h3f5c23f0,32'h3f8687bd,// invsqrt(1.0954) = 0.9555 +32'h3f3efb36,32'h3f913b3f,32'h3f9728c5, 32'h3f8cc91c,32'h3f9b9ae8, 32'h3f856035,32'h3fa303cf,// invsqrt(0.7460) = 1.1578 +32'h3f8e8514,32'h3f6dc1cd,32'h3f77761f, 32'h3f667a90,32'h3f7ebd5c, 32'h3f5a592a,32'h3f856f61,// invsqrt(1.1134) = 0.9477 +32'h3f97480b,32'h3f66c4f8,32'h3f703046, 32'h3f5fb47d,32'h3f7740c1, 32'h3f53ee5c,32'h3f818371,// invsqrt(1.1819) = 0.9198 +32'h3fa4c43b,32'h3f5d1fd4,32'h3f66265a, 32'h3f565aef,32'h3f6ceb3f, 32'h3f4b12c8,32'h3f783366,// invsqrt(1.2872) = 0.8814 +32'h40b3b67e,32'h3ed3bac3,32'h3edc5f1f, 32'h3ecd3f7f,32'h3ee2da63, 32'h3ec2720c,32'h3eeda7d6,// invsqrt(5.6160) = 0.4220 +32'h3fa4792c,32'h3f5d5243,32'h3f665ad9, 32'h3f568bd4,32'h3f6d2148, 32'h3f4b4119,32'h3f786c03,// invsqrt(1.2849) = 0.8822 +32'h3f6e36ad,32'h3f8209eb,32'h3f8758b1, 32'h3f7c1dae,32'h3f8b53c5, 32'h3f6ed8c0,32'h3f91f63c,// invsqrt(0.9305) = 1.0367 +32'h4175fad4,32'h3e7ff05c,32'h3e853154, 32'h3e781aa2,32'h3e891c31, 32'h3e6b0bc2,32'h3e8fa3a1,// invsqrt(15.3737) = 0.2550 +32'h3f22abeb,32'h3f9d5cb4,32'h3fa3c8fa, 32'h3f988b7f,32'h3fa89a2f, 32'h3f908428,32'h3fb0a186,// invsqrt(0.6354) = 1.2545 +32'h3e6b8f42,32'h4002c4ed,32'h40081b55, 32'h3ffd883f,32'h400c1c23, 32'h3ff0303b,32'h4012c824,// invsqrt(0.2300) = 2.0850 +32'h3fa6d6cd,32'h3f5bbf21,32'h3f64b741, 32'h3f550508,32'h3f6b715a, 32'h3f49cedf,32'h3f76a783,// invsqrt(1.3034) = 0.8759 +32'h3f06381b,32'h3fad3d80,32'h3fb44fb0, 32'h3fa7efdd,32'h3fb99d53, 32'h3f9f1922,32'h3fc2740e,// invsqrt(0.5243) = 1.3811 +32'h3fe6f588,32'h3f3ac4b8,32'h3f426442, 32'h3f350d10,32'h3f481bea, 32'h3f2b85a4,32'h3f51a356,// invsqrt(1.8044) = 0.7445 +32'h3f2f8c19,32'h3f977b36,32'h3f9daa0a, 32'h3f92d818,32'h3fa24d28, 32'h3f8b1d90,32'h3faa07b0,// invsqrt(0.6857) = 1.2076 +32'h3d5d51c2,32'h4086e922,32'h408c6ad0, 32'h4082c7e0,32'h40908c12, 32'h4077cb8d,32'h40976e2c,// invsqrt(0.0540) = 4.3020 +32'h3f73a57c,32'h3f8094ad,32'h3f85d437, 32'h3f794a0c,32'h3f89c3de, 32'h3f6c2b34,32'h3f90534a,// invsqrt(0.9517) = 1.0250 +32'h400113e6,32'h3f30a824,32'h3f37de06, 32'h3f2b3fba,32'h3f3d4670, 32'h3f223c60,32'h3f4649ca,// invsqrt(2.0168) = 0.7041 +32'h4146c84a,32'h3e8e5a68,32'h3e9429da, 32'h3e89fed3,32'h3e98856f, 32'h3e82bb84,32'h3e9fc8be,// invsqrt(12.4239) = 0.2837 +32'h3fa00585,32'h3f6060f7,32'h3f69897f, 32'h3f598292,32'h3f7067e4, 32'h3f4e0fe8,32'h3f7bda8e,// invsqrt(1.2502) = 0.8944 +32'h3f311ff8,32'h3f96ce20,32'h3f9cf5e2, 32'h3f92304e,32'h3fa193b4, 32'h3f8a7e9a,32'h3fa94568,// invsqrt(0.6919) = 1.2022 +32'h40200944,32'h3f1ea70a,32'h3f2520cc, 32'h3f19cbb9,32'h3f29fc1d, 32'h3f11b387,32'h3f32144f,// invsqrt(2.5006) = 0.6324 +32'h3f0eb975,32'h3fa7ffc2,32'h3faedb2e, 32'h3fa2db32,32'h3fb3ffbe, 32'h3f9a48ec,32'h3fbc9204,// invsqrt(0.5575) = 1.3393 +32'h4006e9ed,32'h3f2ccb2f,32'h3f33d8b4, 32'h3f27810c,32'h3f3922d8, 32'h3f1eb027,32'h3f41f3bd,// invsqrt(2.1080) = 0.6888 +32'h3f472e0b,32'h3f8e3607,32'h3f9403fd, 32'h3f89db8f,32'h3f985e75, 32'h3f829a1b,32'h3f9f9fe9,// invsqrt(0.7780) = 1.1337 +32'h3f896867,32'h3f7223aa,32'h3f7c05c6, 32'h3f6aba15,32'h3f81b7ad, 32'h3f5e5f72,32'h3f87e4ff,// invsqrt(1.0735) = 0.9652 +32'h40e37c95,32'h3ebc3041,32'h3ec3dea2, 32'h3eb66d79,32'h3ec9a16b, 32'h3eacd380,32'h3ed33b64,// invsqrt(7.1090) = 0.3751 +32'h40594569,32'h3f082966,32'h3f0db826, 32'h3f03fe56,32'h3f11e336, 32'h3efa17cb,32'h3f18d5a7,// invsqrt(3.3949) = 0.5427 +32'h403f99e7,32'h3f10ff0e,32'h3f16ea1e, 32'h3f0c8ec2,32'h3f1b5a6a, 32'h3f0528ed,32'h3f22c03f,// invsqrt(2.9938) = 0.5780 +32'h40389fb9,32'h3f13b5f2,32'h3f19bd60, 32'h3f0f3061,32'h3f1e42f1, 32'h3f07a718,32'h3f25cc3a,// invsqrt(2.8847) = 0.5888 +32'h3eaa48bc,32'h3fd9832a,32'h3fe263f3, 32'h3fd2da95,32'h3fe90c89, 32'h3fc7c19a,32'h3ff42584,// invsqrt(0.3326) = 1.7340 +32'h3facd9b5,32'h3f57e442,32'h3f60b41a, 32'h3f51485f,32'h3f674ffd, 32'h3f464490,32'h3f7253cc,// invsqrt(1.3504) = 0.8605 +32'h3f9a6d6b,32'h3f64681d,32'h3f6dbabb, 32'h3f5d6a27,32'h3f74b8b1, 32'h3f51c2e1,32'h3f802ffb,// invsqrt(1.2065) = 0.9104 +32'h3d5b6467,32'h4087807e,32'h408d0859, 32'h40835a99,32'h40912e3d, 32'h4078e18d,32'h4098180f,// invsqrt(0.0536) = 4.3209 +32'h3f2a095a,32'h3f99ea9a,32'h3fa032de, 32'h3f953466,32'h3fa4e912, 32'h3f8d5a10,32'h3facc368,// invsqrt(0.6642) = 1.2270 +32'h3fd9f289,32'h3f404344,32'h3f481c38, 32'h3f3a608e,32'h3f4dfeee, 32'h3f30915e,32'h3f57ce1e,// invsqrt(1.7027) = 0.7664 +32'h40c6c720,32'h3ec951ef,32'h3ed18986, 32'h3ec3283e,32'h3ed7b338, 32'h3eb8e2c2,32'h3ee1f8b4,// invsqrt(6.2118) = 0.4012 +32'h3f947f96,32'h3f68ebfc,32'h3f726dc8, 32'h3f61caa4,32'h3f798f20, 32'h3f55e865,32'h3f82b8b0,// invsqrt(1.1601) = 0.9284 +32'h402a547b,32'h3f19c8a4,32'h3f200f86, 32'h3f15137a,32'h3f24c4b0, 32'h3f0d3ae0,32'h3f2c9d4a,// invsqrt(2.6614) = 0.6130 +32'h3e8f2ad7,32'h3fed3801,32'h3ff6e6b3, 32'h3fe5f4fc,32'h3ffe29b8, 32'h3fd9da9e,32'h4005220b,// invsqrt(0.2796) = 1.8911 +32'h3f86c7d2,32'h3f747ce9,32'h3f7e778f, 32'h3f6d00ec,32'h3f82f9c6, 32'h3f60879c,32'h3f89366e,// invsqrt(1.0530) = 0.9745 +32'h3eccffb3,32'h3fc63dea,32'h3fce5556, 32'h3fc02c58,32'h3fd466e8, 32'h3fb60f10,32'h3fde8430,// invsqrt(0.4004) = 1.5804 +32'h3f96de22,32'h3f6715ea,32'h3f708486, 32'h3f6002f5,32'h3f77977b, 32'h3f5438b2,32'h3f81b0df,// invsqrt(1.1787) = 0.9211 +32'h4003fc95,32'h3f2eb302,32'h3f35d470, 32'h3f2959f0,32'h3f3b2d82, 32'h3f207026,32'h3f44174c,// invsqrt(2.0623) = 0.6963 +32'h3e2cf10b,32'h40189e42,32'h401ed8f6, 32'h4013f23b,32'h402384fd, 32'h400c28d9,32'h402b4e5f,// invsqrt(0.1689) = 2.4333 +32'h3fce0214,32'h3f45c171,32'h3f4dd3c9, 32'h3f3fb3af,32'h3f53e18b, 32'h3f359cc0,32'h3f5df87a,// invsqrt(1.6094) = 0.7882 +32'h3ffeb835,32'h3f31d822,32'h3f391a6c, 32'h3f2c666a,32'h3f3e8c24, 32'h3f23538d,32'h3f479f01,// invsqrt(1.9900) = 0.7089 +32'h411dac25,32'h3e9fd659,32'h3ea65c7c, 32'h3e9af1bf,32'h3eab4117, 32'h3e92ca14,32'h3eb368c3,// invsqrt(9.8545) = 0.3186 +32'h3fa678b6,32'h3f5bfd32,32'h3f64f7db, 32'h3f554132,32'h3f6bb3da, 32'h3f4a07df,32'h3f76ed2d,// invsqrt(1.3006) = 0.8769 +32'h3edcb9af,32'h3fbf0c90,32'h3fc6d8d5, 32'h3fb9335d,32'h3fccb209, 32'h3faf7408,32'h3fd6715f,// invsqrt(0.4311) = 1.5230 +32'h3fc72111,32'h3f492473,32'h3f515a2f, 32'h3f42fc27,32'h3f57827b, 32'h3f38b8fc,32'h3f61c5a6,// invsqrt(1.5557) = 0.8017 +32'h3f273219,32'h3f9b37f0,32'h3fa18dd0, 32'h3f967788,32'h3fa64e38, 32'h3f8e8c30,32'h3fae3990,// invsqrt(0.6531) = 1.2374 +32'h3ee89f84,32'h3fba1968,32'h3fc1b1f4, 32'h3fb466ff,32'h3fc7645d, 32'h3faae850,32'h3fd0e30c,// invsqrt(0.4543) = 1.4836 +32'h402a4524,32'h3f19cf91,32'h3f2016bb, 32'h3f151a31,32'h3f24cc1b, 32'h3f0d413c,32'h3f2ca510,// invsqrt(2.6605) = 0.6131 +32'h3f1daec1,32'h3f9fd507,32'h3fa65b1c, 32'h3f9af077,32'h3fab3fab, 32'h3f92c8dc,32'h3fb36746,// invsqrt(0.6159) = 1.2742 +32'h3e73c7d7,32'h40008b9d,32'h4005cac8, 32'h3ff9387a,32'h4009ba29, 32'h3fec1a8f,32'h4010491e,// invsqrt(0.2381) = 2.0495 +32'h402b9b8e,32'h3f1935d1,32'h3f1f76b5, 32'h3f148526,32'h3f242760, 32'h3f0cb409,32'h3f2bf87d,// invsqrt(2.6814) = 0.6107 +32'h3e184f7c,32'h4022a05e,32'h402943a6, 32'h401da5e8,32'h402e3e1c, 32'h401559cf,32'h40368a35,// invsqrt(0.1487) = 2.5929 +32'h3f0473a9,32'h3fae6468,32'h3fb582a1, 32'h3fa90dbe,32'h3fbad94c, 32'h3fa027f8,32'h3fc3bf12,// invsqrt(0.5174) = 1.3902 +32'h3ebe2352,32'h3fcdd7de,32'h3fd63eb8, 32'h3fc78aba,32'h3fdc8bdc, 32'h3fbd0a2a,32'h3fe70c6c,// invsqrt(0.3714) = 1.6410 +32'h3f3f6c9b,32'h3f911035,32'h3f96fbf8, 32'h3f8c9f62,32'h3f9b6cca, 32'h3f8538ad,32'h3fa2d37f,// invsqrt(0.7478) = 1.1564 +32'h3f1cbd98,32'h3fa04fcd,32'h3fa6dae5, 32'h3f9b677b,32'h3fabc337, 32'h3f93399d,32'h3fb3f115,// invsqrt(0.6123) = 1.2780 +32'h3e05fb37,32'h402d64d9,32'h403478a4, 32'h40281602,32'h4039c77c, 32'h401f3d46,32'h4042a039,// invsqrt(0.1308) = 2.7646 +32'h3ed9c47b,32'h3fc05798,32'h3fc83160, 32'h3fba7443,32'h3fce14b5, 32'h3fb0a409,32'h3fd7e4ef,// invsqrt(0.4253) = 1.5333 +32'h3fdd0695,32'h3f3eeb51,32'h3f46b63b, 32'h3f391322,32'h3f4c8e6a, 32'h3f2f557f,32'h3f564c0d,// invsqrt(1.7268) = 0.7610 +32'h3e9e5249,32'h3fe1948f,32'h3feac9a5, 32'h3fdaacbf,32'h3ff1b175, 32'h3fcf2a64,32'h3ffd33d0,// invsqrt(0.3092) = 1.7983 +32'h3f735e0b,32'h3f80a78b,32'h3f85e7d9, 32'h3f796e9f,32'h3f89d814, 32'h3f6c4dda,32'h3f906877,// invsqrt(0.9507) = 1.0256 +32'h3df21bc8,32'h40366ad3,32'h403ddce7, 32'h4030d545,32'h40437275, 32'h402786ad,32'h404cc10d,// invsqrt(0.1182) = 2.9084 +32'h41486774,32'h3e8dc6a8,32'h3e939012, 32'h3e896f98,32'h3e97e722, 32'h3e8233d4,32'h3e9f22e6,// invsqrt(12.5253) = 0.2826 +32'h3f3d5592,32'h3f91dc9c,32'h3f97d0b7, 32'h3f8d6587,32'h3f9c47cb, 32'h3f85f465,32'h3fa3b8ed,// invsqrt(0.7396) = 1.1628 +32'h3f412cab,32'h3f906796,32'h3f964c78, 32'h3f8bfbed,32'h3f9ab821, 32'h3f849dd3,32'h3fa2163b,// invsqrt(0.7546) = 1.1512 +32'h3ea5daa9,32'h3fdc65eb,32'h3fe564da, 32'h3fd5a6b7,32'h3fec240d, 32'h3fca680b,32'h3ff762b9,// invsqrt(0.3239) = 1.7570 +32'h3f67d826,32'h3f83d018,32'h3f893167, 32'h3f7f8e38,32'h3f8d3a62, 32'h3f721af1,32'h3f93f405,// invsqrt(0.9056) = 1.0508 +32'h3f80f895,32'h3f79ef0c,32'h3f82114d, 32'h3f724862,32'h3f85e4a2, 32'h3f6587f1,32'h3f8c44da,// invsqrt(1.0076) = 0.9962 +32'h3e895887,32'h3ff231a8,32'h3ffc1456, 32'h3feac7a5,32'h4001bf2c, 32'h3fde6c4c,32'h4007ecd9,// invsqrt(0.2683) = 1.9308 +32'h401a459a,32'h3f2196dd,32'h3f282f4f, 32'h3f1ca488,32'h3f2d21a4, 32'h3f1465fa,32'h3f356032,// invsqrt(2.4105) = 0.6441 +32'h3e3effa7,32'h4011398f,32'h40172702, 32'h400cc778,32'h401b9918, 32'h40055ea7,32'h402301e9,// invsqrt(0.1865) = 2.3154 +32'h3f9f7b25,32'h3f60c23a,32'h3f69eeba, 32'h3f59e0da,32'h3f70d01a, 32'h3f4e693b,32'h3f7c47b9,// invsqrt(1.2459) = 0.8959 +32'h3f954c72,32'h3f684bf8,32'h3f71c73c, 32'h3f612f86,32'h3f78e3ae, 32'h3f555571,32'h3f825ee2,// invsqrt(1.1664) = 0.9259 +32'h3ef9f6a1,32'h3fb3873c,32'h3fbadb20, 32'h3fae0852,32'h3fc05a0a, 32'h3fa4df76,32'h3fc982e6,// invsqrt(0.4882) = 1.4312 +32'h3e4b89a4,32'h400cae30,32'h40126c27, 32'h40085fb6,32'h4016baa0, 32'h40013240,32'h401de816,// invsqrt(0.1988) = 2.2430 +32'h3f1ff9e6,32'h3f9eaea9,32'h3fa528ba, 32'h3f99d31b,32'h3faa0447, 32'h3f91ba86,32'h3fb21cdc,// invsqrt(0.6249) = 1.2650 +32'h4053314b,32'h3f0a1b7a,32'h3f0fbe8e, 32'h3f05e12a,32'h3f13f8de, 32'h3efdaaa1,32'h3f1b04b8,// invsqrt(3.2999) = 0.5505 +32'h3f955435,32'h3f6845ee,32'h3f71c0f3, 32'h3f6129ab,32'h3f78dd37, 32'h3f554fe6,32'h3f825b7e,// invsqrt(1.1666) = 0.9258 +32'h3f7e82b5,32'h3f7b9cef,32'h3f82f104, 32'h3f73e91c,32'h3f86caee, 32'h3f6712bc,32'h3f8d361e,// invsqrt(0.9942) = 1.0029 +32'h3e3697d2,32'h401487a8,32'h401a97a4, 32'h400ffbaa,32'h401f23a2, 32'h400867af,32'h4026b79d,// invsqrt(0.1783) = 2.3681 +32'h3e163741,32'h4023c1a1,32'h402a70b7, 32'h401ebe50,32'h402f7408, 32'h40166374,32'h4037cee4,// invsqrt(0.1467) = 2.6109 +32'h3f771f23,32'h3f7f58d1,32'h3f84e277, 32'h3f7787bb,32'h3f88cb02, 32'h3f6a8096,32'h3f8f4e95,// invsqrt(0.9653) = 1.0178 +32'h3fff2e09,32'h3f31af0e,32'h3f38efac, 32'h3f2c3e98,32'h3f3e6022, 32'h3f232dd4,32'h3f4770e6,// invsqrt(1.9936) = 0.7082 +32'h3e84116c,32'h3ff6fc63,32'h40008892, 32'h3fef6cd3,32'h4004505a, 32'h3fe2d2e3,32'h400a9d52,// invsqrt(0.2579) = 1.9690 +32'h3eda51be,32'h3fc01954,32'h3fc7f090, 32'h3fba37e6,32'h3fcdd1fe, 32'h3fb06ada,32'h3fd79f0a,// invsqrt(0.4264) = 1.5314 +32'h3f830096,32'h3f77fd10,32'h3f810e25, 32'h3f7065a4,32'h3f84d9db, 32'h3f63be9c,32'h3f8b2d5f,// invsqrt(1.0235) = 0.9885 +32'h3e8e8c96,32'h3fedbb8a,32'h3ff76f9a, 32'h3fe6747e,32'h3ffeb6a6, 32'h3fda536a,32'h40056bdd,// invsqrt(0.2784) = 1.8952 +32'h3f4eb519,32'h3f8b98fd,32'h3f914ba5, 32'h3f875300,32'h3f9591a2, 32'h3f8033af,32'h3f9cb0f3,// invsqrt(0.8075) = 1.1129 +32'h3f8dc978,32'h3f6e5ee5,32'h3f78199f, 32'h3f6712d8,32'h3f7f65ac, 32'h3f5ae96f,32'h3f85c78b,// invsqrt(1.1077) = 0.9501 +32'h3fc37759,32'h3f4b04af,32'h3f534e05, 32'h3f44cdaf,32'h3f598505, 32'h3f3a7204,32'h3f63e0b0,// invsqrt(1.5271) = 0.8092 +32'h3eab8526,32'h3fd8ba2c,32'h3fe192c0, 32'h3fd217bd,32'h3fe8352f, 32'h3fc70904,32'h3ff343e8,// invsqrt(0.3350) = 1.7277 +32'h40a91d36,32'h3eda4375,32'h3ee32c16, 32'h3ed394fb,32'h3ee9da8f, 32'h3ec87231,32'h3ef4fd59,// invsqrt(5.2848) = 0.4350 +32'h3fae4ac1,32'h3f56ff38,32'h3f5fc5b8, 32'h3f506a58,32'h3f665a98, 32'h3f457239,32'h3f7152b7,// invsqrt(1.3617) = 0.8570 +32'h3fb05773,32'h3f55be6d,32'h3f5e77d5, 32'h3f4f335f,32'h3f6502e3, 32'h3f444b9e,32'h3f6feaa4,// invsqrt(1.3777) = 0.8520 +32'h3ebbfb02,32'h3fcf0567,32'h3fd77890, 32'h3fc8af09,32'h3fddceef, 32'h3fbe1f16,32'h3fe85ee2,// invsqrt(0.3671) = 1.6504 +32'h3fcb95cf,32'h3f46edce,32'h3f4f0c68, 32'h3f40d6da,32'h3f55235c, 32'h3f36b098,32'h3f5f499e,// invsqrt(1.5905) = 0.7929 +32'h3ea4faa9,32'h3fdcfb57,32'h3fe60060, 32'h3fd63791,32'h3fecc427, 32'h3fcaf146,32'h3ff80a72,// invsqrt(0.3222) = 1.7617 +32'h3ff33c97,32'h3f35fe67,32'h3f3d6c0d, 32'h3f306c2a,32'h3f42fe4a, 32'h3f27231b,32'h3f4c4759,// invsqrt(1.9003) = 0.7254 +32'h3f8aa773,32'h3f710c73,32'h3f7ae329, 32'h3f69ab6a,32'h3f812219, 32'h3f5d5f06,32'h3f87484b,// invsqrt(1.0832) = 0.9608 +32'h3e8a4e2d,32'h3ff15a32,32'h3ffb3415, 32'h3fe9f6c9,32'h40014bc0, 32'h3fdda66d,32'h400773ed,// invsqrt(0.2701) = 1.9240 +32'h408c5a4d,32'h3eef95e5,32'h3ef95d52, 32'h3ee84054,32'h3f005972, 32'h3edc070c,32'h3f067616,// invsqrt(4.3860) = 0.4775 +32'h3f7e0c14,32'h3f7bd7a7,32'h3f830f93, 32'h3f742207,32'h3f86ea62, 32'h3f6748a9,32'h3f8d5712,// invsqrt(0.9924) = 1.0038 +32'h3fb3eae8,32'h3f539be9,32'h3f5c3f03, 32'h3f4d2196,32'h3f62b956, 32'h3f4255b7,32'h3f6d8535,// invsqrt(1.4056) = 0.8435 +32'h3fcdff6b,32'h3f45c2b8,32'h3f4dd51e, 32'h3f3fb4ec,32'h3f53e2ea, 32'h3f359ded,32'h3f5df9e9,// invsqrt(1.6094) = 0.7883 +32'h427d45f8,32'h3dfc3a12,32'h3e0342cb, 32'h3df48170,32'h3e071f1c, 32'h3de7a30c,32'h3e0d8e4e,// invsqrt(63.3183) = 0.1257 +32'h40def7e6,32'h3ebe15ef,32'h3ec5d823, 32'h3eb84449,32'h3ecba9c9, 32'h3eae9188,32'h3ed55c8a,// invsqrt(6.9678) = 0.3788 +32'h3faaabcf,32'h3f5943ff,32'h3f622233, 32'h3f529d58,32'h3f68c8da, 32'h3f478796,32'h3f73de9c,// invsqrt(1.3334) = 0.8660 +32'h3f6426e0,32'h3f84e00f,32'h3f8a4c79, 32'h3f80cec1,32'h3f8e5dc7, 32'h3f740e7a,32'h3f95254b,// invsqrt(0.8912) = 1.0593 +32'h3fc1920e,32'h3f4c028e,32'h3f545640, 32'h3f45c3c8,32'h3f5a9506, 32'h3f3b5b2a,32'h3f64fda5,// invsqrt(1.5123) = 0.8132 +32'h3d0c363e,32'h40a97f64,32'h40b06a78, 32'h40a44f15,32'h40b59ac7, 32'h409ba93d,32'h40be409f,// invsqrt(0.0342) = 5.4049 +32'h3f01e49f,32'h3fb019fc,32'h3fb74a11, 32'h3faab5ed,32'h3fbcae21, 32'h3fa1b9d3,32'h3fc5aa3b,// invsqrt(0.5074) = 1.4039 +32'h3fbe023b,32'h3f4de9ca,32'h3f565160, 32'h3f479c1a,32'h3f5c9f10, 32'h3f3d1aa0,32'h3f67208a,// invsqrt(1.4844) = 0.8208 +32'h40198237,32'h3f21fd93,32'h3f289a35, 32'h3f1d0819,32'h3f2d8faf, 32'h3f14c44d,32'h3f35d37b,// invsqrt(2.3986) = 0.6457 +32'h3f2c400f,32'h3f98ec96,32'h3f9f2a7d, 32'h3f943e2a,32'h3fa3d8ea, 32'h3f8c70c9,32'h3faba64b,// invsqrt(0.6729) = 1.2191 +32'h3f663d63,32'h3f844578,32'h3f89ab92, 32'h3f8038e5,32'h3f8db825, 32'h3f72f289,32'h3f9477c6,// invsqrt(0.8994) = 1.0545 +32'h3eab5021,32'h3fd8dbb3,32'h3fe1b5a6, 32'h3fd2383e,32'h3fe8591c, 32'h3fc727cf,32'h3ff3698b,// invsqrt(0.3346) = 1.7288 +32'h3f388145,32'h3f93c223,32'h3f99ca0f, 32'h3f8f3c31,32'h3f9e5001, 32'h3f87b24a,32'h3fa5d9e8,// invsqrt(0.7207) = 1.1779 +32'h3fdaefb6,32'h3f3fd3fa,32'h3f47a862, 32'h3f39f4ac,32'h3f4d87b0, 32'h3f302b29,32'h3f575133,// invsqrt(1.7104) = 0.7646 +32'h3fc54bc9,32'h3f4a131d,32'h3f525296, 32'h3f43e381,32'h3f588231, 32'h3f39942a,32'h3f62d188,// invsqrt(1.5414) = 0.8055 +32'h409fcbe2,32'h3ee0896b,32'h3ee9b399, 32'h3ed9a9c8,32'h3ef0933c, 32'h3ece350f,32'h3efc07f5,// invsqrt(4.9936) = 0.4475 +32'h3f97420a,32'h3f66c98c,32'h3f70350a, 32'h3f5fb8ee,32'h3f7745a8, 32'h3f53f290,32'h3f818603,// invsqrt(1.1817) = 0.9199 +32'h3fab56a9,32'h3f58d791,32'h3f61b159, 32'h3f52343c,32'h3f6854ae, 32'h3f472403,32'h3f7364e7,// invsqrt(1.3386) = 0.8643 +32'h3e884e9a,32'h3ff31d75,32'h3ffd09c3, 32'h3febac3b,32'h40023d7f, 32'h3fdf44d9,32'h4008712f,// invsqrt(0.2662) = 1.9381 +32'h3fbdb99c,32'h3f4e112f,32'h3f567a5f, 32'h3f47c24a,32'h3f5cc944, 32'h3f3d3ecd,32'h3f674cc1,// invsqrt(1.4822) = 0.8214 +32'h3e9c70a2,32'h3fe2eec8,32'h3fec3200, 32'h3fdbfc5f,32'h3ff32469, 32'h3fd0685a,32'h3ffeb86e,// invsqrt(0.3055) = 1.8091 +32'h411e98b4,32'h3e9f5ef8,32'h3ea5e03c, 32'h3e9a7e06,32'h3eaac12e, 32'h3e925c71,32'h3eb2e2c3,// invsqrt(9.9123) = 0.3176 +32'h3f35a01b,32'h3f94eccf,32'h3f9b00ed, 32'h3f905db9,32'h3f9f9003, 32'h3f88c495,32'h3fa72927,// invsqrt(0.7095) = 1.1872 +32'h41fc0000,32'h3e32cd24,32'h3e3a196f, 32'h3e2d53ed,32'h3e3f92a7, 32'h3e24348f,32'h3e48b205,// invsqrt(31.5000) = 0.1782 +32'h3fa2b05a,32'h3f5e8825,32'h3f679d5f, 32'h3f57b838,32'h3f6e6d4c, 32'h3f4c5daf,32'h3f79c7d5,// invsqrt(1.2710) = 0.8870 +32'h3f859a31,32'h3f75904a,32'h3f7f962e, 32'h3f6e0bdf,32'h3f838d4c, 32'h3f618483,32'h3f89d0fb,// invsqrt(1.0438) = 0.9788 +32'h3f08b612,32'h3faba76d,32'h3fb2a909, 32'h3fa66638,32'h3fb7ea3e, 32'h3f9da435,32'h3fc0ac41,// invsqrt(0.5340) = 1.3684 +32'h3e6e46bb,32'h4002058a,32'h40075422, 32'h3ffc1530,32'h400b4f14, 32'h3feed0b4,32'h4011f152,// invsqrt(0.2327) = 2.0730 +32'h3fda2d7c,32'h3f402949,32'h3f48012d, 32'h3f3a475e,32'h3f4de318, 32'h3f307982,32'h3f57b0f4,// invsqrt(1.7045) = 0.7659 +32'h4008e34d,32'h3f2b8b0f,32'h3f328b83, 32'h3f264ab9,32'h3f37cbd9, 32'h3f1d8a28,32'h3f408c6a,// invsqrt(2.1389) = 0.6838 +32'h401ac418,32'h3f2154c7,32'h3f27ea86, 32'h3f1c6478,32'h3f2cdad6, 32'h3f14294a,32'h3f351605,// invsqrt(2.4182) = 0.6431 +32'h3ed07a32,32'h3fc494bf,32'h3fcc9ad1, 32'h3fbe9031,32'h3fd29f5f, 32'h3fb4889a,32'h3fdca6f6,// invsqrt(0.4072) = 1.5671 +32'h3f34a41b,32'h3f95548c,32'h3f9b6ce6, 32'h3f90c249,32'h3f9fff29, 32'h3f8923da,32'h3fa79d98,// invsqrt(0.7056) = 1.1905 +32'h419a7681,32'h3e646165,32'h3e6db3bd, 32'h3e5d63a3,32'h3e74b17f, 32'h3e51bcb6,32'h3e802c36,// invsqrt(19.3079) = 0.2276 +32'h405226bc,32'h3f0a72f5,32'h3f10199b, 32'h3f0635f8,32'h3f145698, 32'h3efe4b4e,32'h3f1b66e9,// invsqrt(3.2836) = 0.5519 +32'h3f65d417,32'h3f8463c2,32'h3f89cb18, 32'h3f805641,32'h3f8dd899, 32'h3f732a2b,32'h3f9499c5,// invsqrt(0.8978) = 1.0554 +32'h40e8e5f2,32'h3eb9fd42,32'h3ec194a8, 32'h3eb44bb6,32'h3ec74634, 32'h3eaace76,32'h3ed0c374,// invsqrt(7.2781) = 0.3707 +32'h3f4de8b8,32'h3f8bde34,32'h3f9193ae, 32'h3f879618,32'h3f95dbca, 32'h3f80733f,32'h3f9cfea3,// invsqrt(0.8043) = 1.1150 +32'h3f4037a4,32'h3f90c383,32'h3f96ac25, 32'h3f8c5509,32'h3f9b1a9f, 32'h3f84f23f,32'h3fa27d69,// invsqrt(0.7508) = 1.1540 +32'h40fbf0ab,32'h3eb2d295,32'h3eba1f19, 32'h3ead5933,32'h3ebf987b, 32'h3ea4398e,32'h3ec8b820,// invsqrt(7.8731) = 0.3564 +32'h3f0da078,32'h3fa8a618,32'h3faf884d, 32'h3fa37c70,32'h3fb4b1f4, 32'h3f9ae1ad,32'h3fbd4cb7,// invsqrt(0.5532) = 1.3445 +32'h410cdf5a,32'h3ea91989,32'h3eb00075, 32'h3ea3ec59,32'h3eb52da5, 32'h3e9b4bb2,32'h3ebdce4c,// invsqrt(8.8045) = 0.3370 +32'h3eb10272,32'h3fd55717,32'h3fde0c47, 32'h3fcecf33,32'h3fe4942b, 32'h3fc3ecb7,32'h3fef76a7,// invsqrt(0.3457) = 1.7007 +32'h40808565,32'h3efa5ef3,32'h3f024b89, 32'h3ef2b4dc,32'h3f062095, 32'h3ee5eeb6,32'h3f0c83a8,// invsqrt(4.0163) = 0.4990 +32'h3eee722a,32'h3fb7d01c,32'h3fbf50c4, 32'h3fb22f9e,32'h3fc4f142, 32'h3fa8cecb,32'h3fce5215,// invsqrt(0.4657) = 1.4653 +32'h3fc2986a,32'h3f4b78d9,32'h3f53c6ec, 32'h3f453e4a,32'h3f5a017a, 32'h3f3adcb2,32'h3f646312,// invsqrt(1.5203) = 0.8110 +32'h3f6eedd9,32'h3f81d809,32'h3f8724c5, 32'h3f7bbcf7,32'h3f8b1e53, 32'h3f6e7d20,32'h3f91be3e,// invsqrt(0.9333) = 1.0351 +32'h3e8b2d05,32'h3ff098ac,32'h3ffa6aa8, 32'h3fe93b2e,32'h4000e413, 32'h3fdcf4b3,32'h40070751,// invsqrt(0.2718) = 1.9180 +32'h3fe9c893,32'h3f39a306,32'h3f4136be, 32'h3f33f43d,32'h3f46e587, 32'h3f2a7b98,32'h3f505e2c,// invsqrt(1.8264) = 0.7399 +32'h3f254f2f,32'h3f9c1a03,32'h3fa2791d, 32'h3f9752af,32'h3fa74071, 32'h3f8f5bce,32'h3faf3752,// invsqrt(0.6457) = 1.2444 +32'h3f2be75b,32'h3f991406,32'h3f9f5388, 32'h3f946463,32'h3fa4032b, 32'h3f8c9500,32'h3fabd28e,// invsqrt(0.6715) = 1.2203 +32'h4044eb77,32'h3f0f0659,32'h3f14dcd0, 32'h3f0aa581,32'h3f193da9, 32'h3f03596c,32'h3f2089be,// invsqrt(3.0769) = 0.5701 +32'h3ff5dbfa,32'h3f35053e,32'h3f3c68b9, 32'h3f2f7aa3,32'h3f41f355, 32'h3f263e49,32'h3f4b2faf,// invsqrt(1.9208) = 0.7215 +32'h3f3250cb,32'h3f964d03,32'h3f9c6f81, 32'h3f91b325,32'h3fa1095f, 32'h3f8a0808,32'h3fa8b47c,// invsqrt(0.6965) = 1.1982 +32'h3f5242ee,32'h3f8a69ac,32'h3f900ff2, 32'h3f862cf8,32'h3f944ca6, 32'h3f7e3a41,32'h3f9b5c7d,// invsqrt(0.8213) = 1.1034 +32'h3fbc03bf,32'h3f4f0098,32'h3f57738e, 32'h3f48aa5f,32'h3f5dc9c7, 32'h3f3e1aab,32'h3f68597b,// invsqrt(1.4689) = 0.8251 +32'h3ff4ff96,32'h3f355697,32'h3f3cbd64, 32'h3f2fc97e,32'h3f424a7e, 32'h3f2688fe,32'h3f4b8afe,// invsqrt(1.9140) = 0.7228 +32'h3f532aed,32'h3f8a1d8f,32'h3f8fc0b9, 32'h3f85e32f,32'h3f93fb19, 32'h3f7dae74,32'h3f9b070e,// invsqrt(0.8249) = 1.1010 +32'h3f7184ac,32'h3f812562,32'h3f866ad4, 32'h3f7a629a,32'h3f8a5ee9, 32'h3f6d34fd,32'h3f90f5b7,// invsqrt(0.9434) = 1.0295 +32'h3f9f3473,32'h3f60f41b,32'h3f6a22a4, 32'h3f5a1135,32'h3f71058b, 32'h3f4e970a,32'h3f7c7fb6,// invsqrt(1.2438) = 0.8967 +32'h3f8104b1,32'h3f79e351,32'h3f820b32, 32'h3f723d03,32'h3f85de59, 32'h3f657d2c,32'h3f8c3e45,// invsqrt(1.0080) = 0.9960 +32'h3f98bbba,32'h3f65ab81,32'h3f6f0b52, 32'h3f5ea3a4,32'h3f76132e, 32'h3f52ebde,32'h3f80e57a,// invsqrt(1.1932) = 0.9155 +32'h3efbeacf,32'h3fb2d4a9,32'h3fba2143, 32'h3fad5b36,32'h3fbf9ab6, 32'h3fa43b77,32'h3fc8ba75,// invsqrt(0.4920) = 1.4256 +32'h3e3b4549,32'h4012a9c8,32'h4018a643, 32'h400e2c6b,32'h401d239f, 32'h4006b0d1,32'h40249f39,// invsqrt(0.1829) = 2.3384 +32'h3f5d272a,32'h3f86f61f,32'h3f8c7855, 32'h3f82d477,32'h3f9099fd, 32'h3f77e368,32'h3f977cc0,// invsqrt(0.8639) = 1.0759 +32'h3eb9dd1a,32'h3fd03258,32'h3fd8b1ca, 32'h3fc9d2c3,32'h3fdf115f, 32'h3fbf3376,32'h3fe9b0ac,// invsqrt(0.3630) = 1.6597 +32'h3f248fba,32'h3f9c74b7,32'h3fa2d785, 32'h3f97aa9c,32'h3fa7a1a0, 32'h3f8faf1b,32'h3faf9d21,// invsqrt(0.6428) = 1.2473 +32'h3ef8bae5,32'h3fb3f90a,32'h3fbb5192, 32'h3fae76a4,32'h3fc0d3f8, 32'h3fa547f9,32'h3fca02a3,// invsqrt(0.4858) = 1.4347 +32'h432e5555,32'h3d9801fe,32'h3d9e3652, 32'h3d935ac0,32'h3da2dd90, 32'h3d8b9957,32'h3daa9ef9,// invsqrt(174.3333) = 0.0757 +32'h3e552f65,32'h400975dc,32'h400f122e, 32'h4005409e,32'h4013476c, 32'h3ffc7a6f,32'h401a4ad2,// invsqrt(0.2082) = 2.1917 +32'h400fcb30,32'h3f275f8f,32'h3f2e3470, 32'h3f223fe5,32'h3f335419, 32'h3f19b5cc,32'h3f3bde32,// invsqrt(2.2468) = 0.6671 +32'h3ca65da1,32'h40dc0f19,32'h40e50a7d, 32'h40d5528e,32'h40ebc708, 32'h40ca1850,32'h40f70146,// invsqrt(0.0203) = 7.0172 +32'h3ee86c89,32'h3fba2dd0,32'h3fc1c731, 32'h3fb47ac6,32'h3fc77a3a, 32'h3faafb0d,32'h3fd0f9f3,// invsqrt(0.4540) = 1.4842 +32'h3e0b92e9,32'h4029e274,32'h4030d193, 32'h4024af1d,32'h403604eb, 32'h401c0437,32'h403eafd1,// invsqrt(0.1363) = 2.7086 +32'h3f56a5b6,32'h3f88fdcc,32'h3f8e9538, 32'h3f84cc3c,32'h3f92c6c8, 32'h3f7b9dea,32'h3f99c40f,// invsqrt(0.8385) = 1.0921 +32'h3f21faa7,32'h3f9db2b8,32'h3fa42281, 32'h3f98dee2,32'h3fa8f658, 32'h3f90d327,32'h3fb10213,// invsqrt(0.6327) = 1.2572 +32'h3ebdc422,32'h3fce0b78,32'h3fd6746e, 32'h3fc7bcc0,32'h3fdcc326, 32'h3fbd398e,32'h3fe74658,// invsqrt(0.3706) = 1.6426 +32'h3ffc97b7,32'h3f32976a,32'h3f39e183, 32'h3f2d1fd6,32'h3f3f5916, 32'h3f240337,32'h3f4875b5,// invsqrt(1.9734) = 0.7119 +32'h403e1ad2,32'h3f1190dc,32'h3f1781e0, 32'h3f0d1c19,32'h3f1bf6a3, 32'h3f05aed5,32'h3f2363e7,// invsqrt(2.9704) = 0.5802 +32'h403116cc,32'h3f16d208,32'h3f1cf9f3, 32'h3f123417,32'h3f2197e3, 32'h3f0a8230,32'h3f2949ca,// invsqrt(2.7670) = 0.6012 +32'h3ec8af7f,32'h3fc85c65,32'h3fd089f6, 32'h3fc23a38,32'h3fd6ac22, 32'h3fb80142,32'h3fe0e518,// invsqrt(0.3920) = 1.5973 +32'h3f4099b0,32'h3f909ea6,32'h3f9685c6, 32'h3f8c314d,32'h3f9af31f, 32'h3f84d064,32'h3fa25408,// invsqrt(0.7523) = 1.1529 +32'h407ae1f4,32'h3efd6cfd,32'h3f03e284, 32'h3ef5aaf5,32'h3f07c387, 32'h3ee8bce8,32'h3f0e3a8e,// invsqrt(3.9200) = 0.5051 +32'h3f0b80b4,32'h3fa9ed8a,32'h3fb0dd1c, 32'h3fa4b9dc,32'h3fb610ca, 32'h3f9c0e64,32'h3fbebc42,// invsqrt(0.5449) = 1.3547 +32'h3e5ad5cc,32'h4007ac9d,32'h400d3645, 32'h4003855f,32'h40115d83, 32'h3ff93298,32'h40184996,// invsqrt(0.2137) = 2.1632 +32'h3fc66f9e,32'h3f497e4e,32'h3f51b7b5, 32'h3f435342,32'h3f57e2c2, 32'h3f390b82,32'h3f622a82,// invsqrt(1.5503) = 0.8031 +32'h3ef923b6,32'h3fb3d32a,32'h3fbb2a26, 32'h3fae51ec,32'h3fc0ab64, 32'h3fa52531,32'h3fc9d81f,// invsqrt(0.4866) = 1.4336 +32'h3f17dfa3,32'h3fa2dc35,32'h3fa981ee, 32'h3f9ddfea,32'h3fae7e38, 32'h3f9590c2,32'h3fb6cd60,// invsqrt(0.5933) = 1.2983 +32'h3e25d4a0,32'h401bdb28,32'h402237b1, 32'h401715c0,32'h4026fd18, 32'h400f2214,32'h402ef0c4,// invsqrt(0.1619) = 2.4850 +32'h3fe19417,32'h3f3cfb96,32'h3f44b244, 32'h3f373294,32'h3f4a7b46, 32'h3f2d8e3c,32'h3f541f9e,// invsqrt(1.7623) = 0.7533 +32'h3fb65c33,32'h3f522fe6,32'h3f5ac423, 32'h3f4bc0b7,32'h3f613351, 32'h3f41076a,32'h3f6bec9e,// invsqrt(1.4247) = 0.8378 +32'h3f3c1698,32'h3f925816,32'h3f98513c, 32'h3f8ddd3a,32'h3f9ccc18, 32'h3f8665cb,32'h3fa44387,// invsqrt(0.7347) = 1.1666 +32'h3f178006,32'h3fa30f91,32'h3fa9b763, 32'h3f9e11b4,32'h3faeb540, 32'h3f95bfee,32'h3fb70706,// invsqrt(0.5918) = 1.2999 +32'h3ec2e2df,32'h3fcb51f7,32'h3fd39e74, 32'h3fc51899,32'h3fd9d7d1, 32'h3fbab8fc,32'h3fe4376e,// invsqrt(0.3806) = 1.6209 +32'h3f59969b,32'h3f880ffc,32'h3f8d9db2, 32'h3f83e5b3,32'h3f91c7fb, 32'h3f79e91d,32'h3f98b920,// invsqrt(0.8500) = 1.0847 +32'h3efd60be,32'h3fb25083,32'h3fb997b7, 32'h3facdb1c,32'h3fbf0d1e, 32'h3fa3c21a,32'h3fc82620,// invsqrt(0.4949) = 1.4215 +32'h3f619547,32'h3f85a12f,32'h3f8b157a, 32'h3f8189f6,32'h3f8f2cb2, 32'h3f757131,32'h3f95fe10,// invsqrt(0.8812) = 1.0653 +32'h3f310a1d,32'h3f96d76f,32'h3f9cff93, 32'h3f923954,32'h3fa19dae, 32'h3f8a8727,32'h3fa94fdb,// invsqrt(0.6916) = 1.2025 +32'h3f9c38fe,32'h3f63172e,32'h3f6c5c0c, 32'h3f5c2388,32'h3f734fb2, 32'h3f508d74,32'h3f7ee5c6,// invsqrt(1.2205) = 0.9052 +32'h412fa836,32'h3e976f16,32'h3e9d9d6b, 32'h3e92cc57,32'h3ea2402b, 32'h3e8b126e,32'h3ea9fa14,// invsqrt(10.9786) = 0.3018 +32'h3f746505,32'h3f806241,32'h3f859fbb, 32'h3f78e849,32'h3f898dd8, 32'h3f6bce96,32'h3f901ab1,// invsqrt(0.9547) = 1.0235 +32'h3f91d5a6,32'h3f6b0a1a,32'h3f74a207, 32'h3f63d82a,32'h3f7bd3f8, 32'h3f57da42,32'h3f83e8f0,// invsqrt(1.1393) = 0.9369 +32'h40107b48,32'h3f26f970,32'h3f2dca26, 32'h3f21dce7,32'h3f32e6af, 32'h3f195804,32'h3f3b6b93,// invsqrt(2.2575) = 0.6656 +32'h3d7849a1,32'h407ebf25,32'h4084927e, 32'h4076f2c2,32'h408878af, 32'h4069f375,32'h408ef856,// invsqrt(0.0606) = 4.0616 +32'h3f8f97ce,32'h3f6cddee,32'h3f7688f3, 32'h3f659dab,32'h3f7dc937, 32'h3f5987e6,32'h3f84ef7e,// invsqrt(1.1218) = 0.9441 +32'h3c003295,32'h4131431f,32'h41387f54, 32'h412bd5f6,32'h413dec7c, 32'h4122cab3,32'h4146f7bf,// invsqrt(0.0078) = 11.3050 +32'h3ec1eceb,32'h3fcbd2bd,32'h3fd4247b, 32'h3fc5956e,32'h3fda61ca, 32'h3fbb2f40,32'h3fe4c7f8,// invsqrt(0.3788) = 1.6249 +32'h3fdd3f4a,32'h3f3ed2d8,32'h3f469cc2, 32'h3f38fb69,32'h3f4c7431, 32'h3f2f3f05,32'h3f563095,// invsqrt(1.7285) = 0.7606 +32'h3f8fb285,32'h3f6cc7e9,32'h3f767207, 32'h3f658852,32'h3f7db19e, 32'h3f5973ac,32'h3f84e322,// invsqrt(1.1226) = 0.9438 +32'h40b20e59,32'h3ed4b65b,32'h3edd64fb, 32'h3ece3362,32'h3ee3e7f4, 32'h3ec3591a,32'h3eeec23c,// invsqrt(5.5643) = 0.4239 +32'h3fbe8829,32'h3f4da15e,32'h3f5605ff, 32'h3f4755e6,32'h3f5c5178, 32'h3f3cd81e,32'h3f66cf41,// invsqrt(1.4885) = 0.8196 +32'h3f965716,32'h3f677d9d,32'h3f70f074, 32'h3f60677b,32'h3f780695, 32'h3f5497ee,32'h3f81eb11,// invsqrt(1.1745) = 0.9227 +32'h3d1475dd,32'h40a4b8bf,32'h40ab71eb, 32'h409fadde,32'h40b07ccc, 32'h40974666,32'h40b8e444,// invsqrt(0.0362) = 5.2526 +32'h43d8cccd,32'h3d40c557,32'h3d48a399, 32'h3d3adea5,32'h3d4e8a4b, 32'h3d3108d2,32'h3d58601e,// invsqrt(433.6000) = 0.0480 +32'h3e03e523,32'h402ec288,32'h4035e498, 32'h402968fc,32'h403b3e24, 32'h40207e68,32'h404428b8,// invsqrt(0.1288) = 2.7864 +32'h4018b6ed,32'h3f226940,32'h3f290a48, 32'h3f1d707a,32'h3f2e030e, 32'h3f152730,32'h3f364c58,// invsqrt(2.3862) = 0.6474 +32'h3f033786,32'h3faf35ff,32'h3fb65cc5, 32'h3fa9d8ea,32'h3fbbb9da, 32'h3fa0e872,32'h3fc4aa52,// invsqrt(0.5126) = 1.3968 +32'h405aef46,32'h3f07a4b8,32'h3f0d2e0e, 32'h3f037db8,32'h3f11550e, 32'h3ef92419,32'h3f1840ba,// invsqrt(3.4209) = 0.5407 +32'h3f8b4950,32'h3f70803b,32'h3f7a5139, 32'h3f69237e,32'h3f80d6fb, 32'h3f5cde41,32'h3f86f99a,// invsqrt(1.0882) = 0.9586 +32'h3e87c61a,32'h3ff3978c,32'h3ffd88d6, 32'h3fec2295,32'h40027ee7, 32'h3fdfb4f9,32'h4008b5b5,// invsqrt(0.2652) = 1.9419 +32'h3db5e759,32'h4052735d,32'h405b0a5c, 32'h404c021f,32'h40617b9b, 32'h40414560,32'h406c385a,// invsqrt(0.0888) = 3.3554 +32'h3f15ad13,32'h3fa40d26,32'h3faabf52, 32'h3f9f0786,32'h3fafc4f2, 32'h3f96a8d0,32'h3fb823a8,// invsqrt(0.5847) = 1.3078 +32'h3f5be39e,32'h3f875946,32'h3f8cdf87, 32'h3f833494,32'h3f910438, 32'h3f789984,32'h3f97ec0a,// invsqrt(0.8589) = 1.0790 +32'h3f6a91bf,32'h3f830b84,32'h3f8864ce, 32'h3f7e111b,32'h3f8c67c5, 32'h3f70b1e3,32'h3f931760,// invsqrt(0.9163) = 1.0447 +32'h3f8728a0,32'h3f74254b,32'h3f7e1c5d, 32'h3f6cabfd,32'h3f82cad6, 32'h3f603725,32'h3f890541,// invsqrt(1.0559) = 0.9732 +32'h404752a7,32'h3f0e28f7,32'h3f13f665, 32'h3f09cee5,32'h3f185077, 32'h3f028e1d,32'h3f1f913f,// invsqrt(3.1144) = 0.5666 +32'h3f344593,32'h3f957bae,32'h3f9b95a0, 32'h3f90e838,32'h3fa02916, 32'h3f8947ca,32'h3fa7c984,// invsqrt(0.7042) = 1.1917 +32'h4012a2dd,32'h3f25be3c,32'h3f2c8214, 32'h3f20ab59,32'h3f3194f7, 32'h3f18368a,32'h3f3a09c6,// invsqrt(2.2912) = 0.6606 +32'h3fc34d1c,32'h3f4b1aa2,32'h3f5364dd, 32'h3f44e2f6,32'h3f599c8a, 32'h3f3a862d,32'h3f63f953,// invsqrt(1.5258) = 0.8096 +32'h3fac8719,32'h3f5817ec,32'h3f60e9e0, 32'h3f517a74,32'h3f678758, 32'h3f467402,32'h3f728dca,// invsqrt(1.3479) = 0.8613 +32'h3eb4dc2d,32'h3fd30e95,32'h3fdbabe9, 32'h3fcc9895,32'h3fe221e9, 32'h3fc1d3ec,32'h3fece692,// invsqrt(0.3532) = 1.6825 +32'h3f4858d3,32'h3f8dcbd5,32'h3f939575, 32'h3f89749d,32'h3f97ecad, 32'h3f823894,32'h3f9f28b6,// invsqrt(0.7826) = 1.1304 +32'h3f3bc032,32'h3f9279be,32'h3f987444, 32'h3f8dfddb,32'h3f9cf027, 32'h3f8684b4,32'h3fa4694e,// invsqrt(0.7334) = 1.1677 +32'h3f273624,32'h3f9b360f,32'h3fa18bdb, 32'h3f9675b6,32'h3fa64c34, 32'h3f8e8a76,32'h3fae3774,// invsqrt(0.6532) = 1.2373 +32'h3ddc072c,32'h403f5a01,32'h4047296f, 32'h40397e6f,32'h404d0501, 32'h402fbb26,32'h4056c84a,// invsqrt(0.1074) = 3.0509 +32'h3f07b742,32'h3fac4847,32'h3fb35073, 32'h3fa70225,32'h3fb89695, 32'h3f9e37ed,32'h3fc160cd,// invsqrt(0.5301) = 1.3734 +32'h3fc49351,32'h3f4a71d7,32'h3f52b52f, 32'h3f443f56,32'h3f58e7b0, 32'h3f39eb29,32'h3f633bdd,// invsqrt(1.5357) = 0.8069 +32'h3da896d6,32'h405a9a5f,32'h4063868d, 32'h4053e93d,32'h406a37af, 32'h4048c204,32'h40755ee8,// invsqrt(0.0823) = 3.4854 +32'h4001c4a8,32'h3f302fab,32'h3f3760a3, 32'h3f2acaf2,32'h3f3cc55c, 32'h3f21cdbd,32'h3f45c291,// invsqrt(2.0276) = 0.7023 +32'h40bd79f1,32'h3ece33cb,32'h3ed69e65, 32'h3ec7e3d7,32'h3edcee59, 32'h3ebd5e96,32'h3ee7739a,// invsqrt(5.9211) = 0.4110 +32'h3f831b8e,32'h3f77e38d,32'h3f8100de, 32'h3f704cea,32'h3f84cc30, 32'h3f63a72e,32'h3f8b1f0e,// invsqrt(1.0243) = 0.9881 +32'h3f505986,32'h3f8b0bdd,32'h3f90b8c2, 32'h3f86ca32,32'h3f94fa6e, 32'h3f7f642a,32'h3f9c128b,// invsqrt(0.8139) = 1.1085 +32'h3e314558,32'h4016be39,32'h401ce556, 32'h401220e4,32'h402182ac, 32'h400a7001,32'h4029338f,// invsqrt(0.1731) = 2.4034 +32'h3fd75690,32'h3f416c91,32'h3f4951a7, 32'h3f3b80c1,32'h3f4f3d77, 32'h3f31a266,32'h3f591bd2,// invsqrt(1.6823) = 0.7710 +32'h4080154e,32'h3efacc69,32'h3f028480, 32'h3ef31ef9,32'h3f065b39, 32'h3ee6533c,32'h3f0cc117,// invsqrt(4.0026) = 0.4998 +32'h4015ae34,32'h3f240c88,32'h3f2abeac, 32'h3f1f06ec,32'h3f2fc448, 32'h3f16a83e,32'h3f3822f6,// invsqrt(2.3388) = 0.6539 +32'h40c2e94a,32'h3ecb4e9e,32'h3ed39af8, 32'h3ec5155a,32'h3ed9d43c, 32'h3ebab5ea,32'h3ee433ac,// invsqrt(6.0910) = 0.4052 +32'h3f596cd6,32'h3f881d0d,32'h3f8dab4d, 32'h3f83f25e,32'h3f91d5fc, 32'h3f7a011e,32'h3f98c7cb,// invsqrt(0.8493) = 1.0851 +32'h40877bdf,32'h3ef3da3e,32'h3efdce40, 32'h3eec633c,32'h3f02a2a1, 32'h3edff239,32'h3f08db23,// invsqrt(4.2339) = 0.4860 +32'h3fb65cb6,32'h3f522f9a,32'h3f5ac3d4, 32'h3f4bc06e,32'h3f613300, 32'h3f410725,32'h3f6bec49,// invsqrt(1.4247) = 0.8378 +32'h3f9fd7e4,32'h3f6080fc,32'h3f69aad2, 32'h3f59a19b,32'h3f708a33, 32'h3f4e2d50,32'h3f7bfe7e,// invsqrt(1.2488) = 0.8949 +32'h4033a7b2,32'h3f15bd4e,32'h3f1bd9ee, 32'h3f1127d6,32'h3f206f66, 32'h3f09840e,32'h3f28132e,// invsqrt(2.8071) = 0.5969 +32'h3fc6f1f4,32'h3f493c43,32'h3f5172f7, 32'h3f43133c,32'h3f579bfe, 32'h3f38ceda,32'h3f61e060,// invsqrt(1.5543) = 0.8021 +32'h40a4f8b0,32'h3edcfca9,32'h3ee601c0, 32'h3ed638d9,32'h3eecc591, 32'h3ecaf27c,32'h3ef80bee,// invsqrt(5.1554) = 0.4404 +32'h3f7758b5,32'h3f7f3b18,32'h3f84d2ff, 32'h3f776aea,32'h3f88bb15, 32'h3f6a6549,32'h3f8f3de6,// invsqrt(0.9662) = 1.0173 +32'h3f02eddb,32'h3faf6742,32'h3fb6900c, 32'h3faa08ab,32'h3fbbeea3, 32'h3fa115b0,32'h3fc4e19e,// invsqrt(0.5114) = 1.3983 +32'h4023a1d0,32'h3f1ce64b,32'h3f234dbc, 32'h3f1818b7,32'h3f281b51, 32'h3f10176a,32'h3f301c9e,// invsqrt(2.5568) = 0.6254 +32'h3e7fa53e,32'h3ffb0dcc,32'h4002a687, 32'h3ff35e5c,32'h40067e40, 32'h3fe68f49,32'h400ce5c9,// invsqrt(0.2497) = 2.0014 +32'h3f99b520,32'h3f64f0e2,32'h3f6e4914, 32'h3f5deebb,32'h3f754b3b, 32'h3f52407c,32'h3f807cbd,// invsqrt(1.2008) = 0.9126 +32'h415acc6e,32'h3e87af84,32'h3e8d394b, 32'h3e838830,32'h3e9160a0, 32'h3e7937ee,32'h3e984cd9,// invsqrt(13.6749) = 0.2704 +32'h4088b699,32'h3ef2c0ec,32'h3efca974, 32'h3eeb5287,32'h3f020bec, 32'h3edeefde,32'h3f083d41,// invsqrt(4.2723) = 0.4838 +32'h3fc916b2,32'h3f4828f4,32'h3f50546c, 32'h3f42085a,32'h3f567506, 32'h3f37d205,32'h3f60ab5b,// invsqrt(1.5710) = 0.7978 +32'h3fc9d371,32'h3f47cb46,32'h3f4ff2eb, 32'h3f41ad8b,32'h3f5610a7, 32'h3f377bfd,32'h3f604235,// invsqrt(1.5768) = 0.7964 +32'h3fca19a7,32'h3f47a88f,32'h3f4fcec9, 32'h3f418be3,32'h3f55eb75, 32'h3f375c1b,32'h3f601b3d,// invsqrt(1.5789) = 0.7958 +32'h3f38e313,32'h3f939b09,32'h3f99a15c, 32'h3f8f1649,32'h3f9e261b, 32'h3f878e60,32'h3fa5ae04,// invsqrt(0.7222) = 1.1767 +32'h400ab419,32'h3f2a6ab1,32'h3f315f5f, 32'h3f25332e,32'h3f3696e2, 32'h3f1c8154,32'h3f3f48bc,// invsqrt(2.1672) = 0.6793 +32'h40a7c645,32'h3edb2215,32'h3ee413cd, 32'h3ed46ccb,32'h3eeac917, 32'h3ec93ea6,32'h3ef5f73c,// invsqrt(5.2430) = 0.4367 +32'h3f06d325,32'h3facd9c8,32'h3fb3e7e6, 32'h3fa78f33,32'h3fb9327b, 32'h3f9ebd8e,32'h3fc20420,// invsqrt(0.5267) = 1.3780 +32'h3fa6d411,32'h3f5bc0ee,32'h3f64b922, 32'h3f5506c8,32'h3f6b7348, 32'h3f49d087,32'h3f76a989,// invsqrt(1.3033) = 0.8759 +32'h3fb0b39c,32'h3f5586a9,32'h3f5e3dca, 32'h3f4efd50,32'h3f64c722, 32'h3f441866,32'h3f6fac0c,// invsqrt(1.3805) = 0.8511 +32'h3f45883c,32'h3f8ecd8d,32'h3f94a1b2, 32'h3f8a6e71,32'h3f9900cd, 32'h3f832542,32'h3fa049fc,// invsqrt(0.7716) = 1.1384 +32'h3f92add6,32'h3f6a5ca5,32'h3f73ed7c, 32'h3f633002,32'h3f7b1a1e, 32'h3f573af5,32'h3f838796,// invsqrt(1.1459) = 0.9342 +32'h3ea64784,32'h3fdc1dba,32'h3fe519b8, 32'h3fd560bd,32'h3febd6b5, 32'h3fca25c0,32'h3ff711b2,// invsqrt(0.3248) = 1.7548 +32'h3ec761d1,32'h3fc903c7,32'h3fd1382d, 32'h3fc2dc7a,32'h3fd75f7a, 32'h3fb89afb,32'h3fe1a0f9,// invsqrt(0.3894) = 1.6025 +32'h3fa76c4d,32'h3f5b5cee,32'h3f64510d, 32'h3f54a5d8,32'h3f6b0824, 32'h3f4974b1,32'h3f76394b,// invsqrt(1.3080) = 0.8744 +32'h3f72feee,32'h3f80c0b6,32'h3f86020c, 32'h3f799f6c,32'h3f89f30c, 32'h3f6c7c15,32'h3f9084b8,// invsqrt(0.9492) = 1.0264 +32'h3f5e9e72,32'h3f86842e,32'h3f8c01bc, 32'h3f826602,32'h3f901fe8, 32'h3f77121f,32'h3f96fcda,// invsqrt(0.8696) = 1.0724 +32'h3f81fd43,32'h3f78f3f2,32'h3f818ea0, 32'h3f7154f8,32'h3f855e1d, 32'h3f64a156,32'h3f8bb7ee,// invsqrt(1.0155) = 0.9923 +32'h3ebabe62,32'h3fcfb49e,32'h3fd82eee, 32'h3fc958e2,32'h3fde8aaa, 32'h3fbebfff,32'h3fe9238d,// invsqrt(0.3647) = 1.6558 +32'h3f93586a,32'h3f69d4d5,32'h3f736021, 32'h3f62ac5b,32'h3f7a889b, 32'h3f56be3c,32'h3f833b5d,// invsqrt(1.1511) = 0.9320 +32'h400d4f0d,32'h3f28d6a7,32'h3f2fbad7, 32'h3f23ab82,32'h3f34e5fc, 32'h3f1b0e46,32'h3f3d8339,// invsqrt(2.2079) = 0.6730 +32'h3f0f733f,32'h3fa792d4,32'h3fae69ce, 32'h3fa2719a,32'h3fb38b08, 32'h3f99e4e2,32'h3fbc17c0,// invsqrt(0.5604) = 1.3359 +32'h3f6d2f14,32'h3f82521a,32'h3f87a3d2, 32'h3f7ca9a0,32'h3f8ba11c, 32'h3f6f5d54,32'h3f924742,// invsqrt(0.9265) = 1.0389 +32'h3f3c293c,32'h3f9250d6,32'h3f9849b0, 32'h3f8dd633,32'h3f9cc453, 32'h3f865f23,32'h3fa43b63,// invsqrt(0.7350) = 1.1664 +32'h3d1cd35c,32'h40a044ad,32'h40a6cf50, 32'h409b5cb1,32'h40abb74b, 32'h40932f65,32'h40b3e497,// invsqrt(0.0383) = 5.1106 +32'h3f85d369,32'h3f755bc5,32'h3f7f5f84, 32'h3f6dd8f6,32'h3f83712a, 32'h3f615448,32'h3f89b381,// invsqrt(1.0455) = 0.9780 +32'h3f3d1417,32'h3f91f5db,32'h3f97eaff, 32'h3f8d7e01,32'h3f9c62d9, 32'h3f860b95,32'h3fa3d545,// invsqrt(0.7386) = 1.1636 +32'h4002f4d2,32'h3f2f6298,32'h3f368b30, 32'h3f2a0425,32'h3f3be9a3, 32'h3f211167,32'h3f44dc61,// invsqrt(2.0462) = 0.6991 +32'h3f43baa4,32'h3f8f758d,32'h3f95508e, 32'h3f8b114d,32'h3f99b4cf, 32'h3f83bf8d,32'h3fa1068f,// invsqrt(0.7646) = 1.1436 +32'h3fb4bf60,32'h3f531f65,32'h3f5bbd69, 32'h3f4ca8e2,32'h3f6233ec, 32'h3f41e35d,32'h3f6cf971,// invsqrt(1.4121) = 0.8415 +32'h3f93e214,32'h3f6967e5,32'h3f72eec0, 32'h3f6242c2,32'h3f7a13e4, 32'h3f565a31,32'h3f82fe3a,// invsqrt(1.1553) = 0.9303 +32'h412a4cfe,32'h3e99cc05,32'h3ea0130b, 32'h3e9516c1,32'h3ea4c84f, 32'h3e8d3dfa,32'h3eaca116,// invsqrt(10.6438) = 0.3065 +32'h403f6c84,32'h3f11103d,32'h3f16fc01, 32'h3f0c9f6a,32'h3f1b6cd4, 32'h3f0538b6,32'h3f22d388,// invsqrt(2.9910) = 0.5782 +32'h42e808f1,32'h3dba55c0,32'h3dc1f0c2, 32'h3db4a17e,32'h3dc7a504, 32'h3dab1fba,32'h3dd126c8,// invsqrt(116.0175) = 0.0928 +32'h3e704c1e,32'h40017944,32'h4006c222, 32'h3ffb053a,32'h400ab8c9, 32'h3fedcf0f,32'h401153de,// invsqrt(0.2347) = 2.0643 +32'h402aa32d,32'h3f19a52a,32'h3f1fea99, 32'h3f14f116,32'h3f249eac, 32'h3f0d1a4a,32'h3f2c7578,// invsqrt(2.6662) = 0.6124 +32'h3e077c61,32'h402c6db2,32'h40337766, 32'h4027266b,32'h4038bead, 32'h401e5a4b,32'h40418acd,// invsqrt(0.1323) = 2.7492 +32'h3f248821,32'h3f9c7854,32'h3fa2db48, 32'h3f97ae1d,32'h3fa7a57f, 32'h3f8fb26d,32'h3fafa12f,// invsqrt(0.6427) = 1.2474 +32'h419b1fe6,32'h3e63e491,32'h3e6d31d1, 32'h3e5ceaa2,32'h3e742bc0, 32'h3e514a12,32'h3e7fcc50,// invsqrt(19.3906) = 0.2271 +32'h40350f61,32'h3f152849,32'h3f1b3ed3, 32'h3f109760,32'h3f1fcfbc, 32'h3f08fb33,32'h3f276be9,// invsqrt(2.8291) = 0.5945 +32'h3fc30d12,32'h3f4b3bf7,32'h3f53878f, 32'h3f450346,32'h3f59c040, 32'h3f3aa4c9,32'h3f641ebd,// invsqrt(1.5238) = 0.8101 +32'h3fba4ce0,32'h3f4ff3db,32'h3f5870bf, 32'h3f499630,32'h3f5ece6a, 32'h3f3efa12,32'h3f696a88,// invsqrt(1.4555) = 0.8289 +32'h3fe727ae,32'h3f3ab075,32'h3f424f2b, 32'h3f34f96c,32'h3f480634, 32'h3f2b7308,32'h3f518c98,// invsqrt(1.8059) = 0.7441 +32'h3f61ed4e,32'h3f858724,32'h3f8afa5e, 32'h3f8170b7,32'h3f8f10cb, 32'h3f75415b,32'h3f95e0d4,// invsqrt(0.8825) = 1.0645 +32'h3ecb21db,32'h3fc7268d,32'h3fcf4779, 32'h3fc10ddc,32'h3fd5602a, 32'h3fb6e4b6,32'h3fdf8950,// invsqrt(0.3967) = 1.5876 +32'h3e4da4e4,32'h400bf543,32'h4011abaf, 32'h4007ac73,32'h4015f47f, 32'h4000886d,32'h401d1885,// invsqrt(0.2008) = 2.2315 +32'h3e8ec939,32'h3fed890a,32'h3ff73b0a, 32'h3fe6438a,32'h3ffe808a, 32'h3fda2509,32'h40054f86,// invsqrt(0.2789) = 1.8936 +32'h401b0029,32'h3f213581,32'h3f27c9fa, 32'h3f1c4628,32'h3f2cb954, 32'h3f140c91,32'h3f34f2eb,// invsqrt(2.4219) = 0.6426 +32'h4055542a,32'h3f096a03,32'h3f0f05d9, 32'h3f053522,32'h3f133aba, 32'h3efc64ac,32'h3f1a3d86,// invsqrt(3.3333) = 0.5477 +32'h3d0eed65,32'h40a7e139,32'h40aebb66, 32'h40a2bd99,32'h40b3df07, 32'h409a2ce2,32'h40bc6fbe,// invsqrt(0.0349) = 5.3533 +32'h41926da4,32'h3e6a8fff,32'h3e7422ef, 32'h3e6361cb,32'h3e7b5123, 32'h3e576a1e,32'h3e83a468,// invsqrt(18.3035) = 0.2337 +32'h41a7a99d,32'h3e5b34cf,32'h3e64274a, 32'h3e547ef2,32'h3e6add26, 32'h3e494fd8,32'h3e760c40,// invsqrt(20.9578) = 0.2184 +32'h4007beb3,32'h3f2c438e,32'h3f334b8a, 32'h3f26fd92,32'h3f389186, 32'h3f1e3398,32'h3f415b80,// invsqrt(2.1210) = 0.6866 +32'h3f7e9934,32'h3f7b91d1,32'h3f82eb3b, 32'h3f73de55,32'h3f86c4f9, 32'h3f670887,32'h3f8d2fe1,// invsqrt(0.9945) = 1.0027 +32'h40182304,32'h3f22b820,32'h3f295c60, 32'h3f1dbcf0,32'h3f2e5790, 32'h3f156fa0,32'h3f36a4e0,// invsqrt(2.3771) = 0.6486 +32'h3fdc3e81,32'h3f3f41f6,32'h3f471068, 32'h3f396720,32'h3f4ceb3e, 32'h3f2fa511,32'h3f56ad4d,// invsqrt(1.7207) = 0.7623 +32'h403f5111,32'h3f111aa5,32'h3f1706d5, 32'h3f0ca980,32'h3f1b77fa, 32'h3f054244,32'h3f22df36,// invsqrt(2.9893) = 0.5784 +32'h40c8a33f,32'h3ec86282,32'h3ed09054, 32'h3ec24026,32'h3ed6b2b0, 32'h3eb806e0,32'h3ee0ebf6,// invsqrt(6.2699) = 0.3994 +32'h3f250db0,32'h3f9c38f9,32'h3fa29956, 32'h3f9770b2,32'h3fa7619c, 32'h3f8f783d,32'h3faf5a11,// invsqrt(0.6447) = 1.2454 +32'h40996d6e,32'h3ee52659,32'h3eee80bb, 32'h3ede2290,32'h3ef58484, 32'h3ed27196,32'h3f009abf,// invsqrt(4.7946) = 0.4567 +32'h3f943c22,32'h3f6920f5,32'h3f72a4ea, 32'h3f61fdfd,32'h3f79c7e1, 32'h3f56190a,32'h3f82d66a,// invsqrt(1.1581) = 0.9292 +32'h3f65fc1b,32'h3f84583d,32'h3f89bf1b, 32'h3f804b17,32'h3f8dcc41, 32'h3f731502,32'h3f948cd7,// invsqrt(0.8984) = 1.0550 +32'h40671e2a,32'h3f040516,32'h3f096890, 32'h3efff4f7,32'h3f0d732a, 32'h3ef27c49,32'h3f142f82,// invsqrt(3.6112) = 0.5262 +32'h3f8cdc45,32'h3f6f2744,32'h3f78ea2c, 32'h3f67d515,32'h3f801e2d, 32'h3f5ba172,32'h3f8637ff,// invsqrt(1.1005) = 0.9533 +32'h3f272bb5,32'h3f9b3ae7,32'h3fa190e6, 32'h3f967a68,32'h3fa65166, 32'h3f8e8eea,32'h3fae3ce4,// invsqrt(0.6530) = 1.2375 +32'h3fbf8e45,32'h3f4d147f,32'h3f55735f, 32'h3f46cd56,32'h3f5bba88, 32'h3f3c56be,32'h3f663121,// invsqrt(1.4965) = 0.8174 +32'h3f3fc579,32'h3f90ee94,32'h3f96d8f8, 32'h3f8c7ec9,32'h3f9b48c3, 32'h3f8519cc,32'h3fa2adc0,// invsqrt(0.7491) = 1.1554 +32'h3e6fff04,32'h40018e0f,32'h4006d7c6, 32'h3ffb2d89,32'h400acf0f, 32'h3fedf53f,32'h40116b35,// invsqrt(0.2344) = 2.0656 +32'h3f91a8c0,32'h3f6b2e51,32'h3f74c7b7, 32'h3f63fb44,32'h3f7bfac4, 32'h3f57fb84,32'h3f83fd42,// invsqrt(1.1380) = 0.9374 +32'h3ebc4187,32'h3fcede9d,32'h3fd75031, 32'h3fc8896f,32'h3fdda55f, 32'h3fbdfb76,32'h3fe83358,// invsqrt(0.3677) = 1.6492 +32'h3ff4c18d,32'h3f356d91,32'h3f3cd54d, 32'h3f2fdfc3,32'h3f42631b, 32'h3f269e17,32'h3f4ba4c7,// invsqrt(1.9122) = 0.7232 +32'h3e9750cc,32'h3fe6be4b,32'h3ff02953, 32'h3fdfae05,32'h3ff73999, 32'h3fd3e83a,32'h40017fb2,// invsqrt(0.2955) = 1.8395 +32'h4002d83e,32'h3f2f75be,32'h3f369f1f, 32'h3f2a16b6,32'h3f3bfe28, 32'h3f2122fe,32'h3f44f1e1,// invsqrt(2.0444) = 0.6994 +32'h4045dc50,32'h3f0eaf32,32'h3f14821a, 32'h3f0a5104,32'h3f18e048, 32'h3f030962,32'h3f2027ea,// invsqrt(3.0916) = 0.5687 +32'h3e6fff22,32'h40018e07,32'h4006d7be, 32'h3ffb2d7a,32'h400acf07, 32'h3fedf530,32'h40116b2c,// invsqrt(0.2344) = 2.0656 +32'h3effe23a,32'h3fb17073,32'h3fb8ae83, 32'h3fac01e8,32'h3fbe1d0e, 32'h3fa2f455,32'h3fc72aa1,// invsqrt(0.4998) = 1.4145 +32'h3ed88289,32'h3fc0e664,32'h3fc8c600, 32'h3fbafeb0,32'h3fceadb4, 32'h3fb1272d,32'h3fd88537,// invsqrt(0.4229) = 1.5378 +32'h423a3560,32'h3e1314b6,32'h3e19158e, 32'h3e0e9414,32'h3e1d9630, 32'h3e071305,32'h3e25173f,// invsqrt(46.5521) = 0.1466 +32'h402d9fba,32'h3f185169,32'h3f1e88fa, 32'h3f13a7bb,32'h3f2332a7, 32'h3f0be246,32'h3f2af81c,// invsqrt(2.7129) = 0.6071 +32'h401b357b,32'h3f2119ce,32'h3f27ad25, 32'h3f1c2b4e,32'h3f2c9ba6, 32'h3f13f321,32'h3f34d3d3,// invsqrt(2.4251) = 0.6421 +32'h405fce79,32'h3f0628b1,32'h3f0ba283, 32'h3f020d52,32'h3f0fbde2, 32'h3ef66a15,32'h3f169629,// invsqrt(3.4970) = 0.5348 +32'h3f85e065,32'h3f754fdf,32'h3f7f5321, 32'h3f6dcd6d,32'h3f836aca, 32'h3f61495a,32'h3f89acd3,// invsqrt(1.0459) = 0.9778 +32'h3fae4fb0,32'h3f56fc2d,32'h3f5fc28d, 32'h3f506765,32'h3f665755, 32'h3f456f6d,32'h3f714f4d,// invsqrt(1.3618) = 0.8569 +32'h3f790697,32'h3f7e5e6c,32'h3f846028, 32'h3f769500,32'h3f8844de, 32'h3f699aa1,32'h3f8ec20d,// invsqrt(0.9728) = 1.0139 +32'h3fe431e4,32'h3f3be570,32'h3f4390c2, 32'h3f3624f1,32'h3f495141, 32'h3f2c8eca,32'h3f52e768,// invsqrt(1.7828) = 0.7489 +32'h3f6ba2e9,32'h3f82bf79,32'h3f8815a8, 32'h3f7d7dad,32'h3f8c164b, 32'h3f702638,32'h3f92c206,// invsqrt(0.9205) = 1.0423 +32'h3eae3d71,32'h3fd7076e,32'h3fdfce44, 32'h3fd0724e,32'h3fe66364, 32'h3fc579c3,32'h3ff15bef,// invsqrt(0.3403) = 1.7142 +32'h4005f501,32'h3f2d68de,32'h3f347cd3, 32'h3f2819e8,32'h3f39cbca, 32'h3f1f40f6,32'h3f42a4bc,// invsqrt(2.0931) = 0.6912 +32'h3f6fe583,32'h3f8194f2,32'h3f86def1, 32'h3f7b3ae4,32'h3f8ad670, 32'h3f6e01e5,32'h3f9172f0,// invsqrt(0.9371) = 1.0330 +32'h40ffed38,32'h3eb16ca4,32'h3eb8aa8b, 32'h3eabfe36,32'h3ebe18f8, 32'h3ea2f0d5,32'h3ec72659,// invsqrt(7.9977) = 0.3536 +32'h3f39867d,32'h3f9359f8,32'h3f995da4, 32'h3f8ed737,32'h3f9de065, 32'h3f8752a0,32'h3fa564fc,// invsqrt(0.7247) = 1.1747 +32'h3fd65c5a,32'h3f41dd53,32'h3f49c703, 32'h3f3bee0f,32'h3f4fb647, 32'h3f3209f4,32'h3f599a63,// invsqrt(1.6747) = 0.7727 +32'h3ef8a399,32'h3fb40178,32'h3fbb5a58, 32'h3fae7ed0,32'h3fc0dd00, 32'h3fa54fb7,32'h3fca0c19,// invsqrt(0.4856) = 1.4350 +32'h3f857156,32'h3f75b5de,32'h3f7fbd4b, 32'h3f6e304e,32'h3f83a16e, 32'h3f61a706,32'h3f89e612,// invsqrt(1.0425) = 0.9794 +32'h3ff8bac5,32'h3f33f915,32'h3f3b519e, 32'h3f2e76af,32'h3f40d405, 32'h3f254804,32'h3f4a02b0,// invsqrt(1.9432) = 0.7174 +32'h3efae4c3,32'h3fb331f5,32'h3fba825d, 32'h3fadb5a7,32'h3fbffeab, 32'h3fa49125,32'h3fc9232d,// invsqrt(0.4900) = 1.4285 +32'h3feee910,32'h3f37a259,32'h3f3f2123, 32'h3f320341,32'h3f44c03b, 32'h3f28a4c5,32'h3f4e1eb7,// invsqrt(1.8665) = 0.7320 +32'h3f2da321,32'h3f984feb,32'h3f9e876c, 32'h3f93a649,32'h3fa3310d, 32'h3f8be0e7,32'h3faaf66f,// invsqrt(0.6783) = 1.2142 +32'h3ea3cd16,32'h3fddc667,32'h3fe6d3b9, 32'h3fd6fc69,32'h3fed9db7, 32'h3fcbabc2,32'h3ff8ee5e,// invsqrt(0.3199) = 1.7680 +32'h3f553706,32'h3f897366,32'h3f8f0f9f, 32'h3f853e3c,32'h3f9344ca, 32'h3f7c75ec,32'h3f9a4810,// invsqrt(0.8329) = 1.0957 +32'h4069cefd,32'h3f03420d,32'h3f089d91, 32'h3efe7ad6,32'h3f0ca233, 32'h3ef1160e,32'h3f135497,// invsqrt(3.6533) = 0.5232 +32'h3fe983f1,32'h3f39be4c,32'h3f415320, 32'h3f340ead,32'h3f4702bf, 32'h3f2a94a4,32'h3f507cc8,// invsqrt(1.8243) = 0.7404 +32'h40e636d5,32'h3ebb1203,32'h3ec2b4b5, 32'h3eb557fe,32'h3ec86eba, 32'h3eabcca0,32'h3ed1fa18,// invsqrt(7.1942) = 0.3728 +32'h3fa80a61,32'h3f5af5a8,32'h3f63e590, 32'h3f5441bb,32'h3f6a997d, 32'h3f4915d9,32'h3f75c55f,// invsqrt(1.3128) = 0.8728 +32'h423e4d48,32'h3e117d8e,32'h3e176dc8, 32'h3e0d0962,32'h3e1be1f4, 32'h3e059d1a,32'h3e234e3c,// invsqrt(47.5755) = 0.1450 +32'h3f59a343,32'h3f880c07,32'h3f8d9995, 32'h3f83e1dd,32'h3f91c3bf, 32'h3f79e1d9,32'h3f98b4af,// invsqrt(0.8501) = 1.0846 +32'h3f9db116,32'h3f6207be,32'h3f6b4187, 32'h3f5b1c67,32'h3f722cdd, 32'h3f4f942b,32'h3f7db519,// invsqrt(1.2320) = 0.9009 +32'h3c858bd7,32'h40f59d7b,32'h40ffa3e9, 32'h40ee18a9,32'h4103945d, 32'h40e190a0,32'h4109d862,// invsqrt(0.0163) = 7.8321 +32'h412cebab,32'h3e98a0a1,32'h3e9edb6f, 32'h3e93f488,32'h3ea38788, 32'h3e8c2b07,32'h3eab5109,// invsqrt(10.8075) = 0.3042 +32'h3f069b6b,32'h3facfd8c,32'h3fb40d1e, 32'h3fa7b1de,32'h3fb958cc, 32'h3f9ede66,32'h3fc22c44,// invsqrt(0.5258) = 1.3791 +32'h3f598981,32'h3f881415,32'h3f8da1f7, 32'h3f83e9ac,32'h3f91cc60, 32'h3f79f0a4,32'h3f98bdba,// invsqrt(0.8498) = 1.0848 +32'h40280103,32'h3f1ad83d,32'h3f212a35, 32'h3f161ac3,32'h3f25e7af, 32'h3f0e344d,32'h3f2dce25,// invsqrt(2.6251) = 0.6172 +32'h417e3927,32'h3e7bc152,32'h3e8303f4, 32'h3e740c63,32'h3e86de6d, 32'h3e673428,32'h3e8d4a8a,// invsqrt(15.8890) = 0.2509 +32'h420980c9,32'h3e2b28b7,32'h3e322527, 32'h3e25eb63,32'h3e37627b, 32'h3e1d2fd7,32'h3e401e07,// invsqrt(34.3758) = 0.1706 +32'h3fa0fa3a,32'h3f5fb62c,32'h3f68d7ba, 32'h3f58dd00,32'h3f6fb0e6, 32'h3f4d730e,32'h3f7b1ad8,// invsqrt(1.2576) = 0.8917 +32'h3e85eeb1,32'h3ff542c7,32'h3fff4581, 32'h3fedc0bc,32'h400363c6, 32'h3fe13d54,32'h4009a57a,// invsqrt(0.2616) = 1.9552 +32'h3f086046,32'h3fabdd64,32'h3fb2e134, 32'h3fa69a88,32'h3fb82410, 32'h3f9dd5c4,32'h3fc0e8d4,// invsqrt(0.5327) = 1.3701 +32'h3f3f78be,32'h3f910b9c,32'h3f96f72f, 32'h3f8c9aed,32'h3f9b67dd, 32'h3f853474,32'h3fa2ce56,// invsqrt(0.7479) = 1.1563 +32'h3e85eecd,32'h3ff542ad,32'h3fff4565, 32'h3fedc0a2,32'h400363b8, 32'h3fe13d3b,32'h4009a56b,// invsqrt(0.2616) = 1.9552 +32'h3f4214d0,32'h3f901120,32'h3f95f27a, 32'h3f8ba81c,32'h3f9a5b7e, 32'h3f844e6c,32'h3fa1b52e,// invsqrt(0.7581) = 1.1485 +32'h3d11641a,32'h40a67389,32'h40ad3ec9, 32'h40a15b1a,32'h40b25738, 32'h4098dd0b,32'h40bad547,// invsqrt(0.0355) = 5.3078 +32'h40459348,32'h3f0ec98f,32'h3f149d8a, 32'h3f0a6a92,32'h3f18fc86, 32'h3f032198,32'h3f204580,// invsqrt(3.0871) = 0.5691 +32'h3f11522c,32'h3fa67dce,32'h3fad4978, 32'h3fa1650e,32'h3fb26238, 32'h3f98e679,32'h3fbae0cd,// invsqrt(0.5677) = 1.3273 +32'h42053e68,32'h3e2ddf88,32'h3e34f854, 32'h3e288cef,32'h3e3a4aed, 32'h3e1fadf0,32'h3e4329ec,// invsqrt(33.3109) = 0.1733 +32'h400e0c6c,32'h3f2865f6,32'h3f2f458e, 32'h3f233e45,32'h3f346d3f, 32'h3f1aa6c8,32'h3f3d04bc,// invsqrt(2.2195) = 0.6712 +32'h3e7ffb78,32'h3ffae380,32'h40029084, 32'h3ff3355a,32'h40066797, 32'h3fe66870,32'h400cce0c,// invsqrt(0.2500) = 2.0001 +32'h3f256f8b,32'h3f9c0abe,32'h3fa26938, 32'h3f9743e2,32'h3fa73014, 32'h3f8f4dc8,32'h3faf262e,// invsqrt(0.6462) = 1.2440 +32'h3e060f41,32'h402d57e3,32'h40346b26, 32'h40280972,32'h4039b998, 32'h401f315e,32'h404291ac,// invsqrt(0.1309) = 2.7638 +32'h3f57395d,32'h3f88cec7,32'h3f8e6447, 32'h3f849ea7,32'h3f929467, 32'h3f7b478d,32'h3f998f48,// invsqrt(0.8407) = 1.0906 +32'h3e4f4c52,32'h400b6609,32'h4011169b, 32'h4007219b,32'h40155b09, 32'h400004e3,32'h401c77c1,// invsqrt(0.2024) = 2.2226 +32'h3f96c17a,32'h3f672bdf,32'h3f709b61, 32'h3f60183e,32'h3f77af02, 32'h3f544cdd,32'h3f81bd32,// invsqrt(1.1778) = 0.9214 +32'h3f06b47f,32'h3faced71,32'h3fb3fc5b, 32'h3fa7a241,32'h3fb9478b, 32'h3f9ecf9c,32'h3fc21a30,// invsqrt(0.5262) = 1.3786 +32'h3f7155ed,32'h3f8131e3,32'h3f8677d8, 32'h3f7a7ad9,32'h3f8a6c50, 32'h3f6d4bf6,32'h3f9103c1,// invsqrt(0.9427) = 1.0299 +32'h3eef0bcc,32'h3fb79501,32'h3fbf133f, 32'h3fb1f652,32'h3fc4b1ee, 32'h3fa89883,32'h3fce0fbd,// invsqrt(0.4669) = 1.4635 +32'h4006c6fa,32'h3f2ce195,32'h3f33f004, 32'h3f2796c3,32'h3f393ad7, 32'h3f1ec4b8,32'h3f420ce2,// invsqrt(2.1059) = 0.6891 +32'h3e41c18e,32'h40103010,32'h401612ae, 32'h400bc61a,32'h401a7ca4, 32'h40046ad6,32'h4021d7e8,// invsqrt(0.1892) = 2.2989 +32'h3d45313e,32'h408eed09,32'h4094c277, 32'h408a8cf6,32'h4099228a, 32'h4083422d,32'h40a06d53,// invsqrt(0.0481) = 4.5576 +32'h3f5db259,32'h3f86cbbb,32'h3f8c4c36, 32'h3f82ab60,32'h3f906c92, 32'h3f77958d,32'h3f974d2c,// invsqrt(0.8660) = 1.0746 +32'h3f2449d1,32'h3f9c95fd,32'h3fa2fa27, 32'h3f97cade,32'h3fa7c546, 32'h3f8fcdaa,32'h3fafc27a,// invsqrt(0.6418) = 1.2483 +32'h3fd6710a,32'h3f41d3f9,32'h3f49bd47, 32'h3f3be4fe,32'h3f4fac42, 32'h3f32015d,32'h3f598fe3,// invsqrt(1.6753) = 0.7726 +32'h40969ec5,32'h3ee74680,32'h3ef0b718, 32'h3ee0320f,32'h3ef7cb89, 32'h3ed46551,32'h3f01cc23,// invsqrt(4.7069) = 0.4609 +32'h3f2e7885,32'h3f97f2a9,32'h3f9e265d, 32'h3f934be3,32'h3fa2cd23, 32'h3f8b8b43,32'h3faa8dc3,// invsqrt(0.6815) = 1.2113 +32'h3f00088c,32'h3fb16036,32'h3fb89d9b, 32'h3fabf229,32'h3fbe0ba7, 32'h3fa2e56a,32'h3fc71866,// invsqrt(0.5001) = 1.4140 +32'h3fa0339b,32'h3f6040af,32'h3f6967e5, 32'h3f596346,32'h3f70454e, 32'h3f4df243,32'h3f7bb651,// invsqrt(1.2516) = 0.8939 +32'h3f9da9f8,32'h3f620cd8,32'h3f6b46d6, 32'h3f5b2159,32'h3f723255, 32'h3f4f98db,32'h3f7dbad3,// invsqrt(1.2317) = 0.9010 +32'h3ea0f794,32'h3fdfb803,32'h3fe8d9a5, 32'h3fd8dec9,32'h3fefb2df, 32'h3fcd74bf,32'h3ffb1ce9,// invsqrt(0.3144) = 1.7835 +32'h3f1de480,32'h3f9fb9d0,32'h3fa63ec9, 32'h3f9ad616,32'h3fab2284, 32'h3f92afdf,32'h3fb348bb,// invsqrt(0.6168) = 1.2733 +32'h3ec537a3,32'h3fca1d6f,32'h3fd25d54, 32'h3fc3ed83,32'h3fd88d3f, 32'h3fb99da4,32'h3fe2dd1e,// invsqrt(0.3852) = 1.6112 +32'h403adc95,32'h3f12d2d9,32'h3f18d101, 32'h3f0e543b,32'h3f1d4f9f, 32'h3f06d688,32'h3f24cd52,// invsqrt(2.9197) = 0.5852 +32'h40060119,32'h3f2d610b,32'h3f3474ad, 32'h3f281251,32'h3f39c367, 32'h3f1f39c6,32'h3f429bf2,// invsqrt(2.0938) = 0.6911 +32'h3e143a8d,32'h4024d9b0,32'h402b9434, 32'h401fcdcc,32'h4030a018, 32'h401764a7,32'h4039093d,// invsqrt(0.1448) = 2.6284 +32'h3f72e0a0,32'h3f80c8be,32'h3f860a68, 32'h3f79aefe,32'h3f89fba7, 32'h3f6c8ad5,32'h3f908dbb,// invsqrt(0.9487) = 1.0267 +32'h3f13e581,32'h3fa5090f,32'h3fabc583, 32'h3f9ffbb9,32'h3fb0d2d9, 32'h3f979028,32'h3fb93e6a,// invsqrt(0.5777) = 1.3157 +32'h3fe0a1a3,32'h3f3d6178,32'h3f451c4e, 32'h3f379558,32'h3f4ae86e, 32'h3f2debcc,32'h3f5491fa,// invsqrt(1.7549) = 0.7549 +32'h3fbee0a8,32'h3f4d71ae,32'h3f55d45c, 32'h3f4727ab,32'h3f5c1e5f, 32'h3f3cac51,32'h3f6699b9,// invsqrt(1.4912) = 0.8189 +32'h3f548aa7,32'h3f89ab18,32'h3f8f4996, 32'h3f857439,32'h3f938075, 32'h3f7cdc36,32'h3f9a8693,// invsqrt(0.8302) = 1.0975 +32'h3ff13df4,32'h3f36be9e,32'h3f3e341d, 32'h3f312680,32'h3f43cc3c, 32'h3f27d3a1,32'h3f4d1f1b,// invsqrt(1.8847) = 0.7284 +32'h41869071,32'h3e74af33,32'h3e7eabe6, 32'h3e6d31ab,32'h3e8314b6, 32'h3e60b5cb,32'h3e8952a7,// invsqrt(16.8205) = 0.2438 +32'h3f4260c4,32'h3f8ff4f7,32'h3f95d52b, 32'h3f8b8cd0,32'h3f9a3d52, 32'h3f84348f,32'h3fa19593,// invsqrt(0.7593) = 1.1476 +32'h3f18f6da,32'h3fa2474d,32'h3fa8e6f3, 32'h3f9d4f92,32'h3faddeae, 32'h3f950803,32'h3fb6263d,// invsqrt(0.5975) = 1.2937 +32'h3f2c3947,32'h3f98ef99,32'h3f9f2d9f, 32'h3f944114,32'h3fa3dc24, 32'h3f8c738c,32'h3faba9ac,// invsqrt(0.6727) = 1.2192 +32'h3f72ffdc,32'h3f80c077,32'h3f8601cb, 32'h3f799ef2,32'h3f89f2c9, 32'h3f6c7ba2,32'h3f908471,// invsqrt(0.9492) = 1.0264 +32'h402f3d61,32'h3f179d38,32'h3f1dcd6e, 32'h3f12f90f,32'h3f227197, 32'h3f0b3ccb,32'h3f2a2ddb,// invsqrt(2.7381) = 0.6043 +32'h3f1412bd,32'h3fa4efd8,32'h3fabab44, 32'h3f9fe347,32'h3fb0b7d5, 32'h3f977900,32'h3fb9221c,// invsqrt(0.5784) = 1.3149 +32'h3f6d126f,32'h3f8259f9,32'h3f87ac03, 32'h3f7cb8e3,32'h3f8ba98b, 32'h3f6f6bc9,32'h3f925017,// invsqrt(0.9261) = 1.0392 +32'h3f78f09c,32'h3f7e69a7,32'h3f846601, 32'h3f769fe3,32'h3f884ae2, 32'h3f69a4f2,32'h3f8ec85b,// invsqrt(0.9724) = 1.0141 +32'h3fd37e08,32'h3f432cbf,32'h3f4b2420, 32'h3f3d3337,32'h3f511da9, 32'h3f333dfe,32'h3f5b12e2,// invsqrt(1.6523) = 0.7780 +32'h3f6014e4,32'h3f86139b,32'h3f8b8c91, 32'h3f81f8e2,32'h3f8fa74a, 32'h3f76435b,32'h3f967e7f,// invsqrt(0.8753) = 1.0689 +32'h3f876d85,32'h3f73e729,32'h3f7ddbb3, 32'h3f6c6fc2,32'h3f82a98d, 32'h3f5ffe16,32'h3f88e263,// invsqrt(1.0580) = 0.9722 +32'h3f7c96e1,32'h3f7c916f,32'h3f837041, 32'h3f74d61f,32'h3f874de9, 32'h3f67f346,32'h3f8dbf55,// invsqrt(0.9867) = 1.0067 +32'h3eb79841,32'h3fd17aad,32'h3fda0785, 32'h3fcb110b,32'h3fe07127, 32'h3fc060fd,32'h3feb2135,// invsqrt(0.3586) = 1.6700 +32'h3f79fcb1,32'h3f7de118,32'h3f841ef0, 32'h3f761b83,32'h3f8801bb, 32'h3f692789,32'h3f8e7bb7,// invsqrt(0.9765) = 1.0120 +32'h3efba7aa,32'h3fb2ec83,32'h3fba3a15, 32'h3fad7255,32'h3fbfb443, 32'h3fa4515e,32'h3fc8d53a,// invsqrt(0.4915) = 1.4264 +32'h3f3d0289,32'h3f91fca2,32'h3f97f20c, 32'h3f8d8493,32'h3f9c6a1b, 32'h3f8611ce,32'h3fa3dce0,// invsqrt(0.7383) = 1.1638 +32'h3fa9e4ec,32'h3f59c306,32'h3f62a66a, 32'h3f53187c,32'h3f6950f4, 32'h3f47fc3f,32'h3f746d31,// invsqrt(1.3273) = 0.8680 +32'h3f132bfb,32'h3fa570f4,32'h3fac31a5, 32'h3fa0606f,32'h3fb14229, 32'h3f97ef91,32'h3fb9b307,// invsqrt(0.5749) = 1.3189 +32'h41d07ec0,32'h3e449299,32'h3e4c9895, 32'h3e3e8e1c,32'h3e529d12, 32'h3e3486a2,32'h3e5ca48d,// invsqrt(26.0619) = 0.1959 +32'h3f657535,32'h3f847f1e,32'h3f89e792, 32'h3f8070c7,32'h3f8df5e9, 32'h3f735c6b,32'h3f94b87a,// invsqrt(0.8963) = 1.0563 +32'h3ed43a4d,32'h3fc2d61a,32'h3fcac9f2, 32'h3fbcdf39,32'h3fd0c0d3, 32'h3fb2ee6c,32'h3fdab1a0,// invsqrt(0.4145) = 1.5532 +32'h3f426b7b,32'h3f8ff100,32'h3f95d10a, 32'h3f8b88f8,32'h3f9a3912, 32'h3f8430eb,32'h3fa1911f,// invsqrt(0.7595) = 1.1475 +32'h3eb6ffa0,32'h3fd1d1f6,32'h3fda625e, 32'h3fcb65a8,32'h3fe0ceac, 32'h3fc0b126,32'h3feb832e,// invsqrt(0.3574) = 1.6727 +32'h3f5162bc,32'h3f8ab3b2,32'h3f905cfe, 32'h3f8674ba,32'h3f949bf6, 32'h3f7ec238,32'h3f9baf94,// invsqrt(0.8179) = 1.1057 +32'h3e4d3d01,32'h400c18ab,32'h4011d088, 32'h4007cec5,32'h40161a6d, 32'h4000a8f0,32'h401d4042,// invsqrt(0.2004) = 2.2337 +32'h3f2b9f30,32'h3f993432,32'h3f9f7504, 32'h3f948393,32'h3fa425a3, 32'h3f8cb28c,32'h3fabf6aa,// invsqrt(0.6704) = 1.2213 +32'h3fc481c1,32'h3f4a7ae3,32'h3f52be98, 32'h3f44481a,32'h3f58f160, 32'h3f39f377,32'h3f634603,// invsqrt(1.5352) = 0.8071 +32'h3f1ee1aa,32'h3f9f3a5c,32'h3fa5ba22, 32'h3f9a5a89,32'h3faa99f5, 32'h3f923ad2,32'h3fb2b9ac,// invsqrt(0.6206) = 1.2694 +32'h3f0dff45,32'h3fa86dc2,32'h3faf4dab, 32'h3fa345d4,32'h3fb4759a, 32'h3f9aadf2,32'h3fbd0d7d,// invsqrt(0.5547) = 1.3427 +32'h4004300d,32'h3f2e90fc,32'h3f35b106, 32'h3f2938f4,32'h3f3b090e, 32'h3f2050e7,32'h3f43f11b,// invsqrt(2.0654) = 0.6958 +32'h3e0818bb,32'h402c0a8a,32'h40331032, 32'h4026c64c,32'h40385470, 32'h401dff3b,32'h40411b81,// invsqrt(0.1329) = 2.7430 +32'h3e73d1c8,32'h400088fe,32'h4005c80e, 32'h3ff93365,32'h4009b75a, 32'h3fec15be,32'h4010462d,// invsqrt(0.2381) = 2.0493 +32'h4001d136,32'h3f302726,32'h3f3757c4, 32'h3f2ac2af,32'h3f3cbc3b, 32'h3f21c5e9,32'h3f45b901,// invsqrt(2.0284) = 0.7021 +32'h3ede8b51,32'h3fbe4449,32'h3fc60861, 32'h3fb87137,32'h3fcbdb73, 32'h3faebc19,32'h3fd59091,// invsqrt(0.4347) = 1.5168 +32'h3e8bc5ff,32'h3ff014de,32'h3ff9e17a, 32'h3fe8bb6a,32'h40009d77, 32'h3fdc7ba8,32'h4006bd58,// invsqrt(0.2730) = 1.9139 +32'h3f4e0a3b,32'h3f8bd2d4,32'h3f9187d7, 32'h3f878b11,32'h3f95cf99, 32'h3f8068cc,32'h3f9cf1de,// invsqrt(0.8048) = 1.1147 +32'h3fa298ab,32'h3f5e9859,32'h3f67ae3d, 32'h3f57c7ee,32'h3f6e7ea8, 32'h3f4c6c90,32'h3f79da06,// invsqrt(1.2703) = 0.8873 +32'h3f35ba4d,32'h3f94e213,32'h3f9af5c1, 32'h3f905352,32'h3f9f8482, 32'h3f88bab9,32'h3fa71d1b,// invsqrt(0.7099) = 1.1869 +32'h400fb107,32'h3f276eca,32'h3f2e444a, 32'h3f224eaa,32'h3f33646a, 32'h3f19c3c9,32'h3f3bef4b,// invsqrt(2.2452) = 0.6674 +32'h3fccc235,32'h3f465bac,32'h3f4e7450, 32'h3f404931,32'h3f5486cb, 32'h3f362a65,32'h3f5ea597,// invsqrt(1.5997) = 0.7906 +32'h3f5851a3,32'h3f887609,32'h3f8e07eb, 32'h3f8448a1,32'h3f923553, 32'h3f7aa48f,32'h3f992bad,// invsqrt(0.8450) = 1.0879 +32'h408cb13e,32'h3eef4bd3,32'h3ef91039, 32'h3ee7f886,32'h3f0031c3, 32'h3edbc305,32'h3f064c83,// invsqrt(4.3966) = 0.4769 +32'h3e46a460,32'h400e6746,32'h4014373e, 32'h400a0b4c,32'h40189338, 32'h4002c755,32'h401fd72f,// invsqrt(0.1940) = 2.2705 +32'h3f254caf,32'h3f9c1b31,32'h3fa27a57, 32'h3f9753d4,32'h3fa741b4, 32'h3f8f5ce4,32'h3faf38a4,// invsqrt(0.6457) = 1.2445 +32'h3e22f49e,32'h401d3996,32'h4023a46e, 32'h40186975,32'h4028748f, 32'h401063e8,32'h40307a1c,// invsqrt(0.1591) = 2.5068 +32'h40ad498f,32'h3ed79e8a,32'h3ee06b8a, 32'h3ed104ca,32'h3ee7054a, 32'h3ec60489,32'h3ef2058b,// invsqrt(5.4152) = 0.4297 +32'h3f8ec508,32'h3f6d8c86,32'h3f773eab, 32'h3f6646eb,32'h3f7e8447, 32'h3f5a283d,32'h3f85517b,// invsqrt(1.1154) = 0.9469 +32'h408cb118,32'h3eef4bf3,32'h3ef9105b, 32'h3ee7f8a5,32'h3f0031d4, 32'h3edbc323,32'h3f064c95,// invsqrt(4.3966) = 0.4769 +32'h3ff05cc4,32'h3f371425,32'h3f3e8d21, 32'h3f317968,32'h3f4427de, 32'h3f28222c,32'h3f4d7f1a,// invsqrt(1.8778) = 0.7297 +32'h3f0aeed9,32'h3faa46a5,32'h3fb139db, 32'h3fa5103d,32'h3fb67043, 32'h3f9c603a,32'h3fbf2046,// invsqrt(0.5427) = 1.3574 +32'h3e9f6a16,32'h3fe0ce40,32'h3fe9fb3e, 32'h3fd9ec82,32'h3ff0dcfc, 32'h3fce7446,32'h3ffc5539,// invsqrt(0.3114) = 1.7921 +32'h3efec73f,32'h3fb1d2e2,32'h3fb914f6, 32'h3fac6153,32'h3fbe8685, 32'h3fa34ebb,32'h3fc7991d,// invsqrt(0.4976) = 1.4176 +32'h3f1881be,32'h3fa28590,32'h3fa927bf, 32'h3f9d8beb,32'h3fae2163, 32'h3f954130,32'h3fb66c1e,// invsqrt(0.5957) = 1.2956 +32'h3ee35dd7,32'h3fbc3cfa,32'h3fc3ebe0, 32'h3fb679ce,32'h3fc9af0c, 32'h3facdf2f,32'h3fd349ab,// invsqrt(0.4441) = 1.5006 +32'h3fbc8a97,32'h3f4eb684,32'h3f572675, 32'h3f486290,32'h3f5d7a6a, 32'h3f3dd6a4,32'h3f680656,// invsqrt(1.4730) = 0.8240 +32'h3ef50a4e,32'h3fb552a0,32'h3fbcb944, 32'h3fafc5a6,32'h3fc2463e, 32'h3fa6855a,32'h3fcb868a,// invsqrt(0.4786) = 1.4455 +32'h3f1bea45,32'h3fa0bc4c,32'h3fa74bd2, 32'h3f9bd0a8,32'h3fac3776, 32'h3f939d41,32'h3fb46add,// invsqrt(0.6090) = 1.2814 +32'h3fae418d,32'h3f5704e5,32'h3f5fcba0, 32'h3f506fd9,32'h3f6660ad, 32'h3f457770,32'h3f715917,// invsqrt(1.3614) = 0.8571 +32'h3f3926b7,32'h3f938010,32'h3f99854a, 32'h3f8efc24,32'h3f9e0936, 32'h3f87759c,32'h3fa58fbe,// invsqrt(0.7232) = 1.1759 +32'h3f265598,32'h3f9b9eb0,32'h3fa1f8c2, 32'h3f96db23,32'h3fa6bc4f, 32'h3f8eea8d,32'h3faeace5,// invsqrt(0.6497) = 1.2406 +32'h404edf08,32'h3f0b8ad7,32'h3f113cea, 32'h3f074548,32'h3f158278, 32'h3f0026b0,32'h3f1ca110,// invsqrt(3.2324) = 0.5562 +32'h3f6aabb4,32'h3f830445,32'h3f885d43, 32'h3f7e030e,32'h3f8c6001, 32'h3f70a494,32'h3f930f3e,// invsqrt(0.9167) = 1.0445 +32'h3f989b3a,32'h3f65c3f4,32'h3f6f24c5, 32'h3f5ebb58,32'h3f762d62, 32'h3f530254,32'h3f80f333,// invsqrt(1.1922) = 0.9158 +32'h3f524e2f,32'h3f8a65f8,32'h3f900c18, 32'h3f862961,32'h3f9448af, 32'h3f7e3375,32'h3f9b5856,// invsqrt(0.8215) = 1.1033 +32'h404c1bef,32'h3f0c7bbc,32'h3f1237a4, 32'h3f082ece,32'h3f168492, 32'h3f0103eb,32'h3f1daf75,// invsqrt(3.1892) = 0.5600 +32'h4274f13b,32'h3e003d7d,32'h3e057977, 32'h3df8a101,32'h3e096673, 32'h3deb8b0f,32'h3e0ff16d,// invsqrt(61.2356) = 0.1278 +32'h3f2171fa,32'h3f9df56b,32'h3fa467ec, 32'h3f991f89,32'h3fa93dcd, 32'h3f911067,32'h3fb14cef,// invsqrt(0.6306) = 1.2592 +32'h3f291dba,32'h3f9a55ae,32'h3fa0a251, 32'h3f959c32,32'h3fa55bcc, 32'h3f8dbc66,32'h3fad3b98,// invsqrt(0.6606) = 1.2303 +32'h401e8925,32'h3f1f66ca,32'h3f25e860, 32'h3f1a859a,32'h3f2ac990, 32'h3f1263a0,32'h3f32eb8a,// invsqrt(2.4771) = 0.6354 +32'h408e5832,32'h3eede746,32'h3ef79d1f, 32'h3ee69ee3,32'h3efee581, 32'h3eda7b93,32'h3f058468,// invsqrt(4.4483) = 0.4741 +32'h3f4b9d7a,32'h3f8ca755,32'h3f926505, 32'h3f885911,32'h3f96b349, 32'h3f812bf5,32'h3f9de065,// invsqrt(0.7954) = 1.1213 +32'h3faca0af,32'h3f5807e7,32'h3f60d935, 32'h3f516aed,32'h3f67762f, 32'h3f46654d,32'h3f727bcf,// invsqrt(1.3487) = 0.8611 +32'h3f943775,32'h3f6924a2,32'h3f72a8be, 32'h3f62018e,32'h3f79cbd2, 32'h3f561c6b,32'h3f82d87a,// invsqrt(1.1579) = 0.9293 +32'h400dd5e1,32'h3f288654,32'h3f2f673e, 32'h3f235da5,32'h3f348fed, 32'h3f1ac482,32'h3f3d2910,// invsqrt(2.2162) = 0.6717 +32'h3f18e26d,32'h3fa25224,32'h3fa8f23a, 32'h3f9d5a13,32'h3fadea4b, 32'h3f9511f7,32'h3fb63267,// invsqrt(0.5972) = 1.2940 +32'h3fa408ef,32'h3f5d9dee,32'h3f66a99a, 32'h3f56d52d,32'h3f6d725b, 32'h3f4b8697,32'h3f78c0f1,// invsqrt(1.2815) = 0.8834 +32'h3f0317c8,32'h3faf4b34,32'h3fb672d8, 32'h3fa9ed79,32'h3fbbd093, 32'h3fa0fbec,32'h3fc4c220,// invsqrt(0.5121) = 1.3974 +32'h3efd96f6,32'h3fb23d72,32'h3fb983e0, 32'h3facc8a1,32'h3fbef8b1, 32'h3fa3b098,32'h3fc810ba,// invsqrt(0.4953) = 1.4209 +32'h3f53be4e,32'h3f89ed76,32'h3f8f8eaa, 32'h3f85b48f,32'h3f93c791, 32'h3f7d561d,32'h3f9ad112,// invsqrt(0.8271) = 1.0996 +32'h3fd41d60,32'h3f42e363,32'h3f4ad7c5, 32'h3f3cec19,32'h3f50cf0f, 32'h3f32fa9f,32'h3f5ac089,// invsqrt(1.6571) = 0.7768 +32'h3f38f83b,32'h3f939297,32'h3f999893, 32'h3f8f0e1a,32'h3f9e1d10, 32'h3f8786a0,32'h3fa5a48a,// invsqrt(0.7225) = 1.1764 +32'h40f71fc4,32'h3eb48e81,32'h3ebbed23, 32'h3eaf0788,32'h3ec1741c, 32'h3ea5d13d,32'h3ecaaa67,// invsqrt(7.7226) = 0.3598 +32'h3fc7400b,32'h3f4914d0,32'h3f5149e8, 32'h3f42ecfe,32'h3f5771ba, 32'h3f38aaa0,32'h3f61b418,// invsqrt(1.5566) = 0.8015 +32'h3f9162a0,32'h3f6b6702,32'h3f7502b9, 32'h3f643239,32'h3f7c3783, 32'h3f582f95,32'h3f841d14,// invsqrt(1.1358) = 0.9383 +32'h402a82e1,32'h3f19b3b6,32'h3f1ff9be, 32'h3f14ff31,32'h3f24ae43, 32'h3f0d27a7,32'h3f2c85cd,// invsqrt(2.6642) = 0.6127 +32'h3f8a0c73,32'h3f7193a0,32'h3f7b6fda, 32'h3f6a2e74,32'h3f816a83, 32'h3f5ddb2a,32'h3f879428,// invsqrt(1.0785) = 0.9629 +32'h3e62e3bb,32'h40053e8d,32'h400aaed1, 32'h40012a59,32'h400ec305, 32'h3ff4bc08,32'h40158f5a,// invsqrt(0.2216) = 2.1244 +32'h3f8c88e8,32'h3f6f6e28,32'h3f7933f6, 32'h3f6819ce,32'h3f804428, 32'h3f5be28e,32'h3f865fc8,// invsqrt(1.0979) = 0.9544 +32'h408264a1,32'h3ef89131,32'h3f015b3b, 32'h3ef0f53d,32'h3f052936, 32'h3ee446a5,32'h3f0b8081,// invsqrt(4.0748) = 0.4954 +32'h404f1c1a,32'h3f0b7642,32'h3f11277e, 32'h3f073155,32'h3f156c6b, 32'h3f0013ca,32'h3f1c89f6,// invsqrt(3.2361) = 0.5559 +32'h3f8c6e5e,32'h3f6f84c7,32'h3f794b80, 32'h3f682fbb,32'h3f805046, 32'h3f5bf753,32'h3f866c7a,// invsqrt(1.0971) = 0.9547 +32'h400fefb5,32'h3f274a52,32'h3f2e1e55, 32'h3f222b4f,32'h3f333d57, 32'h3f19a24b,32'h3f3bc65b,// invsqrt(2.2490) = 0.6668 +32'h3fc6c79a,32'h3f4951b2,32'h3f518946, 32'h3f432803,32'h3f57b2f5, 32'h3f38e289,32'h3f61f86f,// invsqrt(1.5530) = 0.8025 +32'h3e545499,32'h4009bc9d,32'h400f5bd2, 32'h40058534,32'h4013933a, 32'h3ffcfc63,32'h401a9a3c,// invsqrt(0.2074) = 2.1961 +32'h3f0bf899,32'h3fa9a4b3,32'h3fb0914d, 32'h3fa47340,32'h3fb5c2c0, 32'h3f9bcb80,32'h3fbe6a80,// invsqrt(0.5468) = 1.3524 +32'h4011fb70,32'h3f261d2c,32'h3f2ce4e4, 32'h3f210761,32'h3f31faaf, 32'h3f188dba,32'h3f3a7456,// invsqrt(2.2810) = 0.6621 +32'h3f779178,32'h3f7f1dd3,32'h3f84c3c4, 32'h3f774e8c,32'h3f88ab68, 32'h3f6a4a69,32'h3f8f2d79,// invsqrt(0.9671) = 1.0169 +32'h3f37e8d4,32'h3f93ff53,32'h3f9a09bf, 32'h3f8f7782,32'h3f9e9190, 32'h3f87ea7b,32'h3fa61e97,// invsqrt(0.7184) = 1.1798 +32'h3fa9aa64,32'h3f59e892,32'h3f62cd7e, 32'h3f533ce1,32'h3f69792f, 32'h3f481eba,32'h3f749756,// invsqrt(1.3255) = 0.8686 +32'h3ee15c0b,32'h3fbd1315,32'h3fc4cab7, 32'h3fb7495a,32'h3fca9472, 32'h3fada3cf,32'h3fd439fd,// invsqrt(0.4402) = 1.5073 +32'h3f5929ba,32'h3f883214,32'h3f8dc12e, 32'h3f8406bf,32'h3f91ec83, 32'h3f7a27bb,32'h3f98df64,// invsqrt(0.8483) = 1.0857 +32'h3d99c73e,32'h4064e365,32'h406e3b0b, 32'h405de1a8,32'h40753cc8, 32'h40523419,32'h4080752c,// invsqrt(0.0751) = 3.6494 +32'h40b9edfc,32'h3ed028e4,32'h3ed8a7f3, 32'h3ec9c99a,32'h3edf073e, 32'h3ebf2ac8,32'h3ee9a610,// invsqrt(5.8103) = 0.4149 +32'h4055c00b,32'h3f094751,32'h3f0ee1bd, 32'h3f051380,32'h3f13158e, 32'h3efc24f3,32'h3f1a1694,// invsqrt(3.3398) = 0.5472 +32'h3f04d188,32'h3fae26bd,32'h3fb54271, 32'h3fa8d1f6,32'h3fba9738, 32'h3f9fef55,32'h3fc379d9,// invsqrt(0.5188) = 1.3883 +32'h3f3771fe,32'h3f942f3b,32'h3f9a3b9b, 32'h3f8fa5f2,32'h3f9ec4e4, 32'h3f88167a,32'h3fa6545c,// invsqrt(0.7166) = 1.1813 +32'h3fe7e419,32'h3f3a648d,32'h3f42002b, 32'h3f34afd7,32'h3f47b4e1, 32'h3f2b2d53,32'h3f513765,// invsqrt(1.8116) = 0.7430 +32'h3f1e0c68,32'h3f9fa5a5,32'h3fa629cb, 32'h3f9ac288,32'h3fab0ce8, 32'h3f929d59,32'h3fb33217,// invsqrt(0.6174) = 1.2727 +32'h3ed06dec,32'h3fc49a88,32'h3fcca0d7, 32'h3fbe95ce,32'h3fd2a592, 32'h3fb48deb,32'h3fdcad75,// invsqrt(0.4071) = 1.5673 +32'h40372d7c,32'h3f144aee,32'h3f1a5870, 32'h3f0fc0cd,32'h3f1ee291, 32'h3f082fea,32'h3f267374,// invsqrt(2.8622) = 0.5911 +32'h40209bd3,32'h3f1e5e97,32'h3f24d563, 32'h3f19857d,32'h3f29ae7d, 32'h3f1170fd,32'h3f31c2fd,// invsqrt(2.5095) = 0.6313 +32'h4041ac36,32'h3f103802,32'h3f161af2, 32'h3f0bcdce,32'h3f1a8526, 32'h3f047221,32'h3f21e0d3,// invsqrt(3.0261) = 0.5749 +32'h3e96290e,32'h3fe7a115,32'h3ff1155f, 32'h3fe089de,32'h3ff82c96, 32'h3fd4b881,32'h4001fefa,// invsqrt(0.2933) = 1.8465 +32'h3e4c0c7f,32'h400c810d,32'h40123d2d, 32'h400833f5,32'h40168a45, 32'h400108cd,32'h401db56d,// invsqrt(0.1993) = 2.2402 +32'h3ff47b45,32'h3f3587a3,32'h3f3cf071, 32'h3f2ff90a,32'h3f427f0a, 32'h3f26b609,32'h3f4bc20b,// invsqrt(1.9100) = 0.7236 +32'h3942aadb,32'h428fd990,32'h4295b8a5, 32'h428b723f,32'h429a1ff5, 32'h42841b64,32'h42a176d0,// invsqrt(0.0002) = 73.3928 +32'h3e8b9475,32'h3ff03f75,32'h3ffa0dcd, 32'h3fe8e4b3,32'h4000b448, 32'h3fdca2c4,32'h4006d53f,// invsqrt(0.2726) = 1.9152 +32'h3f1ff299,32'h3f9eb248,32'h3fa52c7f, 32'h3f99d69e,32'h3faa0828, 32'h3f91bdd9,32'h3fb220ed,// invsqrt(0.6248) = 1.2651 +32'h3d896a61,32'h407221ec,32'h407c03f6, 32'h406ab865,32'h4081b6bf, 32'h405e5dd9,32'h4087e405,// invsqrt(0.0671) = 3.8605 +32'h3f5336f9,32'h3f8a199f,32'h3f8fbca0, 32'h3f85df5d,32'h3f93f6e1, 32'h3f7da738,32'h3f9b02a2,// invsqrt(0.8251) = 1.1009 +32'h3f8d061e,32'h3f6f03c6,32'h3f78c53b, 32'h3f67b2ad,32'h3f800b2a, 32'h3f5b80da,32'h3f862413,// invsqrt(1.1017) = 0.9527 +32'h4010846a,32'h3f26f429,32'h3f2dc4a9, 32'h3f21d7ca,32'h3f32e108, 32'h3f19532b,32'h3f3b65a7,// invsqrt(2.2581) = 0.6655 +32'h40304439,32'h3f172c03,32'h3f1d579b, 32'h3f128b51,32'h3f21f84d, 32'h3f0ad4d4,32'h3f29aeca,// invsqrt(2.7542) = 0.6026 +32'h400b9b35,32'h3f29dd68,32'h3f30cc52, 32'h3f24aa38,32'h3f35ff82, 32'h3f1bff94,32'h3f3eaa26,// invsqrt(2.1813) = 0.6771 +32'h3d8fa4e6,32'h406cd322,32'h40767db6, 32'h40659333,32'h407dbda5, 32'h40597dfb,32'h4084e96f,// invsqrt(0.0701) = 3.7759 +32'h3f7947b2,32'h3f7e3d32,32'h3f844ede, 32'h3f7674cc,32'h3f883312, 32'h3f697c1f,32'h3f8eaf68,// invsqrt(0.9738) = 1.0134 +32'h41715b56,32'h3e813071,32'h3e867656, 32'h3e7a7809,32'h3e8a6ac2, 32'h3e6d494c,32'h3e910220,// invsqrt(15.0848) = 0.2575 +32'h3f5670ac,32'h3f890ebc,32'h3f8ea6d9, 32'h3f84dca7,32'h3f92d8ef, 32'h3f7bbd07,32'h3f99d712,// invsqrt(0.8377) = 1.0926 +32'h404778f9,32'h3f0e1b4f,32'h3f13e82d, 32'h3f09c1a8,32'h3f1841d4, 32'h3f028191,32'h3f1f81eb,// invsqrt(3.1168) = 0.5664 +32'h400d377a,32'h3f28e4be,32'h3f2fc982, 32'h3f23b92b,32'h3f34f515, 32'h3f1b1b36,32'h3f3d930a,// invsqrt(2.2065) = 0.6732 +32'h3f72b6e1,32'h3f80d3d1,32'h3f8615ef, 32'h3f79c476,32'h3f8a0785, 32'h3f6c9f2d,32'h3f909a2a,// invsqrt(0.9481) = 1.0270 +32'h3ec1ecec,32'h3fcbd2bd,32'h3fd4247b, 32'h3fc5956e,32'h3fda61ca, 32'h3fbb2f40,32'h3fe4c7f8,// invsqrt(0.3788) = 1.6249 +32'h3e0ec3da,32'h4027f9a5,32'h402ed4d0, 32'h4022d544,32'h4033f930, 32'h401a434e,32'h403c8b26,// invsqrt(0.1394) = 2.6782 +32'h3e13b207,32'h402525cf,32'h402be36f, 32'h40201797,32'h4030f1a7, 32'h4017aa8f,32'h40395eaf,// invsqrt(0.1442) = 2.6331 +32'h3f6ef841,32'h3f81d535,32'h3f8721d4, 32'h3f7bb77d,32'h3f8b1b4c, 32'h3f6e77ef,32'h3f91bb12,// invsqrt(0.9335) = 1.0350 +32'h3fde4e3d,32'h3f3e5e6b,32'h3f462393, 32'h3f388a8c,32'h3f4bf772, 32'h3f2ed419,32'h3f55ade5,// invsqrt(1.7368) = 0.7588 +32'h3ea00588,32'h3fe060f5,32'h3fe9897d, 32'h3fd98290,32'h3ff067e2, 32'h3fce0fe6,32'h3ffbda8c,// invsqrt(0.3125) = 1.7887 +32'h3f7db28a,32'h3f7c0414,32'h3f8326b2, 32'h3f744d19,32'h3f870230, 32'h3f677176,32'h3f8d7001,// invsqrt(0.9910) = 1.0045 +32'h3e37a571,32'h40141a78,32'h401a25ff, 32'h400f91d2,32'h401eaea4, 32'h40080368,32'h40263d0e,// invsqrt(0.1793) = 2.3613 +32'h3f775fa5,32'h3f7f3783,32'h3f84d122, 32'h3f776772,32'h3f88b92b, 32'h3f6a6200,32'h3f8f3be4,// invsqrt(0.9663) = 1.0173 +32'h3f09ef21,32'h3faae433,32'h3fb1ddd7, 32'h3fa5a8f8,32'h3fb71912, 32'h3f9cf0eb,32'h3fbfd11f,// invsqrt(0.5388) = 1.3623 +32'h3faf1cec,32'h3f567e0c,32'h3f5f3f46, 32'h3f4fed21,32'h3f65d031, 32'h3f44fb98,32'h3f70c1ba,// invsqrt(1.3681) = 0.8550 +32'h41ff68c5,32'h3e319a9f,32'h3e38da67, 32'h3e2c2ac9,32'h3e3e4a3d, 32'h3e231b10,32'h3e4759f7,// invsqrt(31.9262) = 0.1770 +32'h3e5feede,32'h40061efc,32'h400b986a, 32'h400203ea,32'h400fb37c, 32'h3ff65842,32'h40168b45,// invsqrt(0.2187) = 2.1384 +32'h4014e034,32'h3f247de0,32'h3f2b34a6, 32'h3f1f74cc,32'h3f303dba, 32'h3f171056,32'h3f38a230,// invsqrt(2.3262) = 0.6557 +32'h41b04cb8,32'h3e55c4ee,32'h3e5e7e9a, 32'h3e4f39ad,32'h3e6509db, 32'h3e445197,32'h3e6ff1f1,// invsqrt(22.0375) = 0.2130 +32'h3e354996,32'h40151055,32'h401b25e5, 32'h40108028,32'h401fb612, 32'h4008e534,32'h40275106,// invsqrt(0.1770) = 2.3767 +32'h40962973,32'h3ee7a0c7,32'h3ef1150e, 32'h3ee08992,32'h3ef82c44, 32'h3ed4b83a,32'h3f01fece,// invsqrt(4.6926) = 0.4616 +32'h3e4b4218,32'h400cc6f0,32'h401285ea, 32'h400877b4,32'h4016d526, 32'h400148fc,32'h401e03de,// invsqrt(0.1985) = 2.2445 +32'h3e197efa,32'h4021ff48,32'h40289bfc, 32'h401d09c0,32'h402d9184, 32'h4014c5df,32'h4035d565,// invsqrt(0.1499) = 2.5829 +32'h40150b43,32'h3f24661b,32'h3f2b1be8, 32'h3f1f5dc2,32'h3f302442, 32'h3f16fa82,32'h3f388782,// invsqrt(2.3288) = 0.6553 +32'h3e34d4e5,32'h40154065,32'h401b57ec, 32'h4010aec1,32'h401fe991, 32'h40091158,32'h402786fa,// invsqrt(0.1766) = 2.3796 +32'h4040b8a1,32'h3f109309,32'h3f1679b1, 32'h3f0c260c,32'h3f1ae6ae, 32'h3f04c5ba,32'h3f224700,// invsqrt(3.0113) = 0.5763 +32'h410ed1d2,32'h3ea7f16d,32'h3eaecc43, 32'h3ea2cd4d,32'h3eb3f063, 32'h3e9a3bc2,32'h3ebc81ee,// invsqrt(8.9262) = 0.3347 +32'h3f3e2cf3,32'h3f9189ec,32'h3f977aa7, 32'h3f8d155f,32'h3f9bef33, 32'h3f85a875,32'h3fa35c1d,// invsqrt(0.7429) = 1.1602 +32'h4001a538,32'h3f304507,32'h3f3776dd, 32'h3f2adfa6,32'h3f3cdc3e, 32'h3f21e15a,32'h3f45da8a,// invsqrt(2.0257) = 0.7026 +32'h3f54e21f,32'h3f898ecc,32'h3f8f2c23, 32'h3f8558cc,32'h3f936224, 32'h3f7ca83e,32'h3f9a66d1,// invsqrt(0.8316) = 1.0966 +32'h41242993,32'h3e9ca55d,32'h3ea30a27, 32'h3e97d9c5,32'h3ea7d5bf, 32'h3e8fdbc8,32'h3eafd3bc,// invsqrt(10.2601) = 0.3122 +32'h4162bb51,32'h3e854a6c,32'h3e8abb2d, 32'h3e8135dc,32'h3e8ecfbe, 32'h3e74d1d7,32'h3e959cae,// invsqrt(14.1707) = 0.2656 +32'h3fababe1,32'h3f58a1b8,32'h3f61794d, 32'h3f520009,32'h3f681afd, 32'h3f46f290,32'h3f732877,// invsqrt(1.3412) = 0.8635 +32'h3f7a5029,32'h3f7db6c0,32'h3f8408e6, 32'h3f75f276,32'h3f87eb0b, 32'h3f6900a5,32'h3f8e63f3,// invsqrt(0.9778) = 1.0113 +32'h3f411b95,32'h3f906dfa,32'h3f96531e, 32'h3f8c021f,32'h3f9abef9, 32'h3f84a3b1,32'h3fa21d67,// invsqrt(0.7543) = 1.1514 +32'h406b3f86,32'h3f02db15,32'h3f083264, 32'h3efdb332,32'h3f0c33df, 32'h3ef058ec,32'h3f12e102,// invsqrt(3.6758) = 0.5216 +32'h3f9a5934,32'h3f647712,32'h3f6dca4c, 32'h3f5d78a6,32'h3f74c8b8, 32'h3f51d09e,32'h3f803860,// invsqrt(1.2058) = 0.9107 +32'h3d14fcea,32'h40a46e06,32'h40ab2426, 32'h409f656e,32'h40b02cbe, 32'h409701c7,32'h40b89065,// invsqrt(0.0364) = 5.2433 +32'h3e2ee85b,32'h4017c20d,32'h401df3c5, 32'h40131cc4,32'h4022990e, 32'h400b5e9e,32'h402a5734,// invsqrt(0.1708) = 2.4196 +32'h3ff2c161,32'h3f362c91,32'h3f3d9c19, 32'h3f3098ea,32'h3f432fc0, 32'h3f274d80,32'h3f4c7b2a,// invsqrt(1.8965) = 0.7261 +32'h407f006f,32'h3efb5ee0,32'h3f02d0b8, 32'h3ef3acf3,32'h3f06a9af, 32'h3ee6d9be,32'h3f0d1349,// invsqrt(3.9844) = 0.5010 +32'h3ff18707,32'h3f36a2f7,32'h3f3e1755, 32'h3f310bb1,32'h3f43ae9b, 32'h3f27ba3c,32'h3f4d0010,// invsqrt(1.8869) = 0.7280 +32'h3f140837,32'h3fa4f5b5,32'h3fabb15e, 32'h3f9fe8f5,32'h3fb0be1d, 32'h3f977e62,32'h3fb928b0,// invsqrt(0.5783) = 1.3150 +32'h3e229be9,32'h401d6473,32'h4023d10a, 32'h40189302,32'h4028a27c, 32'h40108b46,32'h4030aa39,// invsqrt(0.1588) = 2.5094 +32'h41196cda,32'h3ea208d9,32'h3ea8a5f2, 32'h3e9d1307,32'h3ead9bc5, 32'h3e94cea9,32'h3eb5e023,// invsqrt(9.5891) = 0.3229 +32'h3f976f44,32'h3f66a713,32'h3f701129, 32'h3f5f9783,32'h3f7720b9, 32'h3f53d2e8,32'h3f8172aa,// invsqrt(1.1831) = 0.9194 +32'h3e05ad06,32'h402d9788,32'h4034ad64, 32'h40284723,32'h4039fdc9, 32'h401f6bd1,32'h4042d91b,// invsqrt(0.1305) = 2.7677 +32'h3f1435c9,32'h3fa4dc57,32'h3fab96f7, 32'h3f9fd05f,32'h3fb0a2ef, 32'h3f976716,32'h3fb90c38,// invsqrt(0.5789) = 1.3143 +32'h408560ba,32'h3ef5c52b,32'h3effcd37, 32'h3eee3f22,32'h3f03a9a0, 32'h3ee1b512,32'h3f09eea8,// invsqrt(4.1681) = 0.4898 +32'h3ea56ed6,32'h3fdcadb2,32'h3fe5af90, 32'h3fd5ec4c,32'h3fec70f6, 32'h3fcaa9f7,32'h3ff7b34b,// invsqrt(0.3231) = 1.7592 +32'h3f719d2d,32'h3f811ed5,32'h3f866403, 32'h3f7a55e7,32'h3f8a57e5, 32'h3f6d28f6,32'h3f90ee5d,// invsqrt(0.9438) = 1.0293 +32'h3f8666a4,32'h3f74d53c,32'h3f7ed37e, 32'h3f6d568c,32'h3f832917, 32'h3f60d8ba,32'h3f896800,// invsqrt(1.0500) = 0.9759 +32'h40bb210c,32'h3ecf7dd5,32'h3ed7f5e9, 32'h3ec923c7,32'h3ede4ff7, 32'h3ebe8daf,32'h3ee8e60f,// invsqrt(5.8478) = 0.4135 +32'h4064e340,32'h3f04a956,32'h3f0a1384, 32'h3f0099b4,32'h3f0e2326, 32'h3ef3a9f7,32'h3f14e7de,// invsqrt(3.5764) = 0.5288 +32'h3f8751e8,32'h3f74000b,32'h3f7df598, 32'h3f6c87e0,32'h3f82b6e1, 32'h3f6014ef,32'h3f88f059,// invsqrt(1.0572) = 0.9726 +32'h3f45f0a4,32'h3f8ea7de,32'h3f947a7a, 32'h3f8a49ea,32'h3f98d86e, 32'h3f8302a8,32'h3fa01fb0,// invsqrt(0.7732) = 1.1372 +32'h3f5edd97,32'h3f86711e,32'h3f8bede6, 32'h3f825388,32'h3f900b7c, 32'h3f76ef1d,32'h3f96e775,// invsqrt(0.8706) = 1.0718 +32'h3e87bda8,32'h3ff39f20,32'h3ffd90b8, 32'h3fec29ed,32'h400282f6, 32'h3fdfbbee,32'h4008b9f5,// invsqrt(0.2651) = 1.9421 +32'h3e749edf,32'h40005311,32'h40058fed, 32'h3ff8cad8,32'h40097d92, 32'h3febb2b1,32'h401009a5,// invsqrt(0.2389) = 2.0460 +32'h3bcb2825,32'h41472378,32'h414f4443, 32'h41410adf,32'h41555cdb, 32'h4136e1e0,32'h415f85da,// invsqrt(0.0062) = 12.7002 +32'h3f0ac574,32'h3faa6008,32'h3fb15448, 32'h3fa528d9,32'h3fb68b77, 32'h3f9c778a,32'h3fbf3cc6,// invsqrt(0.5421) = 1.3582 +32'h3ec874e3,32'h3fc879ad,32'h3fd0a870, 32'h3fc2569a,32'h3fd6cb82, 32'h3fb81c26,32'h3fe105f6,// invsqrt(0.3915) = 1.5982 +32'h3bf4e9e2,32'h41355ea0,32'h413cc5c0, 32'h412fd147,32'h41425319, 32'h4126905e,32'h414b9402,// invsqrt(0.0075) = 11.5669 +32'h3ea1de80,32'h3fdf1836,32'h3fe83352, 32'h3fd843e1,32'h3fef07a7, 32'h3fcce1fd,32'h3ffa698b,// invsqrt(0.3162) = 1.7785 +32'h408dc5df,32'h3eee61eb,32'h3ef81cc6, 32'h3ee715c8,32'h3eff68ea, 32'h3edaec36,32'h3f05c93e,// invsqrt(4.4304) = 0.4751 +32'h400d49e7,32'h3f28d9ba,32'h3f2fbe0b, 32'h3f23ae7e,32'h3f34e948, 32'h3f1b1119,32'h3f3d86ad,// invsqrt(2.2076) = 0.6730 +32'h41471467,32'h3e8e3f2f,32'h3e940d85, 32'h3e89e46f,32'h3e986845, 32'h3e82a284,32'h3e9faa30,// invsqrt(12.4425) = 0.2835 +32'h4054f50f,32'h3f0988af,32'h3f0f25c5, 32'h3f0552de,32'h3f135b96, 32'h3efc9d02,32'h3f1a5ff3,// invsqrt(3.3275) = 0.5482 +32'h3d8bdf83,32'h406ffef7,32'h4079caae, 32'h4068a62f,32'h408091bc, 32'h405c678b,32'h4086b10e,// invsqrt(0.0683) = 3.8265 +32'h3e118494,32'h402660f5,32'h402d2b72, 32'h40214918,32'h40324350, 32'h4018cbfc,32'h403ac06c,// invsqrt(0.1421) = 2.6527 +32'h3f22d3c5,32'h3f9d4971,32'h3fa3b4ee, 32'h3f9878d4,32'h3fa8858c, 32'h3f907278,32'h3fb08be8,// invsqrt(0.6360) = 1.2539 +32'h3ff8983a,32'h3f340596,32'h3f3b5ea2, 32'h3f2e82ce,32'h3f40e16a, 32'h3f255380,32'h3f4a10b8,// invsqrt(1.9421) = 0.7176 +32'h3f77b9c2,32'h3f7f0914,32'h3f84b8f8, 32'h3f773a6f,32'h3f88a04b, 32'h3f6a375b,32'h3f8f21d4,// invsqrt(0.9677) = 1.0166 +32'h3e8bd09f,32'h3ff00bbf,32'h3ff9d7fb, 32'h3fe8b292,32'h40009894, 32'h3fdc7347,32'h4006b83a,// invsqrt(0.2731) = 1.9136 +32'h3dec9c0c,32'h4038865d,32'h40400e76, 32'h4032e04b,32'h4045b489, 32'h4029762c,32'h404f1ea8,// invsqrt(0.1155) = 2.9420 +32'h40421531,32'h3f1010fc,32'h3f15f254, 32'h3f0ba7f9,32'h3f1a5b57, 32'h3f044e4a,32'h3f21b506,// invsqrt(3.0325) = 0.5742 +32'h3f667b22,32'h3f8433bf,32'h3f899920, 32'h3f8027b7,32'h3f8da529, 32'h3f72d1fd,32'h3f9463e2,// invsqrt(0.9003) = 1.0539 +32'h3e100559,32'h40273dc0,32'h402e113f, 32'h40221f1f,32'h40332fdf, 32'h401996bf,32'h403bb83f,// invsqrt(0.1406) = 2.6665 +32'h3f449fda,32'h3f8f21d7,32'h3f94f96d, 32'h3f8ac027,32'h3f995b1d, 32'h3f8372ab,32'h3fa0a899,// invsqrt(0.7681) = 1.1410 +32'h3ec0493c,32'h3fccb0b3,32'h3fd50b81, 32'h3fc66c99,32'h3fdb4f9b, 32'h3fbbfb17,32'h3fe5c11d,// invsqrt(0.3756) = 1.6318 +32'h3ef63e82,32'h3fb4e103,32'h3fbc4303, 32'h3faf5783,32'h3fc1cc83, 32'h3fa61d03,32'h3fcb0703,// invsqrt(0.4809) = 1.4420 +32'h40618dd0,32'h3f05a365,32'h3f0b17c7, 32'h3f018c1b,32'h3f0f2f11, 32'h3ef57541,32'h3f16008b,// invsqrt(3.5243) = 0.5327 +32'h3f408143,32'h3f90a7d2,32'h3f968f53, 32'h3f8c3a32,32'h3f9afcf4, 32'h3f84d8d1,32'h3fa25e55,// invsqrt(0.7520) = 1.1532 +32'h3f2d2506,32'h3f988758,32'h3f9ec11c, 32'h3f93dc04,32'h3fa36c70, 32'h3f8c13ce,32'h3fab34a6,// invsqrt(0.6763) = 1.2159 +32'h3ef3bca5,32'h3fb5ce92,32'h3fbd3a44, 32'h3fb03dcc,32'h3fc2cb0a, 32'h3fa6f72d,32'h3fcc11a9,// invsqrt(0.4760) = 1.4494 +32'h3f7d9d04,32'h3f7c0ec6,32'h3f832c43, 32'h3f745777,32'h3f8707ea, 32'h3f677b49,32'h3f8d7602,// invsqrt(0.9907) = 1.0047 +32'h4025d507,32'h3f1bdaf7,32'h3f22377f, 32'h3f171592,32'h3f26fce4, 32'h3f0f21e8,32'h3f2ef08e,// invsqrt(2.5911) = 0.6212 +32'h3ef0dfd7,32'h3fb6e24e,32'h3fbe5942, 32'h3fb14918,32'h3fc3f278, 32'h3fa7f467,32'h3fcd4729,// invsqrt(0.4705) = 1.4579 +32'h3f90b105,32'h3f6bf750,32'h3f7598ea, 32'h3f64be1c,32'h3f7cd21e, 32'h3f58b41a,32'h3f846e10,// invsqrt(1.1304) = 0.9406 +32'h3e90dec3,32'h3febd20c,32'h3ff57222, 32'h3fe499fc,32'h3ffcaa32, 32'h3fd891e2,32'h40045926,// invsqrt(0.2829) = 1.8799 +32'h411fcc14,32'h3e9ec567,32'h3ea54066, 32'h3e99e928,32'h3eaa1ca6, 32'h3e91cf6a,32'h3eb23665,// invsqrt(9.9873) = 0.3164 +32'h3c23beb3,32'h411cd874,32'h41233f54, 32'h41180b4c,32'h41280c7c, 32'h41100ab4,32'h41300d14,// invsqrt(0.0100) = 10.0029 +32'h3ea7612e,32'h3fdb6438,32'h3fe458a2, 32'h3fd4ace8,32'h3feb0ff2, 32'h3fc97b62,32'h3ff64178,// invsqrt(0.3269) = 1.7490 +32'h3f82d051,32'h3f782acd,32'h3f8125f3, 32'h3f7091fb,32'h3f84f25c, 32'h3f63e89d,32'h3f8b470b,// invsqrt(1.0220) = 0.9892 +32'h4015f9e3,32'h3f23e31e,32'h3f2a9392, 32'h3f1edec7,32'h3f2f97e9, 32'h3f168236,32'h3f37f47a,// invsqrt(2.3434) = 0.6532 +32'h400e3835,32'h3f284c08,32'h3f2f2a90, 32'h3f232522,32'h3f345176, 32'h3f1a8ef8,32'h3f3ce7a0,// invsqrt(2.2222) = 0.6708 +32'h3f9be0a3,32'h3f635781,32'h3f6c9eff, 32'h3f5c61e3,32'h3f73949d, 32'h3f50c886,32'h3f7f2dfa,// invsqrt(1.2178) = 0.9062 +32'h3e6311e5,32'h40053101,32'h400aa0b7, 32'h40011d37,32'h400eb481, 32'h3ff4a326,32'h40158025,// invsqrt(0.2217) = 2.1236 +32'h3c0ddb7c,32'h41288300,32'h412f63c6, 32'h41235a6b,32'h41348c5b, 32'h411ac173,32'h413d2553,// invsqrt(0.0087) = 10.7469 +32'h40857072,32'h3ef5b6b0,32'h3effbe25, 32'h3eee3119,32'h3f03a1df, 32'h3ee1a7c7,32'h3f09e688,// invsqrt(4.1700) = 0.4897 +32'h3fa4cb3b,32'h3f5d1b22,32'h3f662176, 32'h3f565662,32'h3f6ce636, 32'h3f4b0e78,32'h3f782e20,// invsqrt(1.2875) = 0.8813 +32'h3fa7e10a,32'h3f5b109c,32'h3f64019e, 32'h3f545bdc,32'h3f6ab65e, 32'h3f492e9a,32'h3f75e3a0,// invsqrt(1.3116) = 0.8732 +32'h3f5cca87,32'h3f87126c,32'h3f8c95ca, 32'h3f82efe6,32'h3f90b850, 32'h3f781764,32'h3f979c84,// invsqrt(0.8625) = 1.0768 +32'h3fcd6f8e,32'h3f4607ea,32'h3f4e1d23, 32'h3f3ff800,32'h3f542d0e, 32'h3f35dd7a,32'h3f5e4795,// invsqrt(1.6050) = 0.7893 +32'h3f7cce4e,32'h3f7c75bd,32'h3f8361d8, 32'h3f74bb46,32'h3f873f13, 32'h3f67d9d7,32'h3f8dafca,// invsqrt(0.9875) = 1.0063 +32'h3fceaf38,32'h3f456e8b,32'h3f4d7d81, 32'h3f3f6352,32'h3f5388ba, 32'h3f35509f,32'h3f5d9b6d,// invsqrt(1.6147) = 0.7870 +32'h3f841056,32'h3f76fd67,32'h3f808919, 32'h3f6f6dcf,32'h3f8450e5, 32'h3f62d3d1,32'h3f8a9de3,// invsqrt(1.0317) = 0.9845 +32'h3fb4e02e,32'h3f530c3f,32'h3f5ba97b, 32'h3f4c9652,32'h3f621f68, 32'h3f41d1c7,32'h3f6ce3f3,// invsqrt(1.4131) = 0.8412 +32'h3f802b24,32'h3f7ab70b,32'h3f827961, 32'h3f730a42,32'h3f864fc6, 32'h3f663f9d,32'h3f8cb519,// invsqrt(1.0013) = 0.9993 +32'h3ec011cc,32'h3fccce3b,32'h3fd52a3d, 32'h3fc68939,32'h3fdb6f3f, 32'h3fbc1636,32'h3fe5e242,// invsqrt(0.3751) = 1.6327 +32'h3ec48ae5,32'h3fca762d,32'h3fd2b9b1, 32'h3fc44389,32'h3fd8ec55, 32'h3fb9ef24,32'h3fe340ba,// invsqrt(0.3839) = 1.6140 +32'h3e6ca6c9,32'h4002779b,32'h4007cadb, 32'h3ffcf256,32'h400bc94b, 32'h3fefa237,32'h4012715a,// invsqrt(0.2311) = 2.0802 +32'h3f9acafe,32'h3f642309,32'h3f6d72d5, 32'h3f5d2730,32'h3f746eae, 32'h3f518371,32'h3f800937,// invsqrt(1.2093) = 0.9093 +32'h3f85d8e9,32'h3f7556bb,32'h3f7f5a45, 32'h3f6dd413,32'h3f836e76, 32'h3f614fa6,32'h3f89b0ad,// invsqrt(1.0457) = 0.9779 +32'h3f803e9f,32'h3f7aa400,32'h3f826f78, 32'h3f72f7cc,32'h3f864592, 32'h3f662e1f,32'h3f8caa68,// invsqrt(1.0019) = 0.9990 +32'h3f4e9f10,32'h3f8ba06f,32'h3f915363, 32'h3f875a37,32'h3f95999b, 32'h3f803a85,32'h3f9cb94d,// invsqrt(0.8071) = 1.1131 +32'h3e269e85,32'h401b7c9e,32'h4021d54c, 32'h4016ba1c,32'h402697ce, 32'h400ecb43,32'h402e86a7,// invsqrt(0.1627) = 2.4791 +32'h3e66d798,32'h40041943,32'h40097d8f, 32'h40000e0a,32'h400d88c8, 32'h3ff2a157,32'h40144627,// invsqrt(0.2254) = 2.1062 +32'h4014aac0,32'h3f249b70,32'h3f2b536a, 32'h3f1f9174,32'h3f305d66, 32'h3f172b7c,32'h3f38c35e,// invsqrt(2.3229) = 0.6561 +32'h3f42457f,32'h3f8fff11,32'h3f95dfaf, 32'h3f8b969b,32'h3f9a4825, 32'h3f843dd6,32'h3fa1a0ea,// invsqrt(0.7589) = 1.1479 +32'h42b8cbb3,32'h3dd0cc23,32'h3dd951db, 32'h3dca67d9,32'h3ddfb625, 32'h3dbfc0b2,32'h3dea5d4c,// invsqrt(92.3979) = 0.1040 +32'h40167dad,32'h3f239b4c,32'h3f2a48d1, 32'h3f1e9927,32'h3f2f4af5, 32'h3f164040,32'h3f37a3dc,// invsqrt(2.3514) = 0.6521 +32'h3f3bb682,32'h3f927d86,32'h3f987832, 32'h3f8e0184,32'h3f9cf434, 32'h3f86882c,32'h3fa46d8c,// invsqrt(0.7333) = 1.1678 +32'h40bf989e,32'h3ecd0ef5,32'h3ed56d9c, 32'h3ec6c7f9,32'h3edbb499, 32'h3ebc51a8,32'h3ee62aea,// invsqrt(5.9874) = 0.4087 +32'h3ecbb165,32'h3fc6e055,32'h3fcefe63, 32'h3fc0c9cb,32'h3fd514ed, 32'h3fb6a439,32'h3fdf3a7f,// invsqrt(0.3978) = 1.5854 +32'h3d85f20f,32'h40753fb1,32'h407f424b, 32'h406dbdbe,32'h4083621f, 32'h40613a7e,32'h4089a3bf,// invsqrt(0.0654) = 3.9102 +32'h3fd5a5af,32'h3f423022,32'h3f4a1d33, 32'h3f3c3e55,32'h3f500eff, 32'h3f3255ff,32'h3f59f755,// invsqrt(1.6691) = 0.7740 +32'h3f564e0b,32'h3f8919cf,32'h3f8eb25f, 32'h3f84e763,32'h3f92e4cb, 32'h3f7bd15d,32'h3f99e380,// invsqrt(0.8371) = 1.0930 +32'h3e988285,32'h3fe5d690,32'h3fef3823, 32'h3fdecd62,32'h3ff64152, 32'h3fd3136b,32'h4000fda5,// invsqrt(0.2979) = 1.8323 +32'h3f81d045,32'h3f791f13,32'h3f81a512, 32'h3f717ec6,32'h3f857538, 32'h3f64c8f2,32'h3f8bd022,// invsqrt(1.0142) = 0.9930 +32'h3f2d7c58,32'h3f9860f0,32'h3f9e9924, 32'h3f93b6ca,32'h3fa3434a, 32'h3f8bf089,32'h3fab098b,// invsqrt(0.6777) = 1.2148 +32'h40c0f94d,32'h3ecc533d,32'h3ed4aa3a, 32'h3ec611fe,32'h3edaeb78, 32'h3ebba542,32'h3ee55834,// invsqrt(6.0304) = 0.4072 +32'h3fa9a148,32'h3f59ee6c,32'h3f62d396, 32'h3f53428e,32'h3f697f74, 32'h3f48241a,32'h3f749de8,// invsqrt(1.3252) = 0.8687 +32'h3fae2d74,32'h3f57114d,32'h3f5fd889, 32'h3f507bdf,32'h3f666df7, 32'h3f4582d4,32'h3f716703,// invsqrt(1.3608) = 0.8573 +32'h3f713e12,32'h3f813847,32'h3f867e7e, 32'h3f7a873a,32'h3f8a7327, 32'h3f6d57b0,32'h3f910aec,// invsqrt(0.9424) = 1.0301 +32'h3f915f05,32'h3f6b69ee,32'h3f7505c3, 32'h3f64350d,32'h3f7c3aa3, 32'h3f583242,32'h3f841eb7,// invsqrt(1.1357) = 0.9384 +32'h3f7cebb0,32'h3f7c6713,32'h3f835a36, 32'h3f74ad10,32'h3f873738, 32'h3f67cc60,32'h3f8da790,// invsqrt(0.9880) = 1.0061 +32'h406ed89e,32'h3f01ddce,32'h3f072ac7, 32'h3efbc828,32'h3f0b2482, 32'h3eee87ba,32'h3f11c4b9,// invsqrt(3.7320) = 0.5176 +32'h3e7a3b6f,32'h3ffdc142,32'h40040e5f, 32'h3ff5fca6,32'h4007f0ad, 32'h3fe90a4d,32'h400e69da,// invsqrt(0.2444) = 2.0229 +32'h4113d09e,32'h3ea514b8,32'h3eabd1a5, 32'h3ea00705,32'h3eb0df57, 32'h3e979add,32'h3eb94b7f,// invsqrt(9.2384) = 0.3290 +32'h3ee4b4b5,32'h3fbbafab,32'h3fc358cd, 32'h3fb5f0d3,32'h3fc917a5, 32'h3fac5d69,32'h3fd2ab0f,// invsqrt(0.4467) = 1.4962 +32'h3fae8c25,32'h3f56d6ee,32'h3f5f9bc9, 32'h3f50434b,32'h3f662f6d, 32'h3f454d39,32'h3f71257f,// invsqrt(1.3637) = 0.8563 +32'h3dde908a,32'h403e420e,32'h4046060e, 32'h40386f0d,32'h404bd90f, 32'h402eba0d,32'h40558e0f,// invsqrt(0.1087) = 3.0335 +32'h3fbf1d7e,32'h3f4d50f8,32'h3f55b251, 32'h3f4707f6,32'h3f5bfb54, 32'h3f3c8e48,32'h3f667502,// invsqrt(1.4931) = 0.8184 +32'h4024eb06,32'h3f1c4963,32'h3f22aa6c, 32'h3f17809b,32'h3f277333, 32'h3f0f8750,32'h3f2f6c7e,// invsqrt(2.5768) = 0.6230 +32'h3fc398b9,32'h3f4af35d,32'h3f533bfd, 32'h3f44bce4,32'h3f597276, 32'h3f3a621c,32'h3f63cd3e,// invsqrt(1.5281) = 0.8090 +32'h3f5d7ada,32'h3f86dc9d,32'h3f8c5dc8, 32'h3f82bbbd,32'h3f907ea9, 32'h3f77b48f,32'h3f97601e,// invsqrt(0.8652) = 1.0751 +32'h3fb8b1dc,32'h3f50dabd,32'h3f59610e, 32'h3f4a7601,32'h3f5fc5cb, 32'h3f3fce1c,32'h3f6a6db0,// invsqrt(1.4429) = 0.8325 +32'h3f84519b,32'h3f76c075,32'h3f806961, 32'h3f6f32bb,32'h3f84303f, 32'h3f629bd9,32'h3f8a7baf,// invsqrt(1.0337) = 0.9835 +32'h3f674caf,32'h3f83f7cf,32'h3f895abd, 32'h3f7fdb38,32'h3f8d64f0, 32'h3f7263e4,32'h3f94209a,// invsqrt(0.9035) = 1.0520 +32'h3f69ae73,32'h3f834b30,32'h3f88a713, 32'h3f7e8c8d,32'h3f8cabfd, 32'h3f7126d7,32'h3f935ed9,// invsqrt(0.9128) = 1.0467 +32'h3eeb26f0,32'h3fb91887,32'h3fc0a697, 32'h3fb36dfb,32'h3fc65123, 32'h3fa9fc67,32'h3fcfc2b7,// invsqrt(0.4593) = 1.4756 +32'h41e963ed,32'h3e39cb09,32'h3e416062, 32'h3e341b06,32'h3e471064, 32'h3e2aa056,32'h3e508b14,// invsqrt(29.1738) = 0.1851 +32'h3f03e0f8,32'h3faec54b,32'h3fb5e777, 32'h3fa96ba9,32'h3fbb4119, 32'h3fa080f1,32'h3fc42bd1,// invsqrt(0.5152) = 1.3933 +32'h3fa15880,32'h3f5f74c7,32'h3f6893aa, 32'h3f589d9c,32'h3f6f6ad4, 32'h3f4d36ff,32'h3f7ad171,// invsqrt(1.2605) = 0.8907 +32'h3db1bab5,32'h4054e863,32'h405d990e, 32'h404e63e2,32'h40641d8e, 32'h4043870c,32'h406efa64,// invsqrt(0.0868) = 3.3946 +32'h3ca3c417,32'h40ddcc7e,32'h40e6da10, 32'h40d70250,32'h40eda43e, 32'h40cbb15a,32'h40f8f535,// invsqrt(0.0200) = 7.0727 +32'h3ee0c7ce,32'h3fbd5163,32'h3fc50b91, 32'h3fb785c1,32'h3fcad733, 32'h3faddd07,32'h3fd47fed,// invsqrt(0.4390) = 1.5092 +32'h3fb345a3,32'h3f53fd5d,32'h3f5ca471, 32'h3f4d800f,32'h3f6321bf, 32'h3f42af36,32'h3f6df298,// invsqrt(1.4006) = 0.8450 +32'h3eff6020,32'h3fb19da0,32'h3fb8dd88, 32'h3fac2db3,32'h3fbe4d75, 32'h3fa31dd2,32'h3fc75d56,// invsqrt(0.4988) = 1.4159 +32'h3f1c8eac,32'h3fa067d1,32'h3fa6f3e4, 32'h3f9b7ec3,32'h3fabdcf3, 32'h3f934fac,32'h3fb40c0b,// invsqrt(0.6116) = 1.2787 +32'h3f8ce860,32'h3f6f1cfe,32'h3f78df7c, 32'h3f67cb20,32'h3f8018ad, 32'h3f5b9804,32'h3f86323b,// invsqrt(1.1008) = 0.9531 +32'h3faf84ee,32'h3f563e76,32'h3f5efd18, 32'h3f4faf7d,32'h3f658c11, 32'h3f44c133,32'h3f707a5b,// invsqrt(1.3712) = 0.8540 +32'h3f794ef8,32'h3f7e397d,32'h3f844cf0, 32'h3f767132,32'h3f883115, 32'h3f6978b6,32'h3f8ead53,// invsqrt(0.9739) = 1.0133 +32'h3ff76eb3,32'h3f3471b2,32'h3f3bcf26, 32'h3f2eeb9a,32'h3f41553e, 32'h3f25b6c8,32'h3f4a8a10,// invsqrt(1.9331) = 0.7192 +32'h3ef49ce1,32'h3fb57b2a,32'h3fbce375, 32'h3fafecf2,32'h3fc271ae, 32'h3fa6aa95,32'h3fcbb40b,// invsqrt(0.4778) = 1.4468 +32'h40531140,32'h3f0a25f5,32'h3f0fc977, 32'h3f05eb53,32'h3f140419, 32'h3efdbde1,32'h3f1b107b,// invsqrt(3.2979) = 0.5507 +32'h3f1e135f,32'h3f9fa221,32'h3fa62622, 32'h3f9abf1f,32'h3fab0923, 32'h3f929a1e,32'h3fb32e24,// invsqrt(0.6175) = 1.2726 +32'h400571d9,32'h3f2dbe01,32'h3f34d56f, 32'h3f286c6f,32'h3f3a2701, 32'h3f1f8f26,32'h3f43044a,// invsqrt(2.0851) = 0.6925 +32'h3fbb0ca9,32'h3f4f8923,32'h3f5801ad, 32'h3f492ebc,32'h3f5e5c14, 32'h3f3e9811,32'h3f68f2bf,// invsqrt(1.4613) = 0.8272 +32'h3f43df9a,32'h3f8f6804,32'h3f954277, 32'h3f8b042d,32'h3f99a64d, 32'h3f83b31d,32'h3fa0f75d,// invsqrt(0.7651) = 1.1432 +32'h3ed5dade,32'h3fc217fb,32'h3fca040f, 32'h3fbc26eb,32'h3fcff51f, 32'h3fb23fd1,32'h3fd9dc39,// invsqrt(0.4177) = 1.5473 +32'h3f1bbfbe,32'h3fa0d23d,32'h3fa762a7, 32'h3f9be5ec,32'h3fac4ef8, 32'h3f93b167,32'h3fb4837d,// invsqrt(0.6084) = 1.2821 +32'h3fae2d1d,32'h3f571182,32'h3f5fd8c1, 32'h3f507c14,32'h3f666e30, 32'h3f458305,32'h3f71673f,// invsqrt(1.3608) = 0.8573 +32'h3eb50c26,32'h3fd2f29d,32'h3fdb8ecd, 32'h3fcc7d79,32'h3fe203f1, 32'h3fc1ba3c,32'h3fecc72e,// invsqrt(0.3536) = 1.6817 +32'h3f5cd2a3,32'h3f870ff1,32'h3f8c9335, 32'h3f82ed7f,32'h3f90b5a7, 32'h3f7812d5,32'h3f9799bb,// invsqrt(0.8626) = 1.0767 +32'h3dff838c,32'h40319150,32'h4038d0b6, 32'h402c21c3,32'h403e4043, 32'h40231283,32'h40474f83,// invsqrt(0.1248) = 2.8311 +32'h3f33c39b,32'h3f95b1ae,32'h3f9bcdd4, 32'h3f911c91,32'h3fa062f1, 32'h3f897961,32'h3fa80621,// invsqrt(0.7022) = 1.1934 +32'h3fc14eea,32'h3f4c25f9,32'h3f547b1d, 32'h3f45e61e,32'h3f5abaf8, 32'h3f3b7bb0,32'h3f652566,// invsqrt(1.5102) = 0.8137 +32'h4043c68a,32'h3f0f7131,32'h3f154c04, 32'h3f0b0d13,32'h3f19b023, 32'h3f03bb8c,32'h3f2101aa,// invsqrt(3.0590) = 0.5718 +32'h3f1caaee,32'h3fa05959,32'h3fa6e4d5, 32'h3f9b70bc,32'h3fabcd72, 32'h3f934262,32'h3fb3fbcd,// invsqrt(0.6120) = 1.2783 +32'h40c0290e,32'h3eccc1d6,32'h3ed51d56, 32'h3ec67d35,32'h3edb61f7, 32'h3ebc0ad4,32'h3ee5d458,// invsqrt(6.0050) = 0.4081 +32'h3e09d7bc,32'h402af2b3,32'h4031ecee, 32'h4025b706,32'h4037289a, 32'h401cfe3b,32'h403fe165,// invsqrt(0.1346) = 2.7256 +32'h40e34f48,32'h3ebc4301,32'h3ec3f225, 32'h3eb67fa5,32'h3ec9b581, 32'h3eace4b8,32'h3ed3506f,// invsqrt(7.1034) = 0.3752 +32'h3eace379,32'h3fd7de29,32'h3fe0adc3, 32'h3fd14276,32'h3fe74976, 32'h3fc63ef7,32'h3ff24cf5,// invsqrt(0.3377) = 1.7209 +32'h41ce49d9,32'h3e459f08,32'h3e4daff8, 32'h3e3f9253,32'h3e53bcad, 32'h3e357d26,32'h3e5dd1da,// invsqrt(25.7861) = 0.1969 +32'h3f3af043,32'h3f92cb1e,32'h3f98c8f6, 32'h3f8e4cbd,32'h3f9d4757, 32'h3f86cf6f,32'h3fa4c4a5,// invsqrt(0.7302) = 1.1702 +32'h401e2abe,32'h3f1f9655,32'h3f2619db, 32'h3f1ab3b0,32'h3f2afc80, 32'h3f128f49,32'h3f3320e7,// invsqrt(2.4714) = 0.6361 +32'h41122654,32'h3ea604ca,32'h3eaccb84, 32'h3ea0efbf,32'h3eb1e08f, 32'h3e987756,32'h3eba58f8,// invsqrt(9.1344) = 0.3309 +32'h3d7fdddc,32'h407af204,32'h40829812, 32'h4073436d,32'h40866f5e, 32'h406675c5,32'h408cd631,// invsqrt(0.0625) = 4.0010 +32'h405392ad,32'h3f09fbae,32'h3f0f9d76, 32'h3f05c258,32'h3f13d6cc, 32'h3efd703a,32'h3f1ae107,// invsqrt(3.3058) = 0.5500 +32'h3fb0af28,32'h3f558959,32'h3f5e4097, 32'h3f4effec,32'h3f64ca04, 32'h3f441adf,32'h3f6faf11,// invsqrt(1.3803) = 0.8512 +32'h3d909775,32'h406c0c2b,32'h4075ae9f, 32'h4064d253,32'h407ce877, 32'h4058c741,32'h408479c4,// invsqrt(0.0706) = 3.7635 +32'h3f77234a,32'h3f7f56ab,32'h3f84e159, 32'h3f7785a5,32'h3f88c9db, 32'h3f6a7e9d,32'h3f8f4d60,// invsqrt(0.9654) = 1.0178 +32'h3d8b65c4,32'h407067ae,32'h407a37ab, 32'h40690bb1,32'h4080c9d4, 32'h405cc7b5,32'h4086ebd2,// invsqrt(0.0681) = 3.8330 +32'h4104d7cd,32'h3eae22a1,32'h3eb53e2a, 32'h3ea8cdfa,32'h3eba92d0, 32'h3e9feb8e,32'h3ec3753c,// invsqrt(8.3027) = 0.3470 +32'h3f59b1f9,32'h3f88076e,32'h3f8d94cc, 32'h3f83dd68,32'h3f91bed2, 32'h3f79d967,32'h3f98af86,// invsqrt(0.8504) = 1.0844 +32'h3b280857,32'h419ad4dc,32'h41a126b1, 32'h4196177d,32'h41a5e411, 32'h418e3133,32'h41adca5b,// invsqrt(0.0026) = 19.7489 +32'h3dffd166,32'h40317649,32'h4038b495, 32'h402c0790,32'h403e234e, 32'h4022f9b1,32'h4047312d,// invsqrt(0.1249) = 2.8294 +32'h3fa16084,32'h3f5f6f3a,32'h3f688de4, 32'h3f58983b,32'h3f6f64e3, 32'h3f4d31e7,32'h3f7acb37,// invsqrt(1.2608) = 0.8906 +32'h3f3e567f,32'h3f917a09,32'h3f976a1e, 32'h3f8d05f8,32'h3f9bde2e, 32'h3f8599de,32'h3fa34a48,// invsqrt(0.7435) = 1.1597 +32'h3ebde37e,32'h3fcdfa74,32'h3fd662b8, 32'h3fc7ac42,32'h3fdcb0ea, 32'h3fbd29ed,32'h3fe7333f,// invsqrt(0.3709) = 1.6420 +32'h40f80000,32'h3eb43cce,32'h3ebb981a, 32'h3eaeb855,32'h3ec11c93, 32'h3ea58635,32'h3eca4eb3,// invsqrt(7.7500) = 0.3592 +32'h3e4fbdf9,32'h400b3fe2,32'h4010eee6, 32'h4006fc9f,32'h40153229, 32'h3fffc3b4,32'h401c4cee,// invsqrt(0.2029) = 2.2202 +32'h3f31e593,32'h3f967a47,32'h3f9c9e9d, 32'h3f91df06,32'h3fa139de, 32'h3f8a319a,32'h3fa8e74a,// invsqrt(0.6949) = 1.1996 +32'h3f7a057b,32'h3f7ddca2,32'h3f841c9d, 32'h3f76172f,32'h3f87ff57, 32'h3f692370,32'h3f8e7936,// invsqrt(0.9766) = 1.0119 +32'h3f6c77b0,32'h3f828499,32'h3f87d861, 32'h3f7d0b87,32'h3f8bd736, 32'h3f6fba14,32'h3f927ff0,// invsqrt(0.9237) = 1.0405 +32'h3f9a610c,32'h3f647144,32'h3f6dc441, 32'h3f5d7305,32'h3f74c27f, 32'h3f51cb48,32'h3f80351e,// invsqrt(1.2061) = 0.9106 +32'h403cabbf,32'h3f121e32,32'h3f1814fb, 32'h3f0da51c,32'h3f1c8e12, 32'h3f0630a2,32'h3f24028c,// invsqrt(2.9480) = 0.5824 +32'h3f9d4152,32'h3f625802,32'h3f6b9512, 32'h3f5b6a36,32'h3f7282de, 32'h3f4fdde3,32'h3f7e0f31,// invsqrt(1.2286) = 0.9022 +32'h400d7dbb,32'h3f28bacb,32'h3f2f9dd8, 32'h3f239080,32'h3f34c822, 32'h3f1af4af,32'h3f3d63f3,// invsqrt(2.2108) = 0.6726 +32'h3eec657d,32'h3fb89ba7,32'h3fc0249f, 32'h3fb2f4ee,32'h3fc5cb58, 32'h3fa989b9,32'h3fcf368d,// invsqrt(0.4617) = 1.4717 +32'h3e3421be,32'h40158a8b,32'h401ba519, 32'h4010f6a1,32'h40203903, 32'h40095570,32'h4027da34,// invsqrt(0.1759) = 2.3843 +32'h40f92d8c,32'h3eb3cf9e,32'h3ebb2675, 32'h3eae4e7c,32'h3ec0a796, 32'h3ea521ee,32'h3ec9d424,// invsqrt(7.7868) = 0.3584 +32'h3dcb061e,32'h40473427,32'h404f55a1, 32'h40411b0c,32'h40556ebc, 32'h4036f134,32'h405f9894,// invsqrt(0.0991) = 3.1761 +32'h3f26b678,32'h3f9b7172,32'h3fa1c9ab, 32'h3f96af48,32'h3fa68bd6, 32'h3f8ec101,32'h3fae7a1d,// invsqrt(0.6512) = 1.2392 +32'h3ea1b783,32'h3fdf3319,32'h3fe84f4e, 32'h3fd85df0,32'h3fef2476, 32'h3fccfaae,32'h3ffa87b8,// invsqrt(0.3159) = 1.7793 +32'h3fcf05d9,32'h3f454538,32'h3f4d527e, 32'h3f3f3b43,32'h3f535c73, 32'h3f352aac,32'h3f5d6d0b,// invsqrt(1.6174) = 0.7863 +32'h3f6269f3,32'h3f85625e,32'h3f8ad418, 32'h3f814d12,32'h3f8ee964, 32'h3f74fdd1,32'h3f95b78e,// invsqrt(0.8844) = 1.0633 +32'h3dcbaa9d,32'h4046e3a5,32'h404f01d5, 32'h4040cd00,32'h4055187a, 32'h4036a744,32'h405f3e36,// invsqrt(0.0994) = 3.1711 +32'h40b150f2,32'h3ed527d8,32'h3edddb1a, 32'h3ecea166,32'h3ee4618c, 32'h3ec3c153,32'h3eef419f,// invsqrt(5.5411) = 0.4248 +32'h3e5270d0,32'h400a5a95,32'h4010003d, 32'h40061e57,32'h40143c7b, 32'h3ffe1e8a,32'h401b4b8d,// invsqrt(0.2055) = 2.2059 +32'h3f4e4471,32'h3f8bbf17,32'h3f91734d, 32'h3f8777f0,32'h3f95ba74, 32'h3f8056ad,32'h3f9cdbb7,// invsqrt(0.8057) = 1.1141 +32'h3f2cbdc1,32'h3f98b4e9,32'h3f9ef08a, 32'h3f940830,32'h3fa39d42, 32'h3f8c3da6,32'h3fab67cc,// invsqrt(0.6748) = 1.2174 +32'h3f8ef682,32'h3f6d6368,32'h3f7713e0, 32'h3f661f0f,32'h3f7e5839, 32'h3f5a027a,32'h3f853a67,// invsqrt(1.1169) = 0.9462 +32'h40b03f36,32'h3ed5cd1f,32'h3ede8721, 32'h3ecf419e,32'h3ee512a2, 32'h3ec4591d,32'h3eeffb23,// invsqrt(5.5077) = 0.4261 +32'h41283b2a,32'h3e9abd77,32'h3ea10e57, 32'h3e9600cf,32'h3ea5caff, 32'h3e8e1bb6,32'h3eadb018,// invsqrt(10.5144) = 0.3084 +32'h3e06e033,32'h402cd16a,32'h4033df30, 32'h40278716,32'h40392984, 32'h401eb5df,32'h4041fabb,// invsqrt(0.1317) = 2.7554 +32'h3f8fdfa2,32'h3f6ca2c6,32'h3f764b61, 32'h3f656453,32'h3f7d89d5, 32'h3f595192,32'h3f84ce4b,// invsqrt(1.1240) = 0.9432 +32'h3f9cabba,32'h3f62c3f8,32'h3f6c0570, 32'h3f5bd2de,32'h3f72f68a, 32'h3f504108,32'h3f7e8860,// invsqrt(1.2240) = 0.9039 +32'h4340faab,32'h3d907a4a,32'h3d965ff0, 32'h3d8c0e0f,32'h3d9acc2b, 32'h3d84af00,32'h3da22b3a,// invsqrt(192.9792) = 0.0720 +32'h3fa5a1f9,32'h3f5c8b9e,32'h3f658c18, 32'h3f55cb43,32'h3f6c4c73, 32'h3f4a8aac,32'h3f778d0b,// invsqrt(1.2940) = 0.8791 +32'h401791b0,32'h3f230610,32'h3f29ad7e, 32'h3f1e087d,32'h3f2eab11, 32'h3f15b733,32'h3f36fc5b,// invsqrt(2.3683) = 0.6498 +32'h3fd41a26,32'h3f42e4de,32'h3f4ad950, 32'h3f3ced89,32'h3f50d0a5, 32'h3f32fbfb,32'h3f5ac233,// invsqrt(1.6570) = 0.7768 +32'h3f500f1a,32'h3f8b24ba,32'h3f90d2a2, 32'h3f86e24c,32'h3f951510, 32'h3f7f91d3,32'h3f9c2e73,// invsqrt(0.8127) = 1.1092 +32'h4067d50e,32'h3f03d0f9,32'h3f093251, 32'h3eff8fed,32'h3f0d3b54, 32'h3ef21c8f,32'h3f13f502,// invsqrt(3.6224) = 0.5254 +32'h3ee58cbd,32'h3fbb5745,32'h3fc2fccb, 32'h3fb59b21,32'h3fc8b8ef, 32'h3fac0c3a,32'h3fd247d6,// invsqrt(0.4483) = 1.4935 +32'h3ed73876,32'h3fc17a17,32'h3fc95fba, 32'h3fbb8ddd,32'h3fcf4bf5, 32'h3fb1aed2,32'h3fd92b00,// invsqrt(0.4204) = 1.5424 +32'h3eadf61e,32'h3fd7337e,32'h3fdffc20, 32'h3fd09d05,32'h3fe69299, 32'h3fc5a23a,32'h3ff18d64,// invsqrt(0.3398) = 1.7156 +32'h3f0dbda2,32'h3fa894bd,32'h3faf763d, 32'h3fa36b9d,32'h3fb49f5d, 32'h3f9ad1bd,32'h3fbd393d,// invsqrt(0.5537) = 1.3439 +32'h3f345fbb,32'h3f9570d7,32'h3f9b8a57, 32'h3f90ddb6,32'h3fa01d78, 32'h3f893dd5,32'h3fa7bd59,// invsqrt(0.7046) = 1.1913 +32'h3f182a23,32'h3fa2b452,32'h3fa9586a, 32'h3f9db940,32'h3fae537c, 32'h3f956c21,32'h3fb6a09b,// invsqrt(0.5944) = 1.2971 +32'h4054126e,32'h3f09d218,32'h3f0f722e, 32'h3f059a08,32'h3f13aa3e, 32'h3efd23d9,32'h3f1ab25a,// invsqrt(3.3136) = 0.5493 +32'h401dca5b,32'h3f1fc70b,32'h3f264c8f, 32'h3f1ae2e9,32'h3f2b30b1, 32'h3f12bc05,32'h3f335795,// invsqrt(2.4655) = 0.6369 +32'h3fbce176,32'h3f4e86f6,32'h3f56f4f5, 32'h3f483476,32'h3f5d4774, 32'h3f3daaf6,32'h3f67d0f4,// invsqrt(1.4756) = 0.8232 +32'h40792725,32'h3efe4dcd,32'h3f045782, 32'h3ef684e3,32'h3f083bf7, 32'h3ee98b5e,32'h3f0eb8b9,// invsqrt(3.8930) = 0.5068 +32'h3ec4ff83,32'h3fca3a37,32'h3fd27b49, 32'h3fc4096a,32'h3fd8ac16, 32'h3fb9b813,32'h3fe2fd6d,// invsqrt(0.3848) = 1.6121 +32'h3f885013,32'h3f731c25,32'h3f7d0865, 32'h3f6baaf5,32'h3f823ccb, 32'h3f5f43a4,32'h3f887073,// invsqrt(1.0649) = 0.9690 +32'h3fc1a8ff,32'h3f4bf678,32'h3f5449ac, 32'h3f45b811,32'h3f5a8813, 32'h3f3b5010,32'h3f64f014,// invsqrt(1.5130) = 0.8130 +32'h3f62dd97,32'h3f85405a,32'h3f8ab0b2, 32'h3f812c19,32'h3f8ec4f3, 32'h3f74bf58,32'h3f959160,// invsqrt(0.8862) = 1.0623 +32'h406d20a1,32'h3f025612,32'h3f07a7f4, 32'h3efcb152,32'h3f0ba55d, 32'h3eef649f,32'h3f124bb6,// invsqrt(3.7051) = 0.5195 +32'h3e6bcc52,32'h4002b3fe,32'h400809b4, 32'h3ffd6769,32'h400c09fd, 32'h3ff01120,32'h4012b522,// invsqrt(0.2303) = 2.0839 +32'h403531fc,32'h3f151a0a,32'h3f1b3000, 32'h3f108992,32'h3f1fc078, 32'h3f08ee1e,32'h3f275bec,// invsqrt(2.8312) = 0.5943 +32'h3f7a0f18,32'h3f7dd7c0,32'h3f841a13, 32'h3f761275,32'h3f87fcba, 32'h3f691ef5,32'h3f8e7679,// invsqrt(0.9768) = 1.0118 +32'h4092f102,32'h3eea270d,32'h3ef3b5b5, 32'h3ee2fc0f,32'h3efae0b3, 32'h3ed709be,32'h3f036982,// invsqrt(4.5919) = 0.4667 +32'h3f59cd6c,32'h3f87fedc,32'h3f8d8be0, 32'h3f83d519,32'h3f91b5a3, 32'h3f79c9a9,32'h3f98a5e7,// invsqrt(0.8508) = 1.0841 +32'h418379b7,32'h3e778ab9,32'h3e80d2a4, 32'h3e6ff6ce,32'h3e849c9a, 32'h3e63559a,32'h3e8aed34,// invsqrt(16.4344) = 0.2467 +32'h3feb1c9e,32'h3f391c97,32'h3f40aad1, 32'h3f3371eb,32'h3f46557d, 32'h3f2a0022,32'h3f4fc746,// invsqrt(1.8368) = 0.7378 +32'h3dd55c95,32'h40425163,32'h404a3fcf, 32'h403c5e91,32'h405032a1, 32'h4032748a,32'h405a1ca8,// invsqrt(0.1042) = 3.0982 +32'h408ab490,32'h3ef1010e,32'h3efad74d, 32'h3ee9a05e,32'h3f011bfe, 32'h3edd548f,32'h3f0741e6,// invsqrt(4.3345) = 0.4803 +32'h3fb245a6,32'h3f54955b,32'h3f5d42a2, 32'h3f4e1364,32'h3f63c498, 32'h3f433acb,32'h3f6e9d31,// invsqrt(1.3928) = 0.8474 +32'h3e4066cf,32'h4010b1c4,32'h401699ac, 32'h400c43d5,32'h401b079b, 32'h4004e1f2,32'h4022697e,// invsqrt(0.1879) = 2.3070 +32'h3f302e23,32'h3f97357d,32'h3f9d6177, 32'h3f929481,32'h3fa20273, 32'h3f8add87,32'h3fa9b96d,// invsqrt(0.6882) = 1.2054 +32'h3ee49cbf,32'h3fbbb981,32'h3fc36309, 32'h3fb5fa5b,32'h3fc9222f, 32'h3fac6671,32'h3fd2b619,// invsqrt(0.4465) = 1.4965 +32'h3f5e9a6b,32'h3f868565,32'h3f8c0301, 32'h3f826730,32'h3f902136, 32'h3f77145c,32'h3f96fe38,// invsqrt(0.8695) = 1.0724 +32'h3f332ba4,32'h3f95f11c,32'h3f9c0fd9, 32'h3f915a0e,32'h3fa0a6e6, 32'h3f89b3a1,32'h3fa84d53,// invsqrt(0.6999) = 1.1953 +32'h4127b3db,32'h3e9afbd7,32'h3ea14f43, 32'h3e963d46,32'h3ea60dd4, 32'h3e8e54ff,32'h3eadf61b,// invsqrt(10.4814) = 0.3089 +32'h3c2d6822,32'h411869d1,32'h411ea261, 32'h4113bf65,32'h41234ccd, 32'h410bf8b0,32'h412b1382,// invsqrt(0.0106) = 9.7202 +32'h3cf779c5,32'h40b46da8,32'h40bbcaf3, 32'h40aee7b0,32'h40c150ec, 32'h40a5b313,32'h40ca8589,// invsqrt(0.0302) = 5.7535 +32'h3ee49a3f,32'h3fbbba88,32'h3fc3641a, 32'h3fb5fb5a,32'h3fc92348, 32'h3fac6762,32'h3fd2b740,// invsqrt(0.4465) = 1.4966 +32'h4006a8ba,32'h3f2cf4ff,32'h3f340439, 32'h3f27a994,32'h3f394fa4, 32'h3f1ed68c,32'h3f4222ac,// invsqrt(2.1040) = 0.6894 +32'h3f6fa509,32'h3f81a65f,32'h3f86f115, 32'h3f7b5cae,32'h3f8ae91d, 32'h3f6e21e8,32'h3f918680,// invsqrt(0.9361) = 1.0336 +32'h3f6fd912,32'h3f81984e,32'h3f86e270, 32'h3f7b4168,32'h3f8ada0a, 32'h3f6e0811,32'h3f9176b5,// invsqrt(0.9369) = 1.0331 +32'h40b84c7d,32'h3ed11426,32'h3ed99cce, 32'h3ecaada7,32'h3ee0034d, 32'h3ec002d4,32'h3eeaae20,// invsqrt(5.7593) = 0.4167 +32'h3fc2471b,32'h3f4ba368,32'h3f53f338, 32'h3f45678c,32'h3f5a2f14, 32'h3f3b03c8,32'h3f6492d8,// invsqrt(1.5178) = 0.8117 +32'h3e38021e,32'h4013f527,32'h4019ff29, 32'h400f6da6,32'h401e86aa, 32'h4007e124,32'h4026132c,// invsqrt(0.1797) = 2.3590 +32'h3fbe4001,32'h3f4dc859,32'h3f562e91, 32'h3f477baf,32'h3f5c7b3b, 32'h3f3cfbe9,32'h3f66fb01,// invsqrt(1.4863) = 0.8202 +32'h407f09e7,32'h3efb5a35,32'h3f02ce4b, 32'h3ef3a86e,32'h3f06a72f, 32'h3ee6d575,32'h3f0d10ab,// invsqrt(3.9850) = 0.5009 +32'h4297e695,32'h3de64c6b,32'h3defb2cd, 32'h3ddf3fa1,32'h3df6bf97, 32'h3dd37fa6,32'h3e013fc9,// invsqrt(75.9504) = 0.1147 +32'h3f93ac77,32'h3f699240,32'h3f731ad5, 32'h3f626bd1,32'h3f7a4145, 32'h3f568117,32'h3f831600,// invsqrt(1.1537) = 0.9310 +32'h401a3101,32'h3f21a1a8,32'h3f283a8a, 32'h3f1caefe,32'h3f2d2d34, 32'h3f146fe3,32'h3f356c4f,// invsqrt(2.4092) = 0.6443 +32'h3f1e3499,32'h3f9f915c,32'h3fa614ae, 32'h3f9aaede,32'h3faaf72c, 32'h3f928ab8,32'h3fb31b52,// invsqrt(0.6180) = 1.2721 +32'h3fc2a2bf,32'h3f4b7372,32'h3f53c14d, 32'h3f45390e,32'h3f59fbb2, 32'h3f3ad7bd,32'h3f645d03,// invsqrt(1.5206) = 0.8109 +32'h3ee2df4e,32'h3fbc7171,32'h3fc4227b, 32'h3fb6acaa,32'h3fc9e742, 32'h3fad0f5d,32'h3fd3848f,// invsqrt(0.4431) = 1.5023 +32'h3ef682e8,32'h3fb4c7ea,32'h3fbc28e4, 32'h3faf3f2f,32'h3fc1b19f, 32'h3fa605f6,32'h3fcaead8,// invsqrt(0.4815) = 1.4412 +32'h4006786e,32'h3f2d140c,32'h3f34248a, 32'h3f27c7ae,32'h3f3970e8, 32'h3f1ef310,32'h3f424586,// invsqrt(2.1011) = 0.6899 +32'h3f8a0a86,32'h3f71954f,32'h3f7b719c, 32'h3f6a3016,32'h3f816b6b, 32'h3f5ddcb7,32'h3f87951a,// invsqrt(1.0784) = 0.9629 +32'h41812bbe,32'h3e79bd88,32'h3e81f788, 32'h3e721862,32'h3e85ca1b, 32'h3e655a78,32'h3e8c2910,// invsqrt(16.1464) = 0.2489 +32'h3f922d5b,32'h3f6ac38d,32'h3f745897, 32'h3f6393c4,32'h3f7b8860, 32'h3f579977,32'h3f83c157,// invsqrt(1.1420) = 0.9358 +32'h3f7cf1a6,32'h3f7c6419,32'h3f8358aa, 32'h3f74aa2d,32'h3f8735a0, 32'h3f67c9a4,32'h3f8da5e4,// invsqrt(0.9881) = 1.0060 +32'h3ffe1921,32'h3f320fc5,32'h3f395455, 32'h3f2c9c59,32'h3f3ec7c1, 32'h3f2386a5,32'h3f47dd75,// invsqrt(1.9851) = 0.7097 +32'h3f166d2b,32'h3fa3a445,32'h3faa5229, 32'h3f9ea1db,32'h3faf5493, 32'h3f96487e,32'h3fb7adf0,// invsqrt(0.5876) = 1.3045 +32'h3fa04a89,32'h3f6030a4,32'h3f695733, 32'h3f5953ba,32'h3f70341e, 32'h3f4de388,32'h3f7ba450,// invsqrt(1.2523) = 0.8936 +32'h41a41310,32'h3e5d9717,32'h3e66a27b, 32'h3e56ce8c,32'h3e6d6b06, 32'h3e4b804e,32'h3e78b944,// invsqrt(20.5093) = 0.2208 +32'h3ef0465d,32'h3fb71cae,32'h3fbe9603, 32'h3fb181ad,32'h3fc43103, 32'h3fa82a02,32'h3fcd88ae,// invsqrt(0.4693) = 1.4598 +32'h40608f8f,32'h3f05eef7,32'h3f0b666f, 32'h3f01d55d,32'h3f0f8009, 32'h3ef6000f,32'h3f16555e,// invsqrt(3.5088) = 0.5339 +32'h3eef1f39,32'h3fb78d8c,32'h3fbf0b7c, 32'h3fb1ef17,32'h3fc4a9f1, 32'h3fa891aa,32'h3fce075e,// invsqrt(0.4670) = 1.4633 +32'h3f5aae04,32'h3f87b8f4,32'h3f8d431d, 32'h3f839154,32'h3f916abc, 32'h3f794942,32'h3f98576f,// invsqrt(0.8542) = 1.0820 +32'h3f2cc8ba,32'h3f98b00f,32'h3f9eeb7e, 32'h3f94037d,32'h3fa39811, 32'h3f8c3933,32'h3fab625b,// invsqrt(0.6749) = 1.2172 +32'h3f36f8fd,32'h3f946032,32'h3f9a6e93, 32'h3f8fd56b,32'h3f9ef95b, 32'h3f884373,32'h3fa68b53,// invsqrt(0.7147) = 1.1828 +32'h3e656354,32'h40048448,32'h4009ecf2, 32'h400075c8,32'h400dfb72, 32'h3ff365e7,32'h4014be46,// invsqrt(0.2240) = 2.1128 +32'h3ffb6a03,32'h3f330272,32'h3f3a50ea, 32'h3f2d8798,32'h3f3fcbc4, 32'h3f246583,32'h3f48edd9,// invsqrt(1.9642) = 0.7135 +32'h3e9d140b,32'h3fe2789f,32'h3febb703, 32'h3fdb89d3,32'h3ff2a5cf, 32'h3fcffbd6,32'h3ffe33cc,// invsqrt(0.3068) = 1.8054 +32'h3e8d2fa3,32'h3feee09e,32'h3ff8a0a4, 32'h3fe79099,32'h3ffff0a9, 32'h3fdb6091,32'h40061059,// invsqrt(0.2758) = 1.9043 +32'h3f5d3c7d,32'h3f86ef9e,32'h3f8c7190, 32'h3f82ce29,32'h3f909305, 32'h3f77d776,32'h3f977573,// invsqrt(0.8642) = 1.0757 +32'h41c7dd5d,32'h3e48c59d,32'h3e50f779, 32'h3e42a037,32'h3e571cdf, 32'h3e3861e4,32'h3e615b33,// invsqrt(24.9831) = 0.2001 +32'h3ecf2fd3,32'h3fc5313b,32'h3fcd3db1, 32'h3fbf27e3,32'h3fd34709, 32'h3fb51851,32'h3fdd569b,// invsqrt(0.4047) = 1.5720 +32'h3c1de775,32'h411fb852,32'h41263d3b, 32'h411ad4a2,32'h412b20ea, 32'h4112ae7f,32'h4133470d,// invsqrt(0.0096) = 10.1862 +32'h42824f61,32'h3df8a575,32'h3e0165c7, 32'h3df108e2,32'h3e053411, 32'h3de45942,32'h3e0b8be1,// invsqrt(65.1550) = 0.1239 +32'h3c578a66,32'h4108b50d,32'h410e4980, 32'h410485b6,32'h411278d6, 32'h40fb184b,32'h41197267,// invsqrt(0.0132) = 8.7186 +32'h410cd5a9,32'h3ea91f5b,32'h3eb00683, 32'h3ea3f1fd,32'h3eb533e1, 32'h3e9b510a,32'h3ebdd4d4,// invsqrt(8.8022) = 0.3371 +32'h3e437ea4,32'h400f8b8f,32'h40156776, 32'h400b26a3,32'h4019cc63, 32'h4003d3c3,32'h40211f43,// invsqrt(0.1909) = 2.2887 +32'h3f0d0eff,32'h3fa8fcf8,32'h3fafe2ba, 32'h3fa3d0a8,32'h3fb50f0a, 32'h3f9b3176,32'h3fbdae3c,// invsqrt(0.5510) = 1.3472 +32'h3e5bd8be,32'h40075c9f,32'h400ce303, 32'h400337d3,32'h401107cf, 32'h3ff89fab,32'h4017efcc,// invsqrt(0.2147) = 2.1582 +32'h3f0cbd63,32'h3fa92df0,32'h3fb015b0, 32'h3fa4001f,32'h3fb54381, 32'h3f9b5e6e,32'h3fbde532,// invsqrt(0.5498) = 1.3487 +32'h3e6002be,32'h40061909,32'h400b9239, 32'h4001fe26,32'h400fad1c, 32'h3ff64d55,32'h40168498,// invsqrt(0.2188) = 2.1380 +32'h40c2fcb9,32'h3ecb447c,32'h3ed3906c, 32'h3ec50b88,32'h3ed9c960, 32'h3ebaac9c,32'h3ee4284c,// invsqrt(6.0933) = 0.4051 +32'h3fdc6876,32'h3f3f2fc1,32'h3f46fd75, 32'h3f39557a,32'h3f4cd7bc, 32'h3f2f9458,32'h3f5698de,// invsqrt(1.7219) = 0.7621 +32'h3f11770e,32'h3fa668b1,32'h3fad337f, 32'h3fa15097,32'h3fb24b99, 32'h3f98d316,32'h3fbac91a,// invsqrt(0.5682) = 1.3266 +32'h3f5f0d0a,32'h3f8662d0,32'h3f8bdf03, 32'h3f8245ab,32'h3f8ffc29, 32'h3f76d4d8,32'h3f96d768,// invsqrt(0.8713) = 1.0713 +32'h425846db,32'h3e087970,32'h3e0e0b74, 32'h3e044bec,32'h3e1238f8, 32'h3dfaaacd,32'h3e192f7d,// invsqrt(54.0692) = 0.1360 +32'h3fec8a0f,32'h3f388d61,32'h3f4015c3, 32'h3f32e718,32'h3f45bc0c, 32'h3f297c9d,32'h3f4f2687,// invsqrt(1.8480) = 0.7356 +32'h3eb37362,32'h3fd3e256,32'h3fdc8850, 32'h3fcd65dc,32'h3fe304ca, 32'h3fc29664,32'h3fedd442,// invsqrt(0.3505) = 1.6891 +32'h3eaf53f1,32'h3fd65c62,32'h3fdf1c3c, 32'h3fcfcc7e,32'h3fe5ac20, 32'h3fc4dcae,32'h3ff09bf1,// invsqrt(0.3424) = 1.7089 +32'h3fe12b86,32'h3f3d2773,32'h3f44dfeb, 32'h3f375d19,32'h3f4aaa45, 32'h3f2db684,32'h3f5450da,// invsqrt(1.7591) = 0.7540 +32'h40d44c5b,32'h3ec2cdd1,32'h3ecac151, 32'h3ebcd730,32'h3ed0b7f2, 32'h3eb2e6cf,32'h3edaa853,// invsqrt(6.6343) = 0.3882 +32'h3fcf2fe9,32'h3f453131,32'h3f4d3da5, 32'h3f3f27d9,32'h3f5346fd, 32'h3f351847,32'h3f5d568f,// invsqrt(1.6186) = 0.7860 +32'h3f185738,32'h3fa29c3d,32'h3fa93f59, 32'h3f9da1e7,32'h3fae39af, 32'h3f955604,32'h3fb68593,// invsqrt(0.5951) = 1.2963 +32'h3f270da0,32'h3f9b48e0,32'h3fa19f72, 32'h3f9687f4,32'h3fa6605e, 32'h3f8e9bbe,32'h3fae4c94,// invsqrt(0.6526) = 1.2379 +32'h4022f637,32'h3f1d38d1,32'h3f23a3a1, 32'h3f1868b6,32'h3f2873bc, 32'h3f106333,32'h3f30793f,// invsqrt(2.5463) = 0.6267 +32'h3fcee6cb,32'h3f455405,32'h3f4d61e6, 32'h3f3f499d,32'h3f536c4f, 32'h3f353844,32'h3f5d7da8,// invsqrt(1.6164) = 0.7865 +32'h407ecba9,32'h3efb78e7,32'h3f02de44, 32'h3ef3c62f,32'h3f06b7a1, 32'h3ee6f1a6,32'h3f0d21e5,// invsqrt(3.9812) = 0.5012 +32'h3f6ac510,32'h3f82fd31,32'h3f8855e5, 32'h3f7df555,32'h3f8c586c, 32'h3f709794,32'h3f93074c,// invsqrt(0.9171) = 1.0442 +32'h40f20223,32'h3eb6747d,32'h3ebde6f5, 32'h3eb0dea3,32'h3ec37ccf, 32'h3ea78f8d,32'h3ecccbe5,// invsqrt(7.5628) = 0.3636 +32'h3ecf384a,32'h3fc52d34,32'h3fcd3980, 32'h3fbf23fc,32'h3fd342b8, 32'h3fb5149e,32'h3fdd5216,// invsqrt(0.4047) = 1.5719 +32'h3f6d2e95,32'h3f82523d,32'h3f87a3f6, 32'h3f7ca9e3,32'h3f8ba141, 32'h3f6f5d93,32'h3f924768,// invsqrt(0.9265) = 1.0389 +32'h3e935161,32'h3fe9da6a,32'h3ff365f1, 32'h3fe2b1c5,32'h3ffa8e97, 32'h3fd6c35d,32'h40033e80,// invsqrt(0.2877) = 1.8643 +32'h3f04b29a,32'h3fae3b08,32'h3fb55790, 32'h3fa8e5a2,32'h3fbaacf6, 32'h3fa001f8,32'h3fc390a0,// invsqrt(0.5184) = 1.3890 +32'h3f1f0201,32'h3f9f2a2a,32'h3fa5a946, 32'h3f9a4ad5,32'h3faa889b, 32'h3f922bf2,32'h3fb2a77e,// invsqrt(0.6211) = 1.2689 +32'h3e58ab86,32'h400859b8,32'h400dea72, 32'h40042d2e,32'h401216fc, 32'h3ffa708c,32'h40190be4,// invsqrt(0.2116) = 2.1740 +32'h4022b23a,32'h3f1d59a7,32'h3f23c5cd, 32'h3f18888a,32'h3f2896ea, 32'h3f10815b,32'h3f309e19,// invsqrt(2.5421) = 0.6272 +32'h3fb3a7e4,32'h3f53c35d,32'h3f5c6813, 32'h3f4d47d5,32'h3f62e39b, 32'h3f4279f2,32'h3f6db17e,// invsqrt(1.4036) = 0.8441 +32'h3ee4d5a4,32'h3fbba229,32'h3fc34abd, 32'h3fb5e3ba,32'h3fc9092c, 32'h3fac5101,32'h3fd29be5,// invsqrt(0.4469) = 1.4958 +32'h3f38db1a,32'h3f939e37,32'h3f99a4ad, 32'h3f8f1960,32'h3f9e2984, 32'h3f87914d,32'h3fa5b197,// invsqrt(0.7221) = 1.1768 +32'h3e78e4f4,32'h3ffe6f9c,32'h4004691a, 32'h3ff6a5a9,32'h40084e13, 32'h3fe9aa6a,32'h400ecbb3,// invsqrt(0.2431) = 2.0283 +32'h3f199225,32'h3fa1f52c,32'h3fa89176, 32'h3f9cfff3,32'h3fad86af, 32'h3f94bc96,32'h3fb5ca0c,// invsqrt(0.5999) = 1.2911 +32'h3f610355,32'h3f85cc7e,32'h3f8b428e, 32'h3f81b3f2,32'h3f8f5b1a, 32'h3f75c0be,32'h3f962ead,// invsqrt(0.8790) = 1.0666 +32'h3c23a8d2,32'h411ce2ef,32'h41234a3d, 32'h41181575,32'h412817b7, 32'h41101454,32'h413018d8,// invsqrt(0.0100) = 10.0055 +32'h3d958e9f,32'h4068188e,32'h407191b8, 32'h4060fdae,32'h4078ac98, 32'h40552639,32'h40824206,// invsqrt(0.0730) = 3.7005 +32'h40a383f6,32'h3eddf7f8,32'h3ee70750, 32'h3ed72c75,32'h3eedd2d3, 32'h3ecbd947,32'h3ef92601,// invsqrt(5.1099) = 0.4424 +32'h3cc07187,32'h40cc9b44,32'h40d4f532, 32'h40c657d2,32'h40db38a4, 32'h40bbe768,32'h40e5a90e,// invsqrt(0.0235) = 6.5244 +32'h3f041753,32'h3faea152,32'h3fb5c206, 32'h3fa948ca,32'h3fbb1a8e, 32'h3fa05fe8,32'h3fc40370,// invsqrt(0.5160) = 1.3921 +32'h3e8fe765,32'h3fec9c65,32'h3ff644bc, 32'h3fe55e22,32'h3ffd82fe, 32'h3fd94bb5,32'h4004cab6,// invsqrt(0.2811) = 1.8862 +32'h3e30b2b5,32'h4016fcb9,32'h401d2663, 32'h40125d7a,32'h4021c5a2, 32'h400aa966,32'h402979b6,// invsqrt(0.1726) = 2.4073 +32'h3bb9bfd1,32'h415042c1,32'h4158c2de, 32'h4149e2ac,32'h415f22f4, 32'h413f4288,32'h4169c318,// invsqrt(0.0057) = 13.2819 +32'h3cdc2ff7,32'h40bf4846,32'h40c716fa, 32'h40b96d3e,32'h40ccf202, 32'h40afaadd,32'h40d6b463,// invsqrt(0.0269) = 6.0996 +32'h3d9b8a11,32'h406396bd,32'h406ce0cf, 32'h405c9f2f,32'h4073d85d, 32'h40510299,32'h407f74f3,// invsqrt(0.0759) = 3.6286 +32'h3f14b8d6,32'h3fa493a4,32'h3fab4b4c, 32'h3f9f89e5,32'h3fb0550b, 32'h3f972452,32'h3fb8ba9e,// invsqrt(0.5809) = 1.3120 +32'h4213bd7a,32'h3e251f69,32'h3e2bdcc7, 32'h3e201164,32'h3e30eacc, 32'h3e17a4af,32'h3e395781,// invsqrt(36.9350) = 0.1645 +32'h3f90dc83,32'h3f6bd3e1,32'h3f757409, 32'h3f649bc2,32'h3f7cac28, 32'h3f589390,32'h3f845a2d,// invsqrt(1.1317) = 0.9400 +32'h3fead792,32'h3f3937cc,32'h3f40c722, 32'h3f338c4b,32'h3f4672a3, 32'h3f2a191e,32'h3f4fe5d0,// invsqrt(1.8347) = 0.7383 +32'h40af75cf,32'h3ed647b1,32'h3edf06b3, 32'h3ecfb870,32'h3ee595f4, 32'h3ec4c9ad,32'h3ef084b7,// invsqrt(5.4831) = 0.4271 +32'h3f8b5c2c,32'h3f706ff4,32'h3f7a4048, 32'h3f6913b6,32'h3f80ce43, 32'h3f5ccf4e,32'h3f86f077,// invsqrt(1.0888) = 0.9584 +32'h3f36429b,32'h3f94aa5d,32'h3f9abbc4, 32'h3f901d4f,32'h3f9f48d1, 32'h3f88878e,32'h3fa6de92,// invsqrt(0.7120) = 1.1852 +32'h4086bac8,32'h3ef488bd,32'h3efe83df, 32'h3eed0c64,32'h3f03001c, 32'h3ee09279,32'h3f093d11,// invsqrt(4.2103) = 0.4874 +32'h40291478,32'h3f1a59e7,32'h3f20a6b7, 32'h3f15a04b,32'h3f256053, 32'h3f0dc047,32'h3f2d4057,// invsqrt(2.6419) = 0.6152 +32'h3f8a4d9a,32'h3f715ab3,32'h3f7b349b, 32'h3f69f745,32'h3f814c04, 32'h3f5da6e3,32'h3f877435,// invsqrt(1.0805) = 0.9620 +32'h3f194815,32'h3fa21c48,32'h3fa8ba2b, 32'h3f9d25dd,32'h3fadb095, 32'h3f94e080,32'h3fb5f5f2,// invsqrt(0.5988) = 1.2923 +32'h3ed217ba,32'h3fc3d2e7,32'h3fcbd110, 32'h3fbdd449,32'h3fd1cfaf, 32'h3fb3d696,32'h3fdbcd62,// invsqrt(0.4103) = 1.5611 +32'h3f8afaef,32'h3f70c402,32'h3f7a97c4, 32'h3f696531,32'h3f80fb4a, 32'h3f5d1c80,32'h3f871fa3,// invsqrt(1.0858) = 0.9597 +32'h3f4bc4e2,32'h3f8c99bb,32'h3f9256dd, 32'h3f884be2,32'h3f96a4b6, 32'h3f811f78,32'h3f9dd120,// invsqrt(0.7960) = 1.1209 +32'h3f261836,32'h3f9bbb6f,32'h3fa216ad, 32'h3f96f700,32'h3fa6db1c, 32'h3f8f04f3,32'h3faecd29,// invsqrt(0.6488) = 1.2415 +32'h405e4556,32'h3f069f22,32'h3f0c1dca, 32'h3f028023,32'h3f103cc9, 32'h3ef743a1,32'h3f171b1b,// invsqrt(3.4730) = 0.5366 +32'h3f0d4649,32'h3fa8dbe4,32'h3fafc04b, 32'h3fa3b096,32'h3fb4eb98, 32'h3f9b1315,32'h3fbd8919,// invsqrt(0.5519) = 1.3461 +32'h3f57d376,32'h3f889de7,32'h3f8e3169, 32'h3f846f46,32'h3f92600a, 32'h3f7aedc8,32'h3f99586c,// invsqrt(0.8431) = 1.0891 +32'h3fae6c13,32'h3f56eaae,32'h3f5fb057, 32'h3f50566f,32'h3f664495, 32'h3f455f5b,32'h3f713ba9,// invsqrt(1.3627) = 0.8567 +32'h3f9e6ada,32'h3f618311,32'h3f6ab76f, 32'h3f5a9bca,32'h3f719eb6, 32'h3f4f1a53,32'h3f7d202d,// invsqrt(1.2376) = 0.8989 +32'h3f742e12,32'h3f8070b2,32'h3f85aec4, 32'h3f79044a,32'h3f899d51, 32'h3f6be91d,32'h3f902ae7,// invsqrt(0.9538) = 1.0239 +32'h417f3658,32'h3e7b4452,32'h3e82c2e7, 32'h3e739336,32'h3e869b75, 32'h3e66c15b,32'h3e8d0462,// invsqrt(15.9508) = 0.2504 +32'h3f378478,32'h3f9427c5,32'h3f9a33d7, 32'h3f8f9eb7,32'h3f9ebce5, 32'h3f880fa0,32'h3fa64bfc,// invsqrt(0.7169) = 1.1811 +32'h3fe4906e,32'h3f3bbe90,32'h3f43684c, 32'h3f35ff42,32'h3f49279a, 32'h3f2c6b16,32'h3f52bbc6,// invsqrt(1.7857) = 0.7483 +32'h3e19ee4c,32'h4021c4aa,32'h40285efa, 32'h401cd0ee,32'h402d52b6, 32'h4014900a,32'h4035939a,// invsqrt(0.1503) = 2.5792 +32'h3e1834b9,32'h4022aea9,32'h40295287, 32'h401db3c4,32'h402e4d6c, 32'h401566ef,32'h40369a41,// invsqrt(0.1486) = 2.5938 +32'h40f6d325,32'h3eb4aa85,32'h3ebc0a4b, 32'h3eaf22b0,32'h3ec19220, 32'h3ea5eaf7,32'h3ecac9d9,// invsqrt(7.7133) = 0.3601 +32'h3f2ee6ee,32'h3f97c2ac,32'h3f9df46a, 32'h3f931d5e,32'h3fa299b8, 32'h3f8b5f30,32'h3faa57e6,// invsqrt(0.6832) = 1.2098 +32'h3f92f583,32'h3f6a2377,32'h3f73b1f9, 32'h3f62f895,32'h3f7adcdb, 32'h3f570672,32'h3f83677f,// invsqrt(1.1481) = 0.9333 +32'h40325598,32'h3f164afd,32'h3f1c6d65, 32'h3f11b12f,32'h3f210733, 32'h3f0a062c,32'h3f28b236,// invsqrt(2.7865) = 0.5991 +32'h3cc181fd,32'h40cc0b06,32'h40d45f10, 32'h40c5cbfe,32'h40da9e18, 32'h40bb62f0,32'h40e50726,// invsqrt(0.0236) = 6.5065 +32'h3f980eff,32'h3f662dce,32'h3f6f92f0, 32'h3f5f21f4,32'h3f769eca, 32'h3f536389,32'h3f812e9b,// invsqrt(1.1880) = 0.9175 +32'h4100d71e,32'h3eb0d1cb,32'h3eb80961, 32'h3eab681b,32'h3ebd7311, 32'h3ea262a1,32'h3ec6788b,// invsqrt(8.0525) = 0.3524 +32'h3f55ac42,32'h3f894dac,32'h3f8ee85a, 32'h3f8519a9,32'h3f931c5d, 32'h3f7c309f,32'h3f9a1db6,// invsqrt(0.8347) = 1.0946 +32'h401b4fa9,32'h3f210c3a,32'h3f279f02, 32'h3f1c1e23,32'h3f2c8d19, 32'h3f13e6a8,32'h3f34c494,// invsqrt(2.4267) = 0.6419 +32'h3ff55227,32'h3f353811,32'h3f3c9d9f, 32'h3f2fabe7,32'h3f4229c9, 32'h3f266cf6,32'h3f4b68ba,// invsqrt(1.9166) = 0.7223 +32'h40aff440,32'h3ed5faa5,32'h3edeb682, 32'h3ecf6dc0,32'h3ee54368, 32'h3ec482ec,32'h3ef02e3c,// invsqrt(5.4986) = 0.4265 +32'h3fd61a52,32'h3f41fb36,32'h3f49e61e, 32'h3f3c0b08,32'h3f4fd64c, 32'h3f322566,32'h3f59bbee,// invsqrt(1.6727) = 0.7732 +32'h4095338c,32'h3ee85f59,32'h3ef1db67, 32'h3ee1424e,32'h3ef8f872, 32'h3ed5673d,32'h3f0269c2,// invsqrt(4.6625) = 0.4631 +32'h3df96634,32'h4033bb30,32'h403b1132, 32'h402e3aaf,32'h404091b3, 32'h40250f2c,32'h4049bd36,// invsqrt(0.1218) = 2.8656 +32'h3f7e0560,32'h3f7bdafa,32'h3f83114d, 32'h3f742540,32'h3f86ec2a, 32'h3f674bb6,32'h3f8d58ef,// invsqrt(0.9923) = 1.0039 +32'h40713720,32'h3f013a23,32'h3f06806d, 32'h3efa8ad6,32'h3f0a7525, 32'h3eed5b1b,32'h3f110d02,// invsqrt(3.7690) = 0.5151 +32'h40058519,32'h3f2db17b,32'h3f34c865, 32'h3f28604a,32'h3f3a1996, 32'h3f1f83a5,32'h3f42f63b,// invsqrt(2.0862) = 0.6923 +32'h3fa010b7,32'h3f60591f,32'h3f698154, 32'h3f597af6,32'h3f705f7c, 32'h3f4e08b3,32'h3f7bd1bf,// invsqrt(1.2505) = 0.8942 +32'h40024066,32'h3f2fdbe7,32'h3f370973, 32'h3f2a79be,32'h3f3c6b9c, 32'h3f2180cf,32'h3f45648b,// invsqrt(2.0352) = 0.7010 +32'h401e6436,32'h3f1f795f,32'h3f25fbb6, 32'h3f1a979d,32'h3f2add77, 32'h3f1274af,32'h3f330065,// invsqrt(2.4749) = 0.6357 +32'h3fc921b0,32'h3f48237c,32'h3f504eba, 32'h3f42030d,32'h3f566f29, 32'h3f37ccff,32'h3f60a537,// invsqrt(1.5713) = 0.7977 +32'h3f93cbec,32'h3f697963,32'h3f7300f5, 32'h3f6253b7,32'h3f7a26a1, 32'h3f566a41,32'h3f83080b,// invsqrt(1.1547) = 0.9306 +32'h3ef3be87,32'h3fb5cdde,32'h3fbd398a, 32'h3fb03d1e,32'h3fc2ca4a, 32'h3fa6f688,32'h3fcc10e0,// invsqrt(0.4761) = 1.4493 +32'h3f8a7e21,32'h3f713066,32'h3f7b0894, 32'h3f69ce44,32'h3f81355b, 32'h3f5d800a,32'h3f875c78,// invsqrt(1.0820) = 0.9614 +32'h40f95bb2,32'h3eb3bef9,32'h3ebb1523, 32'h3eae3e5a,32'h3ec095c2, 32'h3ea512a6,32'h3ec9c176,// invsqrt(7.7924) = 0.3582 +32'h3f029e63,32'h3faf9c96,32'h3fb6c78c, 32'h3faa3c5d,32'h3fbc27c5, 32'h3fa146a9,32'h3fc51d79,// invsqrt(0.5102) = 1.4000 +32'h3d873fb8,32'h40741072,32'h407e06ab, 32'h406c97c8,32'h4082bfab, 32'h40602401,32'h4088f98f,// invsqrt(0.0660) = 3.8913 +32'h40833226,32'h3ef7ce34,32'h3f00f5c2, 32'h3ef03837,32'h3f04c0c0, 32'h3ee39393,32'h3f0b1313,// invsqrt(4.0999) = 0.4939 +32'h3f856927,32'h3f75bd68,32'h3f7fc523, 32'h3f6e379b,32'h3f83a577, 32'h3f61adf1,32'h3f89ea4c,// invsqrt(1.0423) = 0.9795 +32'h3f69125a,32'h3f837721,32'h3f88d4ce, 32'h3f7ee1bc,32'h3f8cdb10, 32'h3f717789,32'h3f939029,// invsqrt(0.9104) = 1.0480 +32'h3e38a9f6,32'h4013b1da,32'h4019b91c, 32'h400f2c68,32'h401e3e8e, 32'h4007a355,32'h4025c7a1,// invsqrt(0.1803) = 2.3548 +32'h3dd9d20b,32'h4040519b,32'h40482b23, 32'h403a6e74,32'h404e0e4a, 32'h40309e89,32'h4057de35,// invsqrt(0.1064) = 3.0663 +32'h40b408a6,32'h3ed38a6e,32'h3edc2cd0, 32'h3ecd10a4,32'h3ee2a69a, 32'h3ec245a9,32'h3eed7195,// invsqrt(5.6261) = 0.4216 +32'h3ddea86b,32'h403e37da,32'h4045fb70, 32'h4038652a,32'h404bce20, 32'h402eb0ae,32'h4055829c,// invsqrt(0.1087) = 3.0328 +32'h40c1a963,32'h3ecbf644,32'h3ed44975, 32'h3ec5b7de,32'h3eda87da, 32'h3ebb4fe0,32'h3ee4efd8,// invsqrt(6.0519) = 0.4065 +32'h3edb6546,32'h3fbfa08e,32'h3fc772dc, 32'h3fb9c2d2,32'h3fcd5098, 32'h3faffbf0,32'h3fd7177a,// invsqrt(0.4285) = 1.5276 +32'h40e3eea5,32'h3ebc0125,32'h3ec3ad99, 32'h3eb63fcd,32'h3ec96ef1, 32'h3eaca83c,32'h3ed30682,// invsqrt(7.1229) = 0.3747 +32'h3f736c1d,32'h3f80a3d3,32'h3f85e3fb, 32'h3f79676a,32'h3f89d419, 32'h3f6c4706,32'h3f90644b,// invsqrt(0.9509) = 1.0255 +32'h411178ec,32'h3ea667a0,32'h3ead3262, 32'h3ea14f8e,32'h3eb24a74, 32'h3e98d21a,32'h3ebac7e8,// invsqrt(9.0920) = 0.3316 +32'h4009389f,32'h3f2b55b2,32'h3f3253f8, 32'h3f2616fe,32'h3f3792ac, 32'h3f1d5926,32'h3f405084,// invsqrt(2.1441) = 0.6829 +32'h41a509d9,32'h3e5cf12c,32'h3e65f5ca, 32'h3e562db5,32'h3e6cb941, 32'h3e4ae7ef,32'h3e77ff07,// invsqrt(20.6298) = 0.2202 +32'h402bde3c,32'h3f191815,32'h3f1f57c3, 32'h3f146853,32'h3f240785, 32'h3f0c98bb,32'h3f2bd71d,// invsqrt(2.6854) = 0.6102 +32'h3f64de2a,32'h3f84aacf,32'h3f8a150c, 32'h3f809b22,32'h3f8e24ba, 32'h3f73acac,32'h3f94e986,// invsqrt(0.8940) = 1.0576 +32'h3d8698c3,32'h4074a7a2,32'h407ea407, 32'h406d2a57,32'h408310a9, 32'h4060aed9,32'h40894e68,// invsqrt(0.0657) = 3.9007 +32'h3fade1ae,32'h3f574024,32'h3f60094a, 32'h3f50a947,32'h3f66a027, 32'h3f45add8,32'h3f719b96,// invsqrt(1.3584) = 0.8580 +32'h3f62813c,32'h3f855b82,32'h3f8accf6, 32'h3f81466c,32'h3f8ee20c, 32'h3f74f139,32'h3f95afdc,// invsqrt(0.8848) = 1.0631 +32'h3e932500,32'h3fe9fdab,32'h3ff38aa3, 32'h3fe2d3f2,32'h3ffab45c, 32'h3fd6e3bd,32'h40035249,// invsqrt(0.2874) = 1.8654 +32'h3f7eedbd,32'h3f7b6817,32'h3f82d584, 32'h3f73b5e2,32'h3f86ae9f, 32'h3f66e235,32'h3f8d1876,// invsqrt(0.9958) = 1.0021 +32'h3d645765,32'h4084d1f0,32'h408a3dc6, 32'h4080c110,32'h408e4ea6, 32'h4073f48a,32'h40951571,// invsqrt(0.0557) = 4.2353 +32'h3fb0f6ea,32'h3f555e0a,32'h3f5e1382, 32'h3f4ed5ef,32'h3f649b9d, 32'h3f43f319,32'h3f6f7e73,// invsqrt(1.3825) = 0.8505 +32'h3f8eec80,32'h3f6d6bb7,32'h3f771c85, 32'h3f66271c,32'h3f7e6120, 32'h3f5a0a1b,32'h3f853f10,// invsqrt(1.1166) = 0.9464 +32'h406f80c1,32'h3f01b031,32'h3f06fb4d, 32'h3efb6fb8,32'h3f0af3a2, 32'h3eee33f1,32'h3f119185,// invsqrt(3.7422) = 0.5169 +32'h3feef96e,32'h3f379c0f,32'h3f3f1a97, 32'h3f31fd28,32'h3f44b97e, 32'h3f289efe,32'h3f4e17a8,// invsqrt(1.8670) = 0.7319 +32'h3e6e47df,32'h4002053a,32'h400753ce, 32'h3ffc1494,32'h400b4ebe, 32'h3feed021,32'h4011f0f8,// invsqrt(0.2327) = 2.0730 +32'h40267d88,32'h3f1b8c05,32'h3f21e553, 32'h3f16c90a,32'h3f26a84e, 32'h3f0ed968,32'h3f2e97f0,// invsqrt(2.6014) = 0.6200 +32'h3f49dd58,32'h3f8d431f,32'h3f93072a, 32'h3f88f015,32'h3f975a33, 32'h3f81bb07,32'h3f9e8f41,// invsqrt(0.7885) = 1.1261 +32'h3fce1be9,32'h3f45b50c,32'h3f4dc6e3, 32'h3f3fa7ac,32'h3f53d444, 32'h3f35915f,32'h3f5dea91,// invsqrt(1.6102) = 0.7881 +32'h3f051e4b,32'h3fadf480,32'h3fb50e27, 32'h3fa8a142,32'h3fba6164, 32'h3f9fc131,32'h3fc34175,// invsqrt(0.5200) = 1.3868 +32'h3f8a6874,32'h3f714348,32'h3f7b1c3c, 32'h3f69e092,32'h3f813f79, 32'h3f5d9162,32'h3f876711,// invsqrt(1.0813) = 0.9617 +32'h3e9dd88f,32'h3fe1eb79,32'h3feb241b, 32'h3fdb0100,32'h3ff20e94, 32'h3fcf7a36,32'h3ffd955e,// invsqrt(0.3083) = 1.8010 +32'h3fb9060b,32'h3f50ab35,32'h3f592f95, 32'h3f4a47ed,32'h3f5f92dd, 32'h3f3fa274,32'h3f6a3856,// invsqrt(1.4455) = 0.8317 +32'h40118f0e,32'h3f265af9,32'h3f2d2537, 32'h3f21434a,32'h3f323ce6, 32'h3f18c67c,32'h3f3ab9b4,// invsqrt(2.2744) = 0.6631 +32'h412f28a7,32'h3e97a630,32'h3e9dd6c4, 32'h3e9301c1,32'h3ea27b33, 32'h3e8b4507,32'h3eaa37ed,// invsqrt(10.9474) = 0.3022 +32'h3f84ded5,32'h3f763d2f,32'h3f802511, 32'h3f6eb379,32'h3f83e9eb, 32'h3f62234a,32'h3f8a3203,// invsqrt(1.0381) = 0.9815 +32'h3d3e803b,32'h40916a18,32'h40975987, 32'h408cf686,32'h409bcd1a, 32'h40858b3b,32'h40a33865,// invsqrt(0.0465) = 4.6369 +32'h3f3e493a,32'h3f917f1b,32'h3f976f65, 32'h3f8d0ae3,32'h3f9be39d, 32'h3f859e86,32'h3fa34ffa,// invsqrt(0.7433) = 1.1599 +32'h3e523245,32'h400a6f28,32'h401015a8, 32'h40063249,32'h40145287, 32'h3ffe4455,32'h401b62a6,// invsqrt(0.2053) = 2.2072 +32'h3f76f60d,32'h3f7f6e0d,32'h3f84ed84, 32'h3f779c50,32'h3f88d662, 32'h3f6a9416,32'h3f8f5a7f,// invsqrt(0.9647) = 1.0181 +32'h416d1dc4,32'h3e8256dc,32'h3e87a8c5, 32'h3e7cb2d8,32'h3e8ba634, 32'h3e6f6610,32'h3e924c98,// invsqrt(14.8198) = 0.2598 +32'h3e800585,32'h3ffadbdf,32'h40028c8c, 32'h3ff32df5,32'h40066381, 32'h3fe6616f,32'h400cc9c4,// invsqrt(0.2500) = 1.9998 +32'h41698fb3,32'h3e8353d5,32'h3e88b011, 32'h3e7e9d4e,32'h3e8cb53f, 32'h3e7136b5,32'h3e93688b,// invsqrt(14.5976) = 0.2617 +32'h3effc1b9,32'h3fb17bb9,32'h3fb8ba3e, 32'h3fac0cd6,32'h3fbe2922, 32'h3fa2feb0,32'h3fc73748,// invsqrt(0.4995) = 1.4149 +32'h3f49f3df,32'h3f8d3b3d,32'h3f92fef7, 32'h3f88e872,32'h3f9751c2, 32'h3f81b3cb,32'h3f9e8669,// invsqrt(0.7889) = 1.1259 +32'h3eea0ada,32'h3fb988bb,32'h3fc11b60, 32'h3fb3dac0,32'h3fc6c95c, 32'h3faa6373,32'h3fd040a9,// invsqrt(0.4571) = 1.4791 +32'h3f7f6680,32'h3f7b2ca1,32'h3f82b692, 32'h3f737c3d,32'h3f868ec3, 32'h3f66ab98,32'h3f8cf716,// invsqrt(0.9977) = 1.0012 +32'h40956e6e,32'h3ee8318c,32'h3ef1abbc, 32'h3ee115e9,32'h3ef8c75f, 32'h3ed53d2d,32'h3f02500d,// invsqrt(4.6697) = 0.4628 +32'h3f489de8,32'h3f8db369,32'h3f937c0a, 32'h3f895cf0,32'h3f97d282, 32'h3f822226,32'h3f9f0d4c,// invsqrt(0.7837) = 1.1296 +32'h3fa53d86,32'h3f5cce9d,32'h3f65d1d3, 32'h3f560c35,32'h3f6c943b, 32'h3f4ac832,32'h3f77d83e,// invsqrt(1.2909) = 0.8801 +32'h3eb95b2e,32'h3fd07b43,32'h3fd8fdaf, 32'h3fca1973,32'h3fdf5f7f, 32'h3fbf766d,32'h3fea0285,// invsqrt(0.3620) = 1.6620 +32'h3f7e70dd,32'h3f7ba5c1,32'h3f82f59b, 32'h3f73f1a9,32'h3f86cfa8, 32'h3f671ad6,32'h3f8d3b11,// invsqrt(0.9939) = 1.0031 +32'h3ef031cd,32'h3fb72484,32'h3fbe9e2c, 32'h3fb18947,32'h3fc43969, 32'h3fa83136,32'h3fcd917a,// invsqrt(0.4691) = 1.4600 +32'h3eb0a2d9,32'h3fd590ca,32'h3fde4854, 32'h3fcf0721,32'h3fe4d1fd, 32'h3fc421b4,32'h3fefb76a,// invsqrt(0.3450) = 1.7025 +32'h3f35228c,32'h3f952064,32'h3f9b369c, 32'h3f908fba,32'h3f9fc746, 32'h3f88f3f3,32'h3fa7630d,// invsqrt(0.7076) = 1.1888 +32'h3fb2cff7,32'h3f544313,32'h3f5cecfe, 32'h3f4dc3a1,32'h3f636c6f, 32'h3f42ef3a,32'h3f6e40d6,// invsqrt(1.3970) = 0.8461 +32'h3ff8caa9,32'h3f33f356,32'h3f3b4ba2, 32'h3f2e711c,32'h3f40cddc, 32'h3f2542bc,32'h3f49fc3c,// invsqrt(1.9437) = 0.7173 +32'h40bf0f5d,32'h3ecd5890,32'h3ed5ba38, 32'h3ec70f52,32'h3edc0376, 32'h3ebc9540,32'h3ee67d88,// invsqrt(5.9706) = 0.4093 +32'h3ec5b255,32'h3fc9dead,32'h3fd21c03, 32'h3fc3b0ad,32'h3fd84a03, 32'h3fb96402,32'h3fe296ae,// invsqrt(0.3861) = 1.6093 +32'h3ecb2e2d,32'h3fc72083,32'h3fcf412f, 32'h3fc10801,32'h3fd559b1, 32'h3fb6df2a,32'h3fdf8288,// invsqrt(0.3968) = 1.5874 +32'h3fe74e56,32'h3f3aa0da,32'h3f423eee, 32'h3f34ea4c,32'h3f47f57c, 32'h3f2b64b4,32'h3f517b14,// invsqrt(1.8071) = 0.7439 +32'h3e68f7d9,32'h40037e9b,32'h4008dc97, 32'h3ffef03c,32'h400ce314, 32'h3ff18547,32'h4013988f,// invsqrt(0.2275) = 2.0965 +32'h3f517866,32'h3f8aac86,32'h3f905586, 32'h3f866dc6,32'h3f949446, 32'h3f7eb50b,32'h3f9ba787,// invsqrt(0.8182) = 1.1055 +32'h3f856800,32'h3f75be77,32'h3f7fc63d, 32'h3f6e38a2,32'h3f83a609, 32'h3f61aeeb,32'h3f89eae5,// invsqrt(1.0422) = 0.9795 +32'h3fba5fa0,32'h3f4fe964,32'h3f5865dc, 32'h3f498c0b,32'h3f5ec335, 32'h3f3ef076,32'h3f695eca,// invsqrt(1.4560) = 0.8287 +32'h4029ff70,32'h3f19ef16,32'h3f20378a, 32'h3f1538bf,32'h3f24ede1, 32'h3f0d5e2e,32'h3f2cc872,// invsqrt(2.6562) = 0.6136 +32'h41ab2376,32'h3e58f7fe,32'h3e61d319, 32'h3e5253ab,32'h3e68776d, 32'h3e4741cb,32'h3e73894d,// invsqrt(21.3923) = 0.2162 +32'h3fe3c874,32'h3f3c10e7,32'h3f43be01, 32'h3f364f15,32'h3f497fd3, 32'h3f2cb6b5,32'h3f531833,// invsqrt(1.7796) = 0.7496 +32'h3fe86bd8,32'h3f3a2e16,32'h3f41c77b, 32'h3f347b0c,32'h3f477a86, 32'h3f2afb4e,32'h3f50fa44,// invsqrt(1.8158) = 0.7421 +32'h402cc8d3,32'h3f18b004,32'h3f1eeb72, 32'h3f140372,32'h3f239804, 32'h3f0c3928,32'h3f2b624e,// invsqrt(2.6998) = 0.6086 +32'h3f76eb75,32'h3f7f7388,32'h3f84f05e, 32'h3f77a1a0,32'h3f88d952, 32'h3f6a991f,32'h3f8f5d93,// invsqrt(0.9645) = 1.0182 +32'h40104b39,32'h3f27153c,32'h3f2de715, 32'h3f21f7da,32'h3f330478, 32'h3f19718b,32'h3f3b8ac7,// invsqrt(2.2546) = 0.6660 +32'h3f99d0c2,32'h3f64dc50,32'h3f6e33ac, 32'h3f5ddacb,32'h3f753531, 32'h3f522d98,32'h3f807132,// invsqrt(1.2017) = 0.9122 +32'h3f673c99,32'h3f83fc66,32'h3f895f84, 32'h3f7fe41e,32'h3f8d69db, 32'h3f726c53,32'h3f9425c1,// invsqrt(0.9033) = 1.0522 +32'h3f5121af,32'h3f8ac943,32'h3f90736f, 32'h3f8689a1,32'h3f94b311, 32'h3f7ee9d3,32'h3f9bc7c8,// invsqrt(0.8169) = 1.1064 +32'h3cc23b45,32'h40cba99d,32'h40d3f9ad, 32'h40c56d90,32'h40da35ba, 32'h40bb097b,32'h40e499cf,// invsqrt(0.0237) = 6.4943 +32'h40002b94,32'h3f3147f7,32'h3f38845f, 32'h3f2bdaa9,32'h3f3df1ad, 32'h3f22cf27,32'h3f46fd2f,// invsqrt(2.0027) = 0.7066 +32'h3fa3af8e,32'h3f5dda67,32'h3f66e88b, 32'h3f570fcd,32'h3f6db325, 32'h3f4bbe20,32'h3f7904d2,// invsqrt(1.2788) = 0.8843 +32'h3efe01a9,32'h3fb217ff,32'h3fb95ce4, 32'h3faca452,32'h3fbed090, 32'h3fa38e32,32'h3fc7e6b0,// invsqrt(0.4961) = 1.4198 +32'h3f6cb574,32'h3f827391,32'h3f87c6a6, 32'h3f7cea80,32'h3f8bc4f6, 32'h3f6f9aca,32'h3f926cd1,// invsqrt(0.9246) = 1.0400 +32'h3fd2085c,32'h3f43da11,32'h3f4bd885, 32'h3f3ddb3a,32'h3f51d75c, 32'h3f33dd2a,32'h3f5bd56c,// invsqrt(1.6409) = 0.7807 +32'h3f1d4311,32'h3fa00bb7,32'h3fa69407, 32'h3f9b257a,32'h3fab7a44, 32'h3f92fb16,32'h3fb3a4a9,// invsqrt(0.6143) = 1.2759 +32'h3d62ded0,32'h40853fff,32'h408ab052, 32'h40812bc0,32'h408ec490, 32'h4074beae,32'h409590f9,// invsqrt(0.0554) = 4.2490 +32'h40a82964,32'h3edae177,32'h3ee3d08b, 32'h3ed42e27,32'h3eea83db, 32'h3ec9034e,32'h3ef5aeb4,// invsqrt(5.2551) = 0.4362 +32'h3eb20c9b,32'h3fd4b766,32'h3fdd6611, 32'h3fce3465,32'h3fe3e911, 32'h3fc35a0f,32'h3feec367,// invsqrt(0.3478) = 1.6958 +32'h3f8b3b0b,32'h3f708c8e,32'h3f7a5e0c, 32'h3f692f70,32'h3f80dd95, 32'h3f5ce992,32'h3f870084,// invsqrt(1.0877) = 0.9588 +32'h41ae7f7f,32'h3e56deb8,32'h3e5fa3e4, 32'h3e504ad7,32'h3e6637c5, 32'h3e455460,32'h3e712e3c,// invsqrt(21.8123) = 0.2141 +32'h3f8269eb,32'h3f788c27,32'h3f81589c, 32'h3f70f05a,32'h3f852683, 32'h3f644205,32'h3f8b7dae,// invsqrt(1.0189) = 0.9907 +32'h3f26da30,32'h3f9b60ce,32'h3fa1b85a, 32'h3f969f26,32'h3fa67a02, 32'h3f8eb1b8,32'h3fae6770,// invsqrt(0.6518) = 1.2387 +32'h3ecf7de2,32'h3fc50c20,32'h3fcd1712, 32'h3fbf03eb,32'h3fd31f47, 32'h3fb4f63d,32'h3fdd2cf5,// invsqrt(0.4053) = 1.5708 +32'h3fdfcbc3,32'h3f3dbbe0,32'h3f457a67, 32'h3f37ecfc,32'h3f4b494c, 32'h3f2e3ed4,32'h3f54f774,// invsqrt(1.7484) = 0.7563 +32'h3e8c68be,32'h3fef8993,32'h3ff9507f, 32'h3fe83462,32'h400052d8, 32'h3fdbfbbb,32'h40066f2b,// invsqrt(0.2742) = 1.9096 +32'h3eeea518,32'h3fb7bc7e,32'h3fbf3c59, 32'h3fb21c99,32'h3fc4dc3d, 32'h3fa8bcc7,32'h3fce3c0f,// invsqrt(0.4661) = 1.4647 +32'h3e2757de,32'h401b266a,32'h40217b93, 32'h4016668c,32'h40263b72, 32'h400e7c19,32'h402e25e5,// invsqrt(0.1634) = 2.4737 +32'h40d7e002,32'h3ec12ef4,32'h3ec91186, 32'h3ebb4507,32'h3ecefb73, 32'h3eb169d0,32'h3ed8d6aa,// invsqrt(6.7461) = 0.3850 +32'h404bbc78,32'h3f0c9ca2,32'h3f1259e2, 32'h3f084eb2,32'h3f16a7d2, 32'h3f012222,32'h3f1dd462,// invsqrt(3.1834) = 0.5605 +32'h3ea8cc17,32'h3fda77e1,32'h3fe362a6, 32'h3fd3c7cc,32'h3fea12ba, 32'h3fc8a256,32'h3ff53830,// invsqrt(0.3297) = 1.7416 +32'h3facd5e7,32'h3f57e6a2,32'h3f60b694, 32'h3f514aad,32'h3f675289, 32'h3f4646bf,32'h3f725677,// invsqrt(1.3503) = 0.8606 +32'h4058f6f9,32'h3f084201,32'h3f0dd1c2, 32'h3f04162f,32'h3f11fd93, 32'h3efa44fb,32'h3f18f144,// invsqrt(3.3901) = 0.5431 +32'h4064faa6,32'h3f04a28f,32'h3f0a0c75, 32'h3f009322,32'h3f0e1be2, 32'h3ef39d84,32'h3f14e042,// invsqrt(3.5778) = 0.5287 +32'h3f92fd19,32'h3f6a1d6c,32'h3f73abaf, 32'h3f62f2b9,32'h3f7ad661, 32'h3f5700e5,32'h3f83641a,// invsqrt(1.1483) = 0.9332 +32'h3fa72291,32'h3f5b8d4c,32'h3f648364, 32'h3f54d4ba,32'h3f6b3bf6, 32'h3f49a11c,32'h3f766f94,// invsqrt(1.3057) = 0.8751 +32'h3ebee89a,32'h3fcd6d67,32'h3fd5cfe9, 32'h3fc72386,32'h3fdc19ca, 32'h3fbca864,32'h3fe694ec,// invsqrt(0.3729) = 1.6377 +32'h3eae1a84,32'h3fd71cff,32'h3fdfe4b5, 32'h3fd08736,32'h3fe67a7e, 32'h3fc58d91,32'h3ff17423,// invsqrt(0.3400) = 1.7149 +32'h40a189a7,32'h3edf52c5,32'h3ee87045, 32'h3ed87ca5,32'h3eef4665, 32'h3ecd17c4,32'h3efaab46,// invsqrt(5.0481) = 0.4451 +32'h3fe18f8d,32'h3f3cfd7d,32'h3f44b43f, 32'h3f37346c,32'h3f4a7d50, 32'h3f2d8ffb,32'h3f5421c1,// invsqrt(1.7622) = 0.7533 +32'h3f185ca5,32'h3fa29958,32'h3fa93c56, 32'h3f9d9f19,32'h3fae3695, 32'h3f95535b,32'h3fb68253,// invsqrt(0.5952) = 1.2962 +32'h3fa52328,32'h3f5ce03d,32'h3f65e42b, 32'h3f561d4b,32'h3f6ca71d, 32'h3f4ad862,32'h3f77ec06,// invsqrt(1.2901) = 0.8804 +32'h3f4b7534,32'h3f8cb540,32'h3f927382, 32'h3f88668f,32'h3f96c233, 32'h3f8138be,32'h3f9df004,// invsqrt(0.7948) = 1.1217 +32'h3f4e5be3,32'h3f8bb727,32'h3f916b09, 32'h3f87703d,32'h3f95b1f3, 32'h3f804f62,32'h3f9cd2ce,// invsqrt(0.8061) = 1.1138 +32'h3f72c1e3,32'h3f80d0e6,32'h3f8612e4, 32'h3f79becd,32'h3f8a0464, 32'h3f6c99cf,32'h3f9096e2,// invsqrt(0.9483) = 1.0269 +32'h3f5949b3,32'h3f88280e,32'h3f8db6c0, 32'h3f83fd08,32'h3f91e1c6, 32'h3f7a1553,32'h3f98d424,// invsqrt(0.8488) = 1.0854 +32'h400bc068,32'h3f29c6cb,32'h3f30b4c9, 32'h3f24944d,32'h3f35e747, 32'h3f1beacf,32'h3f3e90c5,// invsqrt(2.1836) = 0.6767 +32'h3fa2b9fc,32'h3f5e818e,32'h3f679685, 32'h3f57b1d6,32'h3f6e663e, 32'h3f4c57a3,32'h3f79c071,// invsqrt(1.2713) = 0.8869 +32'h3f9ecc47,32'h3f613dd8,32'h3f6a6f64, 32'h3f5a58b0,32'h3f71548c, 32'h3f4edac1,32'h3f7cd27b,// invsqrt(1.2406) = 0.8978 +32'h3ee405c6,32'h3fbbf79c,32'h3fc3a3ac, 32'h3fb6368f,32'h3fc964b9, 32'h3fac9f7a,32'h3fd2fbce,// invsqrt(0.4454) = 1.4985 +32'h4015c9c6,32'h3f23fd6e,32'h3f2aaef6, 32'h3f1ef849,32'h3f2fb41b, 32'h3f169a60,32'h3f381204,// invsqrt(2.3404) = 0.6537 +32'h3f82dd39,32'h3f781e8f,32'h3f811f94, 32'h3f70861d,32'h3f84ebcd, 32'h3f63dd5f,32'h3f8b402c,// invsqrt(1.0224) = 0.9890 +32'h3f3ed63c,32'h3f914950,32'h3f973768, 32'h3f8cd6be,32'h3f9ba9fa, 32'h3f856d20,32'h3fa31398,// invsqrt(0.7455) = 1.1582 +32'h3d6e5e70,32'h4081ff12,32'h40874d66, 32'h407c08a5,32'h408b4825, 32'h406ec4d2,32'h4091ea0f,// invsqrt(0.0582) = 4.1453 +32'h40d6edf3,32'h3ec19b9e,32'h3ec9829f, 32'h3ebbae5c,32'h3ecf6fe0, 32'h3eb1cd9b,32'h3ed950a1,// invsqrt(6.7165) = 0.3859 +32'h3f03079c,32'h3faf5605,32'h3fb67e1a, 32'h3fa9f7f5,32'h3fbbdc29, 32'h3fa105da,32'h3fc4ce44,// invsqrt(0.5118) = 1.3978 +32'h3ec3f4b1,32'h3fcac3b7,32'h3fd30a65, 32'h3fc48eb4,32'h3fd93f68, 32'h3fba3659,32'h3fe397c3,// invsqrt(0.3827) = 1.6164 +32'h3f0fbaeb,32'h3fa76907,32'h3fae3e4b, 32'h3fa24914,32'h3fb35e3e, 32'h3f99be7e,32'h3fbbe8d4,// invsqrt(0.5614) = 1.3346 +32'h40631ade,32'h3f052e5f,32'h3f0a9dfb, 32'h3f011aab,32'h3f0eb1af, 32'h3ef49e51,32'h3f157d32,// invsqrt(3.5485) = 0.5309 +32'h3ef283a6,32'h3fb643bf,32'h3fbdb439, 32'h3fb0af63,32'h3fc34895, 32'h3fa762c9,32'h3fcc952f,// invsqrt(0.4737) = 1.4530 +32'h3e8b7fb9,32'h3ff0514f,32'h3ffa2062, 32'h3fe8f601,32'h4000bdd8, 32'h3fdcb32a,32'h4006df44,// invsqrt(0.2725) = 1.9158 +32'h3f315146,32'h3f96b927,32'h3f9ce00f, 32'h3f921bfa,32'h3fa17d3c, 32'h3f8a6b58,32'h3fa92dde,// invsqrt(0.6926) = 1.2016 +32'h3f8a043e,32'h3f719acf,32'h3f7b7755, 32'h3f6a356b,32'h3f816e5d, 32'h3f5de1c3,32'h3f879830,// invsqrt(1.0783) = 0.9630 +32'h3f215273,32'h3f9e04d9,32'h3fa477fc, 32'h3f992e7f,32'h3fa94e57, 32'h3f911e94,32'h3fb15e43,// invsqrt(0.6302) = 1.2597 +32'h3e805240,32'h3ffa90d4,32'h4002657e, 32'h3ff2e536,32'h40063b4d, 32'h3fe61c84,32'h400c9fa6,// invsqrt(0.2506) = 1.9975 +32'h41276460,32'h3e9b209e,32'h3ea1758b, 32'h3e9660ee,32'h3ea6353c, 32'h3e8e76c6,32'h3eae1f64,// invsqrt(10.4620) = 0.3092 +32'h3e234494,32'h401d1312,32'h40237c56, 32'h4018441e,32'h40284b4a, 32'h40104088,32'h40304ee0,// invsqrt(0.1594) = 2.5044 +32'h3f496ee0,32'h3f8d69d5,32'h3f932f76, 32'h3f89159e,32'h3f9783ae, 32'h3f81de95,32'h3f9ebab7,// invsqrt(0.7868) = 1.1273 +32'h3ed91a4a,32'h3fc0a2ed,32'h3fc87fc7, 32'h3fbabd49,32'h3fce656b, 32'h3fb0e937,32'h3fd8397d,// invsqrt(0.4240) = 1.5357 +32'h3ea1f386,32'h3fdf09ba,32'h3fe82440, 32'h3fd835d7,32'h3feef823, 32'h3fccd4b0,32'h3ffa594a,// invsqrt(0.3163) = 1.7780 +32'h433bbce5,32'h3d927b08,32'h3d98759a, 32'h3d8dff1a,32'h3d9cf188, 32'h3d8685e2,32'h3da46ac0,// invsqrt(187.7379) = 0.0730 +32'h3e867411,32'h3ff4c903,32'h3ffec6c5, 32'h3fed4ab2,32'h4003228b, 32'h3fe0cd80,32'h40096124,// invsqrt(0.2626) = 1.9514 +32'h400aa51d,32'h3f2a73e6,32'h3f3168f4, 32'h3f253c1b,32'h3f36a0bf, 32'h3f1c89c8,32'h3f3f5312,// invsqrt(2.1663) = 0.6794 +32'h3ff4a1c4,32'h3f35795a,32'h3f3ce192, 32'h3f2feb30,32'h3f426fbc, 32'h3f26a8ea,32'h3f4bb202,// invsqrt(1.9112) = 0.7233 +32'h3ec58cf8,32'h3fc9f1c3,32'h3fd22fe0, 32'h3fc3c32e,32'h3fd85e76, 32'h3fb9758a,32'h3fe2ac1a,// invsqrt(0.3858) = 1.6099 +32'h41073a20,32'h3eac97eb,32'h3eb3a357, 32'h3ea74f59,32'h3eb8ebe9, 32'h3e9e8111,32'h3ec1ba31,// invsqrt(8.4517) = 0.3440 +32'h3f643aca,32'h3f84da43,32'h3f8a466f, 32'h3f80c921,32'h3f8e5791, 32'h3f7403d3,32'h3f951ec8,// invsqrt(0.8915) = 1.0591 +32'h3f25936a,32'h3f9bf9d6,32'h3fa257a0, 32'h3f97337e,32'h3fa71df8, 32'h3f8f3e42,32'h3faf1334,// invsqrt(0.6468) = 1.2434 +32'h40161741,32'h3f23d315,32'h3f2a82e1, 32'h3f1ecf3b,32'h3f2f86bb, 32'h3f16737c,32'h3f37e27b,// invsqrt(2.3452) = 0.6530 +32'h3ffab7b6,32'h3f33420e,32'h3f3a931e, 32'h3f2dc542,32'h3f400fea, 32'h3f249fed,32'h3f49353f,// invsqrt(1.9587) = 0.7145 +32'h3e2c40c9,32'h4018ec44,32'h401f2a27, 32'h40143dd9,32'h4023d891, 32'h400c707c,32'h402ba5ee,// invsqrt(0.1682) = 2.4382 +32'h3f74e042,32'h3f8041ee,32'h3f857e18, 32'h3f78a99f,32'h3f896b36, 32'h3f6b9339,32'h3f8ff66a,// invsqrt(0.9565) = 1.0225 +32'h3f90d529,32'h3f6bd9dd,32'h3f757a45, 32'h3f64a190,32'h3f7cb292, 32'h3f58990f,32'h3f845d89,// invsqrt(1.1315) = 0.9401 +32'h404d0913,32'h3f0c2a67,32'h3f11e2fd, 32'h3f07dff6,32'h3f162d6e, 32'h3f00b93a,32'h3f1d542a,// invsqrt(3.2037) = 0.5587 +32'h3eb0313a,32'h3fd5d59b,32'h3fde8ff5, 32'h3fcf49d8,32'h3fe51bb8, 32'h3fc460e7,32'h3ff004a9,// invsqrt(0.3441) = 1.7047 +32'h3f2f49aa,32'h3f9797e8,32'h3f9dc7e6, 32'h3f92f3e8,32'h3fa26be6, 32'h3f8b37ea,32'h3faa27e4,// invsqrt(0.6847) = 1.2085 +32'h3ebe330a,32'h3fcdcf5d,32'h3fd635de, 32'h3fc7827c,32'h3fdc82be, 32'h3fbd025a,32'h3fe702e0,// invsqrt(0.3715) = 1.6407 +32'h3eedb66d,32'h3fb818a3,32'h3fbf9c41, 32'h3fb275ec,32'h3fc53ef8, 32'h3fa91167,32'h3fcea37d,// invsqrt(0.4643) = 1.4676 +32'h3f87d499,32'h3f738a8c,32'h3f7d7b4e, 32'h3f6c15fb,32'h3f8277f0, 32'h3f5fa908,32'h3f88ae69,// invsqrt(1.0612) = 0.9707 +32'h3f3d4a95,32'h3f91e0d8,32'h3f97d51f, 32'h3f8d69a2,32'h3f9c4c54, 32'h3f85f848,32'h3fa3bdae,// invsqrt(0.7394) = 1.1629 +32'h3f1dbc09,32'h3f9fce4c,32'h3fa6541a, 32'h3f9ae9f0,32'h3fab3876, 32'h3f92c2ae,32'h3fb35fb8,// invsqrt(0.6162) = 1.2740 +32'h3f73d48d,32'h3f808844,32'h3f85c74c, 32'h3f7931fc,32'h3f89b692, 32'h3f6c1468,32'h3f90455c,// invsqrt(0.9525) = 1.0247 +32'h3f1ba016,32'h3fa0e297,32'h3fa773ad, 32'h3f9bf5c7,32'h3fac607d, 32'h3f93c06b,32'h3fb495d9,// invsqrt(0.6079) = 1.2826 +32'h3d105158,32'h40a711b1,32'h40ade365, 32'h40a1f46a,32'h40b300ac, 32'h40996e4a,32'h40bb86cc,// invsqrt(0.0352) = 5.3275 +32'h3fa3c14b,32'h3f5dce63,32'h3f66dc09, 32'h3f570426,32'h3f6da646, 32'h3f4bb317,32'h3f78f755,// invsqrt(1.2793) = 0.8841 +32'h3fae84ec,32'h3f56db60,32'h3f5fa06a, 32'h3f50479a,32'h3f663430, 32'h3f45514e,32'h3f712a7c,// invsqrt(1.3634) = 0.8564 +32'h3ee8cc3c,32'h3fba0787,32'h3fc19f59, 32'h3fb455aa,32'h3fc75136, 32'h3faad7e5,32'h3fd0cefb,// invsqrt(0.4547) = 1.4830 +32'h3cfc9a18,32'h40b29693,32'h40b9e0a3, 32'h40ad1f06,32'h40bf5830, 32'h40a40272,32'h40c874c4,// invsqrt(0.0308) = 5.6948 +32'h3fbaf5ef,32'h3f4f95c0,32'h3f580ece, 32'h3f493af7,32'h3f5e6997, 32'h3f3ea3a6,32'h3f6900e8,// invsqrt(1.4606) = 0.8274 +32'h3ff9fe0a,32'h3f338493,32'h3f3ad85a, 32'h3f2e05bd,32'h3f40572f, 32'h3f24dd04,32'h3f497fe8,// invsqrt(1.9531) = 0.7156 +32'h3e7b31ee,32'h3ffd44a2,32'h4003cd83, 32'h3ff583d6,32'h4007ade9, 32'h3fe897d8,32'h400e23e8,// invsqrt(0.2453) = 2.0190 +32'h40002e9b,32'h3f3145df,32'h3f388231, 32'h3f2bd8a1,32'h3f3def6f, 32'h3f22cd3a,32'h3f46fad6,// invsqrt(2.0028) = 0.7066 +32'h4007cdff,32'h3f2c39da,32'h3f334170, 32'h3f26f42a,32'h3f388720, 32'h3f1e2aae,32'h3f41509c,// invsqrt(2.1219) = 0.6865 +32'h3d18f53e,32'h40a24828,32'h40a8e7d6, 32'h409d5065,32'h40addf99, 32'h409508cc,32'h40b62732,// invsqrt(0.0373) = 5.1748 +32'h4021f799,32'h3f1db435,32'h3f24240d, 32'h3f18e053,32'h3f28f7ef, 32'h3f10d484,32'h3f3103be,// invsqrt(2.5307) = 0.6286 +32'h3f3bb121,32'h3f927f9f,32'h3f987a62, 32'h3f8e038e,32'h3f9cf674, 32'h3f868a1a,32'h3fa46fe8,// invsqrt(0.7332) = 1.1679 +32'h40e21ffd,32'h3ebcc118,32'h3ec47562, 32'h3eb6f9e0,32'h3eca3c9a, 32'h3ead5884,32'h3ed3ddf6,// invsqrt(7.0664) = 0.3762 +32'h3f9b976c,32'h3f638cf8,32'h3f6cd6a4, 32'h3f5c95b7,32'h3f73cde5, 32'h3f50f9a0,32'h3f7f69fc,// invsqrt(1.2156) = 0.9070 +32'h40fc18d0,32'h3eb2c457,32'h3eba1046, 32'h3ead4b65,32'h3ebf8939, 32'h3ea42c7a,32'h3ec8a824,// invsqrt(7.8780) = 0.3563 +32'h408e9eda,32'h3eedac50,32'h3ef75fc2, 32'h3ee665bc,32'h3efea656, 32'h3eda456e,32'h3f056352,// invsqrt(4.4569) = 0.4737 +32'h3f7c2c16,32'h3f7cc6e4,32'h3f838c14, 32'h3f7509f2,32'h3f876a8d, 32'h3f68245f,32'h3f8ddd56,// invsqrt(0.9850) = 1.0076 +32'h3f437c19,32'h3f8f8c7e,32'h3f95686f, 32'h3f8b278b,32'h3f99cd63, 32'h3f83d49e,32'h3fa12050,// invsqrt(0.7636) = 1.1444 +32'h3e59df52,32'h4007f946,32'h400d860f, 32'h4003cfae,32'h4011afa6, 32'h3ff9bf65,32'h40189fa1,// invsqrt(0.2128) = 2.1679 +32'h3f802222,32'h3f7abfdb,32'h3f827df7, 32'h3f7312cd,32'h3f86547f, 32'h3f6647b4,32'h3f8cba0b,// invsqrt(1.0010) = 0.9995 +32'h3e8f5545,32'h3fed14e2,32'h3ff6c224, 32'h3fe5d2f0,32'h3ffe0416, 32'h3fd9ba5c,32'h40050e55,// invsqrt(0.2799) = 1.8900 +32'h40815686,32'h3ef99437,32'h3f01e208, 32'h3ef1f055,32'h3f05b3f9, 32'h3ee53487,32'h3f0c11e1,// invsqrt(4.0418) = 0.4974 +32'h40ed3595,32'h3eb84a9c,32'h3ebfd044, 32'h3eb2a65e,32'h3ec57482, 32'h3ea93f4b,32'h3ecedb95,// invsqrt(7.4128) = 0.3673 +32'h3f9502da,32'h3f68854e,32'h3f7202e8, 32'h3f61671a,32'h3f79211c, 32'h3f558a18,32'h3f827f0f,// invsqrt(1.1641) = 0.9268 +32'h407b773e,32'h3efd21b8,32'h3f03bb58, 32'h3ef561fe,32'h3f079b35, 32'h3ee877c8,32'h3f0e1050,// invsqrt(3.9292) = 0.5045 +32'h3f78d481,32'h3f7e7804,32'h3f846d7a, 32'h3f76adcf,32'h3f885294, 32'h3f69b223,32'h3f8ed06b,// invsqrt(0.9720) = 1.0143 +32'h3d069bf8,32'h40acfd31,32'h40b40cc1, 32'h40a7b186,32'h40b9586c, 32'h409ede13,32'h40c22bdf,// invsqrt(0.0329) = 5.5162 +32'h3f81ca93,32'h3f79248a,32'h3f81a7ea, 32'h3f718413,32'h3f857825, 32'h3f64cdf7,32'h3f8bd333,// invsqrt(1.0140) = 0.9931 +32'h4108eb4d,32'h3eab860c,32'h3eb2864c, 32'h3ea645dd,32'h3eb7c67b, 32'h3e9d858e,32'h3ec086ca,// invsqrt(8.5574) = 0.3418 +32'h3f796fab,32'h3f7e28d2,32'h3f844443, 32'h3f76610a,32'h3f882827, 32'h3f696968,32'h3f8ea3f8,// invsqrt(0.9744) = 1.0131 +32'h3fabb331,32'h3f589d1b,32'h3f617480, 32'h3f51fb90,32'h3f68160c, 32'h3f46ee53,32'h3f732349,// invsqrt(1.3414) = 0.8634 +32'h3f4770be,32'h3f8e1e3d,32'h3f93eb3b, 32'h3f89c47f,32'h3f9844f9, 32'h3f828443,32'h3f9f8535,// invsqrt(0.7791) = 1.1330 +32'h3d351f2f,32'h409521c6,32'h409b380d, 32'h40909112,32'h409fc8c2, 32'h4088f539,32'h40a7649b,// invsqrt(0.0442) = 4.7555 +32'h3f1db054,32'h3f9fd43a,32'h3fa65a47, 32'h3f9aefb1,32'h3fab3ed1, 32'h3f92c821,32'h3fb36661,// invsqrt(0.6160) = 1.2741 +32'h3f2b2098,32'h3f996cd2,32'h3f9faff4, 32'h3f94ba78,32'h3fa4624e, 32'h3f8ce68c,32'h3fac363a,// invsqrt(0.6685) = 1.2231 +32'h40be2d3f,32'h3ecdd27f,32'h3ed63921, 32'h3ec78586,32'h3edc861a, 32'h3ebd053b,32'h3ee70665,// invsqrt(5.9430) = 0.4102 +32'h3e005d27,32'h403125b8,32'h403860ba, 32'h402bb976,32'h403dccfc, 32'h4022afb3,32'h4046d6bf,// invsqrt(0.1254) = 2.8244 +32'h3f3686a5,32'h3f948ea5,32'h3f9a9eeb, 32'h3f900271,32'h3f9f2b1f, 32'h3f886e1a,32'h3fa6bf76,// invsqrt(0.7130) = 1.1843 +32'h3f0ae8ce,32'h3faa4a59,32'h3fb13db5, 32'h3fa513d3,32'h3fb6743b, 32'h3f9c63a0,32'h3fbf246e,// invsqrt(0.5426) = 1.3575 +32'h3db5d462,32'h40527e57,32'h405b15c8, 32'h404c0cc1,32'h4061875d, 32'h40414f74,32'h406c44aa,// invsqrt(0.0888) = 3.3561 +32'h4066a95b,32'h3f042680,32'h3f098b56, 32'h3f001adf,32'h3f0d96f7, 32'h3ef2b9a7,32'h3f145502,// invsqrt(3.6041) = 0.5267 +32'h3f3a9255,32'h3f92f00d,32'h3f98ef67, 32'h3f8e708a,32'h3f9d6eea, 32'h3f86f15b,32'h3fa4ee19,// invsqrt(0.7288) = 1.1714 +32'h3e447d3d,32'h400f2e72,32'h4015068b, 32'h400acc5e,32'h4019689e, 32'h40037e3e,32'h4020b6be,// invsqrt(0.1919) = 2.2829 +32'h41861207,32'h3e752272,32'h3e7f23da, 32'h3e6da164,32'h3e835274, 32'h3e611fa2,32'h3e899355,// invsqrt(16.7588) = 0.2443 +32'h3ed585bb,32'h3fc23ea9,32'h3fca2c51, 32'h3fbc4c6a,32'h3fd01e90, 32'h3fb26357,32'h3fda07a3,// invsqrt(0.4170) = 1.5485 +32'h3e9f9864,32'h3fe0ada1,32'h3fe9d949, 32'h3fd9cce2,32'h3ff0ba08, 32'h3fce5650,32'h3ffc309a,// invsqrt(0.3117) = 1.7911 +32'h3f2a9ca6,32'h3f99a81a,32'h3f9feda8, 32'h3f94f3ef,32'h3fa4a1d3, 32'h3f8d1cfe,32'h3fac78c4,// invsqrt(0.6665) = 1.2249 +32'h3f7bc35b,32'h3f7cfb72,32'h3f83a76d, 32'h3f753ce4,32'h3f8786b4, 32'h3f6854a2,32'h3f8dfad5,// invsqrt(0.9834) = 1.0084 +32'h3f825e8f,32'h3f7896fb,32'h3f815e3f, 32'h3f70fad9,32'h3f852c50, 32'h3f644bf6,32'h3f8b83c1,// invsqrt(1.0185) = 0.9909 +32'h3e98a262,32'h3fe5be91,32'h3fef1f29, 32'h3fdeb61f,32'h3ff6279b, 32'h3fd2fd60,32'h4000f02d,// invsqrt(0.2981) = 1.8315 +32'h3e4d3069,32'h400c1cf7,32'h4011d501, 32'h4007d2ef,32'h40161f09, 32'h4000ace3,32'h401d4515,// invsqrt(0.2004) = 2.2339 +32'h3f8fe092,32'h3f6ca201,32'h3f764a93, 32'h3f656393,32'h3f7d8901, 32'h3f5950dc,32'h3f84cddc,// invsqrt(1.1240) = 0.9432 +32'h3f1cb0d5,32'h3fa05654,32'h3fa6e1b0, 32'h3f9b6dcf,32'h3fabca35, 32'h3f933f9b,32'h3fb3f869,// invsqrt(0.6121) = 1.2782 +32'h3f23f9cd,32'h3f9cbc2d,32'h3fa321e6, 32'h3f97efe3,32'h3fa7ee31, 32'h3f8ff0bc,32'h3fafed58,// invsqrt(0.6405) = 1.2495 +32'h3e83ecbc,32'h3ff71eb8,32'h40009a70, 32'h3fef8e1b,32'h400462be, 32'h3fe2f26b,32'h400ab097,// invsqrt(0.2577) = 1.9700 +32'h40603b62,32'h3f060818,32'h3f0b8096, 32'h3f01edb9,32'h3f0f9af5, 32'h3ef62e36,32'h3f167193,// invsqrt(3.5036) = 0.5342 +32'h408b51f5,32'h3ef078c5,32'h3efa4974, 32'h3ee91c41,32'h3f00d2fc, 32'h3edcd766,32'h3f06f569,// invsqrt(4.3538) = 0.4793 +32'h3f990632,32'h3f657398,32'h3f6ed120, 32'h3f5e6d71,32'h3f75d747, 32'h3f52b886,32'h3f80c619,// invsqrt(1.1955) = 0.9146 +32'h3ef939dd,32'h3fb3cb2c,32'h3fbb21d6, 32'h3fae4a2e,32'h3fc0a2d4, 32'h3fa51dda,32'h3fc9cf28,// invsqrt(0.4868) = 1.4333 +32'h3f200d9c,32'h3f9ea4e3,32'h3fa51e8f, 32'h3f99c9a3,32'h3fa9f9cf, 32'h3f91b18d,32'h3fb211e5,// invsqrt(0.6252) = 1.2647 +32'h3ea64f1e,32'h3fdc18b2,32'h3fe5147b, 32'h3fd55bdc,32'h3febd152, 32'h3fca2122,32'h3ff70c0d,// invsqrt(0.3248) = 1.7546 +32'h3fb13360,32'h3f5539a0,32'h3f5ded9c, 32'h3f4eb2a3,32'h3f647499, 32'h3f43d1a8,32'h3f6f5594,// invsqrt(1.3844) = 0.8499 +32'h3f0a93d3,32'h3faa7e88,32'h3fb17406, 32'h3fa5466a,32'h3fb6ac24, 32'h3f9c938d,32'h3fbf5f01,// invsqrt(0.5413) = 1.3592 +32'h41165110,32'h3ea3b391,32'h3eaa6215, 32'h3e9eb0af,32'h3eaf64f7, 32'h3e96568b,32'h3eb7bf1b,// invsqrt(9.3948) = 0.3263 +32'h40eb8678,32'h3eb8f2fa,32'h3ec07f81, 32'h3eb34994,32'h3ec628e6, 32'h3ea9d9ea,32'h3ecf9890,// invsqrt(7.3602) = 0.3686 +32'h429d6c35,32'h3de2392b,32'h3deb74f9, 32'h3ddb4c51,32'h3df261d3, 32'h3dcfc190,32'h3dfdec94,// invsqrt(78.7113) = 0.1127 +32'h3f7319f1,32'h3f80b98f,32'h3f85fa9b, 32'h3f79918e,32'h3f89eb63, 32'h3f6c6ef3,32'h3f907cb1,// invsqrt(0.9496) = 1.0262 +32'h3f9a88a8,32'h3f6453fb,32'h3f6da5c7, 32'h3f5d56a3,32'h3f74a31f, 32'h3f51b064,32'h3f8024af,// invsqrt(1.2073) = 0.9101 +32'h3fa8be89,32'h3f5a80a7,32'h3f636bc7, 32'h3f53d04e,32'h3f6a1c20, 32'h3f48aa65,32'h3f754209,// invsqrt(1.3183) = 0.8709 +32'h3fd1366e,32'h3f443c3b,32'h3f4c3eb1, 32'h3f3e3a63,32'h3f524089, 32'h3f343751,32'h3f5c439b,// invsqrt(1.6345) = 0.7822 +32'h3f8e7833,32'h3f6dcc8c,32'h3f77814d, 32'h3f6684fa,32'h3f7ec8de, 32'h3f5a6308,32'h3f857568,// invsqrt(1.1130) = 0.9479 +32'h3fd5292d,32'h3f4268d0,32'h3f4a5832, 32'h3f3c7547,32'h3f504bbb, 32'h3f328a0e,32'h3f5a36f4,// invsqrt(1.6653) = 0.7749 +32'h40383624,32'h3f13e041,32'h3f19e969, 32'h3f0f5964,32'h3f1e7046, 32'h3f07cdf3,32'h3f25fbb7,// invsqrt(2.8783) = 0.5894 +32'h40c0537c,32'h3eccab3e,32'h3ed505d3, 32'h3ec6674f,32'h3edb49c3, 32'h3ebbf615,32'h3ee5bafd,// invsqrt(6.0102) = 0.4079 +32'h3ff2b94c,32'h3f362f99,32'h3f3d9f41, 32'h3f309bdb,32'h3f4332ff, 32'h3f275048,32'h3f4c7e92,// invsqrt(1.8963) = 0.7262 +32'h403d250b,32'h3f11ef51,32'h3f17e42f, 32'h3f0d77aa,32'h3f1c5bd6, 32'h3f060593,32'h3f23cded,// invsqrt(2.9554) = 0.5817 +32'h3e1ea6a8,32'h401f57f6,32'h4025d8f0, 32'h401a773a,32'h402ab9ac, 32'h40125601,32'h4032dae5,// invsqrt(0.1549) = 2.5406 +32'h3f897a96,32'h3f7213a6,32'h3f7bf51a, 32'h3f6aaa8e,32'h3f81af19, 32'h3f5e50bd,32'h3f87dc02,// invsqrt(1.0741) = 0.9649 +32'h3e796a89,32'h3ffe2b70,32'h400445a0, 32'h3ff66394,32'h4008298e, 32'h3fe96bcf,32'h400ea570,// invsqrt(0.2436) = 2.0262 +32'h3ed6fc9a,32'h3fc19505,32'h3fc97bc1, 32'h3fbba7f8,32'h3fcf68ce, 32'h3fb1c78c,32'h3fd9493a,// invsqrt(0.4199) = 1.5432 +32'h3f812630,32'h3f79c2e7,32'h3f81fa54, 32'h3f721d97,32'h3f85ccfc, 32'h3f655f66,32'h3f8c2c14,// invsqrt(1.0090) = 0.9955 +32'h41763702,32'h3e7fd113,32'h3e85210c, 32'h3e77fc4e,32'h3e890b6f, 32'h3e6aef07,32'h3e8f9212,// invsqrt(15.3884) = 0.2549 +32'h3f592ad2,32'h3f8831bc,32'h3f8dc0d4, 32'h3f84066b,32'h3f91ec25, 32'h3f7a271b,32'h3f98df02,// invsqrt(0.8483) = 1.0857 +32'h3d12f520,32'h40a58fd2,32'h40ac51c6, 32'h40a07e5b,32'h40b1633d, 32'h40980beb,32'h40b9d5ad,// invsqrt(0.0359) = 5.2794 +32'h40eb5830,32'h3eb90528,32'h3ec0926e, 32'h3eb35b34,32'h3ec63c62, 32'h3ea9ea9d,32'h3ecfacf9,// invsqrt(7.3545) = 0.3687 +32'h3fee2dc2,32'h3f37ea7f,32'h3f3f6c3b, 32'h3f324932,32'h3f450d88, 32'h3f28e707,32'h3f4e6fb3,// invsqrt(1.8608) = 0.7331 +32'h3eb3c0ef,32'h3fd3b49d,32'h3fdc58b8, 32'h3fcd3988,32'h3fe2d3cc, 32'h3fc26c66,32'h3feda0ee,// invsqrt(0.3511) = 1.6877 +32'h3f97a24d,32'h3f66803f,32'h3f6fe8bf, 32'h3f5f71df,32'h3f76f71f, 32'h3f53af3f,32'h3f815cdf,// invsqrt(1.1846) = 0.9188 +32'h3f358ea0,32'h3f94f3fb,32'h3f9b0863, 32'h3f9064ad,32'h3f9f97b1, 32'h3f88cb2a,32'h3fa73134,// invsqrt(0.7092) = 1.1874 +32'h3fac1f4a,32'h3f58590c,32'h3f612da9, 32'h3f51b996,32'h3f67cd1e, 32'h3f46afd1,32'h3f72d6e3,// invsqrt(1.3447) = 0.8624 +32'h3dba3f19,32'h404ffb8b,32'h405878c0, 32'h40499da4,32'h405ed6a8, 32'h403f0122,32'h4069732a,// invsqrt(0.0909) = 3.3160 +32'h3e375953,32'h40143932,32'h401a45fb, 32'h400faf9c,32'h401ecf92, 32'h40081fa2,32'h40265f8c,// invsqrt(0.1791) = 2.3633 +32'h412c6279,32'h3e98dd52,32'h3e9f1a99, 32'h3e942f5c,32'h3ea3c88e, 32'h3e8c62c3,32'h3eab9527,// invsqrt(10.7740) = 0.3047 +32'h3f050227,32'h3fae06e5,32'h3fb5214d, 32'h3fa8b318,32'h3fba751a, 32'h3f9fd216,32'h3fc3561c,// invsqrt(0.5196) = 1.3873 +32'h3f0547b9,32'h3fadd974,32'h3fb4f200, 32'h3fa8870a,32'h3fba446a, 32'h3f9fa85b,32'h3fc32319,// invsqrt(0.5206) = 1.3859 +32'h3f81710d,32'h3f797aa3,32'h3f81d4b8, 32'h3f71d78a,32'h3f85a645, 32'h3f651d09,32'h3f8c0386,// invsqrt(1.0113) = 0.9944 +32'h3e2c2610,32'h4018f822,32'h401f3681, 32'h4014495a,32'h4023e548, 32'h400c7b62,32'h402bb340,// invsqrt(0.1681) = 2.4389 +32'h3ebc072e,32'h3fcefeb4,32'h3fd77196, 32'h3fc8a88a,32'h3fddc7c0, 32'h3fbe18ee,32'h3fe8575c,// invsqrt(0.3672) = 1.6502 +32'h4046de73,32'h3f0e527a,32'h3f142199, 32'h3f09f722,32'h3f187cf0, 32'h3f02b43b,32'h3f1fbfd7,// invsqrt(3.1073) = 0.5673 +32'h3fcab349,32'h3f475cd7,32'h3f4f7ff9, 32'h3f41427c,32'h3f559a54, 32'h3f371691,32'h3f5fc63f,// invsqrt(1.5836) = 0.7947 +32'h3f8665bc,32'h3f74d610,32'h3f7ed45a, 32'h3f6d5759,32'h3f832989, 32'h3f60d97c,32'h3f896877,// invsqrt(1.0500) = 0.9759 +32'h3e083360,32'h402bf9b5,32'h4032fead, 32'h4026b5fb,32'h40384267, 32'h401defc6,32'h4041089c,// invsqrt(0.1330) = 2.7420 +32'h3f5f94b6,32'h3f863a04,32'h3f8bb48c, 32'h3f821e1e,32'h3f8fd072, 32'h3f7689e8,32'h3f96a99c,// invsqrt(0.8734) = 1.0700 +32'h3ef04db8,32'h3fb719e0,32'h3fbe9318, 32'h3fb17ef6,32'h3fc42e02, 32'h3fa82770,32'h3fcd8588,// invsqrt(0.4693) = 1.4597 +32'h3f028aa8,32'h3fafa9db,32'h3fb6d55c, 32'h3faa493a,32'h3fbc35fc, 32'h3fa152d8,32'h3fc52c5e,// invsqrt(0.5099) = 1.4004 +32'h3f4f6d4e,32'h3f8b5af3,32'h3f910b12, 32'h3f8716dc,32'h3f954f2a, 32'h3f7ff56c,32'h3f9c6b50,// invsqrt(0.8103) = 1.1109 +32'h3f82a74a,32'h3f7851c0,32'h3f813a38, 32'h3f70b7bd,32'h3f850739, 32'h3f640c62,32'h3f8b5ce7,// invsqrt(1.0207) = 0.9898 +32'h3f332fe7,32'h3f95ef53,32'h3f9c0dfe, 32'h3f915854,32'h3fa0a4fe, 32'h3f89b1fe,32'h3fa84b54,// invsqrt(0.6999) = 1.1953 +32'h3dae8df5,32'h4056d5d1,32'h405f9aa0, 32'h40504235,32'h40662e3b, 32'h40454c32,32'h4071243e,// invsqrt(0.0852) = 3.4253 +32'h40fc09c1,32'h3eb2c9ae,32'h3eba15d5, 32'h3ead5092,32'h3ebf8ef2, 32'h3ea43162,32'h3ec8ae22,// invsqrt(7.8762) = 0.3563 +32'h3fca8e90,32'h3f476ee8,32'h3f4f92c8, 32'h3f415400,32'h3f55adb0, 32'h3f372729,32'h3f5fda87,// invsqrt(1.5825) = 0.7949 +32'h3ead3fbc,32'h3fd7a4a7,32'h3fe071e7, 32'h3fd10ab7,32'h3fe70bd7, 32'h3fc60a26,32'h3ff20c68,// invsqrt(0.3384) = 1.7191 +32'h40d68044,32'h3ec1cd18,32'h3ec9b61e, 32'h3ebbde53,32'h3ecfa4e3, 32'h3eb1fb0c,32'h3ed9882b,// invsqrt(6.7032) = 0.3862 +32'h4022ca32,32'h3f1d4e11,32'h3f23b9bf, 32'h3f187d50,32'h3f288a80, 32'h3f1076b7,32'h3f309119,// invsqrt(2.5436) = 0.6270 +32'h3f7e683d,32'h3f7baa05,32'h3f82f7d4, 32'h3f73f5cb,32'h3f86d1f0, 32'h3f671ec1,32'h3f8d3d76,// invsqrt(0.9938) = 1.0031 +32'h3f439cff,32'h3f8f806c,32'h3f955bde, 32'h3f8b1bd6,32'h3f99c074, 32'h3f83c988,32'h3fa112c2,// invsqrt(0.7641) = 1.1440 +32'h3de97e98,32'h4039c06c,32'h40415557, 32'h403410bd,32'h40470507, 32'h402a9698,32'h40507f2c,// invsqrt(0.1140) = 2.9616 +32'h4145bcf3,32'h3e8eba83,32'h3e948de1, 32'h3e8a5bfc,32'h3e98ec68, 32'h3e8313c7,32'h3ea0349d,// invsqrt(12.3586) = 0.2845 +32'h3feee914,32'h3f37a257,32'h3f3f2122, 32'h3f320340,32'h3f44c03a, 32'h3f28a4c4,32'h3f4e1eb6,// invsqrt(1.8665) = 0.7320 +32'h3f6b07af,32'h3f82ea9f,32'h3f884291, 32'h3f7dd154,32'h3f8c4486, 32'h3f707578,32'h3f92f274,// invsqrt(0.9181) = 1.0437 +32'h3f3d997c,32'h3f91c27a,32'h3f97b584, 32'h3f8d4c32,32'h3f9c2bcc, 32'h3f85dc66,32'h3fa39b98,// invsqrt(0.7406) = 1.1620 +32'h406dd448,32'h3f0224cf,32'h3f0774ad, 32'h3efc51cf,32'h3f0b7094, 32'h3eef0a23,32'h3f12146b,// invsqrt(3.7161) = 0.5187 +32'h3fa391c1,32'h3f5dee9c,32'h3f66fd92, 32'h3f572363,32'h3f6dc8cb, 32'h3f4bd0ae,32'h3f791b80,// invsqrt(1.2779) = 0.8846 +32'h3f5ee5b9,32'h3f866eaa,32'h3f8beb58, 32'h3f825127,32'h3f9008db, 32'h3f76ea9b,32'h3f96e4b4,// invsqrt(0.8707) = 1.0717 +32'h400a0f8b,32'h3f2ad022,32'h3f31c8f4, 32'h3f259584,32'h3f370392, 32'h3f1cde7d,32'h3f3fba99,// invsqrt(2.1572) = 0.6809 +32'h3e8df2d8,32'h3fee3c25,32'h3ff7f575, 32'h3fe6f129,32'h3fff4071, 32'h3fdac985,32'h4005b40a,// invsqrt(0.2772) = 1.8992 +32'h3f788cca,32'h3f7e9cb8,32'h3f848094, 32'h3f76d164,32'h3f88663e, 32'h3f69d3d8,32'h3f8ee504,// invsqrt(0.9709) = 1.0149 +32'h3e631fc1,32'h40052cf0,32'h400a9c7d, 32'h40011947,32'h400eb027, 32'h3ff49bb0,32'h40157b96,// invsqrt(0.2218) = 2.1233 +32'h3fb405aa,32'h3f538c2f,32'h3f5c2ea3, 32'h3f4d1257,32'h3f62a87b, 32'h3f424745,32'h3f6d738d,// invsqrt(1.4064) = 0.8432 +32'h3fb016c8,32'h3f55e5a9,32'h3f5ea0ab, 32'h3f4f5968,32'h3f652cec, 32'h3f446fa6,32'h3f7016ae,// invsqrt(1.3757) = 0.8526 +32'h3fbe8b7c,32'h3f4d9f93,32'h3f560421, 32'h3f475429,32'h3f5c4f8b, 32'h3f3cd677,32'h3f66cd3d,// invsqrt(1.4886) = 0.8196 +32'h3fd1ad3c,32'h3f44049b,32'h3f4c04cb, 32'h3f3e0477,32'h3f5204ef, 32'h3f34043b,32'h3f5c052b,// invsqrt(1.6381) = 0.7813 +32'h3f2f8f61,32'h3f9779cc,32'h3f9da890, 32'h3f92d6b8,32'h3fa24ba4, 32'h3f8b1c43,32'h3faa0619,// invsqrt(0.6858) = 1.2076 +32'h3f958d4b,32'h3f681995,32'h3f7192cb, 32'h3f60feae,32'h3f78adb2, 32'h3f55272b,32'h3f82429a,// invsqrt(1.1684) = 0.9251 +32'h3f0e514f,32'h3fa83d30,32'h3faf1b1d, 32'h3fa316be,32'h3fb4418e, 32'h3f9a8155,32'h3fbcd6f7,// invsqrt(0.5559) = 1.3412 +32'h3f70b553,32'h3f815cf6,32'h3f86a4ac, 32'h3f7ace5a,32'h3f8a9a75, 32'h3f6d9b12,32'h3f913419,// invsqrt(0.9403) = 1.0313 +32'h3e966b27,32'h3fe76e2b,32'h3ff0e061, 32'h3fe05882,32'h3ff7f60a, 32'h3fd489bf,32'h4001e266,// invsqrt(0.2938) = 1.8449 +32'h40e4be45,32'h3ebbabbf,32'h3ec354b7, 32'h3eb5ed05,32'h3ec91371, 32'h3eac59cf,32'h3ed2a6a7,// invsqrt(7.1482) = 0.3740 +32'h3f63c75b,32'h3f84fbe8,32'h3f8a6974, 32'h3f80e9bf,32'h3f8e7b9d, 32'h3f7441a0,32'h3f95448c,// invsqrt(0.8898) = 1.0601 +32'h3fbd8909,32'h3f4e2b95,32'h3f5695d9, 32'h3f47dbe1,32'h3f5ce58d, 32'h3f3d570b,32'h3f676a63,// invsqrt(1.4807) = 0.8218 +32'h3db7cc90,32'h40515cdc,32'h4059e87c, 32'h404af423,32'h40605135, 32'h4040459b,32'h406affbd,// invsqrt(0.0897) = 3.3381 +32'h3fca8df4,32'h3f476f35,32'h3f4f9317, 32'h3f41544a,32'h3f55ae02, 32'h3f37276f,32'h3f5fdadd,// invsqrt(1.5825) = 0.7949 +32'h3e1c14b6,32'h4020a670,32'h40273512, 32'h401bbb77,32'h402c200b, 32'h4013892e,32'h40345254,// invsqrt(0.1524) = 2.5614 +32'h3ea248fe,32'h3fdecef7,32'h3fe7e715, 32'h3fd7fcdf,32'h3feeb92d, 32'h3fcc9eb9,32'h3ffa1753,// invsqrt(0.3170) = 1.7762 +32'h3e2c8d58,32'h4018ca53,32'h401f06d4, 32'h40141cf3,32'h4023b435, 32'h400c5152,32'h402b7fd6,// invsqrt(0.1685) = 2.4361 +32'h404e049c,32'h3f0bd4bc,32'h3f1189d4, 32'h3f078ceb,32'h3f15d1a5, 32'h3f006a8e,32'h3f1cf402,// invsqrt(3.2190) = 0.5574 +32'h3f78da6e,32'h3f7e74fd,32'h3f846be7, 32'h3f76aae1,32'h3f8850f6, 32'h3f69af5c,32'h3f8eceb8,// invsqrt(0.9721) = 1.0143 +32'h3f6b4882,32'h3f82d895,32'h3f882fcb, 32'h3f7dae5b,32'h3f8c3132, 32'h3f705456,32'h3f92de35,// invsqrt(0.9191) = 1.0431 +32'h3da685fd,32'h405bf46c,32'h4064eeba, 32'h405538b2,32'h406baa74, 32'h4049ffd1,32'h4076e355,// invsqrt(0.0813) = 3.5069 +32'h403b0259,32'h3f12c405,32'h3f18c193, 32'h3f0e45db,32'h3f1d3fbd, 32'h3f06c8eb,32'h3f24bcad,// invsqrt(2.9220) = 0.5850 +32'h3e90ac0c,32'h3febfb5e,32'h3ff59d23, 32'h3fe4c20a,32'h3ffcd676, 32'h3fd8b7d3,32'h40047056,// invsqrt(0.2826) = 1.8812 +32'h3f52f17b,32'h3f8a305c,32'h3f8fd44b, 32'h3f85f569,32'h3f940f3f, 32'h3f7dd0fe,32'h3f9b1c29,// invsqrt(0.8240) = 1.1016 +32'h4139926b,32'h3e93553c,32'h3e9958b6, 32'h3e8ed2a0,32'h3e9ddb52, 32'h3e874e46,32'h3ea55fac,// invsqrt(11.5982) = 0.2936 +32'h3fa8042d,32'h3f5af9b3,32'h3f63e9c5, 32'h3f5445a6,32'h3f6a9dd2, 32'h3f491990,32'h3f75c9e8,// invsqrt(1.3126) = 0.8728 +32'h3f90b6a3,32'h3f6bf2bb,32'h3f759426, 32'h3f64b9ab,32'h3f7ccd37, 32'h3f58afe6,32'h3f846b7e,// invsqrt(1.1306) = 0.9405 +32'h40a7f4b1,32'h3edb03cb,32'h3ee3f446, 32'h3ed44f6e,32'h3eeaa8a2, 32'h3ec922d4,32'h3ef5d53c,// invsqrt(5.2486) = 0.4365 +32'h3d6af7d6,32'h4082ef09,32'h40884729, 32'h407dd9e3,32'h408c4941, 32'h40707d93,32'h4092f768,// invsqrt(0.0574) = 4.1752 +32'h3f6eb367,32'h3f81e7ed,32'h3f873550, 32'h3f7bdbc8,32'h3f8b2f5a, 32'h3f6e9a51,32'h3f91d015,// invsqrt(0.9324) = 1.0356 +32'h3fd71ec1,32'h3f4185a6,32'h3f496bc2, 32'h3f3b9911,32'h3f4f5857, 32'h3f31b96f,32'h3f5937f9,// invsqrt(1.6806) = 0.7714 +32'h3f3d95ee,32'h3f91c3d8,32'h3f97b6f0, 32'h3f8d4d85,32'h3f9c2d43, 32'h3f85dda7,32'h3fa39d21,// invsqrt(0.7406) = 1.1620 +32'h3f1df429,32'h3f9fb1e5,32'h3fa6368b, 32'h3f9ace68,32'h3fab1a08, 32'h3f92a899,32'h3fb33fd7,// invsqrt(0.6170) = 1.2731 +32'h412b5cb1,32'h3e9951e8,32'h3e9f93f2, 32'h3e94a061,32'h3ea44579, 32'h3e8ccdd5,32'h3eac1805,// invsqrt(10.7101) = 0.3056 +32'h3f152693,32'h3fa4570d,32'h3fab0c3d, 32'h3f9f4f2a,32'h3fb01420, 32'h3f96ecae,32'h3fb8769c,// invsqrt(0.5826) = 1.3101 +32'h3f2379f0,32'h3f9cf96d,32'h3fa361a5, 32'h3f982b42,32'h3fa82fd0, 32'h3f9028fb,32'h3fb03217,// invsqrt(0.6386) = 1.2514 +32'h3ea2b500,32'h3fde84f7,32'h3fe79a11, 32'h3fd7b524,32'h3fee69e4, 32'h3fcc5ac4,32'h3ff9c444,// invsqrt(0.3178) = 1.7739 +32'h3e46c3d4,32'h400e5c01,32'h40142b83, 32'h400a005f,32'h40188725, 32'h4002bcfb,32'h401fca89,// invsqrt(0.1941) = 2.2698 +32'h3f8e119d,32'h3f6e2257,32'h3f77da99, 32'h3f66d825,32'h3f7f24cb, 32'h3f5ab1d2,32'h3f85a58f,// invsqrt(1.1099) = 0.9492 +32'h3eefe105,32'h3fb74358,32'h3fbebe41, 32'h3fb1a728,32'h3fc45a70, 32'h3fa84d84,32'h3fcdb414,// invsqrt(0.4685) = 1.4610 +32'h3f27e883,32'h3f9ae388,32'h3fa135f6, 32'h3f9625b5,32'h3fa5f3c9, 32'h3f8e3eac,32'h3faddad2,// invsqrt(0.6559) = 1.2348 +32'h3f8fbede,32'h3f6cbdbd,32'h3f766771, 32'h3f657e76,32'h3f7da6b8, 32'h3f596a55,32'h3f84dd6d,// invsqrt(1.1230) = 0.9436 +32'h3f982f0f,32'h3f66158e,32'h3f6f79b3, 32'h3f5f0a72,32'h3f7684ce, 32'h3f534d43,32'h3f8120fe,// invsqrt(1.1889) = 0.9171 +32'h3fd00e5a,32'h3f44c7ab,32'h3f4ccfd1, 32'h3f3ec18e,32'h3f52d5ee, 32'h3f34b75e,32'h3f5ce01e,// invsqrt(1.6254) = 0.7844 +32'h40386851,32'h3f13cc22,32'h3f19d476, 32'h3f0f45e2,32'h3f1e5ab6, 32'h3f07bb78,32'h3f25e520,// invsqrt(2.8814) = 0.5891 +32'h3d7cfb08,32'h407c5f6b,32'h4083563a, 32'h4074a5a4,32'h4087331e, 32'h4067c558,32'h408da344,// invsqrt(0.0618) = 4.0238 +32'h3eed31a5,32'h3fb84c23,32'h3fbfd1dc, 32'h3fb2a7da,32'h3fc57626, 32'h3fa940b3,32'h3fcedd4d,// invsqrt(0.4633) = 1.4692 +32'h3f26c29e,32'h3f9b6bc9,32'h3fa1c3c7, 32'h3f96a9cb,32'h3fa685c5, 32'h3f8ebbce,32'h3fae73c2,// invsqrt(0.6514) = 1.2390 +32'h3f8f94ec,32'h3f6ce04f,32'h3f768b6d, 32'h3f659ff9,32'h3f7dcbc3, 32'h3f598a15,32'h3f84f0d4,// invsqrt(1.1217) = 0.9442 +32'h3fb3ba62,32'h3f53b878,32'h3f5c5cbc, 32'h3f4d3d46,32'h3f62d7ee, 32'h3f426ff1,32'h3f6da543,// invsqrt(1.4041) = 0.8439 +32'h3f9196b9,32'h3f6b3ce0,32'h3f74d6df, 32'h3f640962,32'h3f7c0a5e, 32'h3f5808e3,32'h3f84056e,// invsqrt(1.1374) = 0.9377 +32'h40290304,32'h3f1a61df,32'h3f20af03, 32'h3f15a805,32'h3f2568dd, 32'h3f0dc799,32'h3f2d4949,// invsqrt(2.6408) = 0.6154 +32'h3f40863b,32'h3f90a5f4,32'h3f968d62, 32'h3f8c3862,32'h3f9afaf4, 32'h3f84d71a,32'h3fa25c3c,// invsqrt(0.7520) = 1.1531 +32'h3ffc9b7e,32'h3f329614,32'h3f39e020, 32'h3f2d1e8c,32'h3f3f57a8, 32'h3f2401fe,32'h3f487436,// invsqrt(1.9735) = 0.7118 +32'h40be7f24,32'h3ecda63c,32'h3ed60b10, 32'h3ec75a9e,32'h3edc56ae, 32'h3ebcdc95,32'h3ee6d4b7,// invsqrt(5.9530) = 0.4099 +32'h3fcd7045,32'h3f460792,32'h3f4e1cc8, 32'h3f3ff7ab,32'h3f542caf, 32'h3f35dd28,32'h3f5e4732,// invsqrt(1.6050) = 0.7893 +32'h4231d9b5,32'h3e167f4c,32'h3e1ca3d7, 32'h3e11e3e4,32'h3e213f40, 32'h3e0a3637,32'h3e28eced,// invsqrt(44.4626) = 0.1500 +32'h400d0905,32'h3f29008d,32'h3f2fe673, 32'h3f23d420,32'h3f3512e0, 32'h3f1b34c0,32'h3f3db240,// invsqrt(2.2037) = 0.6736 +32'h40e0f8e0,32'h3ebd3cbc,32'h3ec4f612, 32'h3eb771bb,32'h3ecac113, 32'h3eadca10,32'h3ed468be,// invsqrt(7.0304) = 0.3771 +32'h40bd2e54,32'h3ece5cfc,32'h3ed6c946, 32'h3ec80bc6,32'h3edd1a7c, 32'h3ebd846a,32'h3ee7a1d8,// invsqrt(5.9119) = 0.4113 +32'h3e8959bb,32'h3ff23098,32'h3ffc133b, 32'h3feac69e,32'h4001be9b, 32'h3fde6b53,32'h4007ec41,// invsqrt(0.2683) = 1.9307 +32'h408c4df8,32'h3eefa06c,32'h3ef96847, 32'h3ee84a89,32'h3f005f16, 32'h3edc10b7,32'h3f067bfe,// invsqrt(4.3845) = 0.4776 +32'h3dcfe7c4,32'h4044d9ec,32'h404ce2d2, 32'h403ed341,32'h4052e97d, 32'h4034c822,32'h405cf49c,// invsqrt(0.1015) = 3.1386 +32'h3ffa9b32,32'h3f334c40,32'h3f3a9dbb, 32'h3f2dcf24,32'h3f401ad8, 32'h3f24a94b,32'h3f4940b1,// invsqrt(1.9579) = 0.7147 +32'h3f5f7e3d,32'h3f8640c4,32'h3f8bbb92, 32'h3f8224a9,32'h3f8fd7ad, 32'h3f76964d,32'h3f96b12f,// invsqrt(0.8730) = 1.0703 +32'h3ffc4b66,32'h3f32b26b,32'h3f39fd9e, 32'h3f2d3a04,32'h3f3f7604, 32'h3f241c04,32'h3f489404,// invsqrt(1.9711) = 0.7123 +32'h3ed485ce,32'h3fc2b37b,32'h3fcaa5e9, 32'h3fbcbda9,32'h3fd09bbb, 32'h3fb2cea0,32'h3fda8ac4,// invsqrt(0.4151) = 1.5521 +32'h40ac09d5,32'h3ed86689,32'h3ee13bb3, 32'h3ed1c6a9,32'h3ee7db93, 32'h3ec6bc35,32'h3ef2e607,// invsqrt(5.3762) = 0.4313 +32'h4022cfaf,32'h3f1d4b6b,32'h3f23b6fc, 32'h3f187abd,32'h3f2887a9, 32'h3f107448,32'h3f308e1f,// invsqrt(2.5439) = 0.6270 +32'h3f0561fb,32'h3fadc857,32'h3fb4e030, 32'h3fa87673,32'h3fba3213, 32'h3f9f98a3,32'h3fc30fe3,// invsqrt(0.5210) = 1.3854 +32'h40c03470,32'h3eccbbc5,32'h3ed51707, 32'h3ec67754,32'h3edb5b78, 32'h3ebc0542,32'h3ee5cd8a,// invsqrt(6.0064) = 0.4080 +32'h3f059abd,32'h3fada369,32'h3fb4b9c1, 32'h3fa852a7,32'h3fba0a83, 32'h3f9f76b9,32'h3fc2e671,// invsqrt(0.5219) = 1.3842 +32'h4196b20b,32'h3e6737b5,32'h3e70a7b2, 32'h3e6023b8,32'h3e77bbb0, 32'h3e5457bc,32'h3e81c3d6,// invsqrt(18.8369) = 0.2304 +32'h3dd73866,32'h40417a1e,32'h40495fc2, 32'h403b8de4,32'h404f4bfc, 32'h4031aed8,32'h40592b08,// invsqrt(0.1051) = 3.0848 +32'h3f875aa5,32'h3f73f82a,32'h3f7ded66, 32'h3f6c803e,32'h3f82b2a9, 32'h3f600db4,32'h3f88ebee,// invsqrt(1.0575) = 0.9725 +32'h3fa547c7,32'h3f5cc7c4,32'h3f65cab2, 32'h3f560592,32'h3f6c8ce4, 32'h3f4ac1e8,32'h3f77d08e,// invsqrt(1.2913) = 0.8800 +32'h3d8e96d6,32'h406db2fe,32'h407766b5, 32'h40666c36,32'h407ead7e, 32'h405a4b91,32'h40856712,// invsqrt(0.0696) = 3.7898 +32'h40a65318,32'h3edc1611,32'h3ee511be, 32'h3ed5594f,32'h3eebce7f, 32'h3eca1eb6,32'h3ef70918,// invsqrt(5.1976) = 0.4386 +32'h3fae5b19,32'h3f56f524,32'h3f5fbb3a, 32'h3f506093,32'h3f664fcb, 32'h3f4568f7,32'h3f714767,// invsqrt(1.3622) = 0.8568 +32'h402aacf3,32'h3f19a0c4,32'h3f1fe605, 32'h3f14ecd2,32'h3f2499f6, 32'h3f0d1640,32'h3f2c7088,// invsqrt(2.6668) = 0.6124 +32'h3fbe83a3,32'h3f4da3cf,32'h3f560889, 32'h3f475843,32'h3f5c5415, 32'h3f3cda5b,32'h3f66d1fd,// invsqrt(1.4884) = 0.8197 +32'h41098212,32'h3eab27ea,32'h3eb22452, 32'h3ea5ea9c,32'h3eb761a0, 32'h3e9d2f1b,32'h3ec01d21,// invsqrt(8.5943) = 0.3411 +32'h4060a7bf,32'h3f05e7c1,32'h3f0b5eed, 32'h3f01ce5f,32'h3f0f784f, 32'h3ef5f2d0,32'h3f164d46,// invsqrt(3.5102) = 0.5337 +32'h409065bf,32'h3eec34c9,32'h3ef5d8e6, 32'h3ee4f9b3,32'h3efd13fb, 32'h3ed8ec8e,32'h3f049090,// invsqrt(4.5124) = 0.4708 +32'h3eca0401,32'h3fc7b341,32'h3fcfd9eb, 32'h3fc19642,32'h3fd5f6ea, 32'h3fb765ed,32'h3fe0273f,// invsqrt(0.3946) = 1.5920 +32'h3e0d3b84,32'h4028e254,32'h402fc6fe, 32'h4023b6d4,32'h4034f27e, 32'h401b18fe,32'h403d9054,// invsqrt(0.1379) = 2.6927 +32'h3d58d099,32'h40884e0f,32'h408dde4f, 32'h408421e0,32'h40920a7e, 32'h407a5b21,32'h4098fecd,// invsqrt(0.0529) = 4.3465 +32'h3f79585a,32'h3f7e34b4,32'h3f844a72, 32'h3f766c8f,32'h3f882e85, 32'h3f697451,32'h3f8eaaa3,// invsqrt(0.9740) = 1.0133 +32'h402e9c67,32'h3f17e30b,32'h3f1e161b, 32'h3f133cbf,32'h3f22bc67, 32'h3f0b7ceb,32'h3f2a7c3b,// invsqrt(2.7283) = 0.6054 +32'h3ee745ca,32'h3fbaa44d,32'h3fc24285, 32'h3fb4eda4,32'h3fc7f92e, 32'h3fab67de,32'h3fd17ef4,// invsqrt(0.4517) = 1.4879 +32'h3f8fabc8,32'h3f6ccd76,32'h3f7677ce, 32'h3f658db4,32'h3f7db790, 32'h3f5978c5,32'h3f84e640,// invsqrt(1.1224) = 0.9439 +32'h40021732,32'h3f2ff7be,32'h3f37266c, 32'h3f2a94ba,32'h3f3c8970, 32'h3f219a60,32'h3f4583ca,// invsqrt(2.0327) = 0.7014 +32'h3f2414ac,32'h3f9caf57,32'h3fa31489, 32'h3f97e371,32'h3fa7e06f, 32'h3f8fe4f2,32'h3fafdeee,// invsqrt(0.6409) = 1.2491 +32'h3d8e7fdf,32'h406dc625,32'h40777aa3, 32'h40667ec5,32'h407ec203, 32'h405a5d27,32'h408571d1,// invsqrt(0.0696) = 3.7910 +32'h3c2a7a3d,32'h4119b79b,32'h411ffdcb, 32'h411502f7,32'h4124b26f, 32'h410d2b3b,32'h412c8a2b,// invsqrt(0.0104) = 9.8034 +32'h3da363cd,32'h405e0dcf,32'h40671e0c, 32'h405741a2,32'h406dea3a, 32'h404bed56,32'h40793e86,// invsqrt(0.0798) = 3.5404 +32'h3f8702c3,32'h3f744785,32'h3f7e3ffd, 32'h3f6ccd2b,32'h3f82dd2c, 32'h3f605694,32'h3f891877,// invsqrt(1.0548) = 0.9737 +32'h4078d364,32'h3efe7896,32'h3f046dc7, 32'h3ef6ae5e,32'h3f0852e3, 32'h3ee9b2aa,32'h3f0ed0bd,// invsqrt(3.8879) = 0.5072 +32'h4007d0df,32'h3f2c3807,32'h3f333f8a, 32'h3f26f265,32'h3f38852d, 32'h3f1e2902,32'h3f414e90,// invsqrt(2.1221) = 0.6865 +32'h40759f04,32'h3f001017,32'h3f054a37, 32'h3ef848fd,32'h3f0935cf, 32'h3eeb37ad,32'h3f0fbe78,// invsqrt(3.8378) = 0.5105 +32'h3f494f8f,32'h3f8d74d5,32'h3f933ae8, 32'h3f892046,32'h3f978f76, 32'h3f81e8ae,32'h3f9ec70e,// invsqrt(0.7864) = 1.1277 +32'h40d92234,32'h3ec09f6a,32'h3ec87c20, 32'h3ebab9e2,32'h3ece61a8, 32'h3eb0e5fe,32'h3ed8358c,// invsqrt(6.7854) = 0.3839 +32'h3fdb9784,32'h3f3f8aa0,32'h3f475c0a, 32'h3f39ad91,32'h3f4d3919, 32'h3f2fe7cc,32'h3f56fede,// invsqrt(1.7156) = 0.7635 +32'h402675c7,32'h3f1b8fa4,32'h3f21e918, 32'h3f16cc8c,32'h3f26ac30, 32'h3f0edcbb,32'h3f2e9c01,// invsqrt(2.6009) = 0.6201 +32'h3cac3b87,32'h40d8474f,32'h40e11b33, 32'h40d1a864,32'h40e7ba1e, 32'h40c69f87,32'h40f2c2fb,// invsqrt(0.0210) = 6.8966 +32'h3f7664b6,32'h3f7fb958,32'h3f8514b2, 32'h3f77e54c,32'h3f88feb8, 32'h3f6ad93b,32'h3f8f84c0,// invsqrt(0.9625) = 1.0193 +32'h3ecb437e,32'h3fc71612,32'h3fcf3651, 32'h3fc0fde2,32'h3fd54e80, 32'h3fb6d592,32'h3fdf76d0,// invsqrt(0.3970) = 1.5871 +32'h3e30ccd8,32'h4016f18f,32'h401d1ac4, 32'h401252a8,32'h4021b9ac, 32'h400a9f26,32'h40296d2e,// invsqrt(0.1727) = 2.4066 +32'h3f81079d,32'h3f79e07d,32'h3f8209b9, 32'h3f723a44,32'h3f85dcd5, 32'h3f657a92,32'h3f8c3cae,// invsqrt(1.0080) = 0.9960 +32'h3f895013,32'h3f72391c,32'h3f7c1c18, 32'h3f6acedf,32'h3f81c32a, 32'h3f5e7324,32'h3f87f108,// invsqrt(1.0728) = 0.9655 +32'h4091e035,32'h3eeb0199,32'h3ef4992c, 32'h3ee3cfea,32'h3efbcada, 32'h3ed7d272,32'h3f03e429,// invsqrt(4.5586) = 0.4684 +32'h3bf7ce05,32'h41344efa,32'h413bab04, 32'h412ec9f2,32'h4141300c, 32'h412596e6,32'h414a6319,// invsqrt(0.0076) = 11.4993 +32'h3f055e5f,32'h3fadcab1,32'h3fb4e2a3, 32'h3fa878bb,32'h3fba3499, 32'h3f9f9acc,32'h3fc31288,// invsqrt(0.5210) = 1.3855 +32'h3f9e1068,32'h3f61c38c,32'h3f6afa8d, 32'h3f5ada4c,32'h3f71e3ce, 32'h3f4f558c,32'h3f7d688e,// invsqrt(1.2349) = 0.8999 +32'h3f4a91f8,32'h3f8d0416,32'h3f92c58f, 32'h3f88b2fb,32'h3f9716a9, 32'h3f818123,32'h3f9e4881,// invsqrt(0.7913) = 1.1242 +32'h3ecbbd0a,32'h3fc6daa6,32'h3fcef878, 32'h3fc0c448,32'h3fd50ed6, 32'h3fb69f01,32'h3fdf341d,// invsqrt(0.3979) = 1.5853 +32'h410e71e6,32'h3ea829f0,32'h3eaf0714, 32'h3ea30415,32'h3eb42cef, 32'h3e9a6fa8,32'h3ebcc15c,// invsqrt(8.9028) = 0.3351 +32'h3dba0489,32'h40501c46,32'h40589ad0, 32'h4049bd5e,32'h405ef9b8, 32'h403f1f30,32'h406997e6,// invsqrt(0.0908) = 3.3181 +32'h3c80f314,32'h40f9f461,32'h41021413, 32'h40f24d8d,32'h4105e77d, 32'h40e58cd7,32'h410c47d9,// invsqrt(0.0157) = 7.9705 +32'h40547666,32'h3f09b1a7,32'h3f0f506a, 32'h3f057a95,32'h3f13877d, 32'h3efce843,32'h3f1a8df0,// invsqrt(3.3197) = 0.5488 +32'h3f84c94f,32'h3f765123,32'h3f802f73, 32'h3f6ec6d2,32'h3f83f49c, 32'h3f62359e,32'h3f8a3d36,// invsqrt(1.0374) = 0.9818 +32'h4153c48f,32'h3e89eb6c,32'h3e8f8c8b, 32'h3e85b296,32'h3e93c562, 32'h3e7d525f,32'h3e9acec8,// invsqrt(13.2355) = 0.2749 +32'h3dc4f284,32'h404a40e3,32'h4052823b, 32'h40440fe1,32'h4058b33d, 32'h4039be34,32'h406304ea,// invsqrt(0.0962) = 3.2247 +32'h40cf87ba,32'h3ec50774,32'h3ecd1234, 32'h3ebeff63,32'h3ed31a45, 32'h3eb4f1f2,32'h3edd27b6,// invsqrt(6.4853) = 0.3927 +32'h3f854b48,32'h3f75d8ef,32'h3f7fe1c9, 32'h3f6e524b,32'h3f83b437, 32'h3f61c739,32'h3f89f9bf,// invsqrt(1.0414) = 0.9799 +32'h3fa6f7be,32'h3f5ba972,32'h3f64a0b0, 32'h3f54f003,32'h3f6b5a1f, 32'h3f49baf6,32'h3f768f2c,// invsqrt(1.3044) = 0.8756 +32'h3fa8ae0b,32'h3f5a8b55,32'h3f6376e5, 32'h3f53daa8,32'h3f6a2792, 32'h3f48b434,32'h3f754e06,// invsqrt(1.3178) = 0.8711 +32'h3f15eeed,32'h3fa3e91b,32'h3faa99ce, 32'h3f9ee496,32'h3faf9e54, 32'h3f9687b6,32'h3fb7fb34,// invsqrt(0.5857) = 1.3067 +32'h3f410b0c,32'h3f907429,32'h3f96598e, 32'h3f8c081e,32'h3f9ac59a, 32'h3f84a960,32'h3fa22458,// invsqrt(0.7541) = 1.1516 +32'h3fa28219,32'h3f5ea7ce,32'h3f67be54, 32'h3f57d6ea,32'h3f6e8f38, 32'h3f4c7ac2,32'h3f79eb60,// invsqrt(1.2696) = 0.8875 +32'h3f6c3bfb,32'h3f829516,32'h3f87e98a, 32'h3f7d2b7e,32'h3f8be8e1, 32'h3f6fd85d,32'h3f929272,// invsqrt(0.9228) = 1.0410 +32'h3f35e10c,32'h3f94d237,32'h3f9ae53e, 32'h3f9043f1,32'h3f9f7383, 32'h3f88ac27,32'h3fa70b4d,// invsqrt(0.7105) = 1.1864 +32'h40a195a6,32'h3edf4a7b,32'h3ee867a4, 32'h3ed8749b,32'h3eef3d83, 32'h3ecd1027,32'h3efaa1f7,// invsqrt(5.0495) = 0.4450 +32'h3ffe81f0,32'h3f31eb17,32'h3f392e27, 32'h3f2c78ca,32'h3f3ea074, 32'h3f2364f6,32'h3f47b449,// invsqrt(1.9883) = 0.7092 +32'h3fb41373,32'h3f538416,32'h3f5c2636, 32'h3f4d0a7e,32'h3f629fce, 32'h3f423fd5,32'h3f6d6a77,// invsqrt(1.4068) = 0.8431 +32'h3fef804e,32'h3f376855,32'h3f3ee4c1, 32'h3f31cb04,32'h3f448212, 32'h3f286f7d,32'h3f4ddd99,// invsqrt(1.8711) = 0.7311 +32'h3ec7c3a2,32'h3fc8d28a,32'h3fd104ee, 32'h3fc2acbf,32'h3fd72ab9, 32'h3fb86dc3,32'h3fe169b5,// invsqrt(0.3902) = 1.6009 +32'h3f0c07a8,32'h3fa99b94,32'h3fb087ce, 32'h3fa46a68,32'h3fb5b8fa, 32'h3f9bc31f,32'h3fbe6043,// invsqrt(0.5470) = 1.3521 +32'h3f31bced,32'h3f968b7b,32'h3f9cb085, 32'h3f91efb3,32'h3fa14c4d, 32'h3f8a4166,32'h3fa8fa9a,// invsqrt(0.6943) = 1.2001 +32'h3f7b886b,32'h3f7d1914,32'h3f83b6d8, 32'h3f75599d,32'h3f879693, 32'h3f686fd8,32'h3f8e0b76,// invsqrt(0.9826) = 1.0088 +32'h3d296f1b,32'h409a3099,32'h40a07bb9, 32'h40957841,32'h40a53411, 32'h408d9a58,32'h40ad11fa,// invsqrt(0.0414) = 4.9168 +32'h3f002369,32'h3fb14d9d,32'h3fb88a40, 32'h3fabe022,32'h3fbdf7ba, 32'h3fa2d456,32'h3fc70386,// invsqrt(0.5005) = 1.4135 +32'h3f083018,32'h3fabfbc8,32'h3fb300d5, 32'h3fa6b7fd,32'h3fb8449f, 32'h3f9df1ad,32'h3fc10aef,// invsqrt(0.5320) = 1.3710 +32'h3f2f4ed2,32'h3f9795ad,32'h3f9dc595, 32'h3f92f1bf,32'h3fa26983, 32'h3f8b35de,32'h3faa2564,// invsqrt(0.6848) = 1.2084 +32'h3f23f417,32'h3f9cbee8,32'h3fa324be, 32'h3f97f288,32'h3fa7f11e, 32'h3f8ff33e,32'h3faff068,// invsqrt(0.6404) = 1.2496 +32'h3e16bf09,32'h402377d0,32'h402a23e2, 32'h401e76c1,32'h402f24f1, 32'h40161faa,32'h40377c08,// invsqrt(0.1472) = 2.6063 +32'h3f6a01bd,32'h3f8333d1,32'h3f888ebf, 32'h3f7e5f3c,32'h3f8c92f2, 32'h3f70fbe8,32'h3f93449c,// invsqrt(0.9141) = 1.0459 +32'h3e45139c,32'h400ef7c7,32'h4014cda6, 32'h400a9761,32'h40192e0d, 32'h40034c0b,32'h40207963,// invsqrt(0.1925) = 2.2795 +32'h3f4fe9fa,32'h3f8b3125,32'h3f90df8f, 32'h3f86ee56,32'h3f95225e, 32'h3f7fa8a2,32'h3f9c3c63,// invsqrt(0.8122) = 1.1096 +32'h3f3b5e30,32'h3f92a009,32'h3f989c1e, 32'h3f8e22f8,32'h3f9d192e, 32'h3f86a7de,32'h3fa49448,// invsqrt(0.7319) = 1.1689 +32'h3f9b4dbd,32'h3f63c2ed,32'h3f6d0ecd, 32'h3f5cca05,32'h3f7407b5, 32'h3f512b2d,32'h3f7fa68d,// invsqrt(1.2133) = 0.9079 +32'h3f7f073c,32'h3f7b5b86,32'h3f82cef9, 32'h3f73a9b3,32'h3f86a7e3, 32'h3f66d6a9,32'h3f8d1167,// invsqrt(0.9962) = 1.0019 +32'h3f39d259,32'h3f933be2,32'h3f993e53, 32'h3f8eba0c,32'h3f9dc028, 32'h3f8736fe,32'h3fa54336,// invsqrt(0.7259) = 1.1737 +32'h3f23d7b8,32'h3f9ccc7a,32'h3fa332dc, 32'h3f97ffaf,32'h3fa7ffa7, 32'h3f8fffb4,32'h3fafffa2,// invsqrt(0.6400) = 1.2500 +32'h419294a5,32'h3e6a70c7,32'h3e740271, 32'h3e634387,32'h3e7b2fb1, 32'h3e574d73,32'h3e8392e3,// invsqrt(18.3226) = 0.2336 +32'h3fed4f4b,32'h3f3840a0,32'h3f3fc5e0, 32'h3f329cb0,32'h3f4569d0, 32'h3f293620,32'h3f4ed060,// invsqrt(1.8540) = 0.7344 +32'h3f689bcf,32'h3f83989c,32'h3f88f7a8, 32'h3f7f22a7,32'h3f8cfef0, 32'h3f71b50a,32'h3f93b5bf,// invsqrt(0.9086) = 1.0491 +32'h3f0e77d0,32'h3fa82672,32'h3faf0372, 32'h3fa300b3,32'h3fb42931, 32'h3f9a6c73,32'h3fbcbd71,// invsqrt(0.5565) = 1.3405 +32'h3f8b9660,32'h3f703dcf,32'h3f7a0c16, 32'h3f68e319,32'h3f80b365, 32'h3f5ca140,32'h3f86d452,// invsqrt(1.0905) = 0.9576 +32'h3e863178,32'h3ff505b9,32'h3fff05f5, 32'h3fed858c,32'h40034311, 32'h3fe10542,32'h40098336,// invsqrt(0.2621) = 1.9533 +32'h3d955a82,32'h40684108,32'h4071bbda, 32'h406124eb,32'h4078d7f7, 32'h40554b66,32'h408258be,// invsqrt(0.0729) = 3.7030 +32'h3f1b17e5,32'h3fa1292b,32'h3fa7bd23, 32'h3f9c3a32,32'h3facac1c, 32'h3f94013d,32'h3fb4e511,// invsqrt(0.6058) = 1.2848 +32'h3e7f19fe,32'h3ffb5248,32'h4002ca2a, 32'h3ff3a0bd,32'h4006a2ef, 32'h3fe6ce2d,32'h400d0c38,// invsqrt(0.2491) = 2.0035 +32'h4005ecfd,32'h3f2d6e0f,32'h3f348239, 32'h3f281eef,32'h3f39d159, 32'h3f1f45ba,32'h3f42aa8e,// invsqrt(2.0926) = 0.6913 +32'h3e5dd7a6,32'h4006c066,32'h400c406a, 32'h4002a063,32'h4010606d, 32'h3ff780bb,32'h40174072,// invsqrt(0.2166) = 2.1485 +32'h3f181789,32'h3fa2be44,32'h3fa962c5, 32'h3f9dc2e5,32'h3fae5e25, 32'h3f957544,32'h3fb6abc6,// invsqrt(0.5941) = 1.2974 +32'h408a1747,32'h3ef18a27,32'h3efb65ff, 32'h3eea2545,32'h3f016570, 32'h3eddd278,32'h3f078ed7,// invsqrt(4.3153) = 0.4814 +32'h411feaec,32'h3e9eb617,32'h3ea53075, 32'h3e99da4f,32'h3eaa0c3d, 32'h3e91c159,32'h3eb22533,// invsqrt(9.9949) = 0.3163 +32'h3fed5dc4,32'h3f383b02,32'h3f3fc007, 32'h3f32973d,32'h3f4563cb, 32'h3f2930f7,32'h3f4eca11,// invsqrt(1.8544) = 0.7343 +32'h3f9c2a97,32'h3f6321a7,32'h3f6c66f1, 32'h3f5c2daf,32'h3f735ae9, 32'h3f509711,32'h3f7ef187,// invsqrt(1.2200) = 0.9053 +32'h3f2edf06,32'h3f97c61a,32'h3f9df7fc, 32'h3f9320b1,32'h3fa29d65, 32'h3f8b6257,32'h3faa5bbf,// invsqrt(0.6831) = 1.2099 +32'h4052318c,32'h3f0a6f65,32'h3f1015e7, 32'h3f063284,32'h3f1452c8, 32'h3efe44c4,32'h3f1b62ea,// invsqrt(3.2843) = 0.5518 +32'h3fec8543,32'h3f388f40,32'h3f4017b6, 32'h3f32e8e8,32'h3f45be0e, 32'h3f297e55,32'h3f4f28a1,// invsqrt(1.8478) = 0.7356 +32'h3ecb8b85,32'h3fc6f2d5,32'h3fcf11a5, 32'h3fc0dbba,32'h3fd528c0, 32'h3fb6b537,32'h3fdf4f43,// invsqrt(0.3975) = 1.5860 +32'h3eb1d9ad,32'h3fd4d5d8,32'h3fdd85c2, 32'h3fce51e9,32'h3fe409b1, 32'h3fc37605,32'h3feee595,// invsqrt(0.3474) = 1.6967 +32'h3edd14ab,32'h3fbee53c,32'h3fc6afe6, 32'h3fb90d3d,32'h3fcc87e5, 32'h3faf4fe9,32'h3fd64539,// invsqrt(0.4318) = 1.5218 +32'h3f9d1421,32'h3f62788f,32'h3f6bb6f3, 32'h3f5b89c4,32'h3f72a5be, 32'h3f4ffbc7,32'h3f7e33bb,// invsqrt(1.2272) = 0.9027 +32'h3f291ec4,32'h3f9a5534,32'h3fa0a1d3, 32'h3f959bbd,32'h3fa55b4b, 32'h3f8dbbf7,32'h3fad3b11,// invsqrt(0.6606) = 1.2303 +32'h3ff4e0a2,32'h3f35620d,32'h3f3cc951, 32'h3f2fd499,32'h3f4256c5, 32'h3f269384,32'h3f4b97da,// invsqrt(1.9131) = 0.7230 +32'h404cc119,32'h3f0c4308,32'h3f11fca0, 32'h3f07f7d6,32'h3f1647d2, 32'h3f00cfd8,32'h3f1d6fd0,// invsqrt(3.1993) = 0.5591 +32'h3ea123ca,32'h3fdf9950,32'h3fe8b9b2, 32'h3fd8c107,32'h3fef91fb, 32'h3fcd588e,32'h3ffafa74,// invsqrt(0.3147) = 1.7825 +32'h3fb6f230,32'h3f51d9ab,32'h3f5a6a63, 32'h3f4b6d20,32'h3f60d6ee, 32'h3f40b83a,32'h3f6b8bd5,// invsqrt(1.4293) = 0.8365 +32'h3f900224,32'h3f6c866b,32'h3f762ddd, 32'h3f6548d5,32'h3f7d6b73, 32'h3f593787,32'h3f84be61,// invsqrt(1.1251) = 0.9428 +32'h400e4f32,32'h3f283e70,32'h3f2f1c6a, 32'h3f2317f4,32'h3f3442e6, 32'h3f1a827c,32'h3f3cd85e,// invsqrt(2.2236) = 0.6706 +32'h3f833993,32'h3f77c731,32'h3f80f21c, 32'h3f70316c,32'h3f84bcff, 32'h3f638d23,32'h3f8b0f24,// invsqrt(1.0252) = 0.9876 +32'h3e56ad21,32'h4008fb6e,32'h400e92c1, 32'h4004c9f0,32'h4012c440, 32'h3ffb9992,32'h4019c167,// invsqrt(0.2096) = 2.1840 +32'h4003ba39,32'h3f2edefd,32'h3f360237, 32'h3f298492,32'h3f3b5ca2, 32'h3f20988b,32'h3f4448a9,// invsqrt(2.0582) = 0.6970 +32'h3fcbddd1,32'h3f46caa9,32'h3f4ee7d5, 32'h3f40b4c9,32'h3f54fdb5, 32'h3f369052,32'h3f5f222c,// invsqrt(1.5927) = 0.7924 +32'h40b46998,32'h3ed35190,32'h3edbf1a1, 32'h3eccd985,32'h3ee269ad, 32'h3ec21170,32'h3eed31c2,// invsqrt(5.6379) = 0.4212 +32'h4029f61e,32'h3f19f34f,32'h3f203bef, 32'h3f153cd7,32'h3f24f267, 32'h3f0d620f,32'h3f2ccd2f,// invsqrt(2.6556) = 0.6136 +32'h3f6abcfa,32'h3f82ff73,32'h3f88583e, 32'h3f7df9b4,32'h3f8c5ad6, 32'h3f709bb8,32'h3f9309d4,// invsqrt(0.9169) = 1.0443 +32'h3f69bc8e,32'h3f83473a,32'h3f88a2f4, 32'h3f7e84df,32'h3f8ca7bf, 32'h3f711f90,32'h3f935a66,// invsqrt(0.9130) = 1.0465 +32'h3faf396a,32'h3f566c9b,32'h3f5f2d1f, 32'h3f4fdc38,32'h3f65bd82, 32'h3f44eb94,32'h3f70ae26,// invsqrt(1.3689) = 0.8547 +32'h3fc6b776,32'h3f4959df,32'h3f5191c8, 32'h3f432fef,32'h3f57bbb7, 32'h3f38ea0b,32'h3f62019b,// invsqrt(1.5525) = 0.8026 +32'h3e436013,32'h400f96ca,32'h40157325, 32'h400b3184,32'h4019d86a, 32'h4003de12,32'h40212bdc,// invsqrt(0.1908) = 2.2894 +32'h407002eb,32'h3f018d01,32'h3f06d6ad, 32'h3efb2b7f,32'h3f0acdef, 32'h3eedf350,32'h3f116a06,// invsqrt(3.7502) = 0.5164 +32'h3f90f59b,32'h3f6bbf77,32'h3f755eca, 32'h3f6487f8,32'h3f7c9648, 32'h3f5880d0,32'h3f844eb8,// invsqrt(1.1325) = 0.9397 +32'h3f30e98c,32'h3f96e550,32'h3f9d0e05, 32'h3f9246c9,32'h3fa1ac8d, 32'h3f8a93e7,32'h3fa95f6f,// invsqrt(0.6911) = 1.2029 +32'h3f800000,32'h3f7ae148,32'h3f828f5c, 32'h3f733333,32'h3f866666, 32'h3f666666,32'h3f8ccccd,// invsqrt(1.0000) = 1.0000 +32'h3fcb8201,32'h3f46f77c,32'h3f4f167c, 32'h3f40e03c,32'h3f552dbc, 32'h3f36b97c,32'h3f5f547c,// invsqrt(1.5899) = 0.7931 +32'h40a02d5e,32'h3ee0450d,32'h3ee96c71, 32'h3ed96782,32'h3ef049fc, 32'h3ecdf646,32'h3efbbb39,// invsqrt(5.0055) = 0.4470 +32'h3fabeeb0,32'h3f58779e,32'h3f614d7a, 32'h3f51d738,32'h3f67ede0, 32'h3f46cbe4,32'h3f72f934,// invsqrt(1.3432) = 0.8628 +32'h3f93e191,32'h3f69684d,32'h3f72ef2b, 32'h3f624326,32'h3f7a1452, 32'h3f565a90,32'h3f82fe74,// invsqrt(1.1553) = 0.9304 +32'h3d75fd3f,32'h407fef1a,32'h408530ad, 32'h4078196a,32'h40891b85, 32'h406b0a9b,32'h408fa2ed,// invsqrt(0.0601) = 4.0806 +32'h3f94fc20,32'h3f688a8e,32'h3f72085f, 32'h3f616c30,32'h3f7926bc, 32'h3f558eea,32'h3f828201,// invsqrt(1.1639) = 0.9269 +32'h3f89c540,32'h3f71d204,32'h3f7bb0cb, 32'h3f6a6af0,32'h3f818bf0, 32'h3f5e1477,32'h3f87b72c,// invsqrt(1.0763) = 0.9639 +32'h413fca55,32'h3e90ecbe,32'h3e96d70f, 32'h3e8c7d02,32'h3e9b46cc, 32'h3e85181d,32'h3ea2abb1,// invsqrt(11.9869) = 0.2888 +32'h3fd4f2df,32'h3f428198,32'h3f4a71fc, 32'h3f3c8d4d,32'h3f506647, 32'h3f32a0cf,32'h3f5a52c5,// invsqrt(1.6637) = 0.7753 +32'h4166dc31,32'h3e8417f2,32'h3e897c30, 32'h3e800cc3,32'h3e8d875f, 32'h3e729eeb,32'h3e9444ac,// invsqrt(14.4288) = 0.2633 +32'h3fcce561,32'h3f464aa5,32'h3f4e6297, 32'h3f4038b0,32'h3f54748c, 32'h3f361ac1,32'h3f5e927b,// invsqrt(1.6008) = 0.7904 +32'h413edead,32'h3e91461a,32'h3e973410, 32'h3e8cd3a1,32'h3e9ba689, 32'h3e856a2c,32'h3ea30ffe,// invsqrt(11.9294) = 0.2895 +32'h3edd8eb1,32'h3fbeb0a4,32'h3fc67928, 32'h3fb8da41,32'h3fcc4f8b, 32'h3faf1f9c,32'h3fd60a30,// invsqrt(0.4327) = 1.5202 +32'h3fb2a61c,32'h3f545bef,32'h3f5d06de, 32'h3f4ddbba,32'h3f638712, 32'h3f43060f,32'h3f6e5cbd,// invsqrt(1.3957) = 0.8465 +32'h3f83914d,32'h3f777488,32'h3f80c718, 32'h3f6fe14b,32'h3f8490b7, 32'h3f634139,32'h3f8ae0bf,// invsqrt(1.0279) = 0.9863 +32'h3e004b3a,32'h40313217,32'h40386d9b, 32'h402bc575,32'h403dda3d, 32'h4022bb10,32'h4046e4a2,// invsqrt(0.1253) = 2.8252 +32'h401f0bb8,32'h3f1f254e,32'h3f25a437, 32'h3f1a461f,32'h3f2a8365, 32'h3f12277b,32'h3f32a209,// invsqrt(2.4851) = 0.6343 +32'h408702c6,32'h3ef44782,32'h3efe3ffa, 32'h3eeccd28,32'h3f02dd2a, 32'h3ee05691,32'h3f091875,// invsqrt(4.2191) = 0.4868 +32'h40234229,32'h3f1d143b,32'h3f237d8c, 32'h3f18453f,32'h3f284c89, 32'h3f10419a,32'h3f30502e,// invsqrt(2.5509) = 0.6261 +32'h4097af2b,32'h3ee67678,32'h3eefde92, 32'h3edf6865,32'h3ef6eca5, 32'h3ed3a644,32'h3f015763,// invsqrt(4.7401) = 0.4593 +32'h3cd1764b,32'h40c41e4f,32'h40cc1f8b, 32'h40be1d61,32'h40d22079, 32'h40b41bd5,32'h40dc2205,// invsqrt(0.0256) = 6.2538 +32'h3f716c62,32'h3f812be1,32'h3f867197, 32'h3f7a6f32,32'h3f8a65df, 32'h3f6d40ec,32'h3f90fd02,// invsqrt(0.9431) = 1.0297 +32'h3e9d5e0e,32'h3fe24357,32'h3feb7f8f, 32'h3fdb562d,32'h3ff26cb9, 32'h3fcfcae8,32'h3ffdf7ff,// invsqrt(0.3074) = 1.8038 +32'h3f51ce0c,32'h3f8a9035,32'h3f90380d, 32'h3f865253,32'h3f9475ef, 32'h3f7e8108,32'h3f9b87be,// invsqrt(0.8196) = 1.1046 +32'h3eac7ded,32'h3fd81daa,32'h3fe0efdb, 32'h3fd18006,32'h3fe78d80, 32'h3fc67949,32'h3ff2943d,// invsqrt(0.3369) = 1.7229 +32'h3f8c6812,32'h3f6f8a25,32'h3f795117, 32'h3f6834f0,32'h3f805326, 32'h3f5bfc41,32'h3f866f7d,// invsqrt(1.0969) = 0.9548 +32'h42097309,32'h3e2b3146,32'h3e322e10, 32'h3e25f3af,32'h3e376ba7, 32'h3e1d37b4,32'h3e4027a3,// invsqrt(34.3623) = 0.1706 +32'h3fc52eca,32'h3f4a21f8,32'h3f52620c, 32'h3f43f1e8,32'h3f58921c, 32'h3f39a1cf,32'h3f62e235,// invsqrt(1.5405) = 0.8057 +32'h3c8682e2,32'h40f4bb87,32'h40feb8bc, 32'h40ed3da0,32'h41031b52, 32'h40e0c11f,32'h41095993,// invsqrt(0.0164) = 7.8040 +32'h3e2d4fe4,32'h4018747a,32'h401ead7a, 32'h4013c9ba,32'h4023583a, 32'h400c027b,32'h402b1f79,// invsqrt(0.1693) = 2.4307 +32'h3f876a45,32'h3f73ea17,32'h3f7ddebf, 32'h3f6c7299,32'h3f82ab1f, 32'h3f6000c7,32'h3f88e408,// invsqrt(1.0579) = 0.9722 +32'h3f64282b,32'h3f84dfaf,32'h3f8a4c14, 32'h3f80ce63,32'h3f8e5d5f, 32'h3f740dc8,32'h3f9524de,// invsqrt(0.8912) = 1.0593 +32'h40887803,32'h3ef2f890,32'h3efce35c, 32'h3eeb8876,32'h3f0229bb, 32'h3edf22f7,32'h3f085c7a,// invsqrt(4.2646) = 0.4842 +32'h3e32317f,32'h40165a35,32'h401c7d3d, 32'h4011bff0,32'h40211782, 32'h400a1426,32'h4028c34c,// invsqrt(0.1740) = 2.3972 +32'h40754f40,32'h3f0024e7,32'h3f055fe1, 32'h3ef87158,32'h3f094c1c, 32'h3eeb5de7,32'h3f0fd5d4,// invsqrt(3.8330) = 0.5108 +32'h3f5fd0af,32'h3f862807,32'h3f8ba1d3, 32'h3f820cae,32'h3f8fbd2c, 32'h3f7668de,32'h3f96956b,// invsqrt(0.8743) = 1.0695 +32'h3e5fdaee,32'h400624f5,32'h400b9ea1, 32'h400209b4,32'h400fb9e2, 32'h3ff6633a,32'h401691f9,// invsqrt(0.2186) = 2.1388 +32'h3f5629f6,32'h3f89255b,32'h3f8ebe63, 32'h3f84f294,32'h3f92f12a, 32'h3f7be691,32'h3f99f075,// invsqrt(0.8366) = 1.0933 +32'h3f240c0d,32'h3f9cb375,32'h3fa318d3, 32'h3f97e76f,32'h3fa7e4d9, 32'h3f8fe8ba,32'h3fafe38e,// invsqrt(0.6408) = 1.2492 +32'h3fa2ac09,32'h3f5e8b18,32'h3f67a072, 32'h3f57bb15,32'h3f6e7075, 32'h3f4c6064,32'h3f79cb26,// invsqrt(1.2709) = 0.8871 +32'h3db9c9a5,32'h40503d3f,32'h4058bd23, 32'h4049dd55,32'h405f1d0d, 32'h403f3d79,32'h4069bce9,// invsqrt(0.0907) = 3.3201 +32'h40ed5d76,32'h3eb83b20,32'h3ebfc026, 32'h3eb2975b,32'h3ec563eb, 32'h3ea93113,32'h3ececa33,// invsqrt(7.4177) = 0.3672 +32'h3da9ec9c,32'h4059be19,32'h4062a149, 32'h405313b5,32'h40694bad, 32'h4047f7b9,32'h407467a9,// invsqrt(0.0830) = 3.4717 +32'h3ff763c3,32'h3f3475af,32'h3f3bd34d, 32'h3f2eef78,32'h3f415984, 32'h3f25ba71,32'h3f4a8e8b,// invsqrt(1.9327) = 0.7193 +32'h3f7cf029,32'h3f7c64d7,32'h3f83590d, 32'h3f74aae5,32'h3f873605, 32'h3f67ca52,32'h3f8da64f,// invsqrt(0.9880) = 1.0060 +32'h3dae3109,32'h40570f16,32'h405fd63c, 32'h405079ba,32'h40666b98, 32'h404580cb,32'h40716487,// invsqrt(0.0851) = 3.4289 +32'h3e115937,32'h402679c5,32'h402d4545, 32'h40216125,32'h40325de5, 32'h4018e2c4,32'h403adc46,// invsqrt(0.1419) = 2.6543 +32'h3eb6ba02,32'h3fd1f9eb,32'h3fda8bf4, 32'h3fcb8c63,32'h3fe0f97b, 32'h3fc0d5d7,32'h3febb007,// invsqrt(0.3569) = 1.6739 +32'h3ee0318d,32'h3fbd90c9,32'h3fc54d8d, 32'h3fb7c336,32'h3fcb1b20, 32'h3fae1740,32'h3fd4c716,// invsqrt(0.4379) = 1.5112 +32'h3f8c9774,32'h3f6f61c4,32'h3f792710, 32'h3f680dcb,32'h3f803d84, 32'h3f5bd72c,32'h3f8658d4,// invsqrt(1.0984) = 0.9542 +32'h3d72faad,32'h4080c1d7,32'h40860339, 32'h4079a19c,32'h4089f442, 32'h406c7e28,32'h409085fc,// invsqrt(0.0593) = 4.1058 +32'h411a1205,32'h3ea1b1e8,32'h3ea84b74, 32'h3e9cbebf,32'h3ead3e9d, 32'h3e947ed0,32'h3eb57e8c,// invsqrt(9.6294) = 0.3223 +32'h3e45f1f0,32'h400ea767,32'h401479fd, 32'h400a4976,32'h4018d7ee, 32'h4003023a,32'h40201f2a,// invsqrt(0.1933) = 2.2745 +32'h413580bd,32'h3e94f9ad,32'h3e9b0e51, 32'h3e906a32,32'h3e9f9dcc, 32'h3e88d066,32'h3ea73798,// invsqrt(11.3439) = 0.2969 +32'h3f199cb6,32'h3fa1ef9a,32'h3fa88baa, 32'h3f9cfa8d,32'h3fad80b7, 32'h3f94b778,32'h3fb5c3cc,// invsqrt(0.6000) = 1.2909 +32'h3f235169,32'h3f9d0ce6,32'h3fa375ea, 32'h3f983e23,32'h3fa844ad, 32'h3f903ade,32'h3fb047f2,// invsqrt(0.6380) = 1.2520 +32'h3ee5846a,32'h3fbb5aab,32'h3fc30053, 32'h3fb59e6c,32'h3fc8bc92, 32'h3fac0f59,32'h3fd24ba5,// invsqrt(0.4483) = 1.4936 +32'h3f6d2aa5,32'h3f825352,32'h3f87a516, 32'h3f7cabfc,32'h3f8ba26a, 32'h3f6f5f91,32'h3f9248a0,// invsqrt(0.9264) = 1.0389 +32'h3e84200b,32'h3ff6eeb8,32'h40008175, 32'h3fef5f93,32'h40044907, 32'h3fe2c656,32'h400a95a6,// invsqrt(0.2581) = 1.9685 +32'h3e9de56f,32'h3fe1e243,32'h3feb1a85, 32'h3fdaf812,32'h3ff204b6, 32'h3fcf71c0,32'h3ffd8b08,// invsqrt(0.3084) = 1.8007 +32'h3f12c200,32'h3fa5aca6,32'h3fac6fc6, 32'h3fa09a4d,32'h3fb1821f, 32'h3f982664,32'h3fb9f608,// invsqrt(0.5733) = 1.3207 +32'h3ebed259,32'h3fcd7961,32'h3fd5dc60, 32'h3fc72f23,32'h3fdc269f, 32'h3fbcb364,32'h3fe6a25e,// invsqrt(0.3727) = 1.6380 +32'h3ee7462a,32'h3fbaa426,32'h3fc2425c, 32'h3fb4ed7e,32'h3fc7f904, 32'h3fab67ba,32'h3fd17ec8,// invsqrt(0.4517) = 1.4879 +32'h4010562c,32'h3f270ee6,32'h3f2de07c, 32'h3f21f1b5,32'h3f32fdad, 32'h3f196bb9,32'h3f3b83a9,// invsqrt(2.2553) = 0.6659 +32'h3fb9206c,32'h3f509c56,32'h3f59201b, 32'h3f4a3983,32'h3f5f82ef, 32'h3f3f94cd,32'h3f6a27a5,// invsqrt(1.4463) = 0.8315 +32'h40d86a42,32'h3ec0f135,32'h3ec8d142, 32'h3ebb092c,32'h3eceb94c, 32'h3eb1311c,32'h3ed8915c,// invsqrt(6.7630) = 0.3845 +32'h3fa41738,32'h3f5d9448,32'h3f669f8f, 32'h3f56cbd3,32'h3f6d6805, 32'h3f4b7dbb,32'h3f78b61d,// invsqrt(1.2820) = 0.8832 +32'h3fab59dd,32'h3f58d58a,32'h3f61af3c, 32'h3f523244,32'h3f685282, 32'h3f472226,32'h3f7362a0,// invsqrt(1.3387) = 0.8643 +32'h40f0bcac,32'h3eb6efa9,32'h3ebe6729, 32'h3eb1560a,32'h3ec400c8, 32'h3ea800ab,32'h3ecd5627,// invsqrt(7.5230) = 0.3646 +32'h3f437964,32'h3f8f8d7d,32'h3f956977, 32'h3f8b2881,32'h3f99ce73, 32'h3f83d587,32'h3fa1216d,// invsqrt(0.7636) = 1.1444 +32'h423eb891,32'h3e11549d,32'h3e17432b, 32'h3e0ce1b2,32'h3e1bb616, 32'h3e057780,32'h3e232048,// invsqrt(47.6802) = 0.1448 +32'h3f9180e5,32'h3f6b4e85,32'h3f74e93c, 32'h3f641a7b,32'h3f7c1d45, 32'h3f581916,32'h3f840f55,// invsqrt(1.1367) = 0.9379 +32'h3ec974fe,32'h3fc7fa16,32'h3fd023a4, 32'h3fc1daec,32'h3fd642ce, 32'h3fb7a6fa,32'h3fe076c0,// invsqrt(0.3935) = 1.5942 +32'h407dbdd0,32'h3efbfe7b,32'h3f0323c8, 32'h3ef447ac,32'h3f06ff30, 32'h3ee76c52,32'h3f0d6cdd,// invsqrt(3.9647) = 0.5022 +32'h40bf3944,32'h3ecd420f,32'h3ed5a2cb, 32'h3ec6f981,32'h3edbeb59, 32'h3ebc8095,32'h3ee66445,// invsqrt(5.9757) = 0.4091 +32'h40731253,32'h3f00bb93,32'h3f05fcb3, 32'h3ef99576,32'h3f09ed8b, 32'h3eec72a5,32'h3f107ef3,// invsqrt(3.7980) = 0.5131 +32'h3e14a8c6,32'h40249c88,32'h402b548d, 32'h401f9283,32'h40305e91, 32'h40172c7c,32'h4038c498,// invsqrt(0.1452) = 2.6245 +32'h3f8bee7c,32'h3f6ff220,32'h3f79bd50, 32'h3f6899bc,32'h3f808ada, 32'h3f5c5bbf,32'h3f86a9d8,// invsqrt(1.0932) = 0.9564 +32'h3fd5c085,32'h3f4223f1,32'h3f4a1083, 32'h3f3c3284,32'h3f5001f0, 32'h3f324ace,32'h3f59e9a6,// invsqrt(1.6699) = 0.7738 +32'h40a52f17,32'h3edcd843,32'h3ee5dbdd, 32'h3ed6158f,32'h3eec9e91, 32'h3ecad10e,32'h3ef7e312,// invsqrt(5.1620) = 0.4401 +32'h3f74bc4a,32'h3f804b5b,32'h3f8587e6, 32'h3f78bbe3,32'h3f89754e, 32'h3f6ba486,32'h3f9000fd,// invsqrt(0.9560) = 1.0228 +32'h3fa249da,32'h3f5ece60,32'h3f67e678, 32'h3f57fc4d,32'h3f6eb88b, 32'h3f4c9e2e,32'h3f7a16aa,// invsqrt(1.2679) = 0.8881 +32'h3f86857c,32'h3f74b929,32'h3f7eb645, 32'h3f6d3b54,32'h3f831a0d, 32'h3f60bef2,32'h3f89583e,// invsqrt(1.0509) = 0.9755 +32'h3f3af955,32'h3f92c78f,32'h3f98c541, 32'h3f8e4949,32'h3f9d4387, 32'h3f86cc2a,32'h3fa4c0a6,// invsqrt(0.7304) = 1.1701 +32'h3cc4fa76,32'h40ca3ccf,32'h40d27dfd, 32'h40c40bee,32'h40d8aede, 32'h40b9ba75,32'h40e30057,// invsqrt(0.0240) = 6.4489 +32'h3e51ddda,32'h400a8afd,32'h4010329f, 32'h40064d44,32'h40147058, 32'h3ffe7773,32'h401b81e3,// invsqrt(0.2049) = 2.2089 +32'h3f97c326,32'h3f66674c,32'h3f6fcec8, 32'h3f5f59b0,32'h3f76dc64, 32'h3f539856,32'h3f814edf,// invsqrt(1.1856) = 0.9184 +32'h403bea8b,32'h3f12693c,32'h3f186315, 32'h3f0dedda,32'h3f1cde78, 32'h3f06758b,32'h3f2456c7,// invsqrt(2.9362) = 0.5836 +32'h3fb3fcd7,32'h3f53915e,32'h3f5c340a, 32'h3f4d175e,32'h3f62ae0a, 32'h3f424c08,32'h3f6d7960,// invsqrt(1.4062) = 0.8433 +32'h3f1eb144,32'h3f9f52a2,32'h3fa5d365, 32'h3f9a7211,32'h3faab3f7, 32'h3f92511d,32'h3fb2d4eb,// invsqrt(0.6199) = 1.2701 +32'h3fdaec1b,32'h3f3fd58e,32'h3f47aa07, 32'h3f39f634,32'h3f4d8962, 32'h3f302c9d,32'h3f5752f9,// invsqrt(1.7103) = 0.7646 +32'h40596c93,32'h3f081d22,32'h3f0dab62, 32'h3f03f272,32'h3f11d612, 32'h3efa0144,32'h3f18c7e2,// invsqrt(3.3973) = 0.5425 +32'h406376e2,32'h3f05136c,32'h3f0a81ee, 32'h3f01008b,32'h3f0e94cf, 32'h3ef46cd1,32'h3f155ef2,// invsqrt(3.5541) = 0.5304 +32'h3f1519d0,32'h3fa45e16,32'h3fab138f, 32'h3f9f55fb,32'h3fb01ba9, 32'h3f96f323,32'h3fb87e81,// invsqrt(0.5824) = 1.3103 +32'h3fc72bb9,32'h3f491f12,32'h3f515495, 32'h3f42f6ef,32'h3f577cb7, 32'h3f38b40b,32'h3f61bf9b,// invsqrt(1.5560) = 0.8017 +32'h40849412,32'h3ef68293,32'h3f00492d, 32'h3eeef6be,32'h3f040f18, 32'h3ee26305,32'h3f0a58f5,// invsqrt(4.1431) = 0.4913 +32'h3f4f082d,32'h3f8b7cf8,32'h3f912e7a, 32'h3f8737d6,32'h3f95739c, 32'h3f8019f3,32'h3f9c917f,// invsqrt(0.8087) = 1.1120 +32'h3f647ad0,32'h3f84c7a4,32'h3f8a330e, 32'h3f80b715,32'h3f8e439d, 32'h3f73e1a0,32'h3f9509e2,// invsqrt(0.8925) = 1.0585 +32'h3ee930c2,32'h3fb9df6a,32'h3fc17598, 32'h3fb42ec7,32'h3fc7263b, 32'h3faab30e,32'h3fd0a1f4,// invsqrt(0.4555) = 1.4818 +32'h40869c44,32'h3ef4a473,32'h3efea0b7, 32'h3eed2741,32'h3f030ef5, 32'h3ee0abec,32'h3f094c9f,// invsqrt(4.2066) = 0.4876 +32'h400bc759,32'h3f29c293,32'h3f30b065, 32'h3f249036,32'h3f35e2c2, 32'h3f1be6f0,32'h3f3e8c08,// invsqrt(2.1840) = 0.6767 +32'h3e135832,32'h4025581f,32'h402c17cd, 32'h4020485d,32'h4031278f, 32'h4017d8c4,32'h40399728,// invsqrt(0.1439) = 2.6362 +32'h406f8722,32'h3f01ae76,32'h3f06f980, 32'h3efb6c5d,32'h3f0af1c8, 32'h3eee30c4,32'h3f118f94,// invsqrt(3.7426) = 0.5169 +32'h3f2ed117,32'h3f97cc26,32'h3f9dfe47, 32'h3f93268e,32'h3fa2a3e0, 32'h3f8b67e5,32'h3faa6289,// invsqrt(0.6829) = 1.2101 +32'h403f49c2,32'h3f111d6b,32'h3f1709b8, 32'h3f0cac30,32'h3f1b7af2, 32'h3f0544cf,32'h3f22e253,// invsqrt(2.9889) = 0.5784 +32'h3eed120f,32'h3fb8586a,32'h3fbfdea2, 32'h3fb2b3bf,32'h3fc5834d, 32'h3fa94bf9,32'h3fceeb13,// invsqrt(0.4630) = 1.4696 +32'h4018b10c,32'h3f226c61,32'h3f290d89, 32'h3f1d7382,32'h3f2e0668, 32'h3f152a10,32'h3f364fda,// invsqrt(2.3858) = 0.6474 +32'h3fa62c9a,32'h3f5c2f8d,32'h3f652c45, 32'h3f557204,32'h3f6be9ce, 32'h3f4a361e,32'h3f7725b4,// invsqrt(1.2982) = 0.8777 +32'h3d121fc8,32'h40a60882,32'h40accf63, 32'h40a0f35a,32'h40b1e48c, 32'h40987ac1,32'h40ba5d25,// invsqrt(0.0357) = 5.2944 +32'h3f9e75f7,32'h3f617b28,32'h3f6aaf34, 32'h3f5a941f,32'h3f71963d, 32'h3f4f1310,32'h3f7d174c,// invsqrt(1.2380) = 0.8988 +32'h3f28e078,32'h3f9a71a9,32'h3fa0bf71, 32'h3f95b753,32'h3fa579c7, 32'h3f8dd618,32'h3fad5b02,// invsqrt(0.6597) = 1.2312 +32'h4058f180,32'h3f0843b9,32'h3f0dd38c, 32'h3f0417da,32'h3f11ff6a, 32'h3efa4824,32'h3f18f332,// invsqrt(3.3897) = 0.5431 +32'h3e7cd9f5,32'h3ffc6fec,32'h40035ed2, 32'h3ff4b5a4,32'h40073bf6, 32'h3fe7d481,32'h400dac88,// invsqrt(0.2469) = 2.0124 +32'h3f90fc98,32'h3f6bb9c8,32'h3f7558e0, 32'h3f648276,32'h3f7c9032, 32'h3f587b98,32'h3f844b88,// invsqrt(1.1327) = 0.9396 +32'h3f698da8,32'h3f835468,32'h3f88b0aa, 32'h3f7e9e6b,32'h3f8cb5dd, 32'h3f7137c3,32'h3f936930,// invsqrt(0.9123) = 1.0470 +32'h41717889,32'h3e8128a1,32'h3e866e35, 32'h3e7a68e5,32'h3e8a6264, 32'h3e6d3af4,32'h3e90f95c,// invsqrt(15.0919) = 0.2574 +32'h40014e0a,32'h3f308069,32'h3f37b4ab, 32'h3f2b1936,32'h3f3d1bde, 32'h3f2217e3,32'h3f461d31,// invsqrt(2.0204) = 0.7035 +32'h400f012d,32'h3f27d59d,32'h3f2eaf4f, 32'h3f22b256,32'h3f33d296, 32'h3f1a2237,32'h3f3c62b5,// invsqrt(2.2344) = 0.6690 +32'h40915c5b,32'h3eeb6c16,32'h3ef50802, 32'h3ee43725,32'h3efc3cf3, 32'h3ed8343e,32'h3f041fed,// invsqrt(4.5425) = 0.4692 +32'h3e96c197,32'h3fe72bc9,32'h3ff09b49, 32'h3fe01829,32'h3ff7aee9, 32'h3fd44cc8,32'h4001bd25,// invsqrt(0.2944) = 1.8429 +32'h4136789d,32'h3e94945b,32'h3e9aa4dc, 32'h3e9007fa,32'h3e9f313c, 32'h3e887358,32'h3ea6c5de,// invsqrt(11.4044) = 0.2961 +32'h3e0d45f9,32'h4028dc13,32'h402fc07d, 32'h4023b0c4,32'h4034ebcc, 32'h401b1341,32'h403d894f,// invsqrt(0.1380) = 2.6923 +32'h3e1fd30f,32'h401ec1f0,32'h40253cca, 32'h4019e5cc,32'h402a18ee, 32'h4011cc3a,32'h40323280,// invsqrt(0.1561) = 2.5312 +32'h3ecbff40,32'h3fc6ba5e,32'h3fced6e0, 32'h3fc0a4fd,32'h3fd4ec41, 32'h3fb6815c,32'h3fdf0fe2,// invsqrt(0.3984) = 1.5842 +32'h3f9630cf,32'h3f679b1a,32'h3f710f26, 32'h3f608412,32'h3f78262e, 32'h3f54b303,32'h3f81fb9e,// invsqrt(1.1734) = 0.9232 +32'h41b9e1fa,32'h3e502f9e,32'h3e58aef2, 32'h3e49d01e,32'h3e5f0e72, 32'h3e3f30f4,32'h3e69ad9c,// invsqrt(23.2353) = 0.2075 +32'h3fae38f9,32'h3f570a30,32'h3f5fd122, 32'h3f5074fa,32'h3f666658, 32'h3f457c4b,32'h3f715f07,// invsqrt(1.3611) = 0.8571 +32'h4005f44e,32'h3f2d6952,32'h3f347d4b, 32'h3f281a58,32'h3f39cc46, 32'h3f1f4161,32'h3f42a53d,// invsqrt(2.0930) = 0.6912 +32'h4005544c,32'h3f2dd141,32'h3f34e978, 32'h3f287f18,32'h3f3a3ba2, 32'h3f1fa0d4,32'h3f4319e6,// invsqrt(2.0833) = 0.6928 +32'h3f493d3b,32'h3f8d7b46,32'h3f93419c, 32'h3f892685,32'h3f97965d, 32'h3f81ee99,32'h3f9ece49,// invsqrt(0.7861) = 1.1279 +32'h3fd603ff,32'h3f420553,32'h3f49f0a5, 32'h3f3c14d6,32'h3f4fe122, 32'h3f322eb0,32'h3f59c748,// invsqrt(1.6720) = 0.7734 +32'h3f9d7e24,32'h3f622c4a,32'h3f6b6790, 32'h3f5b3fd4,32'h3f725406, 32'h3f4fb5bc,32'h3f7dde1e,// invsqrt(1.2304) = 0.9015 +32'h3faaaaab,32'h3f5944b9,32'h3f6222f5, 32'h3f529e0c,32'h3f68c9a2, 32'h3f478842,32'h3f73df6d,// invsqrt(1.3333) = 0.8660 +32'h3dcec7b8,32'h404562d8,32'h404d7154, 32'h403f57fb,32'h40537c31, 32'h403545e1,32'h405d8e4b,// invsqrt(0.1010) = 3.1471 +32'h3f531713,32'h3f8a240d,32'h3f8fc77c, 32'h3f85e97b,32'h3f94020f, 32'h3f7dba63,32'h3f9b0e59,// invsqrt(0.8246) = 1.1013 +32'h40953089,32'h3ee861b1,32'h3ef1ddd8, 32'h3ee14495,32'h3ef8faf5, 32'h3ed56964,32'h3f026b13,// invsqrt(4.6622) = 0.4631 +32'h400e371a,32'h3f284caf,32'h3f2f2b3e, 32'h3f2325c4,32'h3f34522a, 32'h3f1a8f92,32'h3f3ce85d,// invsqrt(2.2221) = 0.6708 +32'h4050345a,32'h3f0b1846,32'h3f10c5ad, 32'h3f06d63a,32'h3f1507ba, 32'h3eff7af5,32'h3f1c2079,// invsqrt(3.2532) = 0.5544 +32'h413a417e,32'h3e930fed,32'h3e991093, 32'h3e8e8f70,32'h3e9d9110, 32'h3e870ea0,32'h3ea511e0,// invsqrt(11.6410) = 0.2931 +32'h3f9f0c6d,32'h3f611067,32'h3f6a4018, 32'h3f5a2ca3,32'h3f7123dd, 32'h3f4eb106,32'h3f7c9f7a,// invsqrt(1.2426) = 0.8971 +32'h3dc82cb0,32'h40489dd1,32'h4050ce0d, 32'h404279a3,32'h4056f23b, 32'h40383d57,32'h40612e87,// invsqrt(0.0977) = 3.1986 +32'h41093633,32'h3eab5735,32'h3eb2558b, 32'h3ea61875,32'h3eb7944b, 32'h3e9d5a8a,32'h3ec05236,// invsqrt(8.5757) = 0.3415 +32'h3d8583e7,32'h4075a4c8,32'h407fab82, 32'h406e1fbd,32'h40839847, 32'h40619754,32'h4089dc7b,// invsqrt(0.0652) = 3.9165 +32'h3f3dac6c,32'h3f91bb33,32'h3f97adf1, 32'h3f8d4524,32'h3f9c2400, 32'h3f85d5b7,32'h3fa3936d,// invsqrt(0.7409) = 1.1618 +32'h3fa45f0b,32'h3f5d63da,32'h3f666d26, 32'h3f569ce0,32'h3f6d3420, 32'h3f4b5140,32'h3f787fc0,// invsqrt(1.2842) = 0.8825 +32'h3faaabf7,32'h3f5943e6,32'h3f622219, 32'h3f529d3f,32'h3f68c8bf, 32'h3f47877f,32'h3f73de7f,// invsqrt(1.3334) = 0.8660 +32'h3faf745c,32'h3f564894,32'h3f5f079f, 32'h3f4fb94b,32'h3f6596e7, 32'h3f44ca7d,32'h3f7085b5,// invsqrt(1.3707) = 0.8541 +32'h3e458201,32'h400ecfcd,32'h4014a40a, 32'h400a70a0,32'h40190338, 32'h40032754,32'h40204c84,// invsqrt(0.1929) = 2.2770 +32'h3fa1176d,32'h3f5fa1e4,32'h3f68c29f, 32'h3f58c958,32'h3f6f9b2c, 32'h3f4d606f,32'h3f7b0415,// invsqrt(1.2585) = 0.8914 +32'h3f39f1eb,32'h3f932f61,32'h3f993151, 32'h3f8eadee,32'h3f9db2c4, 32'h3f872b83,32'h3fa5352f,// invsqrt(0.7263) = 1.1734 +32'h409018ee,32'h3eec73b6,32'h3ef61a64, 32'h3ee536b3,32'h3efd5767, 32'h3ed92658,32'h3f04b3e1,// invsqrt(4.5030) = 0.4712 +32'h3fe9f2f3,32'h3f399236,32'h3f41253d, 32'h3f33e3f0,32'h3f46d382, 32'h3f2a6c26,32'h3f504b4c,// invsqrt(1.8277) = 0.7397 +32'h3fd841c5,32'h3f410344,32'h3f48e40e, 32'h3f3b1aad,32'h3f4ecca5, 32'h3f3141b2,32'h3f58a5a0,// invsqrt(1.6895) = 0.7693 +32'h4059fca3,32'h3f07f021,32'h3f0d7c8b, 32'h3f03c6d2,32'h3f11a5da, 32'h3ef9ae9b,32'h3f18955f,// invsqrt(3.4060) = 0.5418 +32'h3e932f20,32'h3fe9f59f,32'h3ff38243, 32'h3fe2cc25,32'h3ffaabbd, 32'h3fd6dc59,32'h40034dc5,// invsqrt(0.2875) = 1.8651 +32'h3f8a5e8e,32'h3f714be9,32'h3f7b2537, 32'h3f69e8ef,32'h3f814418, 32'h3f5d994e,32'h3f876be9,// invsqrt(1.0810) = 0.9618 +32'h3f373bc6,32'h3f944526,32'h3f9a526b, 32'h3f8fbb31,32'h3f9edc5f, 32'h3f882a9a,32'h3fa66cf6,// invsqrt(0.7158) = 1.1820 +32'h3f480e24,32'h3f8de64a,32'h3f93b0fe, 32'h3f898e42,32'h3f980906, 32'h3f8250e0,32'h3f9f4668,// invsqrt(0.7815) = 1.1312 +32'h3e4a5578,32'h400d1929,32'h4012db7f, 32'h4008c769,32'h40172d3f, 32'h4001947f,32'h401e6029,// invsqrt(0.1976) = 2.2497 +32'h40208d6b,32'h3f1e65b1,32'h3f24dcc8, 32'h3f198c60,32'h3f29b61a, 32'h3f117784,32'h3f31caf6,// invsqrt(2.5086) = 0.6314 +32'h3d9250d0,32'h406aa719,32'h40743afb, 32'h40637830,32'h407b69e4, 32'h40577f56,32'h4083b15f,// invsqrt(0.0714) = 3.7413 +32'h4044a1a1,32'h3f0f2131,32'h3f14f8c1, 32'h3f0abf86,32'h3f195a6c, 32'h3f037213,32'h3f20a7df,// invsqrt(3.0724) = 0.5705 +32'h4148fd7f,32'h3e8d91b3,32'h3e9358f3, 32'h3e893c42,32'h3e97ae64, 32'h3e820331,32'h3e9ee775,// invsqrt(12.5619) = 0.2821 +32'h3fd24519,32'h3f43bdc6,32'h3f4bbb12, 32'h3f3dbfcd,32'h3f51b90b, 32'h3f33c32e,32'h3f5bb5aa,// invsqrt(1.6427) = 0.7802 +32'h3fe81ff8,32'h3f3a4c82,32'h3f41e724, 32'h3f349888,32'h3f479b1e, 32'h3f2b173e,32'h3f511c68,// invsqrt(1.8135) = 0.7426 +32'h3dd23273,32'h4043c674,32'h404bc41b, 32'h403dc838,32'h4051c258, 32'h4033cb27,32'h405bbf69,// invsqrt(0.1026) = 3.1214 +32'h3f060399,32'h3fad5f6d,32'h3fb472ff, 32'h3fa810c0,32'h3fb9c1ac, 32'h3f9f384a,32'h3fc29a22,// invsqrt(0.5235) = 1.3821 +32'h3f1e6d26,32'h3f9f74df,32'h3fa5f707, 32'h3f9a9340,32'h3faad8a6, 32'h3f92708e,32'h3fb2fb58,// invsqrt(0.6189) = 1.2712 +32'h3f57446b,32'h3f88cb43,32'h3f8e609f, 32'h3f849b3f,32'h3f9290a3, 32'h3f7b4118,32'h3f998b56,// invsqrt(0.8409) = 1.0905 +32'h3ed1567a,32'h3fc42d36,32'h3fcc2f0e, 32'h3fbe2bd4,32'h3fd23070, 32'h3fb42985,32'h3fdc32bf,// invsqrt(0.4089) = 1.5639 +32'h3e55f1cf,32'h40093759,32'h400ed11e, 32'h40050406,32'h40130472, 32'h3ffc079f,32'h401a04a8,// invsqrt(0.2089) = 2.1878 +32'h3f5d8c89,32'h3f86d73c,32'h3f8c582e, 32'h3f82b686,32'h3f9078e4, 32'h3f77aaac,32'h3f975a14,// invsqrt(0.8654) = 1.0749 +32'h401f57bd,32'h3f1eff53,32'h3f257caf, 32'h3f1a214e,32'h3f2a5ab4, 32'h3f12049a,32'h3f327768,// invsqrt(2.4897) = 0.6338 +32'h3f81a2a4,32'h3f794ae7,32'h3f81bbe1, 32'h3f71a943,32'h3f858cb2, 32'h3f64f132,32'h3f8be8bb,// invsqrt(1.0128) = 0.9937 +32'h3d6310e0,32'h4085314d,32'h408aa107, 32'h40811d82,32'h408eb4d2, 32'h4074a3b2,32'h4095807b,// invsqrt(0.0554) = 4.2472 +32'h414f078d,32'h3e8b7d2e,32'h3e912eb2, 32'h3e87380a,32'h3e9573d6, 32'h3e801a25,32'h3e9c91bb,// invsqrt(12.9393) = 0.2780 +32'h3ea2d862,32'h3fde6cc9,32'h3fe780e6, 32'h3fd79db3,32'h3fee4ffb, 32'h3fcc448e,32'h3ff9a920,// invsqrt(0.3181) = 1.7732 +32'h3ff505af,32'h3f355456,32'h3f3cbb0b, 32'h3f2fc74e,32'h3f424812, 32'h3f2686eb,32'h3f4b8875,// invsqrt(1.9142) = 0.7228 +32'h3f8888f1,32'h3f72e97f,32'h3f7cd3ae, 32'h3f6b79dc,32'h3f8221a9, 32'h3f5f1522,32'h3f885406,// invsqrt(1.0667) = 0.9682 +32'h3f228876,32'h3f9d6dde,32'h3fa3dad7, 32'h3f989c22,32'h3fa8ac92, 32'h3f9093eb,32'h3fb0b4c9,// invsqrt(0.6349) = 1.2550 +32'h3f6960ad,32'h3f83610f,32'h3f88bdd7, 32'h3f7eb6f4,32'h3f8cc36c, 32'h3f714f02,32'h3f937765,// invsqrt(0.9116) = 1.0473 +32'h3e1b17d4,32'h40212934,32'h4027bd2c, 32'h401c3a3a,32'h402cac26, 32'h40140145,32'h4034e51b,// invsqrt(0.1515) = 2.5695 +32'h400d8130,32'h3f28b8bb,32'h3f2f9bb3, 32'h3f238e81,32'h3f34c5ed, 32'h3f1af2cb,32'h3f3d61a3,// invsqrt(2.2110) = 0.6725 +32'h3fb67df7,32'h3f521c73,32'h3f5aafe5, 32'h3f4baddd,32'h3f611e7b, 32'h3f40f58e,32'h3f6bd6ca,// invsqrt(1.4257) = 0.8375 +32'h4019fb4b,32'h3f21bdd6,32'h3f2857de, 32'h3f1cca4f,32'h3f2d4b65, 32'h3f1489c4,32'h3f358bf0,// invsqrt(2.4060) = 0.6447 +32'h3eefc617,32'h3fb74da2,32'h3fbec8f8, 32'h3fb1b123,32'h3fc46577, 32'h3fa856f8,32'h3fcdbfa2,// invsqrt(0.4683) = 1.4613 +32'h3f68cf4c,32'h3f838a0e,32'h3f88e882, 32'h3f7f066f,32'h3f8cef58, 32'h3f719a4e,32'h3f93a569,// invsqrt(0.9094) = 1.0486 +32'h3f9d21e4,32'h3f626ea4,32'h3f6baca0, 32'h3f5b8027,32'h3f729b1d, 32'h3f4ff2ab,32'h3f7e2899,// invsqrt(1.2276) = 0.9026 +32'h3f1561b9,32'h3fa43681,32'h3faaea5d, 32'h3f9f2f9d,32'h3faff141, 32'h3f96ceca,32'h3fb85214,// invsqrt(0.5835) = 1.3091 +32'h421637ef,32'h3e23c142,32'h3e2a7054, 32'h3e1ebdf4,32'h3e2f73a2, 32'h3e16631d,32'h3e37ce79,// invsqrt(37.5546) = 0.1632 +32'h3fcdda17,32'h3f45d4a5,32'h3f4de7c6, 32'h3f3fc64d,32'h3f53f61f, 32'h3f35ae64,32'h3f5e0e08,// invsqrt(1.6082) = 0.7885 +32'h41360208,32'h3e94c4ba,32'h3e9ad734, 32'h3e9036de,32'h3e9f6510, 32'h3e889fc5,32'h3ea6fc29,// invsqrt(11.3755) = 0.2965 +32'h3da150a8,32'h405f7a35,32'h40689951, 32'h4058a2e0,32'h406f70a6, 32'h404d3bfc,32'h407ad78a,// invsqrt(0.0788) = 3.5631 +32'h3fa8ada8,32'h3f5a8b95,32'h3f637729, 32'h3f53dae7,32'h3f6a27d7, 32'h3f48b46f,32'h3f754e4f,// invsqrt(1.3178) = 0.8711 +32'h408daddd,32'h3eee761d,32'h3ef831cb, 32'h3ee7295b,32'h3eff7e8d, 32'h3edafec2,32'h3f05d493,// invsqrt(4.4275) = 0.4752 +32'h3f72bbf0,32'h3f80d27a,32'h3f861489, 32'h3f79c1dc,32'h3f8a0614, 32'h3f6c9cb5,32'h3f9098a8,// invsqrt(0.9482) = 1.0270 +32'h3f92986d,32'h3f6a6dc1,32'h3f73ff4c, 32'h3f63409a,32'h3f7b2c74, 32'h3f574aad,32'h3f839131,// invsqrt(1.1453) = 0.9344 +32'h3f1e360a,32'h3f9f90a2,32'h3fa613ec, 32'h3f9aae2a,32'h3faaf664, 32'h3f928a0d,32'h3fb31a81,// invsqrt(0.6180) = 1.2720 +32'h42003588,32'h3e314115,32'h3e387d35, 32'h3e2bd3fd,32'h3e3dea4d, 32'h3e22c8d4,32'h3e46f576,// invsqrt(32.0523) = 0.1766 +32'h3f1d4315,32'h3fa00bb5,32'h3fa69405, 32'h3f9b2578,32'h3fab7a42, 32'h3f92fb14,32'h3fb3a4a6,// invsqrt(0.6143) = 1.2759 +32'h416e5fbd,32'h3e81feb7,32'h3e874d08, 32'h3e7c07f6,32'h3e8b47c5, 32'h3e6ec42d,32'h3e91e9aa,// invsqrt(14.8984) = 0.2591 +32'h3f0b96a4,32'h3fa9e02f,32'h3fb0cf37, 32'h3fa4acea,32'h3fb6027c, 32'h3f9c0221,32'h3fbead45,// invsqrt(0.5453) = 1.3542 +32'h3e3739d0,32'h401445f1,32'h401a533f, 32'h400fbbf7,32'h401edd39, 32'h40082b56,32'h40266dda,// invsqrt(0.1789) = 2.3640 +32'h40636dd3,32'h3f051613,32'h3f0a84b1, 32'h3f01031d,32'h3f0e97a7, 32'h3ef471b0,32'h3f1561ec,// invsqrt(3.5536) = 0.5305 +32'h412e4de9,32'h3e98053a,32'h3e9e39b0, 32'h3e935de2,32'h3ea2e108, 32'h3e8b9c50,32'h3eaaa29a,// invsqrt(10.8940) = 0.3030 +32'h403af476,32'h3f12c978,32'h3f18c73e, 32'h3f0e4b23,32'h3f1d4593, 32'h3f06cdec,32'h3f24c2ca,// invsqrt(2.9212) = 0.5851 +32'h3eb4a2c5,32'h3fd3301c,32'h3fdbcece, 32'h3fccb916,32'h3fe245d4, 32'h3fc1f2b6,32'h3fed0c34,// invsqrt(0.3528) = 1.6836 +32'h3f16547c,32'h3fa3b1b4,32'h3faa6024, 32'h3f9eaee0,32'h3faf62f8, 32'h3f9654d4,32'h3fb7bd04,// invsqrt(0.5872) = 1.3050 +32'h4057be87,32'h3f08a488,32'h3f0e384e, 32'h3f0475b2,32'h3f126724, 32'h3efaf9f4,32'h3f195fdc,// invsqrt(3.3710) = 0.5447 +32'h414f924e,32'h3e8b4e87,32'h3e90fe25, 32'h3e870ad2,32'h3e9541da, 32'h3e7fde9b,32'h3e9c5d5f,// invsqrt(12.9732) = 0.2776 +32'h41f63a0b,32'h3e34e2a7,32'h3e3c44b9, 32'h3e2f591a,32'h3e41ce46, 32'h3e261e85,32'h3e4b08db,// invsqrt(30.7783) = 0.1803 +32'h3f4887fb,32'h3f8dbb28,32'h3f93841a, 32'h3f896473,32'h3f97dacf, 32'h3f822944,32'h3f9f15fe,// invsqrt(0.7833) = 1.1299 +32'h40f26658,32'h3eb64ec3,32'h3ebdbfb1, 32'h3eb0ba11,32'h3ec35463, 32'h3ea76ce7,32'h3ecca18d,// invsqrt(7.5750) = 0.3633 +32'h40cf8db8,32'h3ec5049c,32'h3ecd0f3f, 32'h3ebefca1,32'h3ed31739, 32'h3eb4ef55,32'h3edd2485,// invsqrt(6.4860) = 0.3927 +32'h3fb97383,32'h3f506d95,32'h3f58ef71, 32'h3f4a0c30,32'h3f5f50d6, 32'h3f3f69dc,32'h3f69f32a,// invsqrt(1.4488) = 0.8308 +32'h3fdfe127,32'h3f3db2d0,32'h3f4570f8, 32'h3f37e432,32'h3f4b3f96, 32'h3f2e3680,32'h3f54ed48,// invsqrt(1.7491) = 0.7561 +32'h41114878,32'h3ea6835d,32'h3ead4f41, 32'h3ea16a71,32'h3eb2682d, 32'h3e98eb94,32'h3ebae70a,// invsqrt(9.0802) = 0.3319 +32'h3e3f0936,32'h401135ed,32'h4017233a, 32'h400cc3f2,32'h401b9534, 32'h40055b51,32'h4022fdd5,// invsqrt(0.1866) = 2.3152 +32'h3f42e5fb,32'h3f8fc3bc,32'h3f95a1ee, 32'h3f8b5d17,32'h3f9a0893, 32'h3f840759,32'h3fa15e51,// invsqrt(0.7613) = 1.1461 +32'h3f895d7c,32'h3f722d49,32'h3f7c0fc9, 32'h3f6ac369,32'h3f81bcd5, 32'h3f5e6848,32'h3f87ea65,// invsqrt(1.0732) = 0.9653 +32'h3f1bb2b4,32'h3fa0d8f9,32'h3fa769aa, 32'h3f9bec73,32'h3fac562f, 32'h3f93b796,32'h3fb48b0c,// invsqrt(0.6082) = 1.2823 +32'h40297845,32'h3f1a2c6e,32'h3f207762, 32'h3f157436,32'h3f252f9a, 32'h3f0d9684,32'h3f2d0d4c,// invsqrt(2.6480) = 0.6145 +32'h3d6bbfc1,32'h4082b779,32'h40880d55, 32'h407d6e2a,32'h408c0db9, 32'h40701786,32'h4092b90b,// invsqrt(0.0576) = 4.1683 +32'h3f25f5b4,32'h3f9bcb9f,32'h3fa22786, 32'h3f9706b1,32'h3fa6ec73, 32'h3f8f13d0,32'h3faedf54,// invsqrt(0.6483) = 1.2420 +32'h3f077504,32'h3fac7262,32'h3fb37c46, 32'h3fa72af6,32'h3fb8c3b2, 32'h3f9e5e98,32'h3fc19010,// invsqrt(0.5291) = 1.3747 +32'h3f70a1f1,32'h3f81622b,32'h3f86aa18, 32'h3f7ad874,32'h3f8aa00a, 32'h3f6da4a4,32'h3f9139f2,// invsqrt(0.9400) = 1.0314 +32'h404e601c,32'h3f0bb5b9,32'h3f11698d, 32'h3f076edb,32'h3f15b06b, 32'h3f004e13,32'h3f1cd133,// invsqrt(3.2246) = 0.5569 +32'h3f4afba7,32'h3f8cdf5b,32'h3f929f55, 32'h3f888f60,32'h3f96ef50, 32'h3f815f69,32'h3f9e1f47,// invsqrt(0.7929) = 1.1230 +32'h3f0d9ba6,32'h3fa8a8f7,32'h3faf8b4a, 32'h3fa37f38,32'h3fb4b508, 32'h3f9ae450,32'h3fbd4ff0,// invsqrt(0.5532) = 1.3445 +32'h40add284,32'h3ed74987,32'h3ee0130f, 32'h3ed0b261,32'h3ee6aa35, 32'h3ec5b677,32'h3ef1a61f,// invsqrt(5.4319) = 0.4291 +32'h3bf159c8,32'h4136b415,32'h413e2925, 32'h41311c48,32'h4143c0f2, 32'h4127c9f4,32'h414d1346,// invsqrt(0.0074) = 11.6520 +32'h3ef5ce4e,32'h3fb50a47,32'h3fbc6df7, 32'h3faf7f84,32'h3fc1f8ba, 32'h3fa642e9,32'h3fcb3555,// invsqrt(0.4801) = 1.4432 +32'h3eb3e5d3,32'h3fd39ee7,32'h3fdc421f, 32'h3fcd247c,32'h3fe2bc8a, 32'h3fc25876,32'h3fed8890,// invsqrt(0.3514) = 1.6870 +32'h3f8c45be,32'h3f6fa773,32'h3f796f97, 32'h3f685158,32'h3f8062d9, 32'h3f5c172b,32'h3f867ff0,// invsqrt(1.0959) = 0.9553 +32'h403c8ffc,32'h3f1228f4,32'h3f18202c, 32'h3f0daf89,32'h3f1c9997, 32'h3f063a82,32'h3f240e9e,// invsqrt(2.9463) = 0.5826 +32'h3ea580d3,32'h3fdca1b3,32'h3fe5a314, 32'h3fd5e0ac,32'h3fec641c, 32'h3fca9ef4,32'h3ff7a5d4,// invsqrt(0.3232) = 1.7589 +32'h3ef8ee3d,32'h3fb3e67a,32'h3fbb3e40, 32'h3fae64a5,32'h3fc0c015, 32'h3fa536ed,32'h3fc9edcd,// invsqrt(0.4862) = 1.4342 +32'h402f0df8,32'h3f17b1bf,32'h3f1de2cc, 32'h3f130cf5,32'h3f228795, 32'h3f0b4fa4,32'h3f2a44e6,// invsqrt(2.7352) = 0.6046 +32'h3e7d1a8b,32'h3ffc4fb5,32'h40034e0d, 32'h3ff49669,32'h40072ab3, 32'h3fe7b6ea,32'h400d9a73,// invsqrt(0.2472) = 2.0114 +32'h3d36ade8,32'h40947ead,32'h409a8e4b, 32'h408ff2f6,32'h409f1a02, 32'h40885f70,32'h40a6ad88,// invsqrt(0.0446) = 4.7352 +32'h402d0b7e,32'h3f189298,32'h3f1eccd2, 32'h3f13e6ec,32'h3f23787e, 32'h3f0c1e23,32'h3f2b4147,// invsqrt(2.7038) = 0.6081 +32'h3e6edc2a,32'h4001dcd7,32'h400729c6, 32'h3ffbc649,32'h400b2379, 32'h3fee85f5,32'h4011c3a4,// invsqrt(0.2333) = 2.0705 +32'h3f7051b3,32'h3f8177c3,32'h3f86c092, 32'h3f7b0252,32'h3f8ab72d, 32'h3f6dcc4d,32'h3f91522f,// invsqrt(0.9387) = 1.0321 +32'h3f5cba88,32'h3f871751,32'h3f8c9ae1, 32'h3f82f4a5,32'h3f90bd8d, 32'h3f782060,32'h3f97a202,// invsqrt(0.8622) = 1.0769 +32'h40282a0b,32'h3f1ac558,32'h3f21168a, 32'h3f160872,32'h3f25d370, 32'h3f0e22f2,32'h3f2db8f0,// invsqrt(2.6276) = 0.6169 +32'h3f8dd442,32'h3f6e55d4,32'h3f781030, 32'h3f670a0f,32'h3f7f5bf5, 32'h3f5ae11b,32'h3f85c274,// invsqrt(1.1080) = 0.9500 +32'h3eac8966,32'h3fd8167b,32'h3fe0e860, 32'h3fd1790e,32'h3fe785cc, 32'h3fc672af,32'h3ff28c2b,// invsqrt(0.3370) = 1.7226 +32'h3d6a1386,32'h40832ed5,32'h4088898f, 32'h407e5592,32'h408c8d9b, 32'h4070f2c0,32'h40933f04,// invsqrt(0.0571) = 4.1831 +32'h3fb09ece,32'h3f55933c,32'h3f5e4ae0, 32'h3f4f0980,32'h3f64d49c, 32'h3f4423f3,32'h3f6fba29,// invsqrt(1.3798) = 0.8513 +32'h3f97820b,32'h3f6698c8,32'h3f700248, 32'h3f5f89a8,32'h3f771168, 32'h3f53c5c7,32'h3f816aa4,// invsqrt(1.1837) = 0.9192 +32'h3f584f58,32'h3f8876c2,32'h3f8e08ab, 32'h3f844954,32'h3f92361a, 32'h3f7aa5e3,32'h3f992c7c,// invsqrt(0.8450) = 1.0879 +32'h3f8ac7fc,32'h3f70f030,32'h3f7ac5c0, 32'h3f699005,32'h3f8112f5, 32'h3f5d4512,32'h3f87386f,// invsqrt(1.0842) = 0.9604 +32'h3e8083aa,32'h3ffa60a3,32'h40024c6a, 32'h3ff2b67e,32'h4006217c, 32'h3fe5f042,32'h400c849a,// invsqrt(0.2510) = 1.9960 +32'h3fa2d179,32'h3f5e7181,32'h3f6785cf, 32'h3f57a246,32'h3f6e550a, 32'h3f4c48e4,32'h3f79ae6c,// invsqrt(1.2720) = 0.8867 +32'h41600000,32'h3e8619db,32'h3e8b9313, 32'h3e81fef1,32'h3e8fadfd, 32'h3e764ed6,32'h3e968583,// invsqrt(14.0000) = 0.2673 +32'h3f13b6b6,32'h3fa52331,32'h3fabe0b5, 32'h3fa0150d,32'h3fb0eed9, 32'h3f97a828,32'h3fb95bbf,// invsqrt(0.5770) = 1.3165 +32'h3eae9326,32'h3fd6d29f,32'h3fdf974d, 32'h3fd03f1d,32'h3fe62acf, 32'h3fc54944,32'h3ff120a8,// invsqrt(0.3410) = 1.7126 +32'h3f816e9c,32'h3f797cfd,32'h3f81d5f1, 32'h3f71d9d1,32'h3f85a788, 32'h3f651f32,32'h3f8c04d7,// invsqrt(1.0112) = 0.9945 +32'h406d550f,32'h3f0247ac,32'h3f0798f6, 32'h3efc9567,32'h3f0b95ef, 32'h3eef4a2b,32'h3f123b8c,// invsqrt(3.7083) = 0.5193 +32'h40865bc6,32'h3ef4df23,32'h3efeddcb, 32'h3eed6024,32'h3f032e65, 32'h3ee0e1d2,32'h3f096d8e,// invsqrt(4.1987) = 0.4880 +32'h40a02936,32'h3ee047f6,32'h3ee96f78, 32'h3ed96a54,32'h3ef04d1a, 32'h3ecdf8f2,32'h3efbbe7d,// invsqrt(5.0050) = 0.4470 +32'h40a96402,32'h3eda15d3,32'h3ee2fc98, 32'h3ed368c0,32'h3ee9a9ac, 32'h3ec8484a,32'h3ef4ca22,// invsqrt(5.2935) = 0.4346 +32'h403bb888,32'h3f127cbc,32'h3f187760, 32'h3f0e00c0,32'h3f1cf35c, 32'h3f068773,32'h3f246ca9,// invsqrt(2.9331) = 0.5839 +32'h401669aa,32'h3f23a62d,32'h3f2a5425, 32'h3f1ea3b4,32'h3f2f569e, 32'h3f164a3e,32'h3f37b014,// invsqrt(2.3502) = 0.6523 +32'h3e3b35fa,32'h4012afc7,32'h4018ac81, 32'h400e323c,32'h401d2a0c, 32'h4006b654,32'h4024a5f4,// invsqrt(0.1828) = 2.3388 +32'h3ef39a68,32'h3fb5db58,32'h3fbd4790, 32'h3fb04a2e,32'h3fc2d8ba, 32'h3fa702e8,32'h3fcc2000,// invsqrt(0.4758) = 1.4498 +32'h4011d946,32'h3f26309f,32'h3f2cf923, 32'h3f211a3c,32'h3f320f86, 32'h3f189f97,32'h3f3a8a2b,// invsqrt(2.2789) = 0.6624 +32'h3fb71c72,32'h3f51c172,32'h3f5a512e, 32'h3f4b55a6,32'h3f60bcfa, 32'h3f40a1fb,32'h3f6b70a5,// invsqrt(1.4306) = 0.8361 +32'h3f038252,32'h3faf0424,32'h3fb628e2, 32'h3fa9a896,32'h3fbb8470, 32'h3fa0baa9,32'h3fc4725d,// invsqrt(0.5137) = 1.3952 +32'h3d364367,32'h4094aa0a,32'h409abb6d, 32'h40901cfe,32'h409f4878, 32'h40888742,32'h40a6de34,// invsqrt(0.0445) = 4.7406 +32'h3fa4449a,32'h3f5d75aa,32'h3f667fb1, 32'h3f56ae25,32'h3f6d4737, 32'h3f4b619d,32'h3f7893bf,// invsqrt(1.2833) = 0.8827 +32'h3f2cf82a,32'h3f989b1e,32'h3f9ed5b2, 32'h3f93ef30,32'h3fa381a0, 32'h3f8c25f7,32'h3fab4ad9,// invsqrt(0.6757) = 1.2166 +32'h400c579b,32'h3f296b3d,32'h3f30557f, 32'h3f243b8c,32'h3f358530, 32'h3f1b96bb,32'h3f3e2a01,// invsqrt(2.1928) = 0.6753 +32'h3d8b41b6,32'h407086cb,32'h407a580d, 32'h406929da,32'h4080da7f, 32'h405ce448,32'h4086fd48,// invsqrt(0.0680) = 3.8349 +32'h401317a7,32'h3f257c62,32'h3f2c3d8a, 32'h3f206b83,32'h3f314e69, 32'h3f17fa11,32'h3f39bfdb,// invsqrt(2.2983) = 0.6596 +32'h3edb37fa,32'h3fbfb459,32'h3fc78777, 32'h3fb9d603,32'h3fcd65cd, 32'h3fb00e1e,32'h3fd72db2,// invsqrt(0.4282) = 1.5283 +32'h4078ddeb,32'h3efe7334,32'h3f046afa, 32'h3ef6a926,32'h3f085001, 32'h3ee9adb8,32'h3f0ecdb8,// invsqrt(3.8885) = 0.5071 +32'h40ab88f6,32'h3ed8b7c4,32'h3ee1903e, 32'h3ed21567,32'h3ee8329b, 32'h3ec706ce,32'h3ef34134,// invsqrt(5.3605) = 0.4319 +32'h400d3a1b,32'h3f28e32b,32'h3f2fc7df, 32'h3f23b7a5,32'h3f34f365, 32'h3f1b19c4,32'h3f3d9146,// invsqrt(2.2067) = 0.6732 +32'h3f97b5e2,32'h3f66715f,32'h3f6fd943, 32'h3f5f6373,32'h3f76e72f, 32'h3f53a196,32'h3f815486,// invsqrt(1.1852) = 0.9185 +32'h3fc48cde,32'h3f4a7529,32'h3f52b8a3, 32'h3f44428e,32'h3f58eb3e, 32'h3f39ee35,32'h3f633f97,// invsqrt(1.5355) = 0.8070 +32'h3ead2674,32'h3fd7b464,32'h3fe08249, 32'h3fd119f9,32'h3fe71cb5, 32'h3fc6189b,32'h3ff21e13,// invsqrt(0.3382) = 1.7196 +32'h3f70fe69,32'h3f814957,32'h3f869040, 32'h3f7aa84e,32'h3f8a856f, 32'h3f6d7707,32'h3f911e12,// invsqrt(0.9414) = 1.0307 +32'h4117d71b,32'h3ea2e0c8,32'h3ea986b0, 32'h3e9de459,32'h3eae831f, 32'h3e9594f6,32'h3eb6d282,// invsqrt(9.4900) = 0.3246 +32'h3fa286da,32'h3f5ea48c,32'h3f67baf0, 32'h3f57d3c1,32'h3f6e8bbb, 32'h3f4c77c5,32'h3f79e7b7,// invsqrt(1.2697) = 0.8874 +32'h3f87a031,32'h3f73b995,32'h3f7dac43, 32'h3f6c4393,32'h3f829122, 32'h3f5fd43b,32'h3f88c8cf,// invsqrt(1.0596) = 0.9715 +32'h3ebc681c,32'h3fcec96e,32'h3fd73a24, 32'h3fc874e5,32'h3fdd8ead, 32'h3fbde802,32'h3fe81b90,// invsqrt(0.3680) = 1.6485 +32'h3e8d8feb,32'h3fee8f54,32'h3ff84c0a, 32'h3fe741cd,32'h3fff9991, 32'h3fdb15ea,32'h4005e2ba,// invsqrt(0.2765) = 1.9018 +32'h3faba5e2,32'h3f58a581,32'h3f617d3d, 32'h3f5203b4,32'h3f681f0a, 32'h3f46f609,32'h3f732cb5,// invsqrt(1.3410) = 0.8635 +32'h3fec943c,32'h3f388969,32'h3f4011a1, 32'h3f32e33e,32'h3f45b7cc, 32'h3f2978f8,32'h3f4f2212,// invsqrt(1.8483) = 0.7356 +32'h3e197236,32'h40220605,32'h4028a300, 32'h401d1049,32'h402d98bd, 32'h4014cc10,32'h4035dcf7,// invsqrt(0.1498) = 2.5833 +32'h3df11507,32'h4036ce21,32'h403e4441, 32'h40313588,32'h4043dcda, 32'h4027e1df,32'h404d3083,// invsqrt(0.1177) = 2.9146 +32'h3fb8e56a,32'h3f50bd9d,32'h3f5942bd, 32'h3f4a59c4,32'h3f5fa696, 32'h3f3fb35c,32'h3f6a4cfe,// invsqrt(1.4445) = 0.8320 +32'h3f91ca41,32'h3f6b134a,32'h3f74ab96, 32'h3f63e111,32'h3f7bddcf, 32'h3f57e2b2,32'h3f83ee17,// invsqrt(1.1390) = 0.9370 +32'h3f9cba99,32'h3f62b936,32'h3f6bfa3d, 32'h3f5bc870,32'h3f72eb02, 32'h3f503726,32'h3f7e7c4c,// invsqrt(1.2244) = 0.9037 +32'h40130c7a,32'h3f2582ac,32'h3f2c4416, 32'h3f20719c,32'h3f315526, 32'h3f17ffd7,32'h3f39c6eb,// invsqrt(2.2976) = 0.6597 +32'h3f0a20cc,32'h3faac577,32'h3fb1bdd9, 32'h3fa58b2c,32'h3fb6f824, 32'h3f9cd4b1,32'h3fbfae9f,// invsqrt(0.5396) = 1.3614 +32'h3f35f9d5,32'h3f94c814,32'h3f9adab2, 32'h3f903a1e,32'h3f9f68a8, 32'h3f88a2d9,32'h3fa6ffed,// invsqrt(0.7108) = 1.1861 +32'h3f71e9ed,32'h3f810a58,32'h3f864eb0, 32'h3f7a2e2e,32'h3f8a41f1, 32'h3f6d0354,32'h3f90d75e,// invsqrt(0.9450) = 1.0287 +32'h3fe8ea8c,32'h3f39fb6c,32'h3f4192be, 32'h3f3449ee,32'h3f47443c, 32'h3f2accc6,32'h3f50c164,// invsqrt(1.8197) = 0.7413 +32'h3ea9925a,32'h3fd9f804,32'h3fe2dd92, 32'h3fd34bda,32'h3fe989bc, 32'h3fc82cea,32'h3ff4a8ac,// invsqrt(0.3312) = 1.7376 +32'h3f88aff4,32'h3f72c6d3,32'h3f7caf97, 32'h3f6b583f,32'h3f820f15, 32'h3f5ef549,32'h3f884090,// invsqrt(1.0679) = 0.9677 +32'h3f873767,32'h3f7417f3,32'h3f7e0e7b, 32'h3f6c9f0e,32'h3f82c3b0, 32'h3f602ae5,32'h3f88fdc5,// invsqrt(1.0564) = 0.9729 +32'h3f83f44a,32'h3f7717a5,32'h3f8096c1, 32'h3f6f8740,32'h3f845ef4, 32'h3f62ebec,32'h3f8aac9e,// invsqrt(1.0309) = 0.9849 +32'h3f59e111,32'h3f87f8ba,32'h3f8d857e, 32'h3f83cf27,32'h3f91af11, 32'h3f79be66,32'h3f989f05,// invsqrt(0.8511) = 1.0840 +32'h3fc1c6a4,32'h3f4be6de,32'h3f54396e, 32'h3f45a8f1,32'h3f5a775b, 32'h3f3b41bc,32'h3f64de90,// invsqrt(1.5139) = 0.8127 +32'h3e2b88ce,32'h40193e30,32'h401f7f6c, 32'h40148d44,32'h40243058, 32'h400cbbb9,32'h402c01e3,// invsqrt(0.1675) = 2.4433 +32'h3e1adadd,32'h402148ea,32'h4027de2e, 32'h401c58f8,32'h402cce20, 32'h40141e64,32'h403508b4,// invsqrt(0.1512) = 2.5715 +32'h3cf9fb22,32'h40b3859e,32'h40bad970, 32'h40ae06c0,32'h40c0584e, 32'h40a4ddfa,32'h40c98115,// invsqrt(0.0305) = 5.7246 +32'h40459f87,32'h3f0ec522,32'h3f1498f0, 32'h3f0a6649,32'h3f18f7c9, 32'h3f031d88,32'h3f20408a,// invsqrt(3.0879) = 0.5691 +32'h3fc49820,32'h3f4a6f5d,32'h3f52b29b, 32'h3f443cef,32'h3f58e509, 32'h3f39e8e3,32'h3f633915,// invsqrt(1.5359) = 0.8069 +32'h41a959ae,32'h3e5a1c7a,32'h3e630384, 32'h3e536f32,32'h3e69b0cc, 32'h3e484e66,32'h3e74d199,// invsqrt(21.1688) = 0.2173 +32'h3f5b2602,32'h3f8793c6,32'h3f8d1c6b, 32'h3f836d4b,32'h3f9142e7, 32'h3f7904fa,32'h3f982db5,// invsqrt(0.8560) = 1.0808 +32'h3f5d2eae,32'h3f86f3d4,32'h3f8c75f2, 32'h3f82d23e,32'h3f909788, 32'h3f77df32,32'h3f977a2d,// invsqrt(0.8640) = 1.0758 +32'h3eafcc74,32'h3fd612dc,32'h3fdecfb6, 32'h3fcf8539,32'h3fe55d59, 32'h3fc49928,32'h3ff0496a,// invsqrt(0.3434) = 1.7066 +32'h4092f287,32'h3eea25d7,32'h3ef3b473, 32'h3ee2fae3,32'h3efadf67, 32'h3ed708a1,32'h3f0368d4,// invsqrt(4.5921) = 0.4667 +32'h3fc8f37a,32'h3f483a7e,32'h3f5066ac, 32'h3f42195a,32'h3f5687d0, 32'h3f37e220,32'h3f60bf0a,// invsqrt(1.5699) = 0.7981 +32'h3fd0a139,32'h3f44825b,32'h3f4c87ad, 32'h3f3e7e5d,32'h3f528bab, 32'h3f3477b7,32'h3f5c9251,// invsqrt(1.6299) = 0.7833 +32'h3ff8d93d,32'h3f33ee11,32'h3f3b4626, 32'h3f2e6c00,32'h3f40c836, 32'h3f253de5,32'h3f49f651,// invsqrt(1.9441) = 0.7172 +32'h4004c494,32'h3f2e2f3c,32'h3f354b48, 32'h3f28da32,32'h3f3aa052, 32'h3f1ff722,32'h3f438362,// invsqrt(2.0745) = 0.6943 +32'h3f139975,32'h3fa5338e,32'h3fabf1bd, 32'h3fa024ea,32'h3fb10060, 32'h3f97b72e,32'h3fb96e1c,// invsqrt(0.5766) = 1.3170 +32'h400b024a,32'h3f2a3abc,32'h3f312d76, 32'h3f2504b1,32'h3f366381, 32'h3f1c554a,32'h3f3f12e8,// invsqrt(2.1720) = 0.6785 +32'h3d9897d4,32'h4065c683,32'h406f276f, 32'h405ebdd3,32'h4076301f, 32'h405304ad,32'h4080f4a3,// invsqrt(0.0745) = 3.6635 +32'h3e645424,32'h4004d2e3,32'h400a3ec2, 32'h4000c1fb,32'h400e4fa9, 32'h3ff3f647,32'h40151681,// invsqrt(0.2230) = 2.1177 +32'h3fcb1ad8,32'h3f4729fd,32'h3f4f4b0d, 32'h3f411132,32'h3f5563d8, 32'h3f36e7de,32'h3f5f8d2c,// invsqrt(1.5868) = 0.7939 +32'h3f99fff0,32'h3f64b93f,32'h3f6e0f2d, 32'h3f5db8cd,32'h3f750f9f, 32'h3f520d64,32'h3f805d84,// invsqrt(1.2031) = 0.9117 +32'h3f85fbc8,32'h3f7536cb,32'h3f7f3908, 32'h3f6db51e,32'h3f835d5b, 32'h3f613253,32'h3f899ec1,// invsqrt(1.0467) = 0.9774 +32'h3f1b41e2,32'h3fa1135f,32'h3fa7a673, 32'h3f9c2511,32'h3fac94c1, 32'h3f93ed38,32'h3fb4cc9a,// invsqrt(0.6065) = 1.2841 +32'h3f9ea3d4,32'h3f615a8e,32'h3f6a8d45, 32'h3f5a7484,32'h3f71734e, 32'h3f4ef51e,32'h3f7cf2b4,// invsqrt(1.2394) = 0.8983 +32'h3fd710e9,32'h3f418be1,32'h3f49723d, 32'h3f3b9f1b,32'h3f4f5f03, 32'h3f31bf27,32'h3f593ef7,// invsqrt(1.6802) = 0.7715 +32'h3ed31336,32'h3fc35e1c,32'h3fcb5780, 32'h3fbd6310,32'h3fd1528c, 32'h3fb36b53,32'h3fdb4a49,// invsqrt(0.4123) = 1.5575 +32'h3fd678d5,32'h3f41d073,32'h3f49b99d, 32'h3f3be194,32'h3f4fa87c, 32'h3f31fe21,32'h3f598bef,// invsqrt(1.6756) = 0.7725 +32'h4088db8b,32'h3ef2a026,32'h3efc8756, 32'h3eeb32c1,32'h3f01fa5d, 32'h3eded1c5,32'h3f082adc,// invsqrt(4.2768) = 0.4835 +32'h3f396c5a,32'h3f93645b,32'h3f996873, 32'h3f8ee148,32'h3f9deb86, 32'h3f875c2a,32'h3fa570a4,// invsqrt(0.7243) = 1.1750 +32'h3f6aeaeb,32'h3f82f2a3,32'h3f884ae9, 32'h3f7de0df,32'h3f8c4d1d, 32'h3f708431,32'h3f92fb73,// invsqrt(0.9176) = 1.0439 +32'h3ea1a972,32'h3fdf3cce,32'h3fe85969, 32'h3fd8675b,32'h3fef2edd, 32'h3fcd0399,32'h3ffa929f,// invsqrt(0.3157) = 1.7796 +32'h403debce,32'h3f11a2df,32'h3f17949f, 32'h3f0d2d8f,32'h3f1c09ef, 32'h3f05bf5f,32'h3f23781f,// invsqrt(2.9675) = 0.5805 +32'h3fe89d15,32'h3f3a1a61,32'h3f41b2f7, 32'h3f3467f0,32'h3f476568, 32'h3f2ae934,32'h3f50e424,// invsqrt(1.8173) = 0.7418 +32'h3f348c6f,32'h3f955e56,32'h3f9b7715, 32'h3f90cbc6,32'h3fa009a4, 32'h3f892cd6,32'h3fa7a894,// invsqrt(0.7053) = 1.1908 +32'h3e87ccf5,32'h3ff39166,32'h3ffd8270, 32'h3fec1c9f,32'h40027b9b, 32'h3fdfaf54,32'h4008b241,// invsqrt(0.2652) = 1.9417 +32'h3f07253d,32'h3faca540,32'h3fb3b138, 32'h3fa75c46,32'h3fb8fa32, 32'h3f9e8d50,32'h3fc1c928,// invsqrt(0.5279) = 1.3763 +32'h3f7aebc2,32'h3f7d680a,32'h3f83dff1, 32'h3f75a62a,32'h3f87c0e1, 32'h3f68b85d,32'h3f8e37c7,// invsqrt(0.9802) = 1.0101 +32'h3e85020e,32'h3ff61c92,32'h40001418, 32'h3fee93dc,32'h4003d873, 32'h3fe20557,32'h400a1fb5,// invsqrt(0.2598) = 1.9620 +32'h3e3f2876,32'h40112a0e,32'h401716df, 32'h400cb870,32'h401b887c, 32'h4005506a,32'h4022f082,// invsqrt(0.1867) = 2.3145 +32'h3f9de322,32'h3f61e3e8,32'h3f6b1c3b, 32'h3f5af9ab,32'h3f720679, 32'h3f4f7343,32'h3f7d8ce1,// invsqrt(1.2335) = 0.9004 +32'h40263c96,32'h3f1baa64,32'h3f2204f0, 32'h3f16e67b,32'h3f26c8d9, 32'h3f0ef54c,32'h3f2eba08,// invsqrt(2.5974) = 0.6205 +32'h40f400c2,32'h3eb5b530,32'h3ebd1fd9, 32'h3eb02531,32'h3ec2afd7, 32'h3ea6dfdd,32'h3ecbf52b,// invsqrt(7.6251) = 0.3621 +32'h3e4e33e3,32'h400bc4b3,32'h40117923, 32'h40077d5f,32'h4015c077, 32'h40005bd4,32'h401ce202,// invsqrt(0.2014) = 2.2284 +32'h400c364c,32'h3f297f5c,32'h3f306a70, 32'h3f244f0e,32'h3f359abe, 32'h3f1ba935,32'h3f3e4097,// invsqrt(2.1908) = 0.6756 +32'h3fdc2985,32'h3f3f4b13,32'h3f4719e5, 32'h3f396ff6,32'h3f4cf502, 32'h3f2fad70,32'h3f56b788,// invsqrt(1.7200) = 0.7625 +32'h412344f4,32'h3e9d12e3,32'h3ea37c26, 32'h3e9843f2,32'h3ea84b18, 32'h3e90405e,32'h3eb04eac,// invsqrt(10.2043) = 0.3130 +32'h3f053b21,32'h3fade1ab,32'h3fb4fa8e, 32'h3fa88f02,32'h3fba4d38, 32'h3f9fafe7,32'h3fc32c53,// invsqrt(0.5204) = 1.3862 +32'h3f565a87,32'h3f8915d1,32'h3f8eae37, 32'h3f84e384,32'h3f92e084, 32'h3f7bca07,32'h3f99df04,// invsqrt(0.8373) = 1.0928 +32'h3f395c2b,32'h3f936aca,32'h3f996f26, 32'h3f8ee785,32'h3f9df26b, 32'h3f876212,32'h3fa577de,// invsqrt(0.7241) = 1.1752 +32'h3fc2ea98,32'h3f4b4df0,32'h3f539a42, 32'h3f4514b1,32'h3f59d381, 32'h3f3ab54a,32'h3f6432e8,// invsqrt(1.5228) = 0.8104 +32'h3f42e5a1,32'h3f8fc3dd,32'h3f95a210, 32'h3f8b5d37,32'h3f9a08b7, 32'h3f840778,32'h3fa15e76,// invsqrt(0.7613) = 1.1461 +32'h3f68f65d,32'h3f837f06,32'h3f88dd06, 32'h3f7ef10b,32'h3f8ce386, 32'h3f71860b,32'h3f939907,// invsqrt(0.9100) = 1.0483 +32'h3ee0fc97,32'h3fbd3b2c,32'h3fc4f472, 32'h3fb77038,32'h3fcabf66, 32'h3fadc8a1,32'h3fd466fd,// invsqrt(0.4394) = 1.5085 +32'h408189dd,32'h3ef962bd,32'h3f01c848, 32'h3ef1c05f,32'h3f059978, 32'h3ee50716,32'h3f0bf61c,// invsqrt(4.0481) = 0.4970 +32'h40a38b38,32'h3eddf30b,32'h3ee70231, 32'h3ed727b0,32'h3eedcd8c, 32'h3ecbd4c1,32'h3ef9207b,// invsqrt(5.1107) = 0.4423 +32'h3f8e3c0c,32'h3f6dfecf,32'h3f77b59d, 32'h3f66b5b3,32'h3f7efeb9, 32'h3f5a9131,32'h3f85919e,// invsqrt(1.1112) = 0.9486 +32'h3f70c075,32'h3f8159f8,32'h3f86a190, 32'h3f7ac88e,32'h3f8a9741, 32'h3f6d9594,32'h3f9130be,// invsqrt(0.9404) = 1.0312 +32'h3ecb697b,32'h3fc7037a,32'h3fcf22f7, 32'h3fc0ebdc,32'h3fd53a94, 32'h3fb6c47f,32'h3fdf61f1,// invsqrt(0.3973) = 1.5865 +32'h3f580f5f,32'h3f888af5,32'h3f8e1db1, 32'h3f845ce8,32'h3f924bbe, 32'h3f7acafc,32'h3f994328,// invsqrt(0.8440) = 1.0885 +32'h4175dc5e,32'h3e80001b,32'h3e853995, 32'h3e782a01,32'h3e8924b0, 32'h3e6b1a52,32'h3e8fac87,// invsqrt(15.3663) = 0.2551 +32'h3e824cd5,32'h3ff8a7e3,32'h4001670b, 32'h3ff10b3d,32'h4005355e, 32'h3fe45b7d,32'h400b8d3e,// invsqrt(0.2545) = 1.9823 +32'h3f50ff09,32'h3f8ad4c4,32'h3f907f68, 32'h3f8694c8,32'h3f94bf64, 32'h3f7efef4,32'h3f9bd4b2,// invsqrt(0.8164) = 1.1068 +32'h3fe44a7e,32'h3f3bdb4f,32'h3f438639, 32'h3f361b21,32'h3f494667, 32'h3f2c857d,32'h3f52dc0b,// invsqrt(1.7835) = 0.7488 +32'h3f822132,32'h3f78d191,32'h3f817cbc, 32'h3f7133a4,32'h3f854bb2, 32'h3f6481c4,32'h3f8ba4a2,// invsqrt(1.0166) = 0.9918 +32'h3fba289b,32'h3f50081c,32'h3f5885d4, 32'h3f49a9d2,32'h3f5ee41e, 32'h3f3f0cac,32'h3f698144,// invsqrt(1.4544) = 0.8292 +32'h3f3966e6,32'h3f936686,32'h3f996ab5, 32'h3f8ee362,32'h3f9dedd8, 32'h3f875e27,32'h3fa57313,// invsqrt(0.7242) = 1.1751 +32'h3ea58ce2,32'h3fdc99aa,32'h3fe59ab6, 32'h3fd5d8e1,32'h3fec5b7f, 32'h3fca9792,32'h3ff79cce,// invsqrt(0.3233) = 1.7586 +32'h3f32f6ff,32'h3f960728,32'h3f9c26cc, 32'h3f916f6e,32'h3fa0be86, 32'h3f89c7e1,32'h3fa86613,// invsqrt(0.6991) = 1.1960 +32'h3b46e633,32'h418e4fb4,32'h41941eb6, 32'h4189f472,32'h419879f8, 32'h4182b1b0,32'h419fbcba,// invsqrt(0.0030) = 18.1520 +32'h3ee8564c,32'h3fba36b8,32'h3fc1d077, 32'h3fb4836a,32'h3fc783c6, 32'h3fab033c,32'h3fd103f4,// invsqrt(0.4538) = 1.4845 +32'h3e93714a,32'h3fe9c11b,32'h3ff34b99, 32'h3fe2993c,32'h3ffa7378, 32'h3fd6ac1e,32'h4003304b,// invsqrt(0.2880) = 1.8635 +32'h3f81bade,32'h3f79339f,32'h3f81afc3, 32'h3f7192b1,32'h3f858039, 32'h3f64dbd0,32'h3f8bdbaa,// invsqrt(1.0135) = 0.9933 +32'h3e198701,32'h4021fb0c,32'h40289794, 32'h401d05a6,32'h402d8cfa, 32'h4014c1fb,32'h4035d0a5,// invsqrt(0.1499) = 2.5826 +32'h3f85f50d,32'h3f753cf4,32'h3f7f3f71, 32'h3f6dbb17,32'h3f8360a8, 32'h3f6137fb,32'h3f89a236,// invsqrt(1.0465) = 0.9775 +32'h3ec001ec,32'h3fccd6b2,32'h3fd5330d, 32'h3fc6916e,32'h3fdb7852, 32'h3fbc1dfd,32'h3fe5ebc3,// invsqrt(0.3750) = 1.6330 +32'h3f876103,32'h3f73f26d,32'h3f7de76d, 32'h3f6c7aae,32'h3f82af96, 32'h3f60086f,32'h3f88e8b6,// invsqrt(1.0576) = 0.9724 +32'h3eb1c38e,32'h3fd4e316,32'h3fdd938a, 32'h3fce5ebf,32'h3fe417e1, 32'h3fc3822e,32'h3feef472,// invsqrt(0.3472) = 1.6971 +32'h3f97e474,32'h3f664e08,32'h3f6fb47c, 32'h3f5f4132,32'h3f76c152, 32'h3f538122,32'h3f8140b1,// invsqrt(1.1867) = 0.9180 +32'h3f9b3fa3,32'h3f63cd45,32'h3f6d1991, 32'h3f5cd40c,32'h3f7412ca, 32'h3f5134ad,32'h3f7fb229,// invsqrt(1.2129) = 0.9080 +32'h3e1eac62,32'h401f5516,32'h4025d5f2, 32'h401a7471,32'h402ab697, 32'h4012535d,32'h4032d7ab,// invsqrt(0.1550) = 2.5404 +32'h3d14ddd0,32'h40a47f32,32'h40ab3606, 32'h409f7614,32'h40b03f24, 32'h4097118c,32'h40b8a3ac,// invsqrt(0.0363) = 5.2454 +32'h3f2bbfdc,32'h3f99259e,32'h3f9f65d9, 32'h3f947573,32'h3fa41605, 32'h3f8ca529,32'h3fabe64f,// invsqrt(0.6709) = 1.2209 +32'h3e659dfb,32'h4004735a,32'h4009db54, 32'h4000655f,32'h400de94f, 32'h3ff346d0,32'h4014ab46,// invsqrt(0.2242) = 2.1118 +32'h3f5596a9,32'h3f89549d,32'h3f8eef94, 32'h3f852065,32'h3f9323cd, 32'h3f7c3d60,32'h3f9a2582,// invsqrt(0.8343) = 1.0948 +32'h404468f3,32'h3f0f35d7,32'h3f150e3d, 32'h3f0ad38a,32'h3f19708a, 32'h3f038509,32'h3f20bf0b,// invsqrt(3.0689) = 0.5708 +32'h3f6ed3a7,32'h3f81df28,32'h3f872c2e, 32'h3f7bcac5,32'h3f8b25f4, 32'h3f6e8a34,32'h3f91c63c,// invsqrt(0.9329) = 1.0353 +32'h3ec94df7,32'h3fc80d78,32'h3fd037d0, 32'h3fc1edb5,32'h3fd65793, 32'h3fb7b8c7,32'h3fe08c81,// invsqrt(0.3932) = 1.5948 +32'h3e8fe3bc,32'h3fec9f67,32'h3ff647df, 32'h3fe5610e,32'h3ffd8638, 32'h3fd94e79,32'h4004cc66,// invsqrt(0.2810) = 1.8863 +32'h3fc6741e,32'h3f497c06,32'h3f51b554, 32'h3f43510b,32'h3f57e04f, 32'h3f390968,32'h3f6227f2,// invsqrt(1.5504) = 0.8031 +32'h3fa0f34a,32'h3f5fbafe,32'h3f68dcbf, 32'h3f58e1ac,32'h3f6fb610, 32'h3f4d777b,32'h3f7b2041,// invsqrt(1.2574) = 0.8918 +32'h3f210100,32'h3f9e2ccc,32'h3fa4a190, 32'h3f995538,32'h3fa97924, 32'h3f914343,32'h3fb18b19,// invsqrt(0.6289) = 1.2610 +32'h3f214efd,32'h3f9e068b,32'h3fa479bf, 32'h3f993023,32'h3fa95027, 32'h3f912021,32'h3fb16029,// invsqrt(0.6301) = 1.2598 +32'h40651a9e,32'h3f04994d,32'h3f0a02d3, 32'h3f008a29,32'h3f0e11f7, 32'h3ef38c83,32'h3f14d5de,// invsqrt(3.5797) = 0.5285 +32'h40b75b8d,32'h3ed19d57,32'h3eda2b99, 32'h3ecb32a5,32'h3ee0964b, 32'h3ec080d2,32'h3eeb481e,// invsqrt(5.7299) = 0.4178 +32'h40085f74,32'h3f2bdde8,32'h3f32e1be, 32'h3f269b08,32'h3f38249e, 32'h3f1dd63e,32'h3f40e968,// invsqrt(2.1308) = 0.6851 +32'h3f1edcd3,32'h3f9f3cc9,32'h3fa5bca7, 32'h3f9a5ce2,32'h3faa9c8e, 32'h3f923d0c,32'h3fb2bc64,// invsqrt(0.6206) = 1.2694 +32'h4095c53f,32'h3ee7ee36,32'h3ef165a6, 32'h3ee0d4a2,32'h3ef87f3a, 32'h3ed4ff56,32'h3f022a43,// invsqrt(4.6803) = 0.4622 +32'h3f42fc3d,32'h3f8fbb87,32'h3f959963, 32'h3f8b5522,32'h3f99ffc8, 32'h3f83ffd0,32'h3fa1551a,// invsqrt(0.7617) = 1.1458 +32'h3eb687e1,32'h3fd216be,32'h3fdaa9f4, 32'h3fcba855,32'h3fe1185d, 32'h3fc0f050,32'h3febd062,// invsqrt(0.3565) = 1.6748 +32'h3f66e6a7,32'h3f8414f4,32'h3f897912, 32'h3f8009dc,32'h3f8d842a, 32'h3f72996c,32'h3f944150,// invsqrt(0.9020) = 1.0529 +32'h3e9ea7b0,32'h3fe157d0,32'h3fea8a6a, 32'h3fda71dc,32'h3ff1705e, 32'h3fcef29a,32'h3ffcefa0,// invsqrt(0.3099) = 1.7964 +32'h40b1f016,32'h3ed4c871,32'h3edd77cf, 32'h3ece44eb,32'h3ee3fb55, 32'h3ec369b6,32'h3eeed68a,// invsqrt(5.5606) = 0.4241 +32'h3f173295,32'h3fa3394e,32'h3fa9e2d4, 32'h3f9e3a2a,32'h3faee1f8, 32'h3f95e642,32'h3fb735e0,// invsqrt(0.5906) = 1.3012 +32'h3ee8607a,32'h3fba32a4,32'h3fc1cc38, 32'h3fb47f75,32'h3fc77f67, 32'h3faaff7d,32'h3fd0ff5f,// invsqrt(0.4539) = 1.4844 +32'h3f357214,32'h3f94ffb2,32'h3f9b1494, 32'h3f907008,32'h3f9fa43e, 32'h3f88d5ec,32'h3fa73e5a,// invsqrt(0.7088) = 1.1878 +32'h3f5d6006,32'h3f86e4c9,32'h3f8c6649, 32'h3f82c3a9,32'h3f908769, 32'h3f77c390,32'h3f97694a,// invsqrt(0.8647) = 1.0754 +32'h3f7c7cf1,32'h3f7c9e67,32'h3f837702, 32'h3f74e2b3,32'h3f8754dc, 32'h3f67ff31,32'h3f8dc69e,// invsqrt(0.9863) = 1.0069 +32'h3fcbfc62,32'h3f46bbc4,32'h3f4ed854, 32'h3f40a658,32'h3f54edc0, 32'h3f3682a4,32'h3f5f1174,// invsqrt(1.5936) = 0.7921 +32'h3fe79244,32'h3f3a8579,32'h3f42226f, 32'h3f34cfc1,32'h3f47d827, 32'h3f2b4b8f,32'h3f515c59,// invsqrt(1.8092) = 0.7435 +32'h3f91df18,32'h3f6b027e,32'h3f749a1b, 32'h3f63d0c9,32'h3f7bcbd1, 32'h3f57d345,32'h3f83e4aa,// invsqrt(1.1396) = 0.9367 +32'h3e0cbf64,32'h40292cbb,32'h4030146f, 32'h4023fef4,32'h40354236, 32'h401b5d53,32'h403de3d7,// invsqrt(0.1374) = 2.6973 +32'h3eaf193f,32'h3fd6804d,32'h3fdf419e, 32'h3fcfef4f,32'h3fe5d29b, 32'h3fc4fda9,32'h3ff0c441,// invsqrt(0.3420) = 1.7100 +32'h403a21a9,32'h3f131c80,32'h3f191daa, 32'h3f0e9ba1,32'h3f1d9e89, 32'h3f071a2c,32'h3f251ffe,// invsqrt(2.9083) = 0.5864 +32'h3f743dca,32'h3f806c90,32'h3f85aa76, 32'h3f78fc46,32'h3f8998e3, 32'h3f6be185,32'h3f902643,// invsqrt(0.9541) = 1.0238 +32'h40734364,32'h3f00ae97,32'h3f05ef2f, 32'h3ef97c49,32'h3f09dfa2, 32'h3eec5acc,32'h3f107060,// invsqrt(3.8010) = 0.5129 +32'h3f6d233c,32'h3f82555b,32'h3f87a735, 32'h3f7cafef,32'h3f8ba498, 32'h3f6f634e,32'h3f924ae9,// invsqrt(0.9263) = 1.0390 +32'h3e6222aa,32'h40057762,32'h400ae9f8, 32'h40016171,32'h400effe9, 32'h3ff5246b,32'h4015cf25,// invsqrt(0.2208) = 2.1280 +32'h3f5cb957,32'h3f8717af,32'h3f8c9b43, 32'h3f82f500,32'h3f90bdf2, 32'h3f78210d,32'h3f97a26c,// invsqrt(0.8622) = 1.0769 +32'h3ffbb949,32'h3f32e640,32'h3f3a3390, 32'h3f2d6c43,32'h3f3fad8d, 32'h3f244b9e,32'h3f48ce32,// invsqrt(1.9666) = 0.7131 +32'h3cb3f526,32'h40d395e4,32'h40dc38be, 32'h40cd1bc0,32'h40e2b2e2, 32'h40c2502f,32'h40ed7e73,// invsqrt(0.0220) = 6.7470 +32'h409834d1,32'h3ee61133,32'h3eef752b, 32'h3edf0639,32'h3ef68025, 32'h3ed34944,32'h3f011e8d,// invsqrt(4.7564) = 0.4585 +32'h3f631083,32'h3f853169,32'h3f8aa124, 32'h3f811d9c,32'h3f8eb4f0, 32'h3f74a3e4,32'h3f95809a,// invsqrt(0.8870) = 1.0618 +32'h3f1ce03c,32'h3fa03e19,32'h3fa6c878, 32'h3f9b5651,32'h3fabb03f, 32'h3f93295a,32'h3fb3dd36,// invsqrt(0.6128) = 1.2774 +32'h3fbf01c9,32'h3f4d5fdc,32'h3f55c1d0, 32'h3f471665,32'h3f5c0b47, 32'h3f3c9bf4,32'h3f6685b8,// invsqrt(1.4922) = 0.8186 +32'h4063f7b4,32'h3f04edce,32'h3f0a5ac6, 32'h3f00dc13,32'h3f0e6c81, 32'h3ef427b8,32'h3f1534b8,// invsqrt(3.5620) = 0.5299 +32'h3e5e8246,32'h40068cb1,32'h400c0a99, 32'h40026e43,32'h40102907, 32'h3ff721c3,32'h40170669,// invsqrt(0.2173) = 2.1452 +32'h40453188,32'h3f0eecee,32'h3f14c25c, 32'h3f0a8cdd,32'h3f19226d, 32'h3f034214,32'h3f206d36,// invsqrt(3.0811) = 0.5697 +32'h3dba1ed4,32'h40500d92,32'h40588b84, 32'h4049af1e,32'h405ee9f8, 32'h403f11b0,32'h40698766,// invsqrt(0.0909) = 3.3172 +32'h40135e60,32'h3f2554a8,32'h3f2c1432, 32'h3f204501,32'h3f3123d9, 32'h3f17d595,32'h3f399345,// invsqrt(2.3026) = 0.6590 +32'h429ffc3a,32'h3de0677c,32'h3de99047, 32'h3dd988e3,32'h3df06edf, 32'h3dce15e4,32'h3dfbe1de,// invsqrt(79.9926) = 0.1118 +32'h3ee74074,32'h3fbaa674,32'h3fc244c2, 32'h3fb4efba,32'h3fc7fb7c, 32'h3fab69d8,32'h3fd1815e,// invsqrt(0.4517) = 1.4880 +32'h3f449521,32'h3f8f25be,32'h3f94fd7c, 32'h3f8ac3ef,32'h3f995f4b, 32'h3f837640,32'h3fa0acfa,// invsqrt(0.7679) = 1.1412 +32'h3f1b9e8d,32'h3fa0e362,32'h3fa77480, 32'h3f9bf68b,32'h3fac6157, 32'h3f93c126,32'h3fb496bc,// invsqrt(0.6079) = 1.2826 +32'h3f4342d3,32'h3f8fa18a,32'h3f957e56, 32'h3f8b3bf1,32'h3f99e3ef, 32'h3f83e7f2,32'h3fa137ee,// invsqrt(0.7627) = 1.1450 +32'h3d868f54,32'h4074b036,32'h407eacf4, 32'h406d32a7,32'h40831541, 32'h4060b6b9,32'h40895338,// invsqrt(0.0657) = 3.9013 +32'h3fa2332f,32'h3f5eddf1,32'h3f67f6ad, 32'h3f580b65,32'h3f6ec939, 32'h3f4cac7a,32'h3f7a2824,// invsqrt(1.2672) = 0.8883 +32'h411c2c34,32'h3ea09a5b,32'h3ea7287e, 32'h3e9bafc0,32'h3eac1318, 32'h3e937e14,32'h3eb444c4,// invsqrt(9.7608) = 0.3201 +32'h3e646054,32'h4004cf57,32'h400a3b11, 32'h4000be8b,32'h400e4bdd, 32'h3ff3efc4,32'h40151286,// invsqrt(0.2230) = 2.1175 +32'h3fc0ceab,32'h3f4c69d2,32'h3f54c1bc, 32'h3f4627e3,32'h3f5b03ab, 32'h3f3bba00,32'h3f65718e,// invsqrt(1.5063) = 0.8148 +32'h404da9ab,32'h3f0bf3a3,32'h3f11a9fd, 32'h3f07aadf,32'h3f15f2c1, 32'h3f0086ee,32'h3f1d16b2,// invsqrt(3.2135) = 0.5578 +32'h401d76c5,32'h3f1ff16e,32'h3f2678ac, 32'h3f1b0c00,32'h3f2b5e1a, 32'h3f12e2f2,32'h3f338728,// invsqrt(2.4604) = 0.6375 +32'h3e5b6c50,32'h40077e0c,32'h400d05ce, 32'h4003583b,32'h40112b9f, 32'h3ff8dd11,32'h40181552,// invsqrt(0.2143) = 2.1603 +32'h3f01c08a,32'h3fb03277,32'h3fb7638b, 32'h3faacda7,32'h3fbcc85b, 32'h3fa1d04e,32'h3fc5c5b4,// invsqrt(0.5068) = 1.4046 +32'h3e2a7a66,32'h4019b789,32'h401ffdb8, 32'h401502e5,32'h4024b25b, 32'h400d2b2a,32'h402c8a16,// invsqrt(0.1665) = 2.4508 +32'h3f4a3091,32'h3f8d2609,32'h3f92e8e5, 32'h3f88d3e4,32'h3f973b0a, 32'h3f81a052,32'h3f9e6e9c,// invsqrt(0.7898) = 1.1252 +32'h3e3ecd64,32'h40114cae,32'h40173aea, 32'h400cda02,32'h401bad96, 32'h40057038,32'h40231760,// invsqrt(0.1863) = 2.3166 +32'h3fb0f673,32'h3f555e52,32'h3f5e13cd, 32'h3f4ed635,32'h3f649be9, 32'h3f43f35a,32'h3f6f7ec4,// invsqrt(1.3825) = 0.8505 +32'h4209dfa8,32'h3e2aedc9,32'h3e31e7d1, 32'h3e25b243,32'h3e372357, 32'h3e1cf9b8,32'h3e3fdbe2,// invsqrt(34.4684) = 0.1703 +32'h40101cb3,32'h3f273032,32'h3f2e0324, 32'h3f2211fc,32'h3f33215a, 32'h3f198a4d,32'h3f3ba909,// invsqrt(2.2518) = 0.6664 +32'h3f157b86,32'h3fa42854,32'h3faadb9c, 32'h3f9f21df,32'h3fafe211, 32'h3f96c1c6,32'h3fb8422a,// invsqrt(0.5839) = 1.3087 +32'h3f48c265,32'h3f8da688,32'h3f936ea2, 32'h3f895074,32'h3f97c4b6, 32'h3f821653,32'h3f9efed7,// invsqrt(0.7842) = 1.1292 +32'h3ecca39b,32'h3fc66a80,32'h3fce83bf, 32'h3fc05792,32'h3fd496ae, 32'h3fb63803,32'h3fdeb63d,// invsqrt(0.3997) = 1.5818 +32'h3f5eb21c,32'h3f867e3d,32'h3f8bfb8e, 32'h3f826041,32'h3f90198b, 32'h3f770738,32'h3f96f630,// invsqrt(0.8699) = 1.0722 +32'h3f5deb09,32'h3f86ba83,32'h3f8c3a49, 32'h3f829aae,32'h3f905a1e, 32'h3f7775eb,32'h3f9739d7,// invsqrt(0.8669) = 1.0740 +32'h3ebca1af,32'h3fcea9dd,32'h3fd71949, 32'h3fc8564c,32'h3fdd6cda, 32'h3fbdcb04,32'h3fe7f822,// invsqrt(0.3684) = 1.6475 +32'h3ff7829d,32'h3f346a6f,32'h3f3bc799, 32'h3f2ee491,32'h3f414d77, 32'h3f25b01d,32'h3f4a81eb,// invsqrt(1.9337) = 0.7191 +32'h4011954e,32'h3f265766,32'h3f2d2180, 32'h3f213fd4,32'h3f323912, 32'h3f18c334,32'h3f3ab5b2,// invsqrt(2.2747) = 0.6630 +32'h3e4846da,32'h400dd231,32'h40139c14, 32'h40097ac8,32'h4017f37e, 32'h40023e6c,32'h401f2fda,// invsqrt(0.1956) = 2.2612 +32'h3fc9aba6,32'h3f47defb,32'h3f50076e, 32'h3f41c0a6,32'h3f5625c4, 32'h3f378e16,32'h3f605854,// invsqrt(1.5756) = 0.7967 +32'h3fd096ec,32'h3f448735,32'h3f4c8cb9, 32'h3f3e8311,32'h3f5290dd, 32'h3f347c2b,32'h3f5c97c3,// invsqrt(1.6296) = 0.7834 +32'h3f8b8b94,32'h3f70471a,32'h3f7a15c2, 32'h3f68ec1c,32'h3f80b860, 32'h3f5ca9c9,32'h3f86d989,// invsqrt(1.0902) = 0.9577 +32'h3d67e85a,32'h4083cb7d,32'h40892c9c, 32'h407f854a,32'h408d3573, 32'h4072127c,32'h4093eeda,// invsqrt(0.0566) = 4.2026 +32'h3f4b8a6e,32'h3f8cadea,32'h3f926bde, 32'h3f885f72,32'h3f96ba56, 32'h3f813200,32'h3f9de7c8,// invsqrt(0.7951) = 1.1215 +32'h3faa69eb,32'h3f596dfc,32'h3f624de6, 32'h3f52c60b,32'h3f68f5d7, 32'h3f47ae26,32'h3f740dbc,// invsqrt(1.3314) = 0.8667 +32'h3bfb91bb,32'h4132f450,32'h413a4234, 32'h412d79e5,32'h413fbc9f, 32'h41245888,32'h4148ddfc,// invsqrt(0.0077) = 11.4129 +32'h40260bda,32'h3f1bc13a,32'h3f221cb5, 32'h3f16fc9f,32'h3f26e151, 32'h3f0f0a46,32'h3f2ed3aa,// invsqrt(2.5945) = 0.6208 +32'h3eec3c4e,32'h3fb8abbe,32'h3fc0355d, 32'h3fb30486,32'h3fc5dc94, 32'h3fa9987f,32'h3fcf489b,// invsqrt(0.4614) = 1.4722 +32'h3fe03d63,32'h3f3d8bc8,32'h3f454858, 32'h3f37be5c,32'h3f4b15c4, 32'h3f2e12a8,32'h3f54c178,// invsqrt(1.7519) = 0.7555 +32'h3e746d00,32'h40006028,32'h40059d8c, 32'h3ff8e438,32'h40098b98, 32'h3febcabc,32'h40101856,// invsqrt(0.2387) = 2.0468 +32'h3f471878,32'h3f8e3dbb,32'h3f940c01, 32'h3f89e306,32'h3f9866b6, 32'h3f82a12e,32'h3f9fa88e,// invsqrt(0.7777) = 1.1339 +32'h3fdfb530,32'h3f3dc573,32'h3f45845d, 32'h3f37f643,32'h3f4b538d, 32'h3f2e479e,32'h3f550232,// invsqrt(1.7477) = 0.7564 +32'h3e66256c,32'h40044c5b,32'h4009b2bd, 32'h40003f92,32'h400dbf86, 32'h3ff2ff2f,32'h40147f80,// invsqrt(0.2248) = 2.1093 +32'h3e25908e,32'h401bfb2f,32'h40225907, 32'h401734cd,32'h40271f69, 32'h400f3f7f,32'h402f14b7,// invsqrt(0.1617) = 2.4869 +32'h3f4a029d,32'h3f8d3616,32'h3f92f99a, 32'h3f88e374,32'h3f974c3c, 32'h3f81af0f,32'h3f9e80a1,// invsqrt(0.7891) = 1.1257 +32'h3e98a7ce,32'h3fe5ba7d,32'h3fef1aeb, 32'h3fdeb22b,32'h3ff6233d, 32'h3fd2f9a2,32'h4000ede3,// invsqrt(0.2982) = 1.8314 +32'h3f6c14b1,32'h3f829ff4,32'h3f87f4d9, 32'h3f7d408f,32'h3f8bf485, 32'h3f6fec51,32'h3f929ea3,// invsqrt(0.9222) = 1.0413 +32'h3fc80510,32'h3f48b1af,32'h3f50e2bb, 32'h3f428ce6,32'h3f570784, 32'h3f384f96,32'h3f6144d4,// invsqrt(1.5627) = 0.8000 +32'h3e936115,32'h3fe9cdf5,32'h3ff358f9, 32'h3fe2a5b1,32'h3ffa813d, 32'h3fd6b7eb,32'h40033781,// invsqrt(0.2879) = 1.8639 +32'h3fb21c32,32'h3f54ae16,32'h3f5d5c60, 32'h3f4e2b5e,32'h3f63df18, 32'h3f435182,32'h3f6eb8f4,// invsqrt(1.3915) = 0.8477 +32'h3eebd358,32'h3fb8d4d2,32'h3fc0601e, 32'h3fb32c58,32'h3fc60898, 32'h3fa9be39,32'h3fcf76b7,// invsqrt(0.4606) = 1.4735 +32'h3fece6be,32'h3f386944,32'h3f3ff02c, 32'h3f32c415,32'h3f45955b, 32'h3f295b72,32'h3f4efdfe,// invsqrt(1.8508) = 0.7351 +32'h3f99b998,32'h3f64ed8e,32'h3f6e459e, 32'h3f5deb82,32'h3f7547aa, 32'h3f523d6d,32'h3f807adf,// invsqrt(1.2010) = 0.9125 +32'h3f9e8704,32'h3f616f07,32'h3f6aa295, 32'h3f5a885d,32'h3f71893f, 32'h3f4f07ed,32'h3f7d09af,// invsqrt(1.2385) = 0.8986 +32'h3f754e4e,32'h3f802526,32'h3f856022, 32'h3f7871d1,32'h3f894c5f, 32'h3f6b5e5a,32'h3f8fd61b,// invsqrt(0.9582) = 1.0216 +32'h3e3f42c3,32'h40112012,32'h40170c7c, 32'h400caec3,32'h401b7dcb, 32'h40054740,32'h4022e54e,// invsqrt(0.1868) = 2.3139 +32'h3ee2567c,32'h3fbcaa5d,32'h3fc45db9, 32'h3fb6e3d7,32'h3fca243f, 32'h3fad43a4,32'h3fd3c473,// invsqrt(0.4421) = 1.5040 +32'h3f0a30fb,32'h3faabb76,32'h3fb1b371, 32'h3fa5817b,32'h3fb6ed6d, 32'h3f9ccb82,32'h3fbfa366,// invsqrt(0.5398) = 1.3611 +32'h42893cd5,32'h3df24a17,32'h3dfc2dc4, 32'h3deadf54,32'h3e01cc43, 32'h3dde82bc,32'h3e07fa8f,// invsqrt(68.6188) = 0.1207 +32'h3f506389,32'h3f8b0886,32'h3f90b548, 32'h3f86c6f5,32'h3f94f6d9, 32'h3f7f5e06,32'h3f9c0ecb,// invsqrt(0.8140) = 1.1084 +32'h3ebed9cc,32'h3fcd755f,32'h3fd5d833, 32'h3fc72b3f,32'h3fdc2253, 32'h3fbcafb5,32'h3fe69ddd,// invsqrt(0.3728) = 1.6379 +32'h3f389f1a,32'h3f93b632,32'h3f99bda2, 32'h3f8f309e,32'h3f9e4336, 32'h3f87a753,32'h3fa5cc81,// invsqrt(0.7212) = 1.1775 +32'h3fa2d968,32'h3f5e6c16,32'h3f67802c, 32'h3f579d06,32'h3f6e4f3c, 32'h3f4c43ea,32'h3f79a858,// invsqrt(1.2723) = 0.8866 +32'h3f9ddcfe,32'h3f61e84d,32'h3f6b20cd, 32'h3f5afdec,32'h3f720b2e, 32'h3f4f774c,32'h3f7d91ce,// invsqrt(1.2333) = 0.9005 +32'h3fb15f52,32'h3f551f35,32'h3f5dd21d, 32'h3f4e9907,32'h3f64584b, 32'h3f43b965,32'h3f6f37ed,// invsqrt(1.3857) = 0.8495 +32'h3fdc90de,32'h3f3f1e3d,32'h3f46eb3a, 32'h3f39447e,32'h3f4cc4f8, 32'h3f2f8442,32'h3f568534,// invsqrt(1.7232) = 0.7618 +32'h3f8faae2,32'h3f6cce34,32'h3f767894, 32'h3f658e6c,32'h3f7db85c, 32'h3f597974,32'h3f84e6aa,// invsqrt(1.1224) = 0.9439 +32'h401683c5,32'h3f2397fc,32'h3f2a455f, 32'h3f1e95f1,32'h3f2f4769, 32'h3f163d35,32'h3f37a025,// invsqrt(2.3518) = 0.6521 +32'h3f0a7bb6,32'h3faa8d5f,32'h3fb18378, 32'h3fa554cd,32'h3fb6bc0b, 32'h3f9ca12e,32'h3fbf6faa,// invsqrt(0.5410) = 1.3596 +32'h3f8f6ef6,32'h3f6cffa6,32'h3f76ac0a, 32'h3f65be5a,32'h3f7ded56, 32'h3f59a6dc,32'h3f85026a,// invsqrt(1.1206) = 0.9447 +32'h3f12f670,32'h3fa58f14,32'h3fac5100, 32'h3fa07da3,32'h3fb16271, 32'h3f980b3c,32'h3fb9d4d8,// invsqrt(0.5741) = 1.3198 +32'h3f3c7141,32'h3f9234de,32'h3f982c94, 32'h3f8dbb16,32'h3f9ca65c, 32'h3f864573,32'h3fa41bff,// invsqrt(0.7361) = 1.1655 +32'h400ed23b,32'h3f27f130,32'h3f2ecc02, 32'h3f22cd11,32'h3f33f021, 32'h3f1a3b8a,32'h3f3c81a8,// invsqrt(2.2316) = 0.6694 +32'h3ed043c7,32'h3fc4ae6c,32'h3fccb58a, 32'h3fbea915,32'h3fd2bae1, 32'h3fb4a02f,32'h3fdcc3c7,// invsqrt(0.4068) = 1.5679 +32'h409700ec,32'h3ee6fb4a,32'h3ef068d0, 32'h3edfe926,32'h3ef77af4, 32'h3ed4203f,32'h3f01a1ee,// invsqrt(4.7189) = 0.4603 +32'h3fb6df5a,32'h3f51e479,32'h3f5a75a3, 32'h3f4b779a,32'h3f60e282, 32'h3f40c226,32'h3f6b97f6,// invsqrt(1.4287) = 0.8366 +32'h3f002fc0,32'h3fb14514,32'h3fb8815e, 32'h3fabd7dd,32'h3fbdee95, 32'h3fa2cc80,32'h3fc6f9f2,// invsqrt(0.5007) = 1.4132 +32'h3f02f4e7,32'h3faf628a,32'h3fb68b22, 32'h3faa0418,32'h3fbbe994, 32'h3fa1115a,32'h3fc4dc52,// invsqrt(0.5115) = 1.3982 +32'h3f994cd4,32'h3f653eb6,32'h3f6e9a16, 32'h3f5e3a2e,32'h3f759e9e, 32'h3f5287f5,32'h3f80a86b,// invsqrt(1.1977) = 0.9138 +32'h40abb513,32'h3ed89beb,32'h3ee17343, 32'h3ed1fa69,32'h3ee814c5, 32'h3ec6ed3b,32'h3ef321f3,// invsqrt(5.3659) = 0.4317 +32'h40ab8f59,32'h3ed8b3bb,32'h3ee18c0c, 32'h3ed2117f,32'h3ee82e49, 32'h3ec7031a,32'h3ef33cae,// invsqrt(5.3612) = 0.4319 +32'h3fc3018e,32'h3f4b41f7,32'h3f538dcd, 32'h3f450917,32'h3f59c6ad, 32'h3f3aaa4b,32'h3f642579,// invsqrt(1.5235) = 0.8102 +32'h4094b630,32'h3ee8c135,32'h3ef24142, 32'h3ee1a12c,32'h3ef9614c, 32'h3ed5c11c,32'h3f02a0ae,// invsqrt(4.6472) = 0.4639 +32'h3ee8f547,32'h3fb9f723,32'h3fc18e49, 32'h3fb445c6,32'h3fc73fa6, 32'h3faac8d7,32'h3fd0bc95,// invsqrt(0.4550) = 1.4825 +32'h3fb74f55,32'h3f51a453,32'h3f5a32df, 32'h3f4b396b,32'h3f609dc7, 32'h3f40873d,32'h3f6b4ff5,// invsqrt(1.4321) = 0.8356 +32'h3ff39b70,32'h3f35daf6,32'h3f3d4729, 32'h3f3049ce,32'h3f42d850, 32'h3f27028e,32'h3f4c1f91,// invsqrt(1.9032) = 0.7249 +32'h3f546681,32'h3f89b6ce,32'h3f8f55c7, 32'h3f857f94,32'h3f938d02, 32'h3f7cf1ba,32'h3f9a93b9,// invsqrt(0.8297) = 1.0978 +32'h3f2a109f,32'h3f99e74f,32'h3fa02f72, 32'h3f953136,32'h3fa4e58c, 32'h3f8d570a,32'h3facbfb8,// invsqrt(0.6643) = 1.2269 +32'h40240a2f,32'h3f1cb459,32'h3f2319c0, 32'h3f17e84c,32'h3f27e5ce, 32'h3f0fe98c,32'h3f2fe48e,// invsqrt(2.5631) = 0.6246 +32'h3fb77ab0,32'h3f518b8d,32'h3f5a1915, 32'h3f4b2167,32'h3f60833b, 32'h3f40707c,32'h3f6b3426,// invsqrt(1.4334) = 0.8352 +32'h3ee242fb,32'h3fbcb27f,32'h3fc46630, 32'h3fb6ebb9,32'h3fca2cf5, 32'h3fad4b1b,32'h3fd3cd93,// invsqrt(0.4419) = 1.5043 +32'h3ef37ab3,32'h3fb5e72f,32'h3fbd53e3, 32'h3fb055a9,32'h3fc2e569, 32'h3fa70dc8,32'h3fcc2d4a,// invsqrt(0.4755) = 1.4501 +32'h3f471139,32'h3f8e4052,32'h3f940eb4, 32'h3f89e589,32'h3f98697d, 32'h3f82a390,32'h3f9fab76,// invsqrt(0.7776) = 1.1340 +32'h3ebd5b3c,32'h3fce4483,32'h3fd6afcc, 32'h3fc7f40b,32'h3fdd0043, 32'h3fbd6df0,32'h3fe7865e,// invsqrt(0.3698) = 1.6444 +32'h3ebb8476,32'h3fcf46cd,32'h3fd7bca1, 32'h3fc8ee6e,32'h3fde1500, 32'h3fbe5b25,32'h3fe8a849,// invsqrt(0.3662) = 1.6524 +32'h418f5555,32'h3e6d14d5,32'h3e76c217, 32'h3e65d2e3,32'h3e7e0409, 32'h3e59ba51,32'h3e850e4e,// invsqrt(17.9167) = 0.2362 +32'h40917e77,32'h3eeb507c,32'h3ef4eb47, 32'h3ee41c63,32'h3efc1f5f, 32'h3ed81ae4,32'h3f04106f,// invsqrt(4.5467) = 0.4690 +32'h3f7ecfe3,32'h3f7b76d1,32'h3f82dd2e, 32'h3f73c428,32'h3f86b682, 32'h3f66efba,32'h3f8d20b9,// invsqrt(0.9954) = 1.0023 +32'h4080a1f7,32'h3efa4324,32'h3f023d10, 32'h3ef299e7,32'h3f0611af, 32'h3ee5d52b,32'h3f0c740c,// invsqrt(4.0198) = 0.4988 +32'h3fb2f9c0,32'h3f542a4a,32'h3f5cd332, 32'h3f4dab9b,32'h3f6351e1, 32'h3f42d878,32'h3f6e2504,// invsqrt(1.3982) = 0.8457 +32'h3faed788,32'h3f56a898,32'h3f5f6b8f, 32'h3f501660,32'h3f65fdc8, 32'h3f4522ac,32'h3f70f17c,// invsqrt(1.3660) = 0.8556 +32'h3f0a9c10,32'h3faa7977,32'h3fb16ebf, 32'h3fa54180,32'h3fb6a6b6, 32'h3f9c8ee5,32'h3fbf5951,// invsqrt(0.5414) = 1.3590 +32'h3f40609c,32'h3f90b418,32'h3f969c1a, 32'h3f8c4618,32'h3f9b0a1a, 32'h3f84e416,32'h3fa26c1c,// invsqrt(0.7515) = 1.1536 +32'h3fc6943b,32'h3f496bba,32'h3f51a45e, 32'h3f43413f,32'h3f57ced9, 32'h3f38fa71,32'h3f6215a7,// invsqrt(1.5514) = 0.8029 +32'h4031f1cf,32'h3f16751b,32'h3f1c993b, 32'h3f11da02,32'h3f213454, 32'h3f0a2cda,32'h3f28e17c,// invsqrt(2.7804) = 0.5997 +32'h4035a18c,32'h3f14ec38,32'h3f1b0050, 32'h3f105d27,32'h3f1f8f61, 32'h3f08c40a,32'h3f27287e,// invsqrt(2.8380) = 0.5936 +32'h3f410732,32'h3f90759a,32'h3f965b0e, 32'h3f8c0983,32'h3f9ac725, 32'h3f84aab2,32'h3fa225f6,// invsqrt(0.7540) = 1.1516 +32'h4010979c,32'h3f26e914,32'h3f2db920, 32'h3f21cd0c,32'h3f32d528, 32'h3f1948fe,32'h3f3b5936,// invsqrt(2.2593) = 0.6653 +32'h3f12b5c8,32'h3fa5b38c,32'h3fac76f4, 32'h3fa0a0fd,32'h3fb18983, 32'h3f982cba,32'h3fb9fdc6,// invsqrt(0.5731) = 1.3210 +32'h3fe71796,32'h3f3ab6f5,32'h3f4255ef, 32'h3f34ffb9,32'h3f480d2b, 32'h3f2b7900,32'h3f5193e4,// invsqrt(1.8054) = 0.7442 +32'h3e2e1ca8,32'h40181ab9,32'h401e500f, 32'h401372b9,32'h4022f80f, 32'h400bb00d,32'h402ababb,// invsqrt(0.1700) = 2.4251 +32'h401ab261,32'h3f215e03,32'h3f27f423, 32'h3f1c6d6c,32'h3f2ce4ba, 32'h3f1431c4,32'h3f352062,// invsqrt(2.4171) = 0.6432 +32'h3e602c3a,32'h40060ca0,32'h400b854e, 32'h4001f21e,32'h400f9fd0, 32'h3ff63689,32'h401676a9,// invsqrt(0.2189) = 2.1373 +32'h3fe6bbba,32'h3f3adc1c,32'h3f427c9a, 32'h3f3523bd,32'h3f4834f9, 32'h3f2b9b1f,32'h3f51bd97,// invsqrt(1.8026) = 0.7448 +32'h40cecf99,32'h3ec55f16,32'h3ecd6d6a, 32'h3ebf5456,32'h3ed3782a, 32'h3eb5426d,32'h3edd8a13,// invsqrt(6.4628) = 0.3934 +32'h4042947f,32'h3f0fe1d3,32'h3f15c13f, 32'h3f0b7a42,32'h3f1a28d0, 32'h3f0422fb,32'h3f218017,// invsqrt(3.0403) = 0.5735 +32'h3ffe3c29,32'h3f320380,32'h3f394790, 32'h3f2c9074,32'h3f3eba9c, 32'h3f237b61,32'h3f47cfaf,// invsqrt(1.9862) = 0.7096 +32'h4019f9cd,32'h3f21be9f,32'h3f2858af, 32'h3f1ccb12,32'h3f2d4c3c, 32'h3f148a7d,32'h3f358cd1,// invsqrt(2.4059) = 0.6447 +32'h3ee3320e,32'h3fbc4f1c,32'h3fc3fec0, 32'h3fb68b62,32'h3fc9c27a, 32'h3facefd6,32'h3fd35e06,// invsqrt(0.4437) = 1.5012 +32'h3effcb9d,32'h3fb1784b,32'h3fb8b6ad, 32'h3fac0983,32'h3fbe2575, 32'h3fa2fb89,32'h3fc7336f,// invsqrt(0.4996) = 1.4148 +32'h3f1aec7b,32'h3fa13fbe,32'h3fa7d4a2, 32'h3f9c5014,32'h3facc44c, 32'h3f9415f8,32'h3fb4fe68,// invsqrt(0.6052) = 1.2855 +32'h3e16c32c,32'h40237592,32'h402a218e, 32'h401e7496,32'h402f228a, 32'h40161d9b,32'h40377985,// invsqrt(0.1472) = 2.6062 +32'h3f11755d,32'h3fa669a9,32'h3fad3481, 32'h3fa15187,32'h3fb24ca3, 32'h3f98d3f9,32'h3fbaca31,// invsqrt(0.5682) = 1.3266 +32'h406dd3eb,32'h3f0224e8,32'h3f0774c8, 32'h3efc5201,32'h3f0b70b0, 32'h3eef0a52,32'h3f121487,// invsqrt(3.7161) = 0.5188 +32'h3f171766,32'h3fa347fc,32'h3fa9f21c, 32'h3f9e4865,32'h3faef1b3, 32'h3f95f3be,32'h3fb7465a,// invsqrt(0.5902) = 1.3017 +32'h3f813fbf,32'h3f79aa34,32'h3f81ed79, 32'h3f7205a5,32'h3f85bfc0, 32'h3f6548b8,32'h3f8c1e37,// invsqrt(1.0098) = 0.9952 +32'h40078598,32'h3f2c67d5,32'h3f33714c, 32'h3f2720bd,32'h3f38b865, 32'h3f1e54e9,32'h3f418439,// invsqrt(2.1175) = 0.6872 +32'h3fd41d29,32'h3f42e37c,32'h3f4ad7df, 32'h3f3cec31,32'h3f50cf29, 32'h3f32fab5,32'h3f5ac0a5,// invsqrt(1.6571) = 0.7768 +32'h3f9b0643,32'h3f63f768,32'h3f6d456d, 32'h3f5cfce6,32'h3f743ff0, 32'h3f515b60,32'h3f7fe176,// invsqrt(1.2111) = 0.9087 +32'h40d19aa2,32'h3ec40d4e,32'h3ecc0dd8, 32'h3ebe0ce5,32'h3ed20e41, 32'h3eb40c38,32'h3edc0eef,// invsqrt(6.5501) = 0.3907 +32'h3e259d65,32'h401bf523,32'h402252bb, 32'h40172ef0,32'h402718ee, 32'h400f39f1,32'h402f0ded,// invsqrt(0.1617) = 2.4866 +32'h3f101509,32'h3fa734a5,32'h3fae07c5, 32'h3fa2164c,32'h3fb3261e, 32'h3f998e63,32'h3fbbae07,// invsqrt(0.5628) = 1.3330 +32'h3f00c1bf,32'h3fb0e077,32'h3fb818a5, 32'h3fab7654,32'h3fbd82c8, 32'h3fa27019,32'h3fc68903,// invsqrt(0.5030) = 1.4101 +32'h3eeb6645,32'h3fb8ff9f,32'h3fc08cab, 32'h3fb355d6,32'h3fc63674, 32'h3fa9e588,32'h3fcfa6c2,// invsqrt(0.4598) = 1.4748 +32'h3fa81edd,32'h3f5ae851,32'h3f63d7ad, 32'h3f5434cc,32'h3f6a8b32, 32'h3f490999,32'h3f75b665,// invsqrt(1.3134) = 0.8726 +32'h3f78ae33,32'h3f7e8b9d,32'h3f8477ad, 32'h3f76c0cf,32'h3f885d14, 32'h3f69c423,32'h3f8edb6b,// invsqrt(0.9714) = 1.0146 +32'h4020ec01,32'h3f1e371e,32'h3f24ac4e, 32'h3f195f3a,32'h3f298432, 32'h3f114cbd,32'h3f3196af,// invsqrt(2.5144) = 0.6306 +32'h3ff9f999,32'h3f33862b,32'h3f3ada03, 32'h3f2e0749,32'h3f4058e5, 32'h3f24de7b,32'h3f4981b3,// invsqrt(1.9529) = 0.7156 +32'h3ff14e8b,32'h3f36b856,32'h3f3e2d94, 32'h3f312069,32'h3f43c581, 32'h3f27cddc,32'h3f4d180e,// invsqrt(1.8852) = 0.7283 +32'h3f5889f1,32'h3f88644a,32'h3f8df572, 32'h3f84376c,32'h3f922250, 32'h3f7a83f6,32'h3f9917c1,// invsqrt(0.8459) = 1.0873 +32'h3f9f4d1e,32'h3f60e2b0,32'h3f6a1082, 32'h3f5a0051,32'h3f70f2e1, 32'h3f4e870a,32'h3f7c6c28,// invsqrt(1.2445) = 0.8964 +32'h40d1473b,32'h3ec4345b,32'h3ecc367e, 32'h3ebe32c0,32'h3ed23818, 32'h3eb43014,32'h3edc3ac4,// invsqrt(6.5399) = 0.3910 +32'h3fbc86d1,32'h3f4eb896,32'h3f57289c, 32'h3f486491,32'h3f5d7ca1, 32'h3f3dd88a,32'h3f6808a8,// invsqrt(1.4729) = 0.8240 +32'h3fae9c1f,32'h3f56cd1a,32'h3f5f918e, 32'h3f5039c3,32'h3f6624e5, 32'h3f454432,32'h3f711a76,// invsqrt(1.3641) = 0.8562 +32'h40b05013,32'h3ed5c2e6,32'h3ede7c7c, 32'h3ecf37b5,32'h3ee507ad, 32'h3ec44fb9,32'h3eefefa9,// invsqrt(5.5098) = 0.4260 +32'h3f0309bb,32'h3faf5499,32'h3fb67c9f, 32'h3fa9f694,32'h3fbbdaa4, 32'h3fa1048c,32'h3fc4ccac,// invsqrt(0.5119) = 1.3977 +32'h3fde19b8,32'h3f3e74eb,32'h3f463aff, 32'h3f38a05c,32'h3f4c0f8e, 32'h3f2ee8c3,32'h3f55c727,// invsqrt(1.7352) = 0.7592 +32'h3f301749,32'h3f973f4c,32'h3f9d6bae, 32'h3f929e04,32'h3fa20cf6, 32'h3f8ae68a,32'h3fa9c470,// invsqrt(0.6879) = 1.2057 +32'h404ad606,32'h3f0cec6c,32'h3f12acee, 32'h3f089c0b,32'h3f16fd4f, 32'h3f016b68,32'h3f1e2df2,// invsqrt(3.1693) = 0.5617 +32'h406e2f66,32'h3f020be8,32'h3f075ac2, 32'h3efc2188,32'h3f0b55e6, 32'h3eeedc66,32'h3f11f877,// invsqrt(3.7216) = 0.5184 +32'h3f9b634d,32'h3f63b31f,32'h3f6cfe59, 32'h3f5cbab3,32'h3f73f6c5, 32'h3f511ca9,32'h3f7f94cf,// invsqrt(1.2140) = 0.9076 +32'h3eec70bc,32'h3fb89743,32'h3fc0200d, 32'h3fb2f0ac,32'h3fc5c6a4, 32'h3fa985b1,32'h3fcf319f,// invsqrt(0.4618) = 1.4715 +32'h3f4a9d4c,32'h3f8d0025,32'h3f92c174, 32'h3f88af28,32'h3f971270, 32'h3f817d84,32'h3f9e4414,// invsqrt(0.7915) = 1.1240 +32'h3c817300,32'h40f978c2,32'h4101d3be, 32'h40f1d5b7,32'h4105a543, 32'h40e51b4f,32'h410c0277,// invsqrt(0.0158) = 7.9551 +32'h3e182ed8,32'h4022b1cd,32'h402955cb, 32'h401db6cf,32'h402e50c9, 32'h401569d1,32'h40369dc7,// invsqrt(0.1486) = 2.5940 +32'h3f5560af,32'h3f8965fb,32'h3f8f01a7, 32'h3f85313a,32'h3f933668, 32'h3f7c5d45,32'h3f9a3900,// invsqrt(0.8335) = 1.0953 +32'h3fd8a1fb,32'h3f40d863,32'h3f48b76d, 32'h3f3af11c,32'h3f4e9eb4, 32'h3f311a51,32'h3f58757f,// invsqrt(1.6924) = 0.7687 +32'h40893fd8,32'h3ef2476e,32'h3efc2b00, 32'h3eeadcc1,32'h3f01cad6, 32'h3ede804b,32'h3f07f911,// invsqrt(4.2890) = 0.4829 +32'h3f257b06,32'h3f9c0554,32'h3fa26396, 32'h3f973ea2,32'h3fa72a48, 32'h3f8f48d0,32'h3faf201a,// invsqrt(0.6464) = 1.2438 +32'h3f23ef1d,32'h3f9cc149,32'h3fa32737, 32'h3f97f4d6,32'h3fa7f3aa, 32'h3f8ff56d,32'h3faff313,// invsqrt(0.6404) = 1.2496 +32'h3f145b2b,32'h3fa4c790,32'h3fab8158, 32'h3f9fbc3b,32'h3fb08cad, 32'h3f975402,32'h3fb8f4e6,// invsqrt(0.5795) = 1.3136 +32'h3f5231e2,32'h3f8a6f49,32'h3f9015c9, 32'h3f863269,32'h3f9452a9, 32'h3f7e4490,32'h3f9b62ca,// invsqrt(0.8211) = 1.1036 +32'h3fc64739,32'h3f4992d4,32'h3f51cd10, 32'h3f436726,32'h3f57f8be, 32'h3f391e5a,32'h3f62418a,// invsqrt(1.5490) = 0.8035 +32'h3f0cc2f1,32'h3fa92a99,32'h3fb01237, 32'h3fa3fce3,32'h3fb53fed, 32'h3f9b5b5e,32'h3fbde172,// invsqrt(0.5498) = 1.3486 +32'h3d9d534a,32'h40624b15,32'h406b879d, 32'h405b5dae,32'h40727504, 32'h404fd203,32'h407e00af,// invsqrt(0.0768) = 3.6080 +32'h3e4e0c5e,32'h400bd21a,32'h40118716, 32'h40078a5d,32'h4015ced3, 32'h40006822,32'h401cf10e,// invsqrt(0.2012) = 2.2293 +32'h3ebc723d,32'h3fcec3df,32'h3fd7345b, 32'h3fc86f82,32'h3fdd88b8, 32'h3fbde2e7,32'h3fe81553,// invsqrt(0.3681) = 1.6483 +32'h416e5e8a,32'h3e81ff0b,32'h3e874d5f, 32'h3e7c0898,32'h3e8b481e, 32'h3e6ec4c6,32'h3e91ea07,// invsqrt(14.8981) = 0.2591 +32'h4014845b,32'h3f24b0b5,32'h3f2b698d, 32'h3f1fa613,32'h3f30742f, 32'h3f173f04,32'h3f38db3e,// invsqrt(2.3206) = 0.6565 +32'h3f331705,32'h3f95f9bd,32'h3f9c18d5, 32'h3f91626c,32'h3fa0b026, 32'h3f89bb8e,32'h3fa85704,// invsqrt(0.6996) = 1.1956 +32'h412e3c49,32'h3e980cea,32'h3e9e41b0, 32'h3e936556,32'h3ea2e944, 32'h3e8ba35f,32'h3eaaab3b,// invsqrt(10.8897) = 0.3030 +32'h3e3506d1,32'h40152bd0,32'h401b427f, 32'h40109acb,32'h401fd383, 32'h4008fe70,32'h40276fde,// invsqrt(0.1768) = 2.3784 +32'h3f970b14,32'h3f66f386,32'h3f7060ba, 32'h3f5fe19e,32'h3f7772a2, 32'h3f54191d,32'h3f819d92,// invsqrt(1.1800) = 0.9206 +32'h3f71102d,32'h3f814493,32'h3f868b4b, 32'h3f7a9f13,32'h3f8a8055, 32'h3f6d6e48,32'h3f9118ba,// invsqrt(0.9417) = 1.0305 +32'h400703c6,32'h3f2cbaa4,32'h3f33c77c, 32'h3f277102,32'h3f39111e, 32'h3f1ea0f5,32'h3f41e12b,// invsqrt(2.1096) = 0.6885 +32'h3e817118,32'h3ff97a98,32'h4001d4b3, 32'h3ff1d77f,32'h4005a63f, 32'h3fe51cff,32'h400c037f,// invsqrt(0.2528) = 1.9888 +32'h3dd15adc,32'h40442b28,32'h404c2cea, 32'h403e29d5,32'h40522e3d, 32'h403427a2,32'h405c3070,// invsqrt(0.1022) = 3.1277 +32'h3e86a6f2,32'h3ff49abf,32'h3ffe969d, 32'h3fed1dd8,32'h400309c2, 32'h3fe0a303,32'h4009472c,// invsqrt(0.2630) = 1.9500 +32'h3f38d232,32'h3f93a1c6,32'h3f99a860, 32'h3f8f1cd2,32'h3f9e2d54, 32'h3f879491,32'h3fa5b595,// invsqrt(0.7220) = 1.1769 +32'h3f9e05b8,32'h3f61cb2f,32'h3f6b027f, 32'h3f5ae1b3,32'h3f71ebfb, 32'h3f4f5c8e,32'h3f7d7120,// invsqrt(1.2345) = 0.9000 +32'h3dfdb2a2,32'h403233b9,32'h403979c1, 32'h402cbf34,32'h403eee46, 32'h4023a7aa,32'h404805d0,// invsqrt(0.1239) = 2.8412 +32'h4023bac2,32'h3f1cda57,32'h3f23414b, 32'h3f180d20,32'h3f280e82, 32'h3f100c6f,32'h3f300f33,// invsqrt(2.5583) = 0.6252 +32'h40169e8d,32'h3f238970,32'h3f2a363b, 32'h3f1e87d7,32'h3f2f37d3, 32'h3f162fd9,32'h3f378fd1,// invsqrt(2.3534) = 0.6519 +32'h3f976d73,32'h3f66a875,32'h3f701299, 32'h3f5f98da,32'h3f772234, 32'h3f53d42d,32'h3f817371,// invsqrt(1.1830) = 0.9194 +32'h3e9a5111,32'h3fe47d17,32'h3fedd091, 32'h3fdd7e7d,32'h3ff4cf2b, 32'h3fd1d625,32'h40003bc1,// invsqrt(0.3014) = 1.8215 +32'h3fa2b62d,32'h3f5e8429,32'h3f67993b, 32'h3f57b45c,32'h3f6e6908, 32'h3f4c5a07,32'h3f79c35d,// invsqrt(1.2712) = 0.8869 +32'h3fdcaa04,32'h3f3f1358,32'h3f46dfe4, 32'h3f3939f0,32'h3f4cb94c, 32'h3f2f7a41,32'h3f5678fb,// invsqrt(1.7239) = 0.7616 +32'h404a76a2,32'h3f0d0d9b,32'h3f12cf77, 32'h3f08bc35,32'h3f1720dd, 32'h3f0189e2,32'h3f1e5330,// invsqrt(3.1635) = 0.5622 +32'h3f9695d4,32'h3f674d5e,32'h3f70be3d, 32'h3f6038b6,32'h3f77d2e4, 32'h3f546b9f,32'h3f81cffe,// invsqrt(1.1764) = 0.9220 +32'h3f69fa54,32'h3f8335e5,32'h3f8890e9, 32'h3f7e6344,32'h3f8c952c, 32'h3f70ffb9,32'h3f9346f1,// invsqrt(0.9140) = 1.0460 +32'h3fd5084b,32'h3f4277d0,32'h3f4a67ce, 32'h3f3c83d1,32'h3f505bcd, 32'h3f3297d4,32'h3f5a47ca,// invsqrt(1.6643) = 0.7751 +32'h3fdeb0ca,32'h3f3e3446,32'h3f45f7b7, 32'h3f3861b2,32'h3f4bca4c, 32'h3f2ead66,32'h3f557e99,// invsqrt(1.7398) = 0.7581 +32'h3fe377d7,32'h3f3c3237,32'h3f43e0ad, 32'h3f366f60,32'h3f49a384, 32'h3f2cd54d,32'h3f533d97,// invsqrt(1.7771) = 0.7501 +32'h3e9d32c7,32'h3fe2627a,32'h3feb9ff8, 32'h3fdb745d,32'h3ff28e15, 32'h3fcfe780,32'h3ffe1af2,// invsqrt(0.3070) = 1.8047 +32'h3f298c8d,32'h3f9a2335,32'h3fa06dc9, 32'h3f956b45,32'h3fa525b9, 32'h3f8d8e0c,32'h3fad02f2,// invsqrt(0.6623) = 1.2288 +32'h3f875b71,32'h3f73f772,32'h3f7deca6, 32'h3f6c7f8b,32'h3f82b246, 32'h3f600d0b,32'h3f88eb87,// invsqrt(1.0575) = 0.9724 +32'h40088ec9,32'h3f2bc01c,32'h3f32c2ba, 32'h3f267e26,32'h3f3804b0, 32'h3f1dbae0,32'h3f40c7f6,// invsqrt(2.1337) = 0.6846 +32'h3fcba4e3,32'h3f46e671,32'h3f4f04be, 32'h3f40cfb6,32'h3f551b78, 32'h3f36a9d5,32'h3f5f4159,// invsqrt(1.5910) = 0.7928 +32'h40a5b8fc,32'h3edc7c4e,32'h3ee57c28, 32'h3ed5bc6b,32'h3eec3c0b, 32'h3eca7c9c,32'h3ef77bdb,// invsqrt(5.1788) = 0.4394 +32'h3f6790f7,32'h3f83e459,32'h3f89467b, 32'h3f7fb57d,32'h3f8d5016, 32'h3f724025,32'h3f940ac1,// invsqrt(0.9046) = 1.0514 +32'h3d2090b1,32'h409e6414,32'h40a4db1a, 32'h40998acf,32'h40a9b45f, 32'h40917608,32'h40b1c926,// invsqrt(0.0392) = 5.0507 +32'h4048a9ca,32'h3f0daf37,32'h3f1377ac, 32'h3f0958df,32'h3f17ce03, 32'h3f021e4c,32'h3f1f0896,// invsqrt(3.1354) = 0.5647 +32'h40911575,32'h3eeba594,32'h3ef543d9, 32'h3ee46ee1,32'h3efc7a8d, 32'h3ed8690b,32'h3f044031,// invsqrt(4.5339) = 0.4696 +32'h3fa1d129,32'h3f5f2167,32'h3f683ce4, 32'h3f584cca,32'h3f6f1182, 32'h3f4cea6f,32'h3f7a73dd,// invsqrt(1.2642) = 0.8894 +32'h3fa19313,32'h3f5f4c42,32'h3f68697e, 32'h3f587655,32'h3f6f3f6b, 32'h3f4d11ca,32'h3f7aa3f6,// invsqrt(1.2623) = 0.8901 +32'h3f0a7020,32'h3faa9482,32'h3fb18ae6, 32'h3fa55bb8,32'h3fb6c3b0, 32'h3f9ca7bc,32'h3fbf77ac,// invsqrt(0.5408) = 1.3599 +32'h3e1c9164,32'h4020666d,32'h4026f271, 32'h401b7d69,32'h402bdb75, 32'h40134e64,32'h40340a7a,// invsqrt(0.1529) = 2.5574 +32'h3f54c38e,32'h3f8998ae,32'h3f8f366c, 32'h3f856260,32'h3f936cba, 32'h3f7cba64,32'h3f9a71e8,// invsqrt(0.8311) = 1.0969 +32'h3fc95f28,32'h3f4804ee,32'h3f502eed, 32'h3f41e56e,32'h3f564e6c, 32'h3f37b0ef,32'h3f6082eb,// invsqrt(1.5732) = 0.7973 +32'h3fd16c73,32'h3f4422eb,32'h3f4c2457, 32'h3f3e21d9,32'h3f522569, 32'h3f342011,32'h3f5c2731,// invsqrt(1.6361) = 0.7818 +32'h3ec1446c,32'h3fcc2b83,32'h3fd480e1, 32'h3fc5eb7c,32'h3fdac0e8, 32'h3fbb80c7,32'h3fe52b9d,// invsqrt(0.3775) = 1.6276 +32'h3dd093dd,32'h404488a6,32'h404c8e3a, 32'h403e8477,32'h40529269, 32'h40347d7e,32'h405c9962,// invsqrt(0.1018) = 3.1335 +32'h3cf8cc4e,32'h40b3f2be,32'h40bb4b04, 32'h40ae7089,32'h40c0cd39, 32'h40a54231,32'h40c9fb91,// invsqrt(0.0304) = 5.7381 +32'h41f3e99b,32'h3e35bdcf,32'h3e3d28d3, 32'h3e302d8d,32'h3e42b915, 32'h3e26e7c9,32'h3e4bfed9,// invsqrt(30.4891) = 0.1811 +32'h3f887424,32'h3f72fc02,32'h3f7ce6f2, 32'h3f6b8bce,32'h3f822b93, 32'h3f5f2621,32'h3f885e6a,// invsqrt(1.0660) = 0.9685 +32'h3f9247ab,32'h3f6aae6e,32'h3f74429d, 32'h3f637f4c,32'h3f7b71c0, 32'h3f578612,32'h3f83b57d,// invsqrt(1.1428) = 0.9354 +32'h3fcd6a97,32'h3f460a4f,32'h3f4e1fa1, 32'h3f3ffa52,32'h3f542f9e, 32'h3f35dfac,32'h3f5e4a44,// invsqrt(1.6048) = 0.7894 +32'h41a83079,32'h3e5adcdb,32'h3e63cbbf, 32'h3e5429b0,32'h3e6a7eea, 32'h3e48ff12,32'h3e75a988,// invsqrt(21.0237) = 0.2181 +32'h3eb813c2,32'h3fd1345b,32'h3fd9be55, 32'h3fcacce0,32'h3fe025d0, 32'h3fc02069,32'h3fead247,// invsqrt(0.3595) = 1.6678 +32'h40a0afcd,32'h3edfe9f5,32'h3ee90da1, 32'h3ed90f34,32'h3eefe862, 32'h3ecda29d,32'h3efb54f9,// invsqrt(5.0215) = 0.4463 +32'h406fc2e5,32'h3f019e4c,32'h3f06e8ae, 32'h3efb4d07,32'h3f0ae076, 32'h3eee1314,32'h3f117d70,// invsqrt(3.7463) = 0.5167 +32'h3ec0f3e1,32'h3fcc561c,32'h3fd4ad37, 32'h3fc614c7,32'h3fdaee8b, 32'h3fbba7e5,32'h3fe55b6d,// invsqrt(0.3769) = 1.6290 +32'h42acdb31,32'h3dd7e355,32'h3de0b324, 32'h3dd14779,32'h3de74eff, 32'h3dc643b6,32'h3df252c2,// invsqrt(86.4281) = 0.1076 +32'h3f0d42d5,32'h3fa8ddf4,32'h3fafc270, 32'h3fa3b296,32'h3fb4edce, 32'h3f9b14fa,32'h3fbd8b6a,// invsqrt(0.5518) = 1.3462 +32'h3c08dc57,32'h412b8f6c,32'h4132900d, 32'h41264ef3,32'h4137d085, 32'h411d8e29,32'h4140914f,// invsqrt(0.0084) = 10.9413 +32'h40e41b91,32'h3ebbeea1,32'h3ec39a54, 32'h3eb62ddb,32'h3ec95b1b, 32'h3eac973c,32'h3ed2f1bb,// invsqrt(7.1284) = 0.3745 +32'h40dac785,32'h3ebfe598,32'h3ec7bab8, 32'h3eba05c0,32'h3ecd9a90, 32'h3eb03b57,32'h3ed764f9,// invsqrt(6.8369) = 0.3824 +32'h4032a6af,32'h3f1628dd,32'h3f1c49e1, 32'h3f11901a,32'h3f20e2a4, 32'h3f09e6d6,32'h3f288be8,// invsqrt(2.7914) = 0.5985 +32'h40175b8d,32'h3f232335,32'h3f29cbd4, 32'h3f1e24be,32'h3f2eca4c, 32'h3f15d1f8,32'h3f371d12,// invsqrt(2.3650) = 0.6503 +32'h400fdfeb,32'h3f27537f,32'h3f2e27e3, 32'h3f223435,32'h3f33472d, 32'h3f19aab9,32'h3f3bd0a9,// invsqrt(2.2480) = 0.6670 +32'h3eaa80be,32'h3fd95f6e,32'h3fe23ec0, 32'h3fd2b7ef,32'h3fe8e63f, 32'h3fc7a0c8,32'h3ff3fd66,// invsqrt(0.3330) = 1.7329 +32'h3e1932ff,32'h4022276f,32'h4028c5c7, 32'h401d30ad,32'h402dbc89, 32'h4014eabf,32'h40360277,// invsqrt(0.1496) = 2.5854 +32'h416fd1ec,32'h3e819a3c,32'h3e86e473, 32'h3e7b4527,32'h3e8adc1c, 32'h3e6e0b9e,32'h3e9178e1,// invsqrt(14.9888) = 0.2583 +32'h3e8312a9,32'h3ff7ebf6,32'h4001053f, 32'h3ff05511,32'h4004d0b2, 32'h3fe3aee7,32'h400b23c6,// invsqrt(0.2560) = 1.9764 +32'h3f8d4b25,32'h3f6ec95c,32'h3f788870, 32'h3f677a0e,32'h3f7fd7be, 32'h3f5b4b35,32'h3f86034b,// invsqrt(1.1039) = 0.9518 +32'h3f21ccd5,32'h3f9dc90b,32'h3fa439bd, 32'h3f98f485,32'h3fa90e43, 32'h3f90e7a7,32'h3fb11b21,// invsqrt(0.6320) = 1.2579 +32'h408ff548,32'h3eec90fb,32'h3ef638db, 32'h3ee55312,32'h3efd76c4, 32'h3ed9413a,32'h3f04c44e,// invsqrt(4.4987) = 0.4715 +32'h3ea35831,32'h3fde15b3,32'h3fe72643, 32'h3fd74948,32'h3fedf2ae, 32'h3fcbf495,32'h3ff94761,// invsqrt(0.3190) = 1.7704 +32'h3ee091c1,32'h3fbd682a,32'h3fc52346, 32'h3fb79bd5,32'h3fcaef9b, 32'h3fadf1f2,32'h3fd4997e,// invsqrt(0.4386) = 1.5099 +32'h3dd9d4fb,32'h4040504f,32'h404829cb, 32'h403a6d33,32'h404e0ce7, 32'h40309d58,32'h4057dcc2,// invsqrt(0.1064) = 3.0662 +32'h3eba86a8,32'h3fcfd3a2,32'h3fd84f36, 32'h3fc976f3,32'h3fdeabe5, 32'h3fbedc7b,32'h3fe9465d,// invsqrt(0.3643) = 1.6568 +32'h3f87ec25,32'h3f737573,32'h3f7d6558, 32'h3f6c0186,32'h3f826ca2, 32'h3f5f95a8,32'h3f88a291,// invsqrt(1.0619) = 0.9704 +32'h3dc5167e,32'h404a2e6d,32'h40526f03, 32'h4043fdfc,32'h40589f74, 32'h4039ad3f,32'h4062f031,// invsqrt(0.0962) = 3.2236 +32'h3d0d76cd,32'h40a8beec,32'h40afa225, 32'h40a39482,32'h40b4cc90, 32'h409af87b,32'h40bd6897,// invsqrt(0.0345) = 5.3809 +32'h3f92caf3,32'h3f6a4566,32'h3f73d54a, 32'h3f63197a,32'h3f7b0136, 32'h3f57259c,32'h3f837a8a,// invsqrt(1.1468) = 0.9338 +32'h40df3927,32'h3ebdfa25,32'h3ec5bb36, 32'h3eb82958,32'h3ecb8c02, 32'h3eae7802,32'h3ed53d58,// invsqrt(6.9757) = 0.3786 +32'h42a17f85,32'h3ddf59c6,32'h3de87790, 32'h3dd8836f,32'h3def4de7, 32'h3dcd1e34,32'h3dfab323,// invsqrt(80.7491) = 0.1113 +32'h3e8736a4,32'h3ff418a3,32'h3ffe0f32, 32'h3fec9fb9,32'h4002c40f, 32'h3fe02b87,32'h4008fe28,// invsqrt(0.2641) = 1.9459 +32'h3f81658a,32'h3f7985bc,32'h3f81da7e, 32'h3f71e24b,32'h3f85ac37, 32'h3f652739,32'h3f8c09bf,// invsqrt(1.0109) = 0.9946 +32'h4243f800,32'h3e0f5f16,32'h3e15392c, 32'h3e0afb86,32'h3e199cbc, 32'h3e03aaea,32'h3e20ed58,// invsqrt(48.9922) = 0.1429 +32'h3ec5dd4d,32'h3fc9c8c1,32'h3fd20531, 32'h3fc39b6d,32'h3fd83285, 32'h3fb94fe0,32'h3fe27e12,// invsqrt(0.3865) = 1.6086 +32'h4020b247,32'h3f1e5386,32'h3f24c9df, 32'h3f197ac3,32'h3f29a2a1, 32'h3f1166d3,32'h3f31b691,// invsqrt(2.5109) = 0.6311 +32'h3efb286f,32'h3fb319cf,32'h3fba693b, 32'h3fad9e3e,32'h3fbfe4cc, 32'h3fa47af8,32'h3fc90812,// invsqrt(0.4905) = 1.4278 +32'h3ec9a022,32'h3fc7e4b0,32'h3fd00d5f, 32'h3fc1c62e,32'h3fd62be2, 32'h3fb79354,32'h3fe05ebc,// invsqrt(0.3938) = 1.5935 +32'h3f139bf3,32'h3fa53229,32'h3fabf04a, 32'h3fa02390,32'h3fb0fee2, 32'h3f97b5e6,32'h3fb96c8c,// invsqrt(0.5766) = 1.3169 +32'h3ee97b6c,32'h3fb9c1af,32'h3fc156a7, 32'h3fb411f6,32'h3fc70660, 32'h3faa97c0,32'h3fd08096,// invsqrt(0.4560) = 1.4808 +32'h3dedc610,32'h40381296,32'h403f95f4, 32'h4032700e,32'h4045387c, 32'h40290bd8,32'h404e9cb2,// invsqrt(0.1161) = 2.9348 +32'h401c1b85,32'h3f20a2f0,32'h3f27316c, 32'h3f1bb812,32'h3f2c1c4a, 32'h3f1385f6,32'h3f344e66,// invsqrt(2.4392) = 0.6403 +32'h3ff673a4,32'h3f34cd83,32'h3f3c2eb7, 32'h3f2f449c,32'h3f41b79e, 32'h3f260b1a,32'h3f4af120,// invsqrt(1.9254) = 0.7207 +32'h3df6a819,32'h4034ba48,32'h403c1ab4, 32'h402f31f8,32'h4041a304, 32'h4025f971,32'h404adb8b,// invsqrt(0.1204) = 2.8815 +32'h3fb8f430,32'h3f50b547,32'h3f593a11, 32'h3f4a51b0,32'h3f5f9da8, 32'h3f3fabb4,32'h3f6a43a4,// invsqrt(1.4450) = 0.8319 +32'h3f326a50,32'h3f964243,32'h3f9c6450, 32'h3f91a8b9,32'h3fa0fdd9, 32'h3f89fe28,32'h3fa8a86a,// invsqrt(0.6969) = 1.1979 +32'h3f639c5b,32'h3f850878,32'h3f8a7687, 32'h3f80f5ec,32'h3f8e8912, 32'h3f7458b1,32'h3f9552a5,// invsqrt(0.8891) = 1.0605 +32'h3f56b2c9,32'h3f88f9a1,32'h3f8e90e1, 32'h3f84c831,32'h3f92c251, 32'h3f7b9642,32'h3f99bf61,// invsqrt(0.8387) = 1.0920 +32'h3ff2a0ab,32'h3f3638d8,32'h3f3da8e0, 32'h3f30a4d1,32'h3f433ce7, 32'h3f2758c6,32'h3f4c88f2,// invsqrt(1.8955) = 0.7263 +32'h3f731d8c,32'h3f80b89b,32'h3f85f99c, 32'h3f798fb3,32'h3f89ea5c, 32'h3f6c6d31,32'h3f907b9e,// invsqrt(0.9497) = 1.0262 +32'h3f8e84e5,32'h3f6dc1f4,32'h3f777648, 32'h3f667ab6,32'h3f7ebd86, 32'h3f5a594e,32'h3f856f77,// invsqrt(1.1134) = 0.9477 +32'h3f8a0b2b,32'h3f7194bf,32'h3f7b7105, 32'h3f6a2f8a,32'h3f816b1d, 32'h3f5ddc32,32'h3f8794c9,// invsqrt(1.0785) = 0.9629 +32'h3fa64c1e,32'h3f5c1aaf,32'h3f65168c, 32'h3f555dc8,32'h3f6bd372, 32'h3f4a22f4,32'h3f770e46,// invsqrt(1.2992) = 0.8773 +32'h40145cb0,32'h3f24c6b8,32'h3f2b8076, 32'h3f1fbb69,32'h3f308bc5, 32'h3f17533b,32'h3f38f3f3,// invsqrt(2.3182) = 0.6568 +32'h405a70ae,32'h3f07cc00,32'h3f0d56f0, 32'h3f03a3cc,32'h3f117f24, 32'h3ef96c3e,32'h3f186cd1,// invsqrt(3.4131) = 0.5413 +32'h3ea6040d,32'h3fdc4a6f,32'h3fe5483f, 32'h3fd58c13,32'h3fec069b, 32'h3fca4ece,32'h3ff743e0,// invsqrt(0.3242) = 1.7561 +32'h3ff84351,32'h3f34245c,32'h3f3b7ea9, 32'h3f2ea0a3,32'h3f410263, 32'h3f256fc3,32'h3f4a3343,// invsqrt(1.9396) = 0.7180 +32'h40188142,32'h3f2285d2,32'h3f292804, 32'h3f1d8c2c,32'h3f2e21aa, 32'h3f15416d,32'h3f366c69,// invsqrt(2.3829) = 0.6478 +32'h400876b6,32'h3f2bcf42,32'h3f32d27e, 32'h3f268cd5,32'h3f3814eb, 32'h3f1dc8ca,32'h3f40d8f6,// invsqrt(2.1322) = 0.6848 +32'h3f8f3c0d,32'h3f6d29c0,32'h3f76d7dd, 32'h3f65e72b,32'h3f7e1a73, 32'h3f59cd87,32'h3f851a0b,// invsqrt(1.1190) = 0.9453 +32'h40dd86d2,32'h3ebeb407,32'h3ec67cae, 32'h3eb8dd89,32'h3ecc532b, 32'h3eaf22b7,32'h3ed60dfd,// invsqrt(6.9227) = 0.3801 +32'h3f0fde26,32'h3fa75487,32'h3fae28f5, 32'h3fa23534,32'h3fb34848, 32'h3f99abab,32'h3fbbd1d1,// invsqrt(0.5620) = 1.3339 +32'h400146b2,32'h3f30856c,32'h3f37b9e4, 32'h3f2b1e13,32'h3f3d213d, 32'h3f221c7e,32'h3f4622d2,// invsqrt(2.0199) = 0.7036 +32'h404a7816,32'h3f0d0d19,32'h3f12cef1, 32'h3f08bbb8,32'h3f172052, 32'h3f01896b,32'h3f1e529f,// invsqrt(3.1636) = 0.5622 +32'h3e2b6d23,32'h40194a8d,32'h401f8c4a, 32'h40149940,32'h40243d98, 32'h400cc714,32'h402c0fc4,// invsqrt(0.1674) = 2.4441 +32'h3e5a2895,32'h4007e26f,32'h400d6e49, 32'h4003b98b,32'h4011972d, 32'h3ff99572,32'h401885ff,// invsqrt(0.2130) = 2.1665 +32'h3ebf281b,32'h3fcd4b45,32'h3fd5ac62, 32'h3fc70270,32'h3fdbf538, 32'h3fbc890c,32'h3fe66e9c,// invsqrt(0.3734) = 1.6366 +32'h3f2de96e,32'h3f98311f,32'h3f9e675f, 32'h3f93886f,32'h3fa3100f, 32'h3f8bc49f,32'h3faad3df,// invsqrt(0.6793) = 1.2133 +32'h3f9b618e,32'h3f63b466,32'h3f6cffae, 32'h3f5cbbf0,32'h3f73f824, 32'h3f511dd6,32'h3f7f963e,// invsqrt(1.2139) = 0.9076 +32'h3f86deef,32'h3f7467f5,32'h3f7e61c0, 32'h3f6cec9c,32'h3f82ee8c, 32'h3f60745e,32'h3f892aab,// invsqrt(1.0537) = 0.9742 +32'h3e10abdd,32'h4026dd65,32'h402dacf6, 32'h4021c1b8,32'h4032c8a2, 32'h40193e42,32'h403b4c18,// invsqrt(0.1413) = 2.6605 +32'h40dd0acd,32'h3ebee97f,32'h3ec6b455, 32'h3eb9115e,32'h3ecc8c76, 32'h3eaf53d3,32'h3ed64a01,// invsqrt(6.9076) = 0.3805 +32'h3fa3a09c,32'h3f5de489,32'h3f66f316, 32'h3f57199e,32'h3f6dbe00, 32'h3f4bc76e,32'h3f791031,// invsqrt(1.2783) = 0.8845 +32'h3fa2268b,32'h3f5ee6a1,32'h3f67ffb7, 32'h3f5813d0,32'h3f6ed288, 32'h3f4cb474,32'h3f7a31e4,// invsqrt(1.2668) = 0.8885 +32'h4248a61c,32'h3e0db083,32'h3e137906, 32'h3e095a22,32'h3e17cf68, 32'h3e021f7e,32'h3e1f0a0c,// invsqrt(50.1622) = 0.1412 +32'h3f3b3abd,32'h3f92ade9,32'h3f98aa8f, 32'h3f8e306c,32'h3f9d280c, 32'h3f86b49c,32'h3fa4a3dc,// invsqrt(0.7314) = 1.1693 +32'h3ed052c2,32'h3fc4a759,32'h3fccae2d, 32'h3fbea239,32'h3fd2b34d, 32'h3fb499b0,32'h3fdcbbd7,// invsqrt(0.4069) = 1.5677 +32'h3fd37d22,32'h3f432d2a,32'h3f4b248e, 32'h3f3d339e,32'h3f511e1a, 32'h3f333e60,32'h3f5b1358,// invsqrt(1.6523) = 0.7780 +32'h41b33922,32'h3e5404c2,32'h3e5cac23, 32'h3e4d873a,32'h3e6329ac, 32'h3e42b601,32'h3e6dfae5,// invsqrt(22.4029) = 0.2113 +32'h3f2247e5,32'h3f9d8d2c,32'h3fa3fb6c, 32'h3f98ba7b,32'h3fa8ce1d, 32'h3f90b0ab,32'h3fb0d7ed,// invsqrt(0.6339) = 1.2560 +32'h3cd8fbf0,32'h40c0b066,32'h40c88dcd, 32'h40baca58,32'h40ce73da, 32'h40b0f596,32'h40d8489c,// invsqrt(0.0265) = 6.1444 +32'h41baec82,32'h3e4f9afc,32'h3e581440, 32'h3e494009,32'h3e5e6f33, 32'h3e3ea875,32'h3e6906c7,// invsqrt(23.3655) = 0.2069 +32'h3f402091,32'h3f90cc34,32'h3f96b531, 32'h3f8c5d77,32'h3f9b23ef, 32'h3f84fa3b,32'h3fa2872b,// invsqrt(0.7505) = 1.1543 +32'h3f85a9ce,32'h3f7581f2,32'h3f7f8740, 32'h3f6dfdf8,32'h3f83859d, 32'h3f617756,32'h3f89c8ee,// invsqrt(1.0442) = 0.9786 +32'h417bf0ed,32'h3e7ce490,32'h3e839b84, 32'h3e7526b5,32'h3e877a72, 32'h3e683f9e,32'h3e8dedfd,// invsqrt(15.7463) = 0.2520 +32'h3ed2c5e1,32'h3fc381f0,32'h3fcb7cca, 32'h3fbd85cc,32'h3fd178ee, 32'h3fb38c3a,32'h3fdb7280,// invsqrt(0.4117) = 1.5586 +32'h3f754426,32'h3f8027cd,32'h3f8562e5, 32'h3f7876f6,32'h3f894f37, 32'h3f6b633a,32'h3f8fd915,// invsqrt(0.9581) = 1.0216 +32'h3ff869c1,32'h3f34166c,32'h3f3b7028, 32'h3f2e9320,32'h3f40f374, 32'h3f2562f6,32'h3f4a239e,// invsqrt(1.9407) = 0.7178 +32'h3ef3e6b7,32'h3fb5bee3,32'h3fbd29f1, 32'h3fb02e98,32'h3fc2ba3c, 32'h3fa6e8c6,32'h3fcc000e,// invsqrt(0.4764) = 1.4489 +32'h3fa254c0,32'h3f5ec6e5,32'h3f67deaf, 32'h3f57f50d,32'h3f6eb087, 32'h3f4c974f,32'h3f7a0e45,// invsqrt(1.2682) = 0.8880 +32'h3d5a67b7,32'h4087ceca,32'h408d59d7, 32'h4083a67f,32'h40918221, 32'h4079715d,32'h40986ff2,// invsqrt(0.0533) = 4.3306 +32'h3f747a6d,32'h3f805ca2,32'h3f8599e2, 32'h3f78dd64,32'h3f8987d2, 32'h3f6bc444,32'h3f901462,// invsqrt(0.9550) = 1.0233 +32'h3e5fc9f1,32'h40062a0d,32'h400ba3ee, 32'h40020ea4,32'h400fbf56, 32'h3ff66c94,32'h401697b0,// invsqrt(0.2185) = 2.1391 +32'h4081546c,32'h3ef9963e,32'h3f01e316, 32'h3ef1f24c,32'h3f05b50f, 32'h3ee53663,32'h3f0c1304,// invsqrt(4.0416) = 0.4974 +32'h401e59dc,32'h3f1f7e95,32'h3f260123, 32'h3f1a9cab,32'h3f2ae30d, 32'h3f127979,32'h3f33063f,// invsqrt(2.4742) = 0.6357 +32'h3eb770b9,32'h3fd1913e,32'h3fda1f02, 32'h3fcb26eb,32'h3fe08955, 32'h3fc075b6,32'h3feb3a8a,// invsqrt(0.3583) = 1.6707 +32'h3f820cf3,32'h3f78e4ee,32'h3f8186cf, 32'h3f714669,32'h3f855612, 32'h3f64938c,32'h3f8baf80,// invsqrt(1.0160) = 0.9921 +32'h3fcf8c25,32'h3f45055b,32'h3f4d1005, 32'h3f3efd5a,32'h3f531806, 32'h3f34f005,32'h3f5d255b,// invsqrt(1.6215) = 0.7853 +32'h4032e5ab,32'h3f160e6c,32'h3f1c2e5c, 32'h3f117679,32'h3f20c64f, 32'h3f09ce8d,32'h3f286e3b,// invsqrt(2.7953) = 0.5981 +32'h406d2164,32'h3f0255dd,32'h3f07a7bc, 32'h3efcb0ea,32'h3f0ba523, 32'h3eef643c,32'h3f124b7a,// invsqrt(3.7052) = 0.5195 +32'h3f4c8952,32'h3f8c5626,32'h3f921086, 32'h3f880a5e,32'h3f965c4e, 32'h3f80e167,32'h3f9d8545,// invsqrt(0.7990) = 1.1188 +32'h3e255d9c,32'h401c1334,32'h40227207, 32'h40174c15,32'h40273925, 32'h400f558d,32'h402f2fad,// invsqrt(0.1615) = 2.4884 +32'h3f78cd63,32'h3f7e7ba8,32'h3f846f60, 32'h3f76b158,32'h3f885488, 32'h3f69b57c,32'h3f8ed276,// invsqrt(0.9719) = 1.0144 +32'h3eb3356c,32'h3fd406f4,32'h3fdcae6c, 32'h3fcd895a,32'h3fe32c06, 32'h3fc2b805,32'h3fedfd5b,// invsqrt(0.3500) = 1.6903 +32'h3f7515e2,32'h3f8033e5,32'h3f856f7c, 32'h3f788e6a,32'h3f895c2d, 32'h3f6b7972,32'h3f8fe6a9,// invsqrt(0.9574) = 1.0220 +32'h3f9926b7,32'h3f655b3a,32'h3f6eb7c4, 32'h3f5e55d2,32'h3f75bd2c, 32'h3f52a226,32'h3f80b86c,// invsqrt(1.1965) = 0.9142 +32'h3e3941d7,32'h40137543,32'h40197a0d, 32'h400ef1ac,32'h401dfda4, 32'h40076bb1,32'h4025839f,// invsqrt(0.1809) = 2.3511 +32'h4200927b,32'h3e3100f7,32'h3e383a79, 32'h3e2b95d5,32'h3e3da59b, 32'h3e228df2,32'h3e46ad7e,// invsqrt(32.1430) = 0.1764 +32'h3f6fbe22,32'h3f819f96,32'h3f86ea04, 32'h3f7b4f86,32'h3f8ae1d7, 32'h3f6e1571,32'h3f917ee2,// invsqrt(0.9365) = 1.0333 +32'h41dc45b5,32'h3e3f3ed5,32'h3e470d27, 32'h3e396418,32'h3e4ce7e4, 32'h3e2fa231,32'h3e56a9cb,// invsqrt(27.5340) = 0.1906 +32'h4003d670,32'h3f2ecc46,32'h3f35eebc, 32'h3f29726e,32'h3f3b4894, 32'h3f20875a,32'h3f4433a8,// invsqrt(2.0600) = 0.6967 +32'h3f6a5101,32'h3f831d9e,32'h3f8877a4, 32'h3f7e3432,32'h3f8c7b29, 32'h3f70d322,32'h3f932bb1,// invsqrt(0.9153) = 1.0452 +32'h401f6288,32'h3f1ef9f1,32'h3f257715, 32'h3f1a1c16,32'h3f2a54f0, 32'h3f11ffa9,32'h3f32715d,// invsqrt(2.4904) = 0.6337 +32'h3f06b5aa,32'h3facecb1,32'h3fb3fb93, 32'h3fa7a187,32'h3fb946bd, 32'h3f9eceeb,32'h3fc21959,// invsqrt(0.5262) = 1.3785 +32'h3f874ca0,32'h3f7404ce,32'h3f7dfa8d, 32'h3f6c8c7e,32'h3f82b96e, 32'h3f60194f,32'h3f88f306,// invsqrt(1.0570) = 0.9727 +32'h401cf509,32'h3f20337a,32'h3f26bd6a, 32'h3f1b4c06,32'h3f2ba4de, 32'h3f131f9a,32'h3f33d14a,// invsqrt(2.4525) = 0.6386 +32'h3f0bb199,32'h3fa9cfca,32'h3fb0be26, 32'h3fa49d05,32'h3fb5f0eb, 32'h3f9bf312,32'h3fbe9ade,// invsqrt(0.5457) = 1.3537 +32'h3cad2e26,32'h40d7af99,32'h40e07d4c, 32'h40d11554,32'h40e71792, 32'h40c61434,32'h40f218b2,// invsqrt(0.0211) = 6.8777 +32'h3f445bc5,32'h3f8f3aa5,32'h3f95133f, 32'h3f8ad833,32'h3f9975b1, 32'h3f838973,32'h3fa0c471,// invsqrt(0.7670) = 1.1418 +32'h4017cb84,32'h3f22e700,32'h3f298d2a, 32'h3f1dea61,32'h3f2e89c9, 32'h3f159aac,32'h3f36d97e,// invsqrt(2.3718) = 0.6493 +32'h3fd479a4,32'h3f42b90e,32'h3f4aabb5, 32'h3f3cc30f,32'h3f50a1b3, 32'h3f32d3be,32'h3f5a9104,// invsqrt(1.6600) = 0.7762 +32'h3f2e9a49,32'h3f97e3f7,32'h3f9e1711, 32'h3f933da4,32'h3fa2bd64, 32'h3f8b7dc4,32'h3faa7d44,// invsqrt(0.6820) = 1.2109 +32'h41d30522,32'h3e4364a0,32'h3e4b5e49, 32'h3e3d6962,32'h3e515988, 32'h3e337150,32'h3e5b519a,// invsqrt(26.3775) = 0.1947 +32'h3eb0f3d8,32'h3fd55fe4,32'h3fde1570, 32'h3fced7bb,32'h3fe49d99, 32'h3fc3f4cc,32'h3fef8088,// invsqrt(0.3456) = 1.7010 +32'h3f9bbc1b,32'h3f63722a,32'h3f6cbabe, 32'h3f5c7bbb,32'h3f73b12d, 32'h3f50e102,32'h3f7f4be6,// invsqrt(1.2167) = 0.9066 +32'h40f4e228,32'h3eb5617d,32'h3ebcc8bb, 32'h3eafd40e,32'h3ec2562a, 32'h3ea69300,32'h3ecb9738,// invsqrt(7.6526) = 0.3615 +32'h404cb7ac,32'h3f0c4642,32'h3f11fffc, 32'h3f07faf7,32'h3f164b47, 32'h3f00d2cf,32'h3f1d736f,// invsqrt(3.1987) = 0.5591 +32'h3ed8ed1b,32'h3fc0b6fc,32'h3fc894a8, 32'h3fbad0bb,32'h3fce7ae9, 32'h3fb0fba3,32'h3fd85001,// invsqrt(0.4237) = 1.5363 +32'h3e348596,32'h4015612b,32'h401b7a08, 32'h4010ce85,32'h40200cad, 32'h40092f70,32'h4027abc2,// invsqrt(0.1763) = 2.3817 +32'h3e3283b5,32'h40163792,32'h401c5930, 32'h40119e5c,32'h4020f266, 32'h4009f457,32'h40289c6b,// invsqrt(0.1743) = 2.3950 +32'h3e611fd8,32'h4005c405,32'h400b39bc, 32'h4001abbb,32'h400f5205, 32'h3ff5b12d,32'h4016252a,// invsqrt(0.2198) = 2.1327 +32'h418c3dc0,32'h3e6fae47,32'h3e7976b3, 32'h3e6857f7,32'h3e806682, 32'h3e5c1d70,32'h3e8683c5,// invsqrt(17.5302) = 0.2388 +32'h41a820fd,32'h3e5ae6ef,32'h3e63d63d, 32'h3e543375,32'h3e6a89b7, 32'h3e490854,32'h3e75b4d8,// invsqrt(21.0161) = 0.2181 +32'h3efc259f,32'h3fb2bfcd,32'h3fba0b8d, 32'h3fad46fe,32'h3fbf845c, 32'h3fa4284f,32'h3fc8a30b,// invsqrt(0.4925) = 1.4250 +32'h3f03af2c,32'h3faee653,32'h3fb609d9, 32'h3fa98bae,32'h3fbb647e, 32'h3fa09f47,32'h3fc450e5,// invsqrt(0.5144) = 1.3943 +32'h3fb14c9c,32'h3f552a73,32'h3f5dddd1, 32'h3f4ea3ed,32'h3f646457, 32'h3f43c3b8,32'h3f6f448c,// invsqrt(1.3852) = 0.8497 +32'h3f74eafd,32'h3f803f1f,32'h3f857b2b, 32'h3f78a42d,32'h3f896834, 32'h3f6b8e0f,32'h3f8ff342,// invsqrt(0.9567) = 1.0224 +32'h3f0a8459,32'h3faa880e,32'h3fb17df0, 32'h3fa54fa5,32'h3fb6b659, 32'h3f9c9c4c,32'h3fbf69b2,// invsqrt(0.5411) = 1.3595 +32'h3edae956,32'h3fbfd6c5,32'h3fc7ab4b, 32'h3fb9f761,32'h3fcd8aaf, 32'h3fb02dba,32'h3fd75456,// invsqrt(0.4276) = 1.5293 +32'h3f7e536b,32'h3f7bb452,32'h3f82fd30, 32'h3f73ffc9,32'h3f86d776, 32'h3f672837,32'h3f8d433e,// invsqrt(0.9935) = 1.0033 +32'h3f5841a8,32'h3f887b14,32'h3f8e0d2a, 32'h3f844d84,32'h3f923aba, 32'h3f7aadd1,32'h3f993155,// invsqrt(0.8448) = 1.0880 +32'h3ecc480e,32'h3fc696f1,32'h3fceb201, 32'h3fc082a6,32'h3fd4c64c, 32'h3fb660d3,32'h3fdee81f,// invsqrt(0.3990) = 1.5831 +32'h3f8871ac,32'h3f72fe35,32'h3f7ce93d, 32'h3f6b8df0,32'h3f822cc1, 32'h3f5f2826,32'h3f885fa6,// invsqrt(1.0660) = 0.9686 +32'h3f3a1652,32'h3f9320fb,32'h3f992254, 32'h3f8e9ff9,32'h3f9da357, 32'h3f871e4a,32'h3fa52506,// invsqrt(0.7269) = 1.1729 +32'h3f060043,32'h3fad6195,32'h3fb4753e, 32'h3fa812d8,32'h3fb9c3fc, 32'h3f9f3a46,32'h3fc29c8e,// invsqrt(0.5234) = 1.3822 +32'h3f8c6f2a,32'h3f6f8419,32'h3f794acb, 32'h3f682f13,32'h3f804fe9, 32'h3f5bf6b3,32'h3f866c18,// invsqrt(1.0971) = 0.9547 +32'h3d772c23,32'h407f5219,32'h4084def9, 32'h40778139,32'h4088c76a, 32'h406a7a6c,32'h408f4ad0,// invsqrt(0.0603) = 4.0708 +32'h402f66b5,32'h3f178b5b,32'h3f1dbad6, 32'h3f12e7bd,32'h3f225e73, 32'h3f0b2c62,32'h3f2a19ce,// invsqrt(2.7406) = 0.6041 +32'h4061469c,32'h3f05b882,32'h3f0b2dc0, 32'h3f01a093,32'h3f0f45af, 32'h3ef59c08,32'h3f16183e,// invsqrt(3.5199) = 0.5330 +32'h40907f5f,32'h3eec1fd6,32'h3ef5c318, 32'h3ee4e564,32'h3efcfd8a, 32'h3ed8d952,32'h3f0484ce,// invsqrt(4.5155) = 0.4706 +32'h3ec7b21c,32'h3fc8db5a,32'h3fd10e1a, 32'h3fc2b54a,32'h3fd7342a, 32'h3fb875db,32'h3fe17399,// invsqrt(0.3900) = 1.6012 +32'h3f50b57e,32'h3f8aed37,32'h3f9098db, 32'h3f86ac7c,32'h3f94d996, 32'h3f7f2bdd,32'h3f9bf024,// invsqrt(0.8153) = 1.1075 +32'h412ad9d0,32'h3e998c97,32'h3e9fd105, 32'h3e94d944,32'h3ea48458, 32'h3e8d03b9,32'h3eac59e3,// invsqrt(10.6782) = 0.3060 +32'h3ef412c2,32'h3fb5ae7c,32'h3fbd18e0, 32'h3fb01eb2,32'h3fc2a8aa, 32'h3fa6d9b6,32'h3fcbeda6,// invsqrt(0.4767) = 1.4484 +32'h4098d005,32'h3ee59c40,32'h3eeefb72, 32'h3ede94db,32'h3ef602d7, 32'h3ed2dddd,32'h3f00dceb,// invsqrt(4.7754) = 0.4576 +32'h3f605353,32'h3f8600f1,32'h3f8b7925, 32'h3f81e6ca,32'h3f8f934c, 32'h3f762114,32'h3f96698c,// invsqrt(0.8763) = 1.0683 +32'h405eeacb,32'h3f066d23,32'h3f0be9c1, 32'h3f024fac,32'h3f100738, 32'h3ef6e7cd,32'h3f16e2fd,// invsqrt(3.4831) = 0.5358 +32'h3f282330,32'h3f9ac87f,32'h3fa119d3, 32'h3f960b81,32'h3fa5d6d1, 32'h3f8e25d8,32'h3fadbc7a,// invsqrt(0.6568) = 1.2339 +32'h3fae335e,32'h3f570da6,32'h3f5fd4bc, 32'h3f507855,32'h3f666a0d, 32'h3f457f79,32'h3f7162e9,// invsqrt(1.3609) = 0.8572 +32'h401924a6,32'h3f222f08,32'h3f28cdaf, 32'h3f1d380a,32'h3f2dc4ac, 32'h3f14f1b8,32'h3f360afe,// invsqrt(2.3929) = 0.6465 +32'h3f92a73c,32'h3f6a61eb,32'h3f73f2f9, 32'h3f633520,32'h3f7b1fc4, 32'h3f573fcd,32'h3f838a8c,// invsqrt(1.1457) = 0.9342 +32'h3f342da5,32'h3f95859b,32'h3f9b9ff5, 32'h3f90f1d8,32'h3fa033b8, 32'h3f8950e7,32'h3fa7d4a9,// invsqrt(0.7038) = 1.1920 +32'h3fca0f1e,32'h3f47adc3,32'h3f4fd433, 32'h3f4190ee,32'h3f55f108, 32'h3f3760e2,32'h3f602114,// invsqrt(1.5786) = 0.7959 +32'h4001ab1f,32'h3f304103,32'h3f3772b0, 32'h3f2adbc2,32'h3f3cd7f2, 32'h3f21ddab,32'h3f45d609,// invsqrt(2.0261) = 0.7025 +32'h3fbed4ff,32'h3f4d77f4,32'h3f55dae4, 32'h3f472dc0,32'h3f5c2518, 32'h3f3cb214,32'h3f66a0c4,// invsqrt(1.4909) = 0.8190 +32'h3f227440,32'h3f9d77a8,32'h3fa3e508, 32'h3f98a5a0,32'h3fa8b710, 32'h3f909ce9,32'h3fb0bfc7,// invsqrt(0.6346) = 1.2553 +32'h3f375098,32'h3f943cba,32'h3f9a49a8, 32'h3f8fb308,32'h3f9ed35a, 32'h3f8822df,32'h3fa66383,// invsqrt(0.7161) = 1.1817 +32'h3e369211,32'h401489ff,32'h401a9a14, 32'h400ffdf0,32'h401f2624, 32'h400869d6,32'h4026ba3e,// invsqrt(0.1783) = 2.3683 +32'h40b09f72,32'h3ed592d9,32'h3ede4a79, 32'h3ecf0921,32'h3ee4d431, 32'h3ec42398,32'h3eefb9ba,// invsqrt(5.5195) = 0.4256 +32'h3f44b959,32'h3f8f1890,32'h3f94efc5, 32'h3f8ab729,32'h3f99512d, 32'h3f836a27,32'h3fa09e2f,// invsqrt(0.7685) = 1.1408 +32'h4029ed25,32'h3f19f75f,32'h3f20402a, 32'h3f1540c8,32'h3f24f6c2, 32'h3f0d65cb,32'h3f2cd1bf,// invsqrt(2.6551) = 0.6137 +32'h3fc468d3,32'h3f4a87bc,32'h3f52cbf8, 32'h3f44548f,32'h3f58ff25, 32'h3f39ff44,32'h3f635470,// invsqrt(1.5344) = 0.8073 +32'h3f92620d,32'h3f6a9947,32'h3f742c99, 32'h3f636aca,32'h3f7b5b16, 32'h3f5772a5,32'h3f83a99e,// invsqrt(1.1436) = 0.9351 +32'h3eba70d3,32'h3fcfdfcd,32'h3fd85be0, 32'h3fc982bf,32'h3fdeb8ef, 32'h3fbee7a8,32'h3fe95406,// invsqrt(0.3641) = 1.6572 +32'h3f185fab,32'h3fa297bb,32'h3fa93aa8, 32'h3f9d9d88,32'h3fae34da, 32'h3f9551df,32'h3fb68083,// invsqrt(0.5952) = 1.2962 +32'h3f8ad6b9,32'h3f70e366,32'h3f7ab870, 32'h3f69839f,32'h3f810c1b, 32'h3f5d3954,32'h3f873141,// invsqrt(1.0847) = 0.9602 +32'h3f51a441,32'h3f8a9e04,32'h3f90466c, 32'h3f865fb5,32'h3f9484bb, 32'h3f7e9a65,32'h3f9b973e,// invsqrt(0.8189) = 1.1050 +32'h3fa54f9f,32'h3f5cc287,32'h3f65c53e, 32'h3f56007d,32'h3f6c8747, 32'h3f4abd18,32'h3f77caac,// invsqrt(1.2915) = 0.8799 +32'h3fd72144,32'h3f418485,32'h3f496a95, 32'h3f3b97f9,32'h3f4f5721, 32'h3f31b865,32'h3f5936b5,// invsqrt(1.6807) = 0.7714 +32'h3f020e95,32'h3faffd91,32'h3fb72c7d, 32'h3faa9a60,32'h3fbc8fae, 32'h3fa19fba,32'h3fc58a55,// invsqrt(0.5080) = 1.4030 +32'h410bd9b4,32'h3ea9b76f,32'h3eb0a4cd, 32'h3ea48569,32'h3eb5d6d3, 32'h3e9bdcb5,32'h3ebe7f87,// invsqrt(8.7407) = 0.3382 +32'h3fc3ee50,32'h3f4ac704,32'h3f530dd5, 32'h3f4491e7,32'h3f5942f1, 32'h3f3a3961,32'h3f639b77,// invsqrt(1.5307) = 0.8083 +32'h3fb685cb,32'h3f5217f1,32'h3f5aab35, 32'h3f4ba97f,32'h3f6119a7, 32'h3f40f16b,32'h3f6bd1bb,// invsqrt(1.4260) = 0.8374 +32'h3f7897e1,32'h3f7e970a,32'h3f847d9f, 32'h3f76cbe2,32'h3f886333, 32'h3f69cea0,32'h3f8ee1d4,// invsqrt(0.9711) = 1.0148 +32'h403add3c,32'h3f12d297,32'h3f18d0bd, 32'h3f0e53fb,32'h3f1d4f59, 32'h3f06d64c,32'h3f24cd08,// invsqrt(2.9198) = 0.5852 +32'h3f39b87d,32'h3f934621,32'h3f9948fe, 32'h3f8ec3fc,32'h3f9dcb24, 32'h3f874068,32'h3fa54eb8,// invsqrt(0.7255) = 1.1741 +32'h3ede6c5f,32'h3fbe5185,32'h3fc61627, 32'h3fb87e0b,32'h3fcbe9a1, 32'h3faec841,32'h3fd59f6b,// invsqrt(0.4344) = 1.5172 +32'h3f1c4312,32'h3fa08e9a,32'h3fa71c42, 32'h3f9ba45c,32'h3fac0680, 32'h3f937349,32'h3fb43793,// invsqrt(0.6104) = 1.2800 +32'h3f9dd75f,32'h3f61ec53,32'h3f6b24fd, 32'h3f5b01d3,32'h3f720f7d, 32'h3f4f7afe,32'h3f7d9652,// invsqrt(1.2331) = 0.9005 +32'h3fbb299a,32'h3f4f7917,32'h3f57f0f9, 32'h3f491f2e,32'h3f5e4ae2, 32'h3f3e8954,32'h3f68e0bc,// invsqrt(1.4622) = 0.8270 +32'h402b4f32,32'h3f1957f2,32'h3f1f9a3b, 32'h3f14a63c,32'h3f244bf2, 32'h3f0cd362,32'h3f2c1ecc,// invsqrt(2.6767) = 0.6112 +32'h3f2da668,32'h3f984e7b,32'h3f9e85ed, 32'h3f93a4e5,32'h3fa32f83, 32'h3f8bdf95,32'h3faaf4d3,// invsqrt(0.6783) = 1.2142 +32'h40ed8866,32'h3eb82a79,32'h3ebfaed1, 32'h3eb28736,32'h3ec55214, 32'h3ea921c8,32'h3eceb782,// invsqrt(7.4229) = 0.3670 +32'h3fa9aca7,32'h3f59e71f,32'h3f62cbfb, 32'h3f533b79,32'h3f6977a1, 32'h3f481d65,32'h3f7495b5,// invsqrt(1.3256) = 0.8686 +32'h41c0ed5f,32'h3e4c598e,32'h3e54b0cd, 32'h3e46181e,32'h3e5af23c, 32'h3e3bab0f,32'h3e655f4b,// invsqrt(24.1159) = 0.2036 +32'h403fc321,32'h3f10ef77,32'h3f16d9e5, 32'h3f0c7fa5,32'h3f1b49b7, 32'h3f051a9d,32'h3f22aebf,// invsqrt(2.9963) = 0.5777 +32'h3e7f5e3b,32'h3ffb30b2,32'h4002b8b0, 32'h3ff3802e,32'h400690f1, 32'h3fe6af54,32'h400cf95e,// invsqrt(0.2494) = 2.0025 +32'h3b9f12a6,32'h41610c00,32'h416a3b83, 32'h415a285f,32'h41711f25, 32'h414eacfb,32'h417c9a89,// invsqrt(0.0049) = 14.3525 +32'h3e6d3bd1,32'h40024e9a,32'h4007a02e, 32'h3ffca2d8,32'h400b9d5c, 32'h3fef56e7,32'h40124354,// invsqrt(0.2317) = 2.0776 +32'h3f1d45a3,32'h3fa00a68,32'h3fa692aa, 32'h3f9b2435,32'h3fab78dd, 32'h3f92f9e2,32'h3fb3a330,// invsqrt(0.6143) = 1.2758 +32'h3ee9679c,32'h3fb9c992,32'h3fc15edc, 32'h3fb4199b,32'h3fc70ed3, 32'h3faa9efe,32'h3fd08970,// invsqrt(0.4559) = 1.4811 +32'h3c75acb3,32'h41000c86,32'h41054680, 32'h40f84212,32'h410931fd, 32'h40eb311f,32'h410fba76,// invsqrt(0.0150) = 8.1664 +32'h3f0e55cb,32'h3fa83a89,32'h3faf185b, 32'h3fa3142c,32'h3fb43eb8, 32'h3f9a7ee7,32'h3fbcd3fd,// invsqrt(0.5560) = 1.3411 +32'h40575ae8,32'h3f08c41f,32'h3f0e592f, 32'h3f049452,32'h3f1288fc, 32'h3efb33f9,32'h3f198351,// invsqrt(3.3649) = 0.5451 +32'h3f856691,32'h3f75bfc9,32'h3f7fc79d, 32'h3f6e39ea,32'h3f83a6be, 32'h3f61b021,32'h3f89eba2,// invsqrt(1.0422) = 0.9795 +32'h40a58209,32'h3edca0e5,32'h3ee5a23d, 32'h3ed5dfe3,32'h3eec633f, 32'h3eca9e36,32'h3ef7a4ec,// invsqrt(5.1721) = 0.4397 +32'h3f73b1dd,32'h3f809169,32'h3f85d0d1, 32'h3f7943b7,32'h3f89c05e, 32'h3f6c2534,32'h3f904fa0,// invsqrt(0.9519) = 1.0249 +32'h3e5f1bd2,32'h40065e5d,32'h400bda61, 32'h4002415a,32'h400ff764, 32'h3ff6ccab,32'h4016d268,// invsqrt(0.2179) = 2.1424 +32'h403e3c5f,32'h3f118405,32'h3f177483, 32'h3f0d0fa7,32'h3f1be8e1, 32'h3f05a30a,32'h3f23557e,// invsqrt(2.9724) = 0.5800 +32'h3fe73385,32'h3f3aabad,32'h3f424a31, 32'h3f34f4c9,32'h3f480115, 32'h3f2b6ea4,32'h3f51873a,// invsqrt(1.8063) = 0.7441 +32'h3e9256a0,32'h3feaa270,32'h3ff43620, 32'h3fe373ab,32'h3ffb64e5, 32'h3fd77b0e,32'h4003aec1,// invsqrt(0.2858) = 1.8705 +32'h410629b4,32'h3ead46cc,32'h3eb4595c, 32'h3ea7f8e0,32'h3eb9a748, 32'h3e9f21ac,32'h3ec27e7c,// invsqrt(8.3852) = 0.3453 +32'h3f78ca47,32'h3f7e7d3f,32'h3f847033, 32'h3f76b2e1,32'h3f885561, 32'h3f69b6f0,32'h3f8ed35a,// invsqrt(0.9718) = 1.0144 +32'h40b50b59,32'h3ed2f315,32'h3edb8f4a, 32'h3ecc7ded,32'h3ee20471, 32'h3ec1baaa,32'h3eecc7b4,// invsqrt(5.6576) = 0.4204 +32'h3f5471e8,32'h3f89b31c,32'h3f8f51ee, 32'h3f857bfe,32'h3f93890c, 32'h3f7ceaef,32'h3f9a8f92,// invsqrt(0.8299) = 1.0977 +32'h3f07ee53,32'h3fac255e,32'h3fb32c1e, 32'h3fa6e04e,32'h3fb8712e, 32'h3f9e17de,32'h3fc1399e,// invsqrt(0.5310) = 1.3723 +32'h3e1035bc,32'h402721af,32'h402df409, 32'h402203eb,32'h403311cd, 32'h40197cf9,32'h403b98bf,// invsqrt(0.1408) = 2.6647 +32'h4029d65e,32'h3f1a01b2,32'h3f204ae8, 32'h3f154ac9,32'h3f2501d1, 32'h3f0d6f45,32'h3f2cdd55,// invsqrt(2.6537) = 0.6139 +32'h3f8b2601,32'h3f709ebc,32'h3f7a70f8, 32'h3f69410f,32'h3f80e752, 32'h3f5cfa44,32'h3f870ab8,// invsqrt(1.0871) = 0.9591 +32'h3fabf51a,32'h3f587394,32'h3f614946, 32'h3f51d34e,32'h3f67e98c, 32'h3f46c82f,32'h3f72f4ab,// invsqrt(1.3434) = 0.8628 +32'h412678e3,32'h3e9b8e30,32'h3ea1e796, 32'h3e96cb24,32'h3ea6aaa2, 32'h3e8edb66,32'h3eae9a60,// invsqrt(10.4045) = 0.3100 +32'h3fd2b5af,32'h3f438973,32'h3f4b849d, 32'h3f3d8d14,32'h3f5180fc, 32'h3f339321,32'h3f5b7aef,// invsqrt(1.6462) = 0.7794 +32'h3f610462,32'h3f85cc2e,32'h3f8b423a, 32'h3f81b3a5,32'h3f8f5ac3, 32'h3f75c02a,32'h3f962e53,// invsqrt(0.8790) = 1.0666 +32'h40afc3c4,32'h3ed61827,32'h3eded538, 32'h3ecf8a59,32'h3ee56305, 32'h3ec49e04,32'h3ef04f5a,// invsqrt(5.4926) = 0.4267 +32'h3f14d9d2,32'h3fa48167,32'h3fab3851, 32'h3f9f7837,32'h3fb04181, 32'h3f971393,32'h3fb8a625,// invsqrt(0.5814) = 1.3114 +32'h3f86c8ea,32'h3f747beb,32'h3f7e7687, 32'h3f6cfff6,32'h3f82f93e, 32'h3f6086b3,32'h3f8935df,// invsqrt(1.0530) = 0.9745 +32'h3f65bfe9,32'h3f846992,32'h3f89d124, 32'h3f805be3,32'h3f8dded3, 32'h3f7334d7,32'h3f94a04a,// invsqrt(0.8975) = 1.0556 +32'h3eb08b37,32'h3fd59f15,32'h3fde5735, 32'h3fcf14fd,32'h3fe4e14d, 32'h3fc42ed4,32'h3fefc776,// invsqrt(0.3448) = 1.7030 +32'h41199413,32'h3ea1f427,32'h3ea89067, 32'h3e9cfef7,32'h3ead8597, 32'h3e94bba6,32'h3eb5c8e8,// invsqrt(9.5987) = 0.3228 +32'h3fa17443,32'h3f5f6190,32'h3f687faa, 32'h3f588afc,32'h3f6f563e, 32'h3f4d255a,32'h3f7abbe0,// invsqrt(1.2614) = 0.8904 +32'h3efcce3b,32'h3fb28427,32'h3fb9cd77, 32'h3fad0d2b,32'h3fbf4473, 32'h3fa3f187,32'h3fc86017,// invsqrt(0.4938) = 1.4231 +32'h3fb8b156,32'h3f50db09,32'h3f59615d, 32'h3f4a764a,32'h3f5fc61c, 32'h3f3fce61,32'h3f6a6e05,// invsqrt(1.4429) = 0.8325 +32'h405ad57b,32'h3f07acb6,32'h3f0d3660, 32'h3f038577,32'h3f115d9f, 32'h3ef932c7,32'h3f1849b2,// invsqrt(3.4193) = 0.5408 +32'h3f1d5c57,32'h3f9ffedc,32'h3fa686a6, 32'h3f9b1904,32'h3fab6c7e, 32'h3f92ef47,32'h3fb3963b,// invsqrt(0.6147) = 1.2755 +32'h4027d431,32'h3f1aece8,32'h3f213fb9, 32'h3f162ecd,32'h3f25fdd5, 32'h3f0e4749,32'h3f2de559,// invsqrt(2.6223) = 0.6175 +32'h3f409eae,32'h3f909cc6,32'h3f9683d4, 32'h3f8c2f7c,32'h3f9af11e, 32'h3f84ceac,32'h3fa251ee,// invsqrt(0.7524) = 1.1528 +32'h402cdedb,32'h3f18a649,32'h3f1ee151, 32'h3f13fa03,32'h3f238d97, 32'h3f0c3038,32'h3f2b5762,// invsqrt(2.7011) = 0.6085 +32'h3f84808e,32'h3f7694ba,32'h3f80529f, 32'h3f6f0856,32'h3f8418d1, 32'h3f6273b0,32'h3f8a6324,// invsqrt(1.0352) = 0.9829 +32'h3f8c0f4a,32'h3f6fd605,32'h3f79a00f, 32'h3f687e7d,32'h3f807bcc, 32'h3f5c41ef,32'h3f869a12,// invsqrt(1.0942) = 0.9560 +32'h3fec4c75,32'h3f38a56e,32'h3f402ecc, 32'h3f32fe68,32'h3f45d5d2, 32'h3f2992b4,32'h3f4f4186,// invsqrt(1.8461) = 0.7360 +32'h3fb88937,32'h3f50f1bc,32'h3f5978fe, 32'h3f4a8c4c,32'h3f5fde6e, 32'h3f3fe33a,32'h3f6a8780,// invsqrt(1.4417) = 0.8328 +32'h3f5b6db7,32'h3f877d9e,32'h3f8d055b, 32'h3f8357cf,32'h3f912b29, 32'h3f78dc46,32'h3f9814d5,// invsqrt(0.8571) = 1.0801 +32'h3f8596aa,32'h3f759388,32'h3f7f998e, 32'h3f6e0f04,32'h3f838f09, 32'h3f61877d,32'h3f89d2cc,// invsqrt(1.0437) = 0.9789 +32'h3f89cfab,32'h3f71c8e0,32'h3f7ba748, 32'h3f6a6213,32'h3f81870a, 32'h3f5e0c12,32'h3f87b20b,// invsqrt(1.0767) = 0.9637 +32'h4076d7d7,32'h3eff7dae,32'h3f04f5a7, 32'h3ef7ab78,32'h3f08dec2, 32'h3eeaa271,32'h3f0f6345,// invsqrt(3.8569) = 0.5092 +32'h3fef2348,32'h3f378bfd,32'h3f3f09de, 32'h3f31ed95,32'h3f44a847, 32'h3f28903d,32'h3f4e059f,// invsqrt(1.8683) = 0.7316 +32'h3f5572c5,32'h3f896028,32'h3f8efb98, 32'h3f852b95,32'h3f93302b, 32'h3f7c5293,32'h3f9a3276,// invsqrt(0.8338) = 1.0951 +32'h3ec86a6a,32'h3fc87eea,32'h3fd0ade4, 32'h3fc25baf,32'h3fd6d11f, 32'h3fb820f6,32'h3fe10bd8,// invsqrt(0.3914) = 1.5983 +32'h3ef1e099,32'h3fb68123,32'h3fbdf41f, 32'h3fb0eae6,32'h3fc38a5c, 32'h3fa79b2a,32'h3fccda18,// invsqrt(0.4724) = 1.4549 +32'h4060909d,32'h3f05eea7,32'h3f0b661b, 32'h3f01d50f,32'h3f0f7fb3, 32'h3ef5ff7b,32'h3f165504,// invsqrt(3.5088) = 0.5338 +32'h3f298bab,32'h3f9a239b,32'h3fa06e34, 32'h3f956ba9,32'h3fa52627, 32'h3f8d8e6a,32'h3fad0366,// invsqrt(0.6623) = 1.2288 +32'h3ee64fed,32'h3fbb07d2,32'h3fc2aa1a, 32'h3fb54e1d,32'h3fc863cf, 32'h3fabc344,32'h3fd1eea8,// invsqrt(0.4498) = 1.4910 +32'h3f85f93c,32'h3f753920,32'h3f7f3b74, 32'h3f6db760,32'h3f835e9a, 32'h3f613476,32'h3f89a00f,// invsqrt(1.0467) = 0.9775 +32'h3ff50dec,32'h3f35514a,32'h3f3cb7df, 32'h3f2fc459,32'h3f4244cf, 32'h3f26841f,32'h3f4b8509,// invsqrt(1.9145) = 0.7227 +32'h3f85c650,32'h3f7567c8,32'h3f7f6c04, 32'h3f6de49a,32'h3f837799, 32'h3f615f4f,32'h3f89ba3e,// invsqrt(1.0451) = 0.9782 +32'h3fccda39,32'h3f46500b,32'h3f4e6835, 32'h3f403deb,32'h3f547a55, 32'h3f361fb6,32'h3f5e988a,// invsqrt(1.6004) = 0.7905 +32'h3e1952b8,32'h402216a8,32'h4028b450, 32'h401d2069,32'h402daa8f, 32'h4014db56,32'h4035efa2,// invsqrt(0.1497) = 2.5843 +32'h3e92eaa8,32'h3fea2c1d,32'h3ff3baf9, 32'h3fe300f7,32'h3ffae61f, 32'h3fd70e64,32'h40036c59,// invsqrt(0.2869) = 1.8668 +32'h3ec2b370,32'h3fcb6ab9,32'h3fd3b839, 32'h3fc53099,32'h3fd9f259, 32'h3fbacfba,32'h3fe45338,// invsqrt(0.3803) = 1.6216 +32'h3e2baa72,32'h40192f2b,32'h401f6fca, 32'h40147eb5,32'h40242041, 32'h400cadef,32'h402bf107,// invsqrt(0.1676) = 2.4424 +32'h3f80f112,32'h3f79f654,32'h3f821516, 32'h3f724f70,32'h3f85e888, 32'h3f658ea0,32'h3f8c48f0,// invsqrt(1.0074) = 0.9963 +32'h408da9d4,32'h3eee7982,32'h3ef83554, 32'h3ee72ca6,32'h3eff8230, 32'h3edb01e0,32'h3f05d67b,// invsqrt(4.4270) = 0.4753 +32'h3ec199d1,32'h3fcbfe77,32'h3fd451ff, 32'h3fc5bfd2,32'h3fda90a4, 32'h3fbb5768,32'h3fe4f90e,// invsqrt(0.3781) = 1.6262 +32'h45b47c00,32'h3c5346ca,32'h3c5be669, 32'h3c4ccf11,32'h3c625e21, 32'h3c42078a,32'h3c6d25a8,// invsqrt(5775.5000) = 0.0132 +32'h3fdf02e8,32'h3f3e113e,32'h3f45d341, 32'h3f383fbd,32'h3f4ba4c3, 32'h3f2e8d3a,32'h3f555746,// invsqrt(1.7423) = 0.7576 +32'h3f26d833,32'h3f9b61bb,32'h3fa1b950, 32'h3f96a00c,32'h3fa67b00, 32'h3f8eb292,32'h3fae687a,// invsqrt(0.6517) = 1.2387 +32'h3f301401,32'h3f9740b5,32'h3f9d6d25, 32'h3f929f61,32'h3fa20e79, 32'h3f8ae7d5,32'h3fa9c605,// invsqrt(0.6878) = 1.2058 +32'h3d86ff81,32'h40744a77,32'h407e430f, 32'h406cd006,32'h4082dec0, 32'h40605949,32'h40891a1e,// invsqrt(0.0659) = 3.8949 +32'h3f5f038c,32'h3f8665ad,32'h3f8be1fd, 32'h3f824871,32'h3f8fff39, 32'h3f76da19,32'h3f96da9e,// invsqrt(0.8711) = 1.0714 +32'h3efef9d0,32'h3fb1c13f,32'h3fb9029b, 32'h3fac503b,32'h3fbe739f, 32'h3fa33e88,32'h3fc78552,// invsqrt(0.4980) = 1.4171 +32'h3f8267d4,32'h3f788e25,32'h3f8159a6, 32'h3f70f248,32'h3f852794, 32'h3f6443d9,32'h3f8b7ecc,// invsqrt(1.0188) = 0.9907 +32'h3f16d45f,32'h3fa36c40,32'h3faa17da, 32'h3f9e6b8c,32'h3faf188e, 32'h3f96150c,32'h3fb76f0e,// invsqrt(0.5892) = 1.3028 +32'h3f3f4a0c,32'h3f911d4f,32'h3f97099b, 32'h3f8cac16,32'h3f9b7ad4, 32'h3f8544b6,32'h3fa2e234,// invsqrt(0.7472) = 1.1568 +32'h3f62d0dd,32'h3f854417,32'h3f8ab496, 32'h3f812fb9,32'h3f8ec8f5, 32'h3f74c636,32'h3f959593,// invsqrt(0.8860) = 1.0624 +32'h3f85cb2e,32'h3f756351,32'h3f7f675f, 32'h3f6de047,32'h3f837535, 32'h3f615b36,32'h3f89b7bd,// invsqrt(1.0453) = 0.9781 +32'h3ee30a8f,32'h3fbc5f7d,32'h3fc40fcb, 32'h3fb69b42,32'h3fc9d406, 32'h3facfee0,32'h3fd37068,// invsqrt(0.4434) = 1.5017 +32'h3f3cc2e5,32'h3f92153c,32'h3f980ba7, 32'h3f8d9c6c,32'h3f9c8478, 32'h3f862867,32'h3fa3f87d,// invsqrt(0.7373) = 1.1646 +32'h3f408f68,32'h3f90a282,32'h3f9689cc, 32'h3f8c350b,32'h3f9af743, 32'h3f84d3f0,32'h3fa2585e,// invsqrt(0.7522) = 1.1530 +32'h40ef0d54,32'h3eb7946b,32'h3ebf12a3, 32'h3eb1f5c0,32'h3ec4b14e, 32'h3ea897fa,32'h3ece0f15,// invsqrt(7.4704) = 0.3659 +32'h40c6b6b9,32'h3ec95a3e,32'h3ed1922c, 32'h3ec3304c,32'h3ed7bc1e, 32'h3eb8ea63,32'h3ee20207,// invsqrt(6.2098) = 0.4013 +32'h3f8bee4e,32'h3f6ff248,32'h3f79bd7a, 32'h3f6899e3,32'h3f808af0, 32'h3f5c5be4,32'h3f86a9ef,// invsqrt(1.0932) = 0.9564 +32'h3f9305d5,32'h3f6a1678,32'h3f73a472, 32'h3f62ebfc,32'h3f7aceee, 32'h3f56fa83,32'h3f836034,// invsqrt(1.1486) = 0.9331 +32'h3f460e2a,32'h3f8e9d3c,32'h3f946f68, 32'h3f8a3f9b,32'h3f98cd09, 32'h3f82f8e3,32'h3fa013c1,// invsqrt(0.7737) = 1.1369 +32'h3f8a4221,32'h3f7164b6,32'h3f7b3f06, 32'h3f6a00f9,32'h3f815161, 32'h3f5db015,32'h3f8779d4,// invsqrt(1.0801) = 0.9622 +32'h3faa72b8,32'h3f59685f,32'h3f62480f, 32'h3f52c09b,32'h3f68efd3, 32'h3f47a8fe,32'h3f740770,// invsqrt(1.3316) = 0.8666 +32'h3fdcb877,32'h3f3f0d17,32'h3f46d961, 32'h3f3933df,32'h3f4cb299, 32'h3f2f7483,32'h3f5671f5,// invsqrt(1.7244) = 0.7615 +32'h3f305c58,32'h3f9721ac,32'h3f9d4cd8, 32'h3f92814c,32'h3fa1ed38, 32'h3f8acb55,32'h3fa9a32f,// invsqrt(0.6889) = 1.2048 +32'h3f6e0d11,32'h3f821548,32'h3f876484, 32'h3f7c33b5,32'h3f8b5ff2, 32'h3f6eed9e,32'h3f9202fd,// invsqrt(0.9299) = 1.0370 +32'h407ee70e,32'h3efb6b63,32'h3f02d73c, 32'h3ef3b915,32'h3f06b063, 32'h3ee6e53d,32'h3f0d1a50,// invsqrt(3.9829) = 0.5011 +32'h3f4cd992,32'h3f8c3aa7,32'h3f91f3e7, 32'h3f87efb7,32'h3f963ed7, 32'h3f80c826,32'h3f9d6668,// invsqrt(0.8002) = 1.1179 +32'h40606160,32'h3f05fcbf,32'h3f0b74c7, 32'h3f01e2b9,32'h3f0f8ecd, 32'h3ef6195f,32'h3f1664d6,// invsqrt(3.5059) = 0.5341 +32'h41e7d737,32'h3e3a69bb,32'h3e42058e, 32'h3e34b4dc,32'h3e47ba6c, 32'h3e2b3214,32'h3e513d34,// invsqrt(28.9801) = 0.1858 +32'h3f30ccfb,32'h3f96f180,32'h3f9d1ab5, 32'h3f92529a,32'h3fa1b99c, 32'h3f8a9f18,32'h3fa96d1e,// invsqrt(0.6906) = 1.2033 +32'h3e1f0afc,32'h401f25ac,32'h4025a498, 32'h401a467a,32'h402a83ca, 32'h401227d2,32'h4032a272,// invsqrt(0.1553) = 2.5374 +32'h408b9e7a,32'h3ef036d6,32'h3efa04d4, 32'h3ee8dc57,32'h3f00afa9, 32'h3edc9ad9,32'h3f06d068,// invsqrt(4.3631) = 0.4787 +32'h3ef17735,32'h3fb6a8f3,32'h3fbe1d8f, 32'h3fb1117e,32'h3fc3b504, 32'h3fa7bfba,32'h3fcd06c8,// invsqrt(0.4716) = 1.4562 +32'h3ee31120,32'h3fbc5cc3,32'h3fc40cf5, 32'h3fb6989e,32'h3fc9d11a, 32'h3facfc60,32'h3fd36d58,// invsqrt(0.4435) = 1.5016 +32'h40805b2f,32'h3efa881b,32'h3f0260f4, 32'h3ef2dcc2,32'h3f0636a1, 32'h3ee61482,32'h3f0c9ac1,// invsqrt(4.0111) = 0.4993 +32'h3f9fcb78,32'h3f6089b5,32'h3f69b3e7, 32'h3f59aa10,32'h3f70938c, 32'h3f4e3553,32'h3f7c0849,// invsqrt(1.2484) = 0.8950 +32'h41135e96,32'h3ea5548a,32'h3eac1412, 32'h3ea044e4,32'h3eb123b8, 32'h3e97d579,32'h3eb99323,// invsqrt(9.2106) = 0.3295 +32'h3f1fd2c5,32'h3f9ec214,32'h3fa53cf0, 32'h3f99e5ef,32'h3faa1915, 32'h3f91cc5b,32'h3fb232a9,// invsqrt(0.6243) = 1.2656 +32'h3fb5dde9,32'h3f5278d3,32'h3f5b100b, 32'h3f4c0769,32'h3f618175, 32'h3f414a64,32'h3f6c3e7a,// invsqrt(1.4208) = 0.8389 +32'h3f609451,32'h3f85ed8c,32'h3f8b64f4, 32'h3f81d3fd,32'h3f8f7e83, 32'h3f75fd73,32'h3f9653c6,// invsqrt(0.8773) = 1.0677 +32'h3f9d92f2,32'h3f621d5b,32'h3f6b5805, 32'h3f5b315a,32'h3f724406, 32'h3f4fa805,32'h3f7dcd5b,// invsqrt(1.2310) = 0.9013 +32'h3fa1910d,32'h3f5f4da8,32'h3f686af2, 32'h3f5877b0,32'h3f6f40ea, 32'h3f4d1312,32'h3f7aa588,// invsqrt(1.2622) = 0.8901 +32'h40e9cf9a,32'h3eb9a03c,32'h3ec133d6, 32'h3eb3f189,32'h3ec6e289, 32'h3eaa7908,32'h3ed05b0a,// invsqrt(7.3066) = 0.3699 +32'h400a10fd,32'h3f2acf3d,32'h3f31c807, 32'h3f2594a7,32'h3f37029d, 32'h3f1cddab,32'h3f3fb999,// invsqrt(2.1573) = 0.6808 +32'h3fc4aa55,32'h3f4a65fe,32'h3f52a8da, 32'h3f4433da,32'h3f58dafe, 32'h3f39e048,32'h3f632e90,// invsqrt(1.5364) = 0.8068 +32'h3f55697f,32'h3f896325,32'h3f8efeb3, 32'h3f852e7a,32'h3f93335e, 32'h3f7c580f,32'h3f9a35d0,// invsqrt(0.8336) = 1.0952 +32'h402bd2e0,32'h3f191d25,32'h3f1f5d07, 32'h3f146d3b,32'h3f240cf1, 32'h3f0c9d61,32'h3f2bdccb,// invsqrt(2.6847) = 0.6103 +32'h3e38c80f,32'h4013a5d2,32'h4019ac97, 32'h400f20bf,32'h401e31ab, 32'h40079849,32'h4025ba21,// invsqrt(0.1805) = 2.3541 +32'h3dc975db,32'h4047f9a9,32'h40502333, 32'h4041da82,32'h4056425a, 32'h4037a696,32'h40607646,// invsqrt(0.0984) = 3.1884 +32'h3ff23202,32'h3f366274,32'h3f3dd430, 32'h3f30cd28,32'h3f43697c, 32'h3f277efd,32'h3f4cb7a7,// invsqrt(1.8922) = 0.7270 +32'h3d073974,32'h40ac9858,32'h40b3a3ca, 32'h40a74fc3,32'h40b8ec5f, 32'h409e8176,32'h40c1baac,// invsqrt(0.0330) = 5.5037 +32'h3f60cf5c,32'h3f85dbf4,32'h3f8b52a6, 32'h3f81c2f0,32'h3f8f6baa, 32'h3f75dd24,32'h3f964008,// invsqrt(0.8782) = 1.0671 +32'h3f920e49,32'h3f6adc84,32'h3f747294, 32'h3f63abf8,32'h3f7ba320, 32'h3f57b064,32'h3f83cf5a,// invsqrt(1.1411) = 0.9362 +32'h3d9de6b7,32'h4061e158,32'h406b1990, 32'h405af72e,32'h407203ba, 32'h404f70e8,32'h407d8a00,// invsqrt(0.0771) = 3.6014 +32'h3fe6420c,32'h3f3b0d75,32'h3f42aff7, 32'h3f355393,32'h3f4869d9, 32'h3f2bc871,32'h3f51f4fb,// invsqrt(1.7989) = 0.7456 +32'h40008180,32'h3f310ca8,32'h3f3846a4, 32'h3f2ba12a,32'h3f3db222, 32'h3f2298af,32'h3f46ba9d,// invsqrt(2.0079) = 0.7057 +32'h3f12dfdc,32'h3fa59bce,32'h3fac5e3e, 32'h3fa089f9,32'h3fb17013, 32'h3f9816ec,32'h3fb9e320,// invsqrt(0.5737) = 1.3202 +32'h3d7a3227,32'h407dc5f7,32'h408410d1, 32'h40760135,32'h4087f332, 32'h40690e9e,32'h408e6c7d,// invsqrt(0.0611) = 4.0461 +32'h4113ba76,32'h3ea52118,32'h3eabde87, 32'h3ea01306,32'h3eb0ec9a, 32'h3e97a63b,32'h3eb95965,// invsqrt(9.2330) = 0.3291 +32'h40246415,32'h3f1c897b,32'h3f22ed21, 32'h3f17bebd,32'h3f27b7df, 32'h3f0fc22d,32'h3f2fb46f,// invsqrt(2.5686) = 0.6240 +32'h3eea5d2d,32'h3fb96823,32'h3fc0f972, 32'h3fb3bb26,32'h3fc6a66e, 32'h3faa4583,32'h3fd01c11,// invsqrt(0.4577) = 1.4781 +32'h3e222621,32'h401d9d92,32'h40240c7e, 32'h4018ca61,32'h4028dfaf, 32'h4010bfba,32'h4030ea56,// invsqrt(0.1583) = 2.5130 +32'h3f8937d4,32'h3f724e82,32'h3f7c325d, 32'h3f6ae39d,32'h3f81cea1, 32'h3f5e86ca,32'h3f87fd0a,// invsqrt(1.0720) = 0.9658 +32'h3e17b4fc,32'h4022f318,32'h402999c0, 32'h401df61a,32'h402e96be, 32'h4015a5c8,32'h4036e710,// invsqrt(0.1482) = 2.5980 +32'h3f8921c5,32'h3f7261fe,32'h3f7c46a5, 32'h3f6af680,32'h3f81d911, 32'h3f5e98af,32'h3f8807f9,// invsqrt(1.0713) = 0.9661 +32'h3fe0fbcb,32'h3f3d3b82,32'h3f44f4cc, 32'h3f37708b,32'h3f4abfc3, 32'h3f2dc8f0,32'h3f54675e,// invsqrt(1.7577) = 0.7543 +32'h3f17c3ac,32'h3fa2eb35,32'h3fa9918b, 32'h3f9dee75,32'h3fae8e4b, 32'h3f959e8a,32'h3fb6de36,// invsqrt(0.5928) = 1.2988 +32'h401ace2d,32'h3f214f86,32'h3f27e50e, 32'h3f1c5f60,32'h3f2cd534, 32'h3f142476,32'h3f35101e,// invsqrt(2.4188) = 0.6430 +32'h3e8597ae,32'h3ff59299,32'h3fff9895, 32'h3fee0e1c,32'h40038e89, 32'h3fe186a2,32'h4009d246,// invsqrt(0.2609) = 1.9577 +32'h3f8d0ba8,32'h3f6eff14,32'h3f78c058, 32'h3f67ae20,32'h3f8008a6, 32'h3f5b7c8a,32'h3f862171,// invsqrt(1.1019) = 0.9526 +32'h3d3eb537,32'h409155e4,32'h4097447f, 32'h408ce2ef,32'h409bb773, 32'h408578ac,32'h40a321b6,// invsqrt(0.0466) = 4.6344 +32'h3f3bce28,32'h3f92744d,32'h3f986e99, 32'h3f8df894,32'h3f9cea52, 32'h3f867fb4,32'h3fa46332,// invsqrt(0.7336) = 1.1675 +32'h3f460807,32'h3f8e9f72,32'h3f9471b5, 32'h3f8a41bf,32'h3f98cf67, 32'h3f82faeb,32'h3fa0163b,// invsqrt(0.7736) = 1.1370 +32'h406f5878,32'h3f01bb1a,32'h3f0706a8, 32'h3efb84df,32'h3f0aff53, 32'h3eee47fb,32'h3f119dc4,// invsqrt(3.7398) = 0.5171 +32'h3ef695cc,32'h3fb4c0fd,32'h3fbc21ae, 32'h3faf3877,32'h3fc1aa33, 32'h3fa5ff99,32'h3fcae311,// invsqrt(0.4816) = 1.4410 +32'h3f240ea9,32'h3f9cb236,32'h3fa31786, 32'h3f97e639,32'h3fa7e383, 32'h3f8fe795,32'h3fafe227,// invsqrt(0.6408) = 1.2492 +32'h3e166611,32'h4023a822,32'h402a562e, 32'h401ea599,32'h402f58b7, 32'h40164c0a,32'h4037b246,// invsqrt(0.1469) = 2.6093 +32'h3eb3d3f5,32'h3fd3a96a,32'h3fdc4d10, 32'h3fcd2ead,32'h3fe2c7cd, 32'h3fc2621d,32'h3fed945d,// invsqrt(0.3512) = 1.6874 +32'h3f86d600,32'h3f74700d,32'h3f7e6a2d, 32'h3f6cf475,32'h3f82f2e2, 32'h3f607bcd,32'h3f892f36,// invsqrt(1.0534) = 0.9743 +32'h3ef3eafa,32'h3fb5bd4d,32'h3fbd284b, 32'h3fb02d0f,32'h3fc2b889, 32'h3fa6e751,32'h3fcbfe47,// invsqrt(0.4764) = 1.4488 +32'h3f774c35,32'h3f7f418b,32'h3f84d65b, 32'h3f77712b,32'h3f88be8a, 32'h3f6a6b36,32'h3f8f4185,// invsqrt(0.9660) = 1.0174 +32'h3f78a496,32'h3f7e9088,32'h3f847a3c, 32'h3f76c593,32'h3f885fb6, 32'h3f69c8a6,32'h3f8ede2d,// invsqrt(0.9713) = 1.0147 +32'h3e845a4d,32'h3ff6b85a,32'h40006529, 32'h3fef2ade,32'h40042be7, 32'h3fe29467,32'h400a7722,// invsqrt(0.2585) = 1.9668 +32'h3ed4ff73,32'h3fc27bda,32'h3fca6c02, 32'h3fbc87bc,32'h3fd06020, 32'h3fb29b89,32'h3fda4c53,// invsqrt(0.4160) = 1.5504 +32'h3e210a97,32'h401e2817,32'h40249caa, 32'h401950a8,32'h40297418, 32'h40113ef0,32'h403185d0,// invsqrt(0.1573) = 2.5216 +32'h3f643ce0,32'h3f84d9a7,32'h3f8a45ce, 32'h3f80c88b,32'h3f8e56eb, 32'h3f7402b7,32'h3f951e1a,// invsqrt(0.8916) = 1.0591 +32'h3fc07ca8,32'h3f4c955a,32'h3f54ef0a, 32'h3f465216,32'h3f5b324e, 32'h3f3be1fa,32'h3f65a26a,// invsqrt(1.5038) = 0.8155 +32'h40a9ebad,32'h3ed9beb2,32'h3ee2a1e8, 32'h3ed31449,32'h3ee94c51, 32'h3ec7f845,32'h3ef46855,// invsqrt(5.3100) = 0.4340 +32'h3f4d8547,32'h3f8c0006,32'h3f91b6e2, 32'h3f87b6e1,32'h3f960007, 32'h3f80924f,32'h3f9d2499,// invsqrt(0.8028) = 1.1161 +32'h3f0de61a,32'h3fa87cb2,32'h3faf5d36, 32'h3fa3544e,32'h3fb4859a, 32'h3f9abba8,32'h3fbd1e40,// invsqrt(0.5543) = 1.3432 +32'h3fe74575,32'h3f3aa470,32'h3f4242a8, 32'h3f34edc5,32'h3f47f953, 32'h3f2b67fe,32'h3f517f1a,// invsqrt(1.8068) = 0.7440 +32'h3f4dee9b,32'h3f8bdc34,32'h3f91919a, 32'h3f879428,32'h3f95d9a6, 32'h3f80716a,32'h3f9cfc64,// invsqrt(0.8044) = 1.1150 +32'h3f6363df,32'h3f8518fc,32'h3f8a87b8, 32'h3f8105ef,32'h3f8e9ac5, 32'h3f747708,32'h3f956530,// invsqrt(0.8882) = 1.0610 +32'h3f83ee76,32'h3f771d1a,32'h3f809998, 32'h3f6f8c8a,32'h3f8461e0, 32'h3f62f0ee,32'h3f8aafae,// invsqrt(1.0307) = 0.9850 +32'h3e25d28b,32'h401bdc22,32'h402238b6, 32'h401716b3,32'h4026fe25, 32'h400f22fb,32'h402ef1dd,// invsqrt(0.1619) = 2.4850 +32'h3eae38e4,32'h3fd70a3d,32'h3fdfd130, 32'h3fd07507,32'h3fe66667, 32'h3fc57c58,32'h3ff15f16,// invsqrt(0.3403) = 1.7143 +32'h401ccfcb,32'h3f20467f,32'h3f26d136, 32'h3f1b5e76,32'h3f2bb940, 32'h3f133112,32'h3f33e6a4,// invsqrt(2.4502) = 0.6389 +32'h4043494b,32'h3f0f9f29,32'h3f157bdd, 32'h3f0b39a3,32'h3f19e163, 32'h3f03e5c3,32'h3f213543,// invsqrt(3.0513) = 0.5725 +32'h40841a50,32'h3ef6f413,32'h3f00843e, 32'h3eef64c4,32'h3f044be6, 32'h3ee2cb41,32'h3f0a98a8,// invsqrt(4.1282) = 0.4922 +32'h3f75a589,32'h3f800e64,32'h3f854872, 32'h3f7845b2,32'h3f8933fd, 32'h3f6b348d,32'h3f8fbc8f,// invsqrt(0.9596) = 1.0209 +32'h3f86901e,32'h3f74af7e,32'h3f7eac34, 32'h3f6d31f5,32'h3f8314df, 32'h3f60b610,32'h3f8952d1,// invsqrt(1.0513) = 0.9753 +32'h3e4e72a0,32'h400baf75,32'h40116307, 32'h400768c8,32'h4015a9b4, 32'h40004851,32'h401cca2b,// invsqrt(0.2016) = 2.2271 +32'h3e9e9363,32'h3fe1663c,32'h3fea996e, 32'h3fda7fd7,32'h3ff17fd3, 32'h3fceffd9,32'h3ffcffd1,// invsqrt(0.3097) = 1.7969 +32'h411bea27,32'h3ea0bc5c,32'h3ea74be2, 32'h3e9bd0b7,32'h3eac3787, 32'h3e939d4f,32'h3eb46aef,// invsqrt(9.7447) = 0.3203 +32'h3f70950b,32'h3f8165a3,32'h3f86adb5, 32'h3f7adf2d,32'h3f8aa3c1, 32'h3f6dab02,32'h3f913dd7,// invsqrt(0.9398) = 1.0315 +32'h3dd21264,32'h4043d564,32'h404bd3a6, 32'h403dd6b2,32'h4051d258, 32'h4033d8de,32'h405bd02c,// invsqrt(0.1026) = 3.1223 +32'h3e183a88,32'h4022ab8e,32'h40294f4b, 32'h401db0c1,32'h402e4a19, 32'h40156415,32'h403696c5,// invsqrt(0.1487) = 2.5936 +32'h3fb22623,32'h3f54a827,32'h3f5d5633, 32'h3f4e259e,32'h3f63d8bc, 32'h3f434c0f,32'h3f6eb24b,// invsqrt(1.3918) = 0.8476 +32'h3f845ed9,32'h3f76b41d,32'h3f8062f5, 32'h3f6f26c3,32'h3f8429a2, 32'h3f629083,32'h3f8a74c2,// invsqrt(1.0341) = 0.9834 +32'h40ee9303,32'h3eb7c374,32'h3ebf4398, 32'h3eb22359,32'h3ec4e3b3, 32'h3ea8c32c,32'h3ece43e0,// invsqrt(7.4554) = 0.3662 +32'h40e4a1c9,32'h3ebbb76f,32'h3ec360e1, 32'h3eb5f859,32'h3ec91ff7, 32'h3eac648a,32'h3ed2b3c6,// invsqrt(7.1447) = 0.3741 +32'h3f341bad,32'h3f958d10,32'h3f9ba7b8, 32'h3f90f912,32'h3fa03bb6, 32'h3f8957c0,32'h3fa7dd08,// invsqrt(0.7035) = 1.1922 +32'h3f335b54,32'h3f95dd2c,32'h3f9bfb18, 32'h3f9146ba,32'h3fa0918a, 32'h3f89a152,32'h3fa836f2,// invsqrt(0.7006) = 1.1947 +32'h3f42b125,32'h3f8fd73d,32'h3f95b63b, 32'h3f8b6fff,32'h3f9a1d79, 32'h3f841943,32'h3fa17435,// invsqrt(0.7605) = 1.1467 +32'h40ab9c85,32'h3ed8ab6a,32'h3ee18364, 32'h3ed2096f,32'h3ee8255f, 32'h3ec6fb76,32'h3ef33358,// invsqrt(5.3629) = 0.4318 +32'h3e23b2c4,32'h401cde2b,32'h40234547, 32'h401810d6,32'h4028129c, 32'h40100ff3,32'h4030137f,// invsqrt(0.1599) = 2.5011 +32'h40666ae9,32'h3f043867,32'h3f099df8, 32'h3f002c39,32'h3f0daa25, 32'h3ef2da88,32'h3f14691a,// invsqrt(3.6003) = 0.5270 +32'h4012d1c7,32'h3f25a3bf,32'h3f2c6682, 32'h3f2091ab,32'h3f317895, 32'h3f181e36,32'h3f39ec0a,// invsqrt(2.2941) = 0.6602 +32'h3faf342d,32'h3f566fd0,32'h3f5f3076, 32'h3f4fdf54,32'h3f65c0f2, 32'h3f44ee86,32'h3f70b1c0,// invsqrt(1.3688) = 0.8547 +32'h3f31682d,32'h3f96af6c,32'h3f9cd5ee, 32'h3f92128b,32'h3fa172cf, 32'h3f8a6268,32'h3fa922f2,// invsqrt(0.6930) = 1.2013 +32'h4000eff6,32'h3f30c0c1,32'h3f37f7a5, 32'h3f2b5797,32'h3f3d60cf, 32'h3f2252fb,32'h3f46656b,// invsqrt(2.0146) = 0.7045 +32'h3d0f97e5,32'h40a77d71,32'h40ae538a, 32'h40a25cdd,32'h40b3741d, 32'h4099d13d,32'h40bbffbd,// invsqrt(0.0351) = 5.3409 +32'h3f3966ee,32'h3f936682,32'h3f996ab2, 32'h3f8ee35f,32'h3f9dedd5, 32'h3f875e24,32'h3fa57310,// invsqrt(0.7242) = 1.1751 +32'h3fae1ea4,32'h3f571a72,32'h3f5fe20e, 32'h3f5084bd,32'h3f6677c3, 32'h3f458b3a,32'h3f717146,// invsqrt(1.3603) = 0.8574 +32'h3eaaa875,32'h3fd94621,32'h3fe2246c, 32'h3fd29f6a,32'h3fe8cb24, 32'h3fc7898d,32'h3ff3e101,// invsqrt(0.3333) = 1.7321 +32'h4028520b,32'h3f1ab2f3,32'h3f210365, 32'h3f15f69d,32'h3f25bfbb, 32'h3f0e120e,32'h3f2da44a,// invsqrt(2.6300) = 0.6166 +32'h3dd0855d,32'h40448f7b,32'h404c9556, 32'h403e8b16,32'h405299ba, 32'h403483c4,32'h405ca10c,// invsqrt(0.1018) = 3.1339 +32'h3f505ed9,32'h3f8b0a17,32'h3f90b6e9, 32'h3f86c87a,32'h3f94f886, 32'h3f7f60e6,32'h3f9c108d,// invsqrt(0.8139) = 1.1084 +32'h41a5582c,32'h3e5cbcd1,32'h3e65bf4d, 32'h3e55faf5,32'h3e6c8129, 32'h3e4ab7da,32'h3e77c444,// invsqrt(20.6681) = 0.2200 +32'h3faf640f,32'h3f565289,32'h3f5f11fc, 32'h3f4fc2f2,32'h3f65a192, 32'h3f44d3a2,32'h3f7090e2,// invsqrt(1.3702) = 0.8543 +32'h3f010e18,32'h3fb0ac1d,32'h3fb7e229, 32'h3fab4394,32'h3fbd4ab2, 32'h3fa24006,32'h3fc64e40,// invsqrt(0.5041) = 1.4084 +32'h3f71fd3f,32'h3f810531,32'h3f864953, 32'h3f7a2431,32'h3f8a3c6c, 32'h3f6cf9dd,32'h3f90d195,// invsqrt(0.9453) = 1.0285 +32'h3ea1e179,32'h3fdf1629,32'h3fe83130, 32'h3fd841e4,32'h3fef0576, 32'h3fcce01c,32'h3ffa673e,// invsqrt(0.3162) = 1.7784 +32'h3f8f6404,32'h3f6d08b1,32'h3f76b575, 32'h3f65c71f,32'h3f7df707, 32'h3f59af2b,32'h3f85077e,// invsqrt(1.1202) = 0.9448 +32'h3f41ad50,32'h3f903799,32'h3f961a85, 32'h3f8bcd68,32'h3f9a84b6, 32'h3f8471c1,32'h3fa1e05d,// invsqrt(0.7566) = 1.1497 +32'h3f5147f3,32'h3f8abc92,32'h3f90663a, 32'h3f867d54,32'h3f94a578, 32'h3f7ed284,32'h3f9bb98a,// invsqrt(0.8175) = 1.1060 +32'h3f4e201d,32'h3f8bcb67,32'h3f91801d, 32'h3f8783df,32'h3f95c7a5, 32'h3f8061fb,32'h3f9ce989,// invsqrt(0.8052) = 1.1144 +32'h40033736,32'h3f2f3634,32'h3f365cfc, 32'h3f29d91d,32'h3f3bba13, 32'h3f20e8a2,32'h3f44aa8e,// invsqrt(2.0502) = 0.6984 +32'h408e0881,32'h3eee29fa,32'h3ef7e28c, 32'h3ee6df8c,32'h3eff2cfa, 32'h3edab8d6,32'h3f05a9d8,// invsqrt(4.4385) = 0.4747 +32'h40b14b6b,32'h3ed52b2b,32'h3eddde90, 32'h3ecea49f,32'h3ee4651b, 32'h3ec3c460,32'h3eef455a,// invsqrt(5.5405) = 0.4248 +32'h3fdda5a6,32'h3f3ea6c3,32'h3f466ee0, 32'h3f38d0ae,32'h3f4c44f6, 32'h3f2f168a,32'h3f55ff1a,// invsqrt(1.7316) = 0.7599 +32'h3c09d979,32'h412af19f,32'h4131ebcf, 32'h4125b5fb,32'h41372773, 32'h411cfd3e,32'h413fe030,// invsqrt(0.0084) = 10.9020 +32'h43902ca7,32'h3d6c6389,32'h3d76098f, 32'h3d652705,32'h3d7d4613, 32'h3d59177e,32'h3d84aacd,// invsqrt(288.3488) = 0.0589 +32'h3fb8cb00,32'h3f50cc88,32'h3f595244, 32'h3f4a683a,32'h3f5fb692, 32'h3f3fc10f,32'h3f6a5dbd,// invsqrt(1.4437) = 0.8323 +32'h3f891d0c,32'h3f72662a,32'h3f7c4afd, 32'h3f6afa8d,32'h3f81db4e, 32'h3f5e9c85,32'h3f880a51,// invsqrt(1.0712) = 0.9662 +32'h408f3464,32'h3eed3018,32'h3ef6de76, 32'h3ee5ed50,32'h3efe213e, 32'h3ed9d35a,32'h3f051d9a,// invsqrt(4.4751) = 0.4727 +32'h3e83d445,32'h3ff735a5,32'h4000a65e, 32'h3fefa455,32'h40046f07, 32'h3fe30779,32'h400abd75,// invsqrt(0.2575) = 1.9707 +32'h3edb969e,32'h3fbf8b05,32'h3fc75c73, 32'h3fb9adf3,32'h3fcd3985, 32'h3fafe829,32'h3fd6ff4f,// invsqrt(0.4289) = 1.5270 +32'h4002bc5b,32'h3f2f8874,32'h3f36b298, 32'h3f2a28d9,32'h3f3c1233, 32'h3f21342c,32'h3f4506e0,// invsqrt(2.0427) = 0.6997 +32'h3eeea186,32'h3fb7bdde,32'h3fbf3dc7, 32'h3fb21dee,32'h3fc4ddb6, 32'h3fa8be0a,32'h3fce3d9a,// invsqrt(0.4661) = 1.4648 +32'h3e87c5f6,32'h3ff397ac,32'h3ffd88f7, 32'h3fec22b4,32'h40027ef8, 32'h3fdfb517,32'h4008b5c7,// invsqrt(0.2652) = 1.9419 +32'h3edf03ec,32'h3fbe10d0,32'h3fc5d2ce, 32'h3fb83f51,32'h3fcba44d, 32'h3fae8cd4,32'h3fd556ca,// invsqrt(0.4356) = 1.5152 +32'h3ead19f3,32'h3fd7bc2f,32'h3fe08a65, 32'h3fd12186,32'h3fe7250e, 32'h3fc61fc3,32'h3ff226d1,// invsqrt(0.3381) = 1.7198 +32'h3f1028c9,32'h3fa72930,32'h3fadfbda, 32'h3fa20b32,32'h3fb319d8, 32'h3f9983de,32'h3fbba12c,// invsqrt(0.5631) = 1.3326 +32'h41240eab,32'h3e9cb235,32'h3ea31785, 32'h3e97e638,32'h3ea7e382, 32'h3e8fe794,32'h3eafe226,// invsqrt(10.2536) = 0.3123 +32'h3f9fcddb,32'h3f608808,32'h3f69b228, 32'h3f59a870,32'h3f7091c0, 32'h3f4e33c9,32'h3f7c0667,// invsqrt(1.2485) = 0.8950 +32'h3e8a97d8,32'h3ff11a05,32'h3ffaf149, 32'h3fe9b892,32'h4001295e, 32'h3fdd6b7d,32'h40074fe9,// invsqrt(0.2707) = 1.9220 +32'h3f1550b1,32'h3fa43fde,32'h3faaf41c, 32'h3f9f38b0,32'h3faffb4a, 32'h3f96d764,32'h3fb85c96,// invsqrt(0.5833) = 1.3094 +32'h3f796849,32'h3f7e2c95,32'h3f844639, 32'h3f7664b1,32'h3f882a2c, 32'h3f696cdd,32'h3f8ea615,// invsqrt(0.9742) = 1.0131 +32'h40234bc8,32'h3f1d0f9b,32'h3f2378bb, 32'h3f1840c2,32'h3f284794, 32'h3f103d5a,32'h3f304afc,// invsqrt(2.5515) = 0.6260 +32'h3e582d5d,32'h4008817c,32'h400e13d4, 32'h400453b9,32'h40124197, 32'h3ffab995,32'h40193886,// invsqrt(0.2111) = 2.1764 +32'h3fa8cb0b,32'h3f5a788e,32'h3f63635a, 32'h3f53c875,32'h3f6a1373, 32'h3f48a2f5,32'h3f7538f3,// invsqrt(1.3187) = 0.8708 +32'h3f9a6ad5,32'h3f646a07,32'h3f6dbcb9, 32'h3f5d6c02,32'h3f74babe, 32'h3f51c4a3,32'h3f80310e,// invsqrt(1.2064) = 0.9105 +32'h3fe1106a,32'h3f3d32d7,32'h3f44ebc5, 32'h3f376824,32'h3f4ab678, 32'h3f2dc0f9,32'h3f545da3,// invsqrt(1.7583) = 0.7541 +32'h3ebe40e0,32'h3fcdc7e1,32'h3fd62e13, 32'h3fc77b3a,32'h3fdc7aba, 32'h3fbcfb7b,32'h3fe6fa79,// invsqrt(0.3716) = 1.6405 +32'h3ed4412b,32'h3fc2d2f3,32'h3fcac6a9, 32'h3fbcdc2a,32'h3fd0bd72, 32'h3fb2eb86,32'h3fdaae16,// invsqrt(0.4146) = 1.5531 +32'h404dfa1d,32'h3f0bd84c,32'h3f118d88, 32'h3f07905e,32'h3f15d576, 32'h3f006dd3,32'h3f1cf801,// invsqrt(3.2184) = 0.5574 +32'h406f498f,32'h3f01bf25,32'h3f070add, 32'h3efb8cb5,32'h3f0b03a7, 32'h3eee4f68,32'h3f11a24e,// invsqrt(3.7389) = 0.5172 +32'h409a4f63,32'h3ee47e56,32'h3eedd1dc, 32'h3edd7fb1,32'h3ef4d081, 32'h3ed1d74a,32'h3f003c74,// invsqrt(4.8222) = 0.4554 +32'h3f4235e3,32'h3f9004db,32'h3f95e5b5, 32'h3f8b9c38,32'h3f9a4e58, 32'h3f844327,32'h3fa1a769,// invsqrt(0.7586) = 1.1481 +32'h41623ec7,32'h3e856f17,32'h3e8ae157, 32'h3e815967,32'h3e8ef707, 32'h3e751530,32'h3e95c5d6,// invsqrt(14.1403) = 0.2659 +32'h3f1c8528,32'h3fa06cb1,32'h3fa6f8f7, 32'h3f9b837d,32'h3fabe22b, 32'h3f935425,32'h3fb41183,// invsqrt(0.6114) = 1.2789 +32'h3f7e4e1d,32'h3f7bb6f2,32'h3f82fe8d, 32'h3f740252,32'h3f86d8dd, 32'h3f672a9f,32'h3f8d44b6,// invsqrt(0.9934) = 1.0033 +32'h3c03966e,32'h412ef6c4,32'h41361af6, 32'h41299b9f,32'h413b761b, 32'h4120ae60,32'h4144635a,// invsqrt(0.0080) = 11.1584 +32'h3ddea694,32'h403e38a3,32'h4045fc41, 32'h403865ec,32'h404bcef8, 32'h402eb167,32'h4055837d,// invsqrt(0.1087) = 3.0329 +32'h3e8cdb81,32'h3fef27ea,32'h3ff8eada, 32'h3fe7d5b7,32'h40001e87, 32'h3fdba20b,32'h4006385c,// invsqrt(0.2751) = 1.9065 +32'h3ff9bd8d,32'h3f339bbf,32'h3f3af079, 32'h3f2e1c34,32'h3f407004, 32'h3f24f24c,32'h3f4999ec,// invsqrt(1.9511) = 0.7159 +32'h3f882613,32'h3f7341a2,32'h3f7d2f6a, 32'h3f6bcf4c,32'h3f8250e0, 32'h3f5f6612,32'h3f88857d,// invsqrt(1.0637) = 0.9696 +32'h3f79780b,32'h3f7e248e,32'h3f84420b, 32'h3f765ce8,32'h3f8825de, 32'h3f69657d,32'h3f8ea194,// invsqrt(0.9745) = 1.0130 +32'h3f6c0743,32'h3f82a3ab,32'h3f87f8b7, 32'h3f7d47c4,32'h3f8bf880, 32'h3f6ff325,32'h3f92a2d0,// invsqrt(0.9220) = 1.0414 +32'h410417b0,32'h3eaea114,32'h3eb5c1c6, 32'h3ea9488e,32'h3ebb1a4c, 32'h3ea05faf,32'h3ec4032b,// invsqrt(8.2558) = 0.3480 +32'h3df88501,32'h40340c8c,32'h403b65e0, 32'h402e898d,32'h4040e8df, 32'h402559e4,32'h404a1888,// invsqrt(0.1213) = 2.8707 +32'h3f4d3495,32'h3f8c1b8a,32'h3f91d386, 32'h3f87d18e,32'h3f961d82, 32'h3f80ab94,32'h3f9d437c,// invsqrt(0.8016) = 1.1169 +32'h3f5b02be,32'h3f879eb0,32'h3f8d27c7, 32'h3f8377df,32'h3f914e99, 32'h3f791906,32'h3f9839f5,// invsqrt(0.8555) = 1.0812 +32'h3f47d83f,32'h3f8df96b,32'h3f93c4e7, 32'h3f89a0cd,32'h3f981d85, 32'h3f826272,32'h3f9f5be0,// invsqrt(0.7806) = 1.1318 +32'h3f156941,32'h3fa4325d,32'h3faae60e, 32'h3f9f2b9a,32'h3fafecd2, 32'h3f96cafd,32'h3fb84d6f,// invsqrt(0.5836) = 1.3090 +32'h3fd3aee4,32'h3f431638,32'h3f4b0cae, 32'h3f3d1d60,32'h3f510586, 32'h3f33294e,32'h3f5af998,// invsqrt(1.6538) = 0.7776 +32'h3f5d27ac,32'h3f86f5f8,32'h3f8c782c, 32'h3f82d451,32'h3f9099d3, 32'h3f77e320,32'h3f977c94,// invsqrt(0.8639) = 1.0759 +32'h3eca31e9,32'h3fc79c94,32'h3fcfc251, 32'h3fc18047,32'h3fd5de9f, 32'h3fb7511b,32'h3fe00dcb,// invsqrt(0.3949) = 1.5913 +32'h3f39b500,32'h3f934783,32'h3f994a6f, 32'h3f8ec553,32'h3f9dcc9f, 32'h3f8741ad,32'h3fa55045,// invsqrt(0.7254) = 1.1741 +32'h403a02fc,32'h3f1328a1,32'h3f192a49, 32'h3f0ea762,32'h3f1dab88, 32'h3f072550,32'h3f252d9a,// invsqrt(2.9064) = 0.5866 +32'h3fe289de,32'h3f3c94f6,32'h3f444774, 32'h3f36cf19,32'h3f4a0d51, 32'h3f2d2ffc,32'h3f53ac6e,// invsqrt(1.7698) = 0.7517 +32'h4031dc14,32'h3f167e4b,32'h3f1ca2cc, 32'h3f11e2eb,32'h3f213e2d, 32'h3f0a354b,32'h3f28ebcd,// invsqrt(2.7791) = 0.5999 +32'h3e8a83a4,32'h3ff12b9a,32'h3ffb0395, 32'h3fe9c99c,32'h400132c9, 32'h3fdd7ba2,32'h400759c6,// invsqrt(0.2705) = 1.9226 +32'h3f3820e3,32'h3f93e8ca,32'h3f99f24a, 32'h3f8f61aa,32'h3f9e796a, 32'h3f87d5c9,32'h3fa6054b,// invsqrt(0.7193) = 1.1791 +32'h3d724573,32'h4080f1f6,32'h4086354e, 32'h4079fee7,32'h408a27d0, 32'h406cd68a,32'h4090bbff,// invsqrt(0.0591) = 4.1118 +32'h3fbc947f,32'h3f4eb116,32'h3f5720ce, 32'h3f485d4c,32'h3f5d7498, 32'h3f3dd1a7,32'h3f68003d,// invsqrt(1.4733) = 0.8239 +32'h4092e59e,32'h3eea3021,32'h3ef3bf27, 32'h3ee304dc,32'h3efaea6c, 32'h3ed71214,32'h3f036e9a,// invsqrt(4.5905) = 0.4667 +32'h3e8f0abd,32'h3fed529e,32'h3ff70266, 32'h3fe60ec8,32'h3ffe463c, 32'h3fd9f30f,32'h400530fb,// invsqrt(0.2794) = 1.8919 +32'h40f4a3bf,32'h3eb5789e,32'h3ebce0ce, 32'h3eafea7a,32'h3ec26ef2, 32'h3ea6a83d,32'h3ecbb12f,// invsqrt(7.6450) = 0.3617 +32'h3ec6b1a0,32'h3fc95cd4,32'h3fd194dc, 32'h3fc332cd,32'h3fd7bee3, 32'h3fb8ecc2,32'h3fe204ee,// invsqrt(0.3881) = 1.6053 +32'h3febd591,32'h3f38d3f3,32'h3f405f37, 32'h3f332b81,32'h3f4607a9, 32'h3f29bd6c,32'h3f4f75be,// invsqrt(1.8425) = 0.7367 +32'h3eb6f36a,32'h3fd1d8f7,32'h3fda69a8, 32'h3fcb6c71,32'h3fe0d62d, 32'h3fc0b794,32'h3feb8b0a,// invsqrt(0.3573) = 1.6729 +32'h3e48e5f1,32'h400d99ff,32'h40136197, 32'h4009444e,32'h4017b748, 32'h40020ad0,32'h401ef0c6,// invsqrt(0.1962) = 2.2577 +32'h3f706285,32'h3f81733c,32'h3f86bbdb, 32'h3f7af988,32'h3f8ab252, 32'h3f6dc3fa,32'h3f914d19,// invsqrt(0.9390) = 1.0320 +32'h406683b2,32'h3f04314b,32'h3f099691, 32'h3f002555,32'h3f0da287, 32'h3ef2cd79,32'h3f14611f,// invsqrt(3.6018) = 0.5269 +32'h3f6a5dc8,32'h3f831a0b,32'h3f8873ec, 32'h3f7e2d43,32'h3f8c7754, 32'h3f70cc91,32'h3f9327ae,// invsqrt(0.9155) = 1.0451 +32'h3f7f50dc,32'h3f7b3745,32'h3f82bc1d, 32'h3f738690,32'h3f869478, 32'h3f66b560,32'h3f8cfd10,// invsqrt(0.9973) = 1.0013 +32'h3f335580,32'h3f95df9b,32'h3f9bfda1, 32'h3f914916,32'h3fa09426, 32'h3f89a38e,32'h3fa839ae,// invsqrt(0.7005) = 1.1948 +32'h3f599aff,32'h3f880e9d,32'h3f8d9c45, 32'h3f83e45f,32'h3f91c683, 32'h3f79e698,32'h3f98b796,// invsqrt(0.8500) = 1.0846 +32'h3f9e2ffb,32'h3f61ad03,32'h3f6ae319, 32'h3f5ac474,32'h3f71cba8, 32'h3f4f40d9,32'h3f7d4f43,// invsqrt(1.2358) = 0.8995 +32'h406ea724,32'h3f01eb44,32'h3f0738c9, 32'h3efbe23f,32'h3f0b32ed, 32'h3eeea071,32'h3f11d3d3,// invsqrt(3.7290) = 0.5179 +32'h3f917567,32'h3f6b57d0,32'h3f74f2e8, 32'h3f64237e,32'h3f7c273a, 32'h3f5821a0,32'h3f84148c,// invsqrt(1.1364) = 0.9381 +32'h3e50e3d7,32'h400addcd,32'h401088d1, 32'h40069d8b,32'h4014c913, 32'h3fff0f8e,32'h401bded7,// invsqrt(0.2040) = 2.2141 +32'h3f17b11e,32'h3fa2f52c,32'h3fa99bea, 32'h3f9df81e,32'h3fae98f8, 32'h3f95a7b0,32'h3fb6e966,// invsqrt(0.5925) = 1.2991 +32'h3f9781dc,32'h3f6698eb,32'h3f70026d, 32'h3f5f89ca,32'h3f77118e, 32'h3f53c5e8,32'h3f816ab8,// invsqrt(1.1837) = 0.9192 +32'h3f77ec54,32'h3f7eef10,32'h3f84ab6e, 32'h3f772136,32'h3f88925b, 32'h3f6a1f77,32'h3f8f133a,// invsqrt(0.9684) = 1.0162 +32'h3fb4d0d2,32'h3f531536,32'h3f5bb2d0, 32'h3f4c9f03,32'h3f622903, 32'h3f41da03,32'h3f6cee03,// invsqrt(1.4126) = 0.8414 +32'h408b4ccd,32'h3ef07d38,32'h3efa4e16, 32'h3ee92092,32'h3f00d55e, 32'h3edcdb7d,32'h3f06f7e9,// invsqrt(4.3531) = 0.4793 +32'h4137cf8f,32'h3e94097f,32'h3e9a1455, 32'h3e8f815e,32'h3e9e9c76, 32'h3e87f3d3,32'h3ea62a01,// invsqrt(11.4882) = 0.2950 +32'h3fc5498f,32'h3f4a1441,32'h3f5253c6, 32'h3f43e49c,32'h3f58836a, 32'h3f399536,32'h3f62d2d0,// invsqrt(1.5413) = 0.8055 +32'h404df8ab,32'h3f0bd8ca,32'h3f118e0b, 32'h3f0790d8,32'h3f15d5fc, 32'h3f006e46,32'h3f1cf88e,// invsqrt(3.2183) = 0.5574 +32'h3f3cfd98,32'h3f91fe8b,32'h3f97f409, 32'h3f8d866d,32'h3f9c6c27, 32'h3f86138f,32'h3fa3df05,// invsqrt(0.7382) = 1.1639 +32'h3e726a23,32'h4000e834,32'h40062b26, 32'h3ff9ebfc,32'h400a1d5c, 32'h3fecc49e,32'h4010b10b,// invsqrt(0.2367) = 2.0553 +32'h3f886342,32'h3f730b0c,32'h3f7cf69a, 32'h3f6b9a62,32'h3f8233a2, 32'h3f5f33f1,32'h3f8866da,// invsqrt(1.0655) = 0.9688 +32'h3f5877db,32'h3f8869fd,32'h3f8dfb60, 32'h3f843cf2,32'h3f92286a, 32'h3f7a8e6c,32'h3f991e26,// invsqrt(0.8456) = 1.0875 +32'h4114b26b,32'h3ea49731,32'h3eab4eff, 32'h3e9f8d57,32'h3eb058d9, 32'h3e972796,32'h3eb8be9a,// invsqrt(9.2936) = 0.3280 +32'h3fdc8160,32'h3f3f24f3,32'h3f46f237, 32'h3f394b01,32'h3f4ccc29, 32'h3f2f8a6c,32'h3f568cbe,// invsqrt(1.7227) = 0.7619 +32'h3f236ea2,32'h3f9cfedb,32'h3fa3674c, 32'h3f983085,32'h3fa835a1, 32'h3f902df8,32'h3fb0382f,// invsqrt(0.6384) = 1.2516 +32'h3f9e48e4,32'h3f619b40,32'h3f6ad09c, 32'h3f5ab33c,32'h3f71b8a0, 32'h3f4f3089,32'h3f7d3b53,// invsqrt(1.2366) = 0.8993 +32'h3d4e84e7,32'h408ba946,32'h40915c98, 32'h408762c9,32'h4095a315, 32'h408042a4,32'h409cc33a,// invsqrt(0.0504) = 4.4535 +32'h3e3fc692,32'h4010ee2a,32'h4016d88a, 32'h400c7e62,32'h401b4852, 32'h4005196b,32'h4022ad49,// invsqrt(0.1873) = 2.3108 +32'h3f7c3f20,32'h3f7cbd5a,32'h3f83871d, 32'h3f7500b3,32'h3f876570, 32'h3f681b9c,32'h3f8dd7fc,// invsqrt(0.9853) = 1.0074 +32'h3e9a2c3f,32'h3fe4985f,32'h3fedecf5, 32'h3fdd98ee,32'h3ff4ec66, 32'h3fd1ef33,32'h40004b11,// invsqrt(0.3011) = 1.8223 +32'h3fd8d4cc,32'h3f40c1c9,32'h3f489fe7, 32'h3f3adb34,32'h3f4e867c, 32'h3f31058f,32'h3f585c21,// invsqrt(1.6940) = 0.7683 +32'h3f8ead8a,32'h3f6da014,32'h3f775306, 32'h3f6659e0,32'h3f7e993a, 32'h3f5a3a32,32'h3f855c74,// invsqrt(1.1147) = 0.9472 +32'h3f8c0933,32'h3f6fdb3c,32'h3f79a57d, 32'h3f68838b,32'h3f807e97, 32'h3f5c46b9,32'h3f869cff,// invsqrt(1.0940) = 0.9561 +32'h3f84e801,32'h3f7634b0,32'h3f8020a5, 32'h3f6eab3d,32'h3f83e55e, 32'h3f621b7d,32'h3f8a2d3e,// invsqrt(1.0383) = 0.9814 +32'h3f593d05,32'h3f882c07,32'h3f8dbae3, 32'h3f8400e2,32'h3f91e608, 32'h3f7a1c9f,32'h3f98d89a,// invsqrt(0.8486) = 1.0856 +32'h3e24c75b,32'h401c5a4c,32'h4022bc06, 32'h40179101,32'h40278551, 32'h400f96d8,32'h402f7f7a,// invsqrt(0.1609) = 2.4929 +32'h3a335aa8,32'h4215dd73,32'h421bfb63, 32'h421146ff,32'h422091d7, 32'h4209a194,32'h42283742,// invsqrt(0.0007) = 38.2309 +32'h3ee97146,32'h3fb9c5b9,32'h3fc15adb, 32'h3fb415e0,32'h3fc70ab4, 32'h3faa9b76,32'h3fd0851e,// invsqrt(0.4559) = 1.4810 +32'h3eba7bd3,32'h3fcfd9ac,32'h3fd8557e, 32'h3fc97cce,32'h3fdeb25c, 32'h3fbee206,32'h3fe94d24,// invsqrt(0.3642) = 1.6570 +32'h3f92aa11,32'h3f6a5fa8,32'h3f73f09f, 32'h3f6332ee,32'h3f7b1d58, 32'h3f573db9,32'h3f838946,// invsqrt(1.1458) = 0.9342 +32'h401bb8ae,32'h3f20d5e2,32'h3f276674, 32'h3f1be976,32'h3f2c52e0, 32'h3f13b4c0,32'h3f348796,// invsqrt(2.4331) = 0.6411 +32'h3f937d06,32'h3f69b7ce,32'h3f7341ec, 32'h3f629038,32'h3f7a6982, 32'h3f56a394,32'h3f832b13,// invsqrt(1.1523) = 0.9316 +32'h403402d7,32'h3f159761,32'h3f1bb275, 32'h3f110312,32'h3f2046c4, 32'h3f09613a,32'h3f27e89c,// invsqrt(2.8127) = 0.5963 +32'h412fd41a,32'h3e975c2f,32'h3e9d89bd, 32'h3e92ba03,32'h3ea22be9, 32'h3e8b0111,32'h3ea9e4db,// invsqrt(10.9893) = 0.3017 +32'h3f6f2435,32'h3f81c946,32'h3f871568, 32'h3f7ba058,32'h3f8b0e82, 32'h3f6e6203,32'h3f91adac,// invsqrt(0.9341) = 1.0346 +32'h3fb24b63,32'h3f5491ef,32'h3f5d3f13, 32'h3f4e1014,32'h3f63c0ee, 32'h3f4337a7,32'h3f6e995b,// invsqrt(1.3929) = 0.8473 +32'h4000f63c,32'h3f30bc74,32'h3f37f32b, 32'h3f2b536c,32'h3f3d5c34, 32'h3f224f08,32'h3f466098,// invsqrt(2.0150) = 0.7045 +32'h3fae694e,32'h3f56ec63,32'h3f5fb21d, 32'h3f505816,32'h3f66466a, 32'h3f4560ed,32'h3f713d93,// invsqrt(1.3626) = 0.8567 +32'h3f93e450,32'h3f696622,32'h3f72ecea, 32'h3f62410c,32'h3f7a1200, 32'h3f565892,32'h3f82fd3d,// invsqrt(1.1554) = 0.9303 +32'h3f4aaeea,32'h3f8cfa04,32'h3f92bb14, 32'h3f88a938,32'h3f970be0, 32'h3f8177e4,32'h3f9e3d34,// invsqrt(0.7917) = 1.1239 +32'h40fde17c,32'h3eb22347,32'h3eb968a3, 32'h3eacaf42,32'h3ebedca8, 32'h3ea39890,32'h3ec7f35a,// invsqrt(7.9338) = 0.3550 +32'h3e847f2d,32'h3ff69602,32'h4000534a, 32'h3fef0994,32'h40041981, 32'h3fe274dd,32'h400a63dc,// invsqrt(0.2588) = 1.9658 +32'h3fef1172,32'h3f3792d6,32'h3f3f10fe, 32'h3f31f438,32'h3f44af9c, 32'h3f289686,32'h3f4e0d4e,// invsqrt(1.8677) = 0.7317 +32'h3f956783,32'h3f6836ec,32'h3f71b154, 32'h3f611b1e,32'h3f78cd22, 32'h3f55421d,32'h3f825312,// invsqrt(1.1672) = 0.9256 +32'h3fe278b0,32'h3f3c9c1d,32'h3f444ee5, 32'h3f36d607,32'h3f4a14fb, 32'h3f2d368e,32'h3f53b474,// invsqrt(1.7693) = 0.7518 +32'h406da9b2,32'h3f023077,32'h3f0780cf, 32'h3efc6869,32'h3f0b7d12, 32'h3eef1f8c,32'h3f122180,// invsqrt(3.7135) = 0.5189 +32'h3dd4f6b7,32'h40427fd7,32'h404a7029, 32'h403c8b9a,32'h40506466, 32'h40329f33,32'h405a50cd,// invsqrt(0.1040) = 3.1011 +32'h3f3bad19,32'h3f928132,32'h3f987c06, 32'h3f8e0514,32'h3f9cf824, 32'h3f868b8c,32'h3fa471ac,// invsqrt(0.7331) = 1.1679 +32'h416c1eac,32'h3e829d31,32'h3e87f1f9, 32'h3e7d3b35,32'h3e8bf190, 32'h3e6fe73f,32'h3e929b8a,// invsqrt(14.7575) = 0.2603 +32'h3f9dc98b,32'h3f61f639,32'h3f6b2f4b, 32'h3f5b0b6c,32'h3f721a18, 32'h3f4f8415,32'h3f7da16f,// invsqrt(1.2327) = 0.9007 +32'h3efef65d,32'h3fb1c273,32'h3fb903db, 32'h3fac5165,32'h3fbe74e9, 32'h3fa33fa3,32'h3fc786ab,// invsqrt(0.4980) = 1.4171 +32'h3ec3a5e6,32'h3fcaec87,32'h3fd334e1, 32'h3fc4b645,32'h3fd96b23, 32'h3fba5bd5,32'h3fe3c593,// invsqrt(0.3821) = 1.6177 +32'h3f52be45,32'h3f8a4125,32'h3f8fe5c3, 32'h3f8605ae,32'h3f94213a, 32'h3f7defd1,32'h3f9b2f00,// invsqrt(0.8232) = 1.1022 +32'h3f9fa4c7,32'h3f60a4e9,32'h3f69d037, 32'h3f59c46f,32'h3f70b0b1, 32'h3f4e4e4e,32'h3f7c26d2,// invsqrt(1.2472) = 0.8954 +32'h3fe0d292,32'h3f3d4cdb,32'h3f4506d9, 32'h3f37815c,32'h3f4ad258, 32'h3f2dd8de,32'h3f547ad6,// invsqrt(1.7564) = 0.7545 +32'h3f40ee19,32'h3f907eff,32'h3f9664d5, 32'h3f8c129e,32'h3f9ad136, 32'h3f84b353,32'h3fa23081,// invsqrt(0.7536) = 1.1519 +32'h3f3bf95b,32'h3f926377,32'h3f985d14, 32'h3f8de842,32'h3f9cd84a, 32'h3f86703f,32'h3fa4504d,// invsqrt(0.7343) = 1.1670 +32'h3ee90756,32'h3fb9efee,32'h3fc186c9, 32'h3fb43ecb,32'h3fc737ed, 32'h3faac239,32'h3fd0b47f,// invsqrt(0.4551) = 1.4823 +32'h3f2e35af,32'h3f980fcc,32'h3f9e44b0, 32'h3f936821,32'h3fa2ec5b, 32'h3f8ba605,32'h3faaae77,// invsqrt(0.6805) = 1.2122 +32'h3f82cb38,32'h3f782fa3,32'h3f812877, 32'h3f7096ab,32'h3f84f4f3, 32'h3f63ed0e,32'h3f8b49c1,// invsqrt(1.0218) = 0.9893 +32'h3f17fd35,32'h3fa2cc5c,32'h3fa97170, 32'h3f9dd08e,32'h3fae6d3e, 32'h3f958235,32'h3fb6bb97,// invsqrt(0.5937) = 1.2978 +32'h3fc433f7,32'h3f4aa302,32'h3f52e85b, 32'h3f446f00,32'h3f591c5e, 32'h3f3a1851,32'h3f63730d,// invsqrt(1.5328) = 0.8077 +32'h3e9a7e04,32'h3fe45bd8,32'h3fedadf6, 32'h3fdd5e42,32'h3ff4ab8c, 32'h3fd1b79d,32'h40002919,// invsqrt(0.3017) = 1.8205 +32'h3f80f4c8,32'h3f79f2bb,32'h3f821338, 32'h3f724bf4,32'h3f85e69b, 32'h3f658b53,32'h3f8c46ec,// invsqrt(1.0075) = 0.9963 +32'h4123f151,32'h3e9cc03b,32'h3ea3261f, 32'h3e97f3d1,32'h3ea7f289, 32'h3e8ff475,32'h3eaff1e5,// invsqrt(10.2464) = 0.3124 +32'h3f1e8b39,32'h3f9f65bf,32'h3fa5e749, 32'h3f9a8497,32'h3faac871, 32'h3f9262aa,32'h3fb2ea5e,// invsqrt(0.6193) = 1.2707 +32'h403b61d0,32'h3f129e9d,32'h3f189aa4, 32'h3f0e2199,32'h3f1d17a9, 32'h3f06a691,32'h3f2492b1,// invsqrt(2.9278) = 0.5844 +32'h3af4247f,32'h41b5a7e3,32'h41bd1201, 32'h41b0184c,32'h41c2a198, 32'h41a6d3a7,32'h41cbe63d,// invsqrt(0.0019) = 23.1704 +32'h4055aedd,32'h3f094cd6,32'h3f0ee77c, 32'h3f0518da,32'h3f131b78, 32'h3efc2f17,32'h3f1a1cc7,// invsqrt(3.3388) = 0.5473 +32'h3feae10e,32'h3f39340e,32'h3f40c33e, 32'h3f3388aa,32'h3f466ea2, 32'h3f2a15af,32'h3f4fe19d,// invsqrt(1.8350) = 0.7382 +32'h40902500,32'h3eec69cf,32'h3ef61017, 32'h3ee52d1a,32'h3efd4ccc, 32'h3ed91d41,32'h3f04ae52,// invsqrt(4.5045) = 0.4712 +32'h3e0a1d8a,32'h402ac77a,32'h4031bff2, 32'h40258d20,32'h4036fa4c, 32'h401cd68a,32'h403fb0e2,// invsqrt(0.1349) = 2.7229 +32'h3fb16156,32'h3f551dff,32'h3f5dd0da, 32'h3f4e97da,32'h3f6456fe, 32'h3f43b848,32'h3f6f3690,// invsqrt(1.3858) = 0.8495 +32'h3eba7ab8,32'h3fcfda49,32'h3fd85623, 32'h3fc97d66,32'h3fdeb306, 32'h3fbee297,32'h3fe94dd5,// invsqrt(0.3642) = 1.6570 +32'h3f273ab5,32'h3f9b33f1,32'h3fa189a7, 32'h3f9673a8,32'h3fa649f0, 32'h3f8e8884,32'h3fae3514,// invsqrt(0.6532) = 1.2373 +32'h3f85fe25,32'h3f7534a2,32'h3f7f36c8, 32'h3f6db306,32'h3f835c32, 32'h3f613056,32'h3f899d8a,// invsqrt(1.0468) = 0.9774 +32'h3f27cc89,32'h3f9af071,32'h3fa14366, 32'h3f96323a,32'h3fa6019e, 32'h3f8e4a88,32'h3fade950,// invsqrt(0.6555) = 1.2352 +32'h405079d7,32'h3f0b0116,32'h3f10ad8a, 32'h3f06bfbf,32'h3f14eee1, 32'h3eff505d,32'h3f1c0672,// invsqrt(3.2574) = 0.5541 +32'h3feba113,32'h3f38e888,32'h3f4074a3, 32'h3f333f75,32'h3f461db7, 32'h3f29d054,32'h3f4f8cd8,// invsqrt(1.8409) = 0.7370 +32'h3e38660c,32'h4013cd0a,32'h4019d569, 32'h400f46c4,32'h401e5bb0, 32'h4007bc4e,32'h4025e626,// invsqrt(0.1801) = 2.3565 +32'h3f02a5c0,32'h3faf97a3,32'h3fb6c265, 32'h3faa3791,32'h3fbc2277, 32'h3fa1421d,32'h3fc517eb,// invsqrt(0.5103) = 1.3998 +32'h3f82d474,32'h3f7826e0,32'h3f8123e8, 32'h3f708e2d,32'h3f84f041, 32'h3f63e502,32'h3f8b44d7,// invsqrt(1.0221) = 0.9891 +32'h3fd61272,32'h3f41fec7,32'h3f49e9d5, 32'h3f3c0e7d,32'h3f4fda1f, 32'h3f3228ad,32'h3f59bfef,// invsqrt(1.6724) = 0.7733 +32'h3ee52a22,32'h3fbb7f8f,32'h3fc326b9, 32'h3fb5c22f,32'h3fc8e419, 32'h3fac313a,32'h3fd2750e,// invsqrt(0.4476) = 1.4947 +32'h3ff62114,32'h3f34ebd3,32'h3f3c4e45, 32'h3f2f61ff,32'h3f41d819, 32'h3f2626f1,32'h3f4b1327,// invsqrt(1.9229) = 0.7211 +32'h3f84be92,32'h3f765b1a,32'h3f8034a2, 32'h3f6ed079,32'h3f83f9f2, 32'h3f623ec4,32'h3f8a42cd,// invsqrt(1.0371) = 0.9820 +32'h412b032e,32'h3e997a03,32'h3e9fbdaf, 32'h3e94c741,32'h3ea47071, 32'h3e8cf2aa,32'h3eac4508,// invsqrt(10.6883) = 0.3059 +32'h3f4c1588,32'h3f8c7df0,32'h3f9239f0, 32'h3f8830f1,32'h3f9686ef, 32'h3f8105f2,32'h3f9db1ee,// invsqrt(0.7972) = 1.1200 +32'h3f6a4826,32'h3f832018,32'h3f887a38, 32'h3f7e38ff,32'h3f8c7dd0, 32'h3f70d7ae,32'h3f932e79,// invsqrt(0.9152) = 1.0453 +32'h3e2cf2f9,32'h40189d68,32'h401ed814, 32'h4013f168,32'h40238414, 32'h400c2811,32'h402b4d6b,// invsqrt(0.1689) = 2.4333 +32'h3f2e2411,32'h3f98177d,32'h3f9e4cb1, 32'h3f936f96,32'h3fa2f498, 32'h3f8bad15,32'h3faab719,// invsqrt(0.6802) = 1.2125 +32'h3f246ebc,32'h3f9c8468,32'h3fa2e7da, 32'h3f97b9d3,32'h3fa7b26f, 32'h3f8fbd84,32'h3fafaebe,// invsqrt(0.6423) = 1.2477 +32'h410865e3,32'h3eabd9da,32'h3eb2dd85, 32'h3ea6971a,32'h3eb82046, 32'h3e9dd285,32'h3ec0e4db,// invsqrt(8.5249) = 0.3425 +32'h3d76ce66,32'h407f8291,32'h4084f831, 32'h4077b034,32'h4088e160, 32'h406aa6ee,32'h408f6603,// invsqrt(0.0603) = 4.0738 +32'h3fdff861,32'h3f3da8fa,32'h3f4566bb, 32'h3f37daa9,32'h3f4b350b, 32'h3f2e2d77,32'h3f54e23d,// invsqrt(1.7498) = 0.7560 +32'h3e6d3f9a,32'h40024d90,32'h40079f18, 32'h3ffca0d3,32'h400b9c3f, 32'h3fef54fe,32'h40124229,// invsqrt(0.2317) = 2.0775 +32'h3da5efeb,32'h405c57cc,32'h40655628, 32'h40559907,32'h406c14ed, 32'h404a5b14,32'h407752e0,// invsqrt(0.0810) = 3.5131 +32'h40cb9152,32'h3ec6efff,32'h3ecf0eb1, 32'h3ec0d8fa,32'h3ed525b6, 32'h3eb6b29c,32'h3edf4c14,// invsqrt(6.3615) = 0.3965 +32'h3f1723bf,32'h3fa34150,32'h3fa9eb2a, 32'h3f9e41ed,32'h3faeea8d, 32'h3f95ed9d,32'h3fb73edd,// invsqrt(0.5904) = 1.3015 +32'h3f869b28,32'h3f74a575,32'h3f7ea1c3, 32'h3f6d283b,32'h3f830f7f, 32'h3f60acd9,32'h3f894d2f,// invsqrt(1.0516) = 0.9752 +32'h3e034f5c,32'h402f2617,32'h40364c37, 32'h4029c97f,32'h403ba8cf, 32'h4020d9d6,32'h40449878,// invsqrt(0.1282) = 2.7926 +32'h3ed22520,32'h3fc3cca9,32'h3fcbca91, 32'h3fbdce3c,32'h3fd1c8fe, 32'h3fb3d0da,32'h3fdbc660,// invsqrt(0.4104) = 1.5609 +32'h4086dae7,32'h3ef46b9c,32'h3efe658e, 32'h3eecf027,32'h3f02f081, 32'h3ee077b9,32'h3f092cb8,// invsqrt(4.2142) = 0.4871 +32'h3fa0b9ac,32'h3f5fe314,32'h3f690678, 32'h3f590889,32'h3f6fe103, 32'h3f4d9c4c,32'h3f7b4d40,// invsqrt(1.2557) = 0.8924 +32'h3f9d2f74,32'h3f6264df,32'h3f6ba275, 32'h3f5b76ae,32'h3f7290a6, 32'h3f4fe9b3,32'h3f7e1da1,// invsqrt(1.2280) = 0.9024 +32'h3f343cd7,32'h3f957f4d,32'h3f9b9965, 32'h3f90ebbb,32'h3fa02cf7, 32'h3f894b1d,32'h3fa7cd95,// invsqrt(0.7041) = 1.1918 +32'h3e8a67b9,32'h3ff143eb,32'h3ffb1ce5, 32'h3fe9e130,32'h40013fd0, 32'h3fdd91f7,32'h4007676c,// invsqrt(0.2703) = 1.9234 +32'h3f72e2ef,32'h3f80c822,32'h3f8609c5, 32'h3f79adce,32'h3f89faff, 32'h3f6c89b5,32'h3f908d0b,// invsqrt(0.9488) = 1.0266 +32'h4007b8a0,32'h3f2c4769,32'h3f334f8d, 32'h3f27014e,32'h3f3895a8, 32'h3f1e3722,32'h3f415fd4,// invsqrt(2.1206) = 0.6867 +32'h3f38e647,32'h3f9399c1,32'h3f99a007, 32'h3f8f150c,32'h3f9e24bc, 32'h3f878d34,32'h3fa5ac94,// invsqrt(0.7223) = 1.1767 +32'h40099d79,32'h3f2b16df,32'h3f321294, 32'h3f25da16,32'h3f374f5c, 32'h3f1d1f73,32'h3f4009ff,// invsqrt(2.1502) = 0.6820 +32'h3f3ec516,32'h3f914fd8,32'h3f973e34, 32'h3f8cdd12,32'h3f9bb0fa, 32'h3f85731f,32'h3fa31aed,// invsqrt(0.7452) = 1.1584 +32'h407f9956,32'h3efb13a5,32'h3f02a992, 32'h3ef36405,32'h3f068161, 32'h3ee694a7,32'h3f0ce911,// invsqrt(3.9937) = 0.5004 +32'h3e1243d3,32'h4025f40c,32'h402cba16, 32'h4020df83,32'h4031ce9f, 32'h401867f6,32'h403a462c,// invsqrt(0.1428) = 2.6459 +32'h4168d8ba,32'h3e838764,32'h3e88e5bc, 32'h3e7f0145,32'h3e8cec7e, 32'h3e71956a,32'h3e93a26b,// invsqrt(14.5529) = 0.2621 +32'h3fb08b06,32'h3f559f32,32'h3f5e5754, 32'h3f4f1519,32'h3f64e16d, 32'h3f442ef0,32'h3f6fc797,// invsqrt(1.3792) = 0.8515 +32'h3f32fe5a,32'h3f960413,32'h3f9c2396, 32'h3f916c70,32'h3fa0bb38, 32'h3f89c50c,32'h3fa8629c,// invsqrt(0.6992) = 1.1959 +32'h3f53dc16,32'h3f89e3c4,32'h3f8f8492, 32'h3f85ab29,32'h3f93bd2d, 32'h3f7d444d,32'h3f9ac62f,// invsqrt(0.8276) = 1.0992 +32'h3f889a88,32'h3f72d9db,32'h3f7cc367, 32'h3f6b6ab3,32'h3f821948, 32'h3f5f06c4,32'h3f884b3f,// invsqrt(1.0672) = 0.9680 +32'h3e1a1058,32'h4021b2c9,32'h40284c5f, 32'h401cbf99,32'h402d3f8f, 32'h40147f9f,32'h40357f89,// invsqrt(0.1505) = 2.5781 +32'h3f451a19,32'h3f8ef56d,32'h3f94cb33, 32'h3f8a9519,32'h3f992b87, 32'h3f8349e2,32'h3fa076be,// invsqrt(0.7699) = 1.1397 +32'h3fbb2336,32'h3f4f7ca2,32'h3f57f4a8, 32'h3f49229d,32'h3f5e4ead, 32'h3f3e8c94,32'h3f68e4b6,// invsqrt(1.4620) = 0.8270 +32'h3fe5846a,32'h3f3b5aab,32'h3f430053, 32'h3f359e6c,32'h3f48bc92, 32'h3f2c0f59,32'h3f524ba5,// invsqrt(1.7931) = 0.7468 +32'h3f14b2e1,32'h3fa496f0,32'h3fab4ebb, 32'h3f9f8d17,32'h3fb05893, 32'h3f972759,32'h3fb8be51,// invsqrt(0.5809) = 1.3121 +32'h3fa9beee,32'h3f59db63,32'h3f62bfc5, 32'h3f533019,32'h3f696b0f, 32'h3f48129f,32'h3f748889,// invsqrt(1.3261) = 0.8684 +32'h40dc0446,32'h3ebf5b43,32'h3ec72abe, 32'h3eb97fa7,32'h3ecd065b, 32'h3eafbc4e,32'h3ed6c9b4,// invsqrt(6.8755) = 0.3814 +32'h3f14c463,32'h3fa48d40,32'h3fab44a6, 32'h3f9f83b4,32'h3fb04e32, 32'h3f971e74,32'h3fb8b372,// invsqrt(0.5811) = 1.3118 +32'h3fa6a216,32'h3f5be1e0,32'h3f64db6c, 32'h3f5526b7,32'h3f6b9695, 32'h3f49eec9,32'h3f76ce83,// invsqrt(1.3018) = 0.8764 +32'h407e6f26,32'h3efba69a,32'h3f02f60d, 32'h3ef3f27c,32'h3f06d01c, 32'h3ee71b9e,32'h3f0d3b8b,// invsqrt(3.9755) = 0.5015 +32'h3f0994f9,32'h3fab1c28,32'h3fb21814, 32'h3fa5df36,32'h3fb75506, 32'h3f9d244e,32'h3fc00fee,// invsqrt(0.5374) = 1.3641 +32'h3fee0a73,32'h3f37f823,32'h3f3f7a6d, 32'h3f32566b,32'h3f451c25, 32'h3f28f38e,32'h3f4e7f02,// invsqrt(1.8597) = 0.7333 +32'h3d6d26b3,32'h40825467,32'h4087a637, 32'h407cae16,32'h408ba393, 32'h406f618e,32'h409249d7,// invsqrt(0.0579) = 4.1559 +32'h3f9e2013,32'h3f61b85d,32'h3f6aeee9, 32'h3f5acf74,32'h3f71d7d2, 32'h3f4f4b46,32'h3f7d5c00,// invsqrt(1.2354) = 0.8997 +32'h3ec33c30,32'h3fcb236f,32'h3fd36e06, 32'h3fc4eb7e,32'h3fd9a5f8, 32'h3fba8e42,32'h3fe40334,// invsqrt(0.3813) = 1.6194 +32'h40cb670e,32'h3ec704aa,32'h3ecf2433, 32'h3ec0ed02,32'h3ed53bda, 32'h3eb6c596,32'h3edf6346,// invsqrt(6.3563) = 0.3966 +32'h3fb50006,32'h3f52f9ae,32'h3f5b9628, 32'h3f4c8452,32'h3f620b84, 32'h3f41c0ba,32'h3f6ccf1c,// invsqrt(1.4141) = 0.8409 +32'h3f52124d,32'h3f8a79b1,32'h3f90209e, 32'h3f863c7f,32'h3f945dcf, 32'h3f7e57ad,32'h3f9b6e78,// invsqrt(0.8206) = 1.1039 +32'h3eadbdd9,32'h3fd75655,32'h3fe02063, 32'h3fd0becb,32'h3fe6b7ed, 32'h3fc5c239,32'h3ff1b47f,// invsqrt(0.3393) = 1.7167 +32'h403c23bd,32'h3f1252f9,32'h3f184be9, 32'h3f0dd845,32'h3f1cc69d, 32'h3f066119,32'h3f243dc9,// invsqrt(2.9397) = 0.5832 +32'h3f8f238e,32'h3f6d3e0a,32'h3f76ecfb, 32'h3f65fad6,32'h3f7e3030, 32'h3f59e029,32'h3f85256e,// invsqrt(1.1183) = 0.9456 +32'h40ed6a9b,32'h3eb83606,32'h3ebfbad8, 32'h3eb29269,32'h3ec55e75, 32'h3ea92c64,32'h3ecec47a,// invsqrt(7.4193) = 0.3671 +32'h3fc5fce4,32'h3f49b8a7,32'h3f51f46f, 32'h3f438bd1,32'h3f582145, 32'h3f394117,32'h3f626bff,// invsqrt(1.5468) = 0.8041 +32'h3e8fa3bc,32'h3fecd418,32'h3ff67eb6, 32'h3fe59422,32'h3ffdbeac, 32'h3fd97edd,32'h4004e9f9,// invsqrt(0.2805) = 1.8880 +32'h3f5ce7f8,32'h3f87096c,32'h3f8c8c6b, 32'h3f82e72c,32'h3f90aeaa, 32'h3f7806da,32'h3f979269,// invsqrt(0.8629) = 1.0765 +32'h40770a79,32'h3eff637e,32'h3f04e805, 32'h3ef79214,32'h3f08d0ba, 32'h3eea8a64,32'h3f0f5492,// invsqrt(3.8600) = 0.5090 +32'h3edd987b,32'h3fbeac6d,32'h3fc674c5, 32'h3fb8d62b,32'h3fcc4b07, 32'h3faf1bbd,32'h3fd60575,// invsqrt(0.4328) = 1.5200 +32'h3f871307,32'h3f7438cf,32'h3f7e30ad, 32'h3f6cbee8,32'h3f82d54a, 32'h3f604911,32'h3f891035,// invsqrt(1.0553) = 0.9735 +32'h405a9c1a,32'h3f07be83,32'h3f0d48e7, 32'h3f0396b9,32'h3f1170b1, 32'h3ef95379,32'h3f185dae,// invsqrt(3.4158) = 0.5411 +32'h3ec1cde2,32'h3fcbe30e,32'h3fd43578, 32'h3fc5a540,32'h3fda7346, 32'h3fbb3e3c,32'h3fe4da4a,// invsqrt(0.3785) = 1.6254 +32'h3fbb5c8c,32'h3f4f5ce0,32'h3f57d39a, 32'h3f4903d4,32'h3f5e2ca6, 32'h3f3e6f6a,32'h3f68c110,// invsqrt(1.4638) = 0.8265 +32'h401b8107,32'h3f20f2a7,32'h3f278465, 32'h3f1c0559,32'h3f2c71b3, 32'h3f13cf2c,32'h3f34a7e0,// invsqrt(2.4298) = 0.6415 +32'h40fb4e23,32'h3eb30c5f,32'h3eba5b3f, 32'h3ead9138,32'h3ebfd666, 32'h3ea46ea1,32'h3ec8f8fd,// invsqrt(7.8533) = 0.3568 +32'h3e6fb967,32'h4001a0dd,32'h4006eb59, 32'h3ffb5200,32'h400ae336, 32'h3fee17ca,32'h40118051,// invsqrt(0.2341) = 2.0668 +32'h3fcef589,32'h3f454cfe,32'h3f4d5a96, 32'h3f3f42cd,32'h3f5364c7, 32'h3f3531cf,32'h3f5d75c5,// invsqrt(1.6169) = 0.7864 +32'h410b2743,32'h3eaa241d,32'h3eb115ea, 32'h3ea4eec4,32'h3eb64b44, 32'h3e9c4084,32'h3ebef984,// invsqrt(8.6971) = 0.3391 +32'h3f98b4e8,32'h3f65b0a2,32'h3f6f10a8, 32'h3f5ea89d,32'h3f7618ad, 32'h3f52f094,32'h3f80e85b,// invsqrt(1.1930) = 0.9155 +32'h3f39f61c,32'h3f932db9,32'h3f992f97, 32'h3f8eac53,32'h3f9db0fd, 32'h3f8729fe,32'h3fa53352,// invsqrt(0.7264) = 1.1733 +32'h3d5e49b4,32'h40869dcf,32'h408c1c6a, 32'h40827edc,32'h40903b5e, 32'h40774134,32'h409719a0,// invsqrt(0.0543) = 4.2926 +32'h3cd28215,32'h40c3a169,32'h40cb9d8d, 32'h40bda44e,32'h40d19aa8, 32'h40b3a922,32'h40db95d4,// invsqrt(0.0257) = 6.2382 +32'h3fb5267e,32'h3f52e346,32'h3f5b7ed6, 32'h3f4c6e9a,32'h3f61f382, 32'h3f41ac26,32'h3f6cb5f6,// invsqrt(1.4152) = 0.8406 +32'h3ff0733f,32'h3f370b96,32'h3f3e8438, 32'h3f31711b,32'h3f441eb3, 32'h3f281a50,32'h3f4d757e,// invsqrt(1.8785) = 0.7296 +32'h400c606d,32'h3f2965eb,32'h3f304ff5, 32'h3f243664,32'h3f357f7c, 32'h3f1b91d8,32'h3f3e2408,// invsqrt(2.1934) = 0.6752 +32'h3ec7c71d,32'h3fc8d0cb,32'h3fd1031c, 32'h3fc2ab0d,32'h3fd728d9, 32'h3fb86c28,32'h3fe167bf,// invsqrt(0.3902) = 1.6009 +32'h4048f926,32'h3f0d933b,32'h3f135a8b, 32'h3f093dbe,32'h3f17b008, 32'h3f020499,32'h3f1ee92d,// invsqrt(3.1402) = 0.5643 +32'h40308f6f,32'h3f170bcd,32'h3f1d3615, 32'h3f126c18,32'h3f21d5ca, 32'h3f0ab73f,32'h3f298aa3,// invsqrt(2.7588) = 0.6021 +32'h3f5f29d4,32'h3f865a25,32'h3f8bd5fd, 32'h3f823d43,32'h3f8ff2df, 32'h3f76c4eb,32'h3f96cdac,// invsqrt(0.8717) = 1.0710 +32'h3fac2daf,32'h3f585000,32'h3f61243f, 32'h3f51b0d2,32'h3f67c36e, 32'h3f46a783,32'h3f72ccbd,// invsqrt(1.3451) = 0.8622 +32'h3da85ff9,32'h405abdfa,32'h4063ab9c, 32'h40540bc1,32'h406a5dd5, 32'h4048e2b7,32'h407586df,// invsqrt(0.0822) = 3.4876 +32'h3f855a3a,32'h3f75cb28,32'h3f7fd372, 32'h3f6e44f0,32'h3f83acd5, 32'h3f61ba92,32'h3f89f204,// invsqrt(1.0418) = 0.9797 +32'h3f0e9f3b,32'h3fa80f34,32'h3faeeb40, 32'h3fa2ea2a,32'h3fb4104a, 32'h3f9a571b,32'h3fbca359,// invsqrt(0.5571) = 1.3398 +32'h3f832802,32'h3f77d7c8,32'h3f80fabf, 32'h3f704181,32'h3f84c5e2, 32'h3f639c60,32'h3f8b1873,// invsqrt(1.0247) = 0.9879 +32'h40599fde,32'h3f080d17,32'h3f0d9aaf, 32'h3f03e2e4,32'h3f11c4e2, 32'h3ef9e3cc,32'h3f18b5e0,// invsqrt(3.4004) = 0.5423 +32'h3e96667b,32'h3fe771c3,32'h3ff0e41f, 32'h3fe05bff,32'h3ff7f9e3, 32'h3fd48d0c,32'h4001e46b,// invsqrt(0.2938) = 1.8451 +32'h3ec0397d,32'h3fccb915,32'h3fd5143b, 32'h3fc674b9,32'h3fdb5897, 32'h3fbc02ca,32'h3fe5ca86,// invsqrt(0.3754) = 1.6320 +32'h3fdd927a,32'h3f3eaf03,32'h3f467776, 32'h3f38d8ac,32'h3f4c4dcc, 32'h3f2f1e1c,32'h3f56085c,// invsqrt(1.7310) = 0.7601 +32'h3f0c4f28,32'h3fa97057,32'h3fb05ace, 32'h3fa4407f,32'h3fb58aa7, 32'h3f9b9b6b,32'h3fbe2fbb,// invsqrt(0.5481) = 1.3508 +32'h40152414,32'h3f24586d,32'h3f2b0dab, 32'h3f1f507f,32'h3f301599, 32'h3f16edf1,32'h3f387827,// invsqrt(2.3303) = 0.6551 +32'h3e708457,32'h40016a21,32'h4006b261, 32'h3ffae7e2,32'h400aa891, 32'h3fedb342,32'h401142e1,// invsqrt(0.2349) = 2.0634 +32'h4013c799,32'h3f2519c1,32'h3f2bd6e3, 32'h3f200be8,32'h3f30e4bc, 32'h3f179f7d,32'h3f395127,// invsqrt(2.3091) = 0.6581 +32'h3fa7680c,32'h3f5b5fb8,32'h3f6453f4, 32'h3f54a88b,32'h3f6b0b21, 32'h3f497741,32'h3f763c6b,// invsqrt(1.3079) = 0.8744 +32'h3f87c4cf,32'h3f7398b5,32'h3f7d8a0b, 32'h3f6c23b5,32'h3f827f86, 32'h3f5fb60a,32'h3f88b65b,// invsqrt(1.0607) = 0.9710 +32'h3f2cc5f9,32'h3f98b147,32'h3f9eecc3, 32'h3f9404ab,32'h3fa3995f, 32'h3f8c3a51,32'h3fab63b9,// invsqrt(0.6749) = 1.2173 +32'h3f061a5b,32'h3fad50b6,32'h3fb463ae, 32'h3fa8027c,32'h3fb9b1e8, 32'h3f9f2ac7,32'h3fc2899d,// invsqrt(0.5238) = 1.3817 +32'h3ebf36cf,32'h3fcd4360,32'h3fd5a42b, 32'h3fc6fac9,32'h3fdbecc3, 32'h3fbc81cc,32'h3fe665c0,// invsqrt(0.3735) = 1.6363 +32'h3ee5573b,32'h3fbb6d1f,32'h3fc31389, 32'h3fb5b050,32'h3fc8d058, 32'h3fac204c,32'h3fd2605c,// invsqrt(0.4479) = 1.4942 +32'h3f4fbfe0,32'h3f8b3f3f,32'h3f90ee3d, 32'h3f86fc01,32'h3f95317b, 32'h3f7fc289,32'h3f9c4c37,// invsqrt(0.8115) = 1.1101 +32'h3f810830,32'h3f79dfee,32'h3f82096f, 32'h3f7239ba,32'h3f85dc89, 32'h3f657a0f,32'h3f8c3c5e,// invsqrt(1.0081) = 0.9960 +32'h40086bb4,32'h3f2bd630,32'h3f32d9b5, 32'h3f26938d,32'h3f381c59, 32'h3f1dcf28,32'h3f40e0bf,// invsqrt(2.1316) = 0.6849 +32'h40c922cf,32'h3ec822ed,32'h3ed04e26, 32'h3ec20283,32'h3ed66e91, 32'h3eb7cc7c,32'h3ee0a498,// invsqrt(6.2855) = 0.3989 +32'h3f0367e1,32'h3faf15bf,32'h3fb63b35, 32'h3fa9b9a7,32'h3fbb974d, 32'h3fa0cad4,32'h3fc48620,// invsqrt(0.5133) = 1.3958 +32'h3e871f5b,32'h3ff42dab,32'h3ffe2515, 32'h3fecb41b,32'h4002cf52, 32'h3fe03ed6,32'h400909f5,// invsqrt(0.2639) = 1.9466 +32'h3d406ec8,32'h4090aec4,32'h4096968e, 32'h408c40ed,32'h409b0465, 32'h4084df32,32'h40a26620,// invsqrt(0.0470) = 4.6136 +32'h3ee79a47,32'h3fba823f,32'h3fc21f13, 32'h3fb4cca1,32'h3fc7d4b1, 32'h3fab4898,32'h3fd158ba,// invsqrt(0.4523) = 1.4868 +32'h3fd25bc3,32'h3f43b33a,32'h3f4bb018, 32'h3f3db594,32'h3f51adbe, 32'h3f33b97e,32'h3f5ba9d4,// invsqrt(1.6434) = 0.7801 +32'h3f9298e1,32'h3f6a6d65,32'h3f73feeb, 32'h3f634040,32'h3f7b2c10, 32'h3f574a57,32'h3f8390fc,// invsqrt(1.1453) = 0.9344 +32'h3f1aa335,32'h3fa165ee,32'h3fa7fc60, 32'h3f9c7518,32'h3faced36, 32'h3f94390a,32'h3fb52945,// invsqrt(0.6041) = 1.2867 +32'h3f46fb71,32'h3f8e481b,32'h3f9416cd, 32'h3f89ed15,32'h3f9871d3, 32'h3f82aab5,32'h3f9fb433,// invsqrt(0.7773) = 1.1343 +32'h40168975,32'h3f2394e5,32'h3f2a4227, 32'h3f1e92f2,32'h3f2f441a, 32'h3f163a5f,32'h3f379cad,// invsqrt(2.3521) = 0.6520 +32'h3eba4636,32'h3fcff793,32'h3fd8749e, 32'h3fc999ca,32'h3fded266, 32'h3fbefd7c,32'h3fe96eb4,// invsqrt(0.3638) = 1.6579 +32'h3fb4f0c1,32'h3f530294,32'h3f5b9f6c, 32'h3f4c8cf3,32'h3f62150d, 32'h3f41c8e6,32'h3f6cd91a,// invsqrt(1.4136) = 0.8411 +32'h3f7063fb,32'h3f8172d7,32'h3f86bb73, 32'h3f7af8c6,32'h3f8ab1e7, 32'h3f6dc343,32'h3f914ca9,// invsqrt(0.9390) = 1.0320 +32'h3ce2b6f2,32'h40bc8236,32'h40c433f0, 32'h40b6bcec,32'h40c9f93a, 32'h40ad1ec4,32'h40d39762,// invsqrt(0.0277) = 6.0111 +32'h3b2bf76a,32'h41990ce0,32'h419f4c18, 32'h41945d76,32'h41a3fb82, 32'h418c8e70,32'h41abca88,// invsqrt(0.0026) = 19.5217 +32'h400d3fc7,32'h3f28dfc7,32'h3f2fc457, 32'h3f23b45b,32'h3f34efc3, 32'h3f1b16a7,32'h3f3d8d77,// invsqrt(2.2070) = 0.6731 +32'h3fa29c78,32'h3f5e95bf,32'h3f67ab89, 32'h3f57c569,32'h3f6e7bdf, 32'h3f4c6a2d,32'h3f79d71b,// invsqrt(1.2704) = 0.8872 +32'h3e764426,32'h3fffca3f,32'h40051d7f, 32'h3ff7f5b0,32'h400907c6, 32'h3feae8c1,32'h400f8e3d,// invsqrt(0.2405) = 2.0391 +32'h40362e59,32'h3f14b2a1,32'h3f1ac45e, 32'h3f102552,32'h3f1f51ac, 32'h3f088f26,32'h3f26e7d8,// invsqrt(2.8466) = 0.5927 +32'h3e8d729e,32'h3feea808,32'h3ff865c0, 32'h3fe759bf,32'h3fffb409, 32'h3fdb2c9a,32'h4005f097,// invsqrt(0.2763) = 1.9026 +32'h3f99d899,32'h3f64d67b,32'h3f6e2d9b, 32'h3f5dd524,32'h3f752ef2, 32'h3f52283d,32'h3f806dec,// invsqrt(1.2019) = 0.9121 +32'h3f05a14b,32'h3fad9f27,32'h3fb4b552, 32'h3fa84e86,32'h3fba05f2, 32'h3f9f72d0,32'h3fc2e1a8,// invsqrt(0.5220) = 1.3841 +32'h3e0b919e,32'h4029e33e,32'h4030d265, 32'h4024afe0,32'h403605c2, 32'h401c04ef,32'h403eb0b3,// invsqrt(0.1363) = 2.7087 +32'h40ec1a16,32'h3eb8b91f,32'h3ec0434b, 32'h3eb3117f,32'h3ec5eaeb, 32'h3ea9a4c9,32'h3ecf57a1,// invsqrt(7.3782) = 0.3682 +32'h4001229e,32'h3f309e13,32'h3f37d38b, 32'h3f2b35f8,32'h3f3d3ba6, 32'h3f223321,32'h3f463e7d,// invsqrt(2.0177) = 0.7040 +32'h3f10cda4,32'h3fa6c9ed,32'h3fad98b3, 32'h3fa1aed9,32'h3fb2b3c7, 32'h3f992c62,32'h3fbb363e,// invsqrt(0.5656) = 1.3296 +32'h3fe7a2e6,32'h3f3a7ec6,32'h3f421b76, 32'h3f34c943,32'h3f47d0f9, 32'h3f2b4568,32'h3f5154d4,// invsqrt(1.8097) = 0.7434 +32'h3fa2ef37,32'h3f5e5d33,32'h3f6770ad, 32'h3f578e97,32'h3f6e3f49, 32'h3f4c363e,32'h3f7997a2,// invsqrt(1.2729) = 0.8863 +32'h3f9016b0,32'h3f6c758d,32'h3f761c4f, 32'h3f65387c,32'h3f7d5960, 32'h3f592809,32'h3f84b4e9,// invsqrt(1.1257) = 0.9425 +32'h413480e8,32'h3e95631b,32'h3e9b7c0c, 32'h3e90d065,32'h3ea00ec1, 32'h3e893138,32'h3ea7adee,// invsqrt(11.2815) = 0.2977 +32'h406853ad,32'h3f03ad08,32'h3f090cea, 32'h3eff4a40,32'h3f0d14d2, 32'h3ef1da8d,32'h3f13ccac,// invsqrt(3.6301) = 0.5249 +32'h415dcb09,32'h3e86c43b,32'h3e8c4467, 32'h3e82a41a,32'h3e906488, 32'h3e7787c5,32'h3e9744c0,// invsqrt(13.8621) = 0.2686 +32'h400f280c,32'h3f27bed2,32'h3f2e9796, 32'h3f229c3e,32'h3f33ba2a, 32'h3f1a0d48,32'h3f3c4920,// invsqrt(2.2368) = 0.6686 +32'h3f9641ad,32'h3f678e1a,32'h3f71019e, 32'h3f607777,32'h3f781841, 32'h3f54a713,32'h3f81f453,// invsqrt(1.1739) = 0.9230 +32'h3fb70a0f,32'h3f51cbfb,32'h3f5a5c25, 32'h3f4b5fdc,32'h3f60c844, 32'h3f40aba8,32'h3f6b7c78,// invsqrt(1.4300) = 0.8362 +32'h3eee5496,32'h3fb7db84,32'h3fbf5ca3, 32'h3fb23aac,32'h3fc4fd7a, 32'h3fa8d944,32'h3fce5ee2,// invsqrt(0.4655) = 1.4657 +32'h4102c755,32'h3eaf8116,32'h3eb6aaee, 32'h3eaa21b5,32'h3ebc0a4f, 32'h3ea12d68,32'h3ec4fe9c,// invsqrt(8.1737) = 0.3498 +32'h423fe6b7,32'h3e10e206,32'h3e16cbe7, 32'h3e0c729e,32'h3e1b3b50, 32'h3e050e45,32'h3e229fa9,// invsqrt(47.9753) = 0.1444 +32'h3e4dfae5,32'h400bd808,32'h40118d42, 32'h4007901d,32'h4015d52d, 32'h40006d94,32'h401cf7b6,// invsqrt(0.2012) = 2.2297 +32'h3e5296d6,32'h400a4e16,32'h400ff33c, 32'h4006123a,32'h40142f18, 32'h3ffe0797,32'h401b3d87,// invsqrt(0.2057) = 2.2051 +32'h422a8629,32'h3e19b23c,32'h3e1ff834, 32'h3e14fdc2,32'h3e24acae, 32'h3e0d264c,32'h3e2c8424,// invsqrt(42.6310) = 0.1532 +32'h3ffe5005,32'h3f31fc8c,32'h3f394054, 32'h3f2c89b7,32'h3f3eb329, 32'h3f2374fe,32'h3f47c7e2,// invsqrt(1.9868) = 0.7094 +32'h40857ba1,32'h3ef5ac65,32'h3effb36f, 32'h3eee271e,32'h3f039c5b, 32'h3ee19e53,32'h3f09e0c1,// invsqrt(4.1713) = 0.4896 +32'h3fab98ea,32'h3f58adb1,32'h3f6185c3, 32'h3f520ba4,32'h3f6827d0, 32'h3f46fd8e,32'h3f7335e6,// invsqrt(1.3406) = 0.8637 +32'h4073baa7,32'h3f008f18,32'h3f05ce67, 32'h3ef93f38,32'h3f09bde2, 32'h3eec20f1,32'h3f104d05,// invsqrt(3.8083) = 0.5124 +32'h3f6e5ced,32'h3f81ff7c,32'h3f874dd4, 32'h3f7c0972,32'h3f8b4897, 32'h3f6ec595,32'h3f91ea86,// invsqrt(0.9311) = 1.0363 +32'h3fd70f09,32'h3f418cb9,32'h3f49731e, 32'h3f3b9fec,32'h3f4f5fea, 32'h3f31bfed,32'h3f593fe9,// invsqrt(1.6801) = 0.7715 +32'h3fba7dd5,32'h3f4fd88d,32'h3f585455, 32'h3f497bb8,32'h3f5eb12a, 32'h3f3ee0ff,32'h3f694be3,// invsqrt(1.4570) = 0.8285 +32'h3f2e0082,32'h3f982706,32'h3f9e5cdd, 32'h3f937ea6,32'h3fa3053e, 32'h3f8bbb5a,32'h3faac88a,// invsqrt(0.6797) = 1.2129 +32'h3e535e1f,32'h400a0cd4,32'h400faf50, 32'h4005d2f8,32'h4013e92c, 32'h3ffd8fba,32'h401af447,// invsqrt(0.2064) = 2.2011 +32'h414a5d6d,32'h3e8d1663,32'h3e92d89b, 32'h3e88c4b9,32'h3e972a45, 32'h3e8191f2,32'h3e9e5d0c,// invsqrt(12.6478) = 0.2812 +32'h3eb58590,32'h3fd2ac05,32'h3fdb4553, 32'h3fcc390a,32'h3fe1b84e, 32'h3fc17968,32'h3fec77f0,// invsqrt(0.3545) = 1.6795 +32'h4042310a,32'h3f1006a7,32'h3f15e793, 32'h3f0b9df5,32'h3f1a5045, 32'h3f0444cd,32'h3f21a96d,// invsqrt(3.0342) = 0.5741 +32'h3e64a7cc,32'h4004ba94,32'h400a2576, 32'h4000aa6b,32'h400e359f, 32'h3ff3c9a3,32'h4014fb39,// invsqrt(0.2233) = 2.1162 +32'h4208b291,32'h3e2ba9a1,32'h3e32ab53, 32'h3e26685a,32'h3e37ec9a, 32'h3e1da63b,32'h3e40aeb9,// invsqrt(34.1744) = 0.1711 +32'h403136c4,32'h3f16c46d,32'h3f1cebca, 32'h3f1226e6,32'h3f218950, 32'h3f0a75b2,32'h3f293a84,// invsqrt(2.7690) = 0.6010 +32'h3f47c0b4,32'h3f8e01c9,32'h3f93cd9c, 32'h3f89a8e9,32'h3f98267b, 32'h3f826a20,32'h3f9f6544,// invsqrt(0.7803) = 1.1321 +32'h3f751343,32'h3f803495,32'h3f857033, 32'h3f788fbe,32'h3f895ce9, 32'h3f6b7ab4,32'h3f8fe76e,// invsqrt(0.9573) = 1.0220 +32'h3fa7ace9,32'h3f5b32a7,32'h3f64250b, 32'h3f547cdb,32'h3f6adad7, 32'h3f494ddd,32'h3f7609d5,// invsqrt(1.3100) = 0.8737 +32'h3f5f2b51,32'h3f8659b2,32'h3f8bd586, 32'h3f823cd4,32'h3f8ff264, 32'h3f76c419,32'h3f96cd2c,// invsqrt(0.8718) = 1.0710 +32'h3eb55703,32'h3fd2c70e,32'h3fdb6177, 32'h3fcc533f,32'h3fe1d545, 32'h3fc1923b,32'h3fec9649,// invsqrt(0.3542) = 1.6803 +32'h3f58f467,32'h3f8842cf,32'h3f8dd299, 32'h3f8416f8,32'h3f91fe70, 32'h3f7a4677,32'h3f98f22c,// invsqrt(0.8475) = 1.0863 +32'h3eb3dff2,32'h3fd3a25c,32'h3fdc45b8, 32'h3fcd27d6,32'h3fe2c03e, 32'h3fc25ba3,32'h3fed8c71,// invsqrt(0.3513) = 1.6871 +32'h3f16bdee,32'h3fa37869,32'h3faa2483, 32'h3f9e7756,32'h3faf2596, 32'h3f962037,32'h3fb77cb5,// invsqrt(0.5888) = 1.3032 +32'h3dd2d832,32'h40437972,32'h404b73f4, 32'h403d7d90,32'h40516fd6, 32'h4033846e,32'h405b68f8,// invsqrt(0.1030) = 3.1166 +32'h3fd0b661,32'h3f447865,32'h3f4c7d4f, 32'h3f3e74b6,32'h3f5280fe, 32'h3f346e91,32'h3f5c8723,// invsqrt(1.6306) = 0.7831 +32'h408ca4b8,32'h3eef567a,32'h3ef91b50, 32'h3ee802da,32'h3f003778, 32'h3edbccce,32'h3f06527e,// invsqrt(4.3951) = 0.4770 +32'h3f6aeef0,32'h3f82f184,32'h3f8849be, 32'h3f7ddeb2,32'h3f8c4be9, 32'h3f708222,32'h3f92fa31,// invsqrt(0.9177) = 1.0439 +32'h3e8cde11,32'h3fef25bd,32'h3ff8e896, 32'h3fe7d39b,32'h40001d5c, 32'h3fdba00c,32'h40063724,// invsqrt(0.2751) = 1.9065 +32'h3dd4ab57,32'h4042a24b,32'h404a9405, 32'h403cad00,32'h40508950, 32'h4032bed7,32'h405a7779,// invsqrt(0.1038) = 3.1032 +32'h3ee885b5,32'h3fba23bb,32'h3fc1bcb3, 32'h3fb47101,32'h3fc76f6d, 32'h3faaf1cb,32'h3fd0eea3,// invsqrt(0.4541) = 1.4839 +32'h3fe99d99,32'h3f39b419,32'h3f414882, 32'h3f3404c9,32'h3f46f7d1, 32'h3f2a8b45,32'h3f507155,// invsqrt(1.8251) = 0.7402 +32'h40ed07f2,32'h3eb85c59,32'h3ebfe2bb, 32'h3eb2b790,32'h3ec58784, 32'h3ea94f96,32'h3eceef7e,// invsqrt(7.4072) = 0.3674 +32'h40cefa3a,32'h3ec54ac2,32'h3ecd5842, 32'h3ebf40a2,32'h3ed36262, 32'h3eb52fc2,32'h3edd7342,// invsqrt(6.4680) = 0.3932 +32'h3f92b9b8,32'h3f6a5327,32'h3f73e39b, 32'h3f6326cf,32'h3f7b0ff3, 32'h3f57323e,32'h3f838242,// invsqrt(1.1463) = 0.9340 +32'h404198dd,32'h3f103f37,32'h3f162273, 32'h3f0bd4ca,32'h3f1a8ce0, 32'h3f0478c0,32'h3f21e8ea,// invsqrt(3.0250) = 0.5750 +32'h3edce67e,32'h3fbef92f,32'h3fc6c4a9, 32'h3fb92093,32'h3fcc9d45, 32'h3faf623b,32'h3fd65b9d,// invsqrt(0.4314) = 1.5224 +32'h3fb7e5ff,32'h3f514e61,32'h3f59d96b, 32'h3f4ae61a,32'h3f6041b2, 32'h3f40384f,32'h3f6aef7d,// invsqrt(1.4367) = 0.8343 +32'h3f608120,32'h3f85f345,32'h3f8b6aea, 32'h3f81d98a,32'h3f8f84a6, 32'h3f7607f8,32'h3f965a34,// invsqrt(0.8770) = 1.0678 +32'h3f826984,32'h3f788c89,32'h3f8158cf, 32'h3f70f0b9,32'h3f8526b7, 32'h3f64425f,32'h3f8b7de5,// invsqrt(1.0188) = 0.9907 +32'h3e6c83a2,32'h4002814d,32'h4007d4f3, 32'h3ffd0523,32'h400bd3ae, 32'h3fefb406,32'h40127c3d,// invsqrt(0.2310) = 2.0808 +32'h3e1b8a0e,32'h4020edfc,32'h40277f88, 32'h401c00d2,32'h402c6cb2, 32'h4013cae2,32'h4034a2a2,// invsqrt(0.1519) = 2.5658 +32'h3ebdcd47,32'h3fce0681,32'h3fd66f43, 32'h3fc7b7f0,32'h3fdcbdd4, 32'h3fbd34ff,32'h3fe740c5,// invsqrt(0.3707) = 1.6424 +32'h3faf8c44,32'h3f5639fc,32'h3f5ef86e, 32'h3f4fab26,32'h3f658744, 32'h3f44bd16,32'h3f707554,// invsqrt(1.3715) = 0.8539 +32'h3ef8da4e,32'h3fb3edae,32'h3fbb45c0, 32'h3fae6ba1,32'h3fc0c7cd, 32'h3fa53d8b,32'h3fc9f5e3,// invsqrt(0.4860) = 1.4344 +32'h402f027f,32'h3f17b6b7,32'h3f1de7f8, 32'h3f1311c7,32'h3f228ce9, 32'h3f0b5436,32'h3f2a4a7a,// invsqrt(2.7345) = 0.6047 +32'h3eeebdc7,32'h3fb7b2fe,32'h3fbf3276, 32'h3fb21364,32'h3fc4d210, 32'h3fa8b40e,32'h3fce3166,// invsqrt(0.4663) = 1.4644 +32'h3f8163d2,32'h3f798764,32'h3f81db5b, 32'h3f71e3e6,32'h3f85ad1a, 32'h3f6528bf,32'h3f8c0aae,// invsqrt(1.0109) = 0.9946 +32'h3ef91d91,32'h3fb3d562,32'h3fbb2c76, 32'h3fae5413,32'h3fc0adc5, 32'h3fa5273b,32'h3fc9da9d,// invsqrt(0.4866) = 1.4336 +32'h4083ce85,32'h3ef73b0a,32'h3f00a92c, 32'h3eefa98f,32'h3f0471ea, 32'h3ee30c6c,32'h3f0ac07b,// invsqrt(4.1190) = 0.4927 +32'h3fc83589,32'h3f489962,32'h3f50c970, 32'h3f427557,32'h3f56ed7b, 32'h3f383945,32'h3f61298d,// invsqrt(1.5641) = 0.7996 +32'h3f1bbe5c,32'h3fa0d2f4,32'h3fa76366, 32'h3f9be69e,32'h3fac4fbc, 32'h3f93b20f,32'h3fb4844b,// invsqrt(0.6084) = 1.2821 +32'h40177768,32'h3f231434,32'h3f29bc36, 32'h3f1e1632,32'h3f2eba38, 32'h3f15c430,32'h3f370c3a,// invsqrt(2.3667) = 0.6500 +32'h3e2bc49c,32'h40192380,32'h401f63a5, 32'h40147365,32'h402413c1, 32'h400ca338,32'h402be3ee,// invsqrt(0.1677) = 2.4416 +32'h3bc87e31,32'h41487506,32'h4150a398, 32'h41425218,32'h4156c686, 32'h413817e1,32'h416100bd,// invsqrt(0.0061) = 12.7843 +32'h3bf3ba56,32'h4135cf6e,32'h413d3b2a, 32'h41303ea2,32'h4142cbf6, 32'h4126f7f8,32'h414c12a0,// invsqrt(0.0074) = 11.5950 +32'h3ea9283d,32'h3fda3c57,32'h3fe324af, 32'h3fd38e16,32'h3fe9d2f0, 32'h3fc86ba9,32'h3ff4f55d,// invsqrt(0.3304) = 1.7398 +32'h3f8905ca,32'h3f727abc,32'h3f7c6066, 32'h3f6b0e7d,32'h3f81e653, 32'h3f5eaf69,32'h3f8815dd,// invsqrt(1.0705) = 0.9665 +32'h3e8a768f,32'h3ff136fe,32'h3ffb0f70, 32'h3fe9d4a7,32'h400138e3, 32'h3fdd8618,32'h4007602b,// invsqrt(0.2704) = 1.9229 +32'h40630491,32'h3f0534ea,32'h3f0aa4ca, 32'h3f012102,32'h3f0eb8b2, 32'h3ef4aa55,32'h3f158489,// invsqrt(3.5472) = 0.5310 +32'h3f918bbe,32'h3f6b45c0,32'h3f74e01b, 32'h3f6411fb,32'h3f7c13df, 32'h3f581108,32'h3f840a69,// invsqrt(1.1371) = 0.9378 +32'h3d96aeb5,32'h40673a45,32'h4070aa5c, 32'h40602632,32'h4077be6e, 32'h40545a15,32'h4081c546,// invsqrt(0.0736) = 3.6867 +32'h3f253d0e,32'h3f9c2293,32'h3fa28207, 32'h3f975afc,32'h3fa7499e, 32'h3f8f63ac,32'h3faf40ee,// invsqrt(0.6455) = 1.2447 +32'h3f8b920f,32'h3f704186,32'h3f7a0ff4, 32'h3f68e6b4,32'h3f80b563, 32'h3f5ca4aa,32'h3f86d668,// invsqrt(1.0904) = 0.9577 +32'h4051cd07,32'h3f0a908b,32'h3f103867, 32'h3f0652a6,32'h3f14764c, 32'h3efe81a7,32'h3f1b881f,// invsqrt(3.2781) = 0.5523 +32'h3f5f7bb2,32'h3f864187,32'h3f8bbc5d, 32'h3f822566,32'h3f8fd87e, 32'h3f7697b4,32'h3f96b20a,// invsqrt(0.8730) = 1.0703 +32'h4122451b,32'h3e9d8e87,32'h3ea3fcd5, 32'h3e98bbcc,32'h3ea8cf90, 32'h3e90b1e9,32'h3eb0d973,// invsqrt(10.1419) = 0.3140 +32'h3f899bc0,32'h3f71f678,32'h3f7bd6bc, 32'h3f6a8e46,32'h3f819f77, 32'h3f5e35f1,32'h3f87cba2,// invsqrt(1.0751) = 0.9645 +32'h3f8e9bc9,32'h3f6daede,32'h3f77626a, 32'h3f666835,32'h3f7ea913, 32'h3f5a47c7,32'h3f8564c1,// invsqrt(1.1141) = 0.9474 +32'h3fa92d84,32'h3f5a38f0,32'h3f632124, 32'h3f538aca,32'h3f69cf4a, 32'h3f486889,32'h3f74f18b,// invsqrt(1.3217) = 0.8698 +32'h3f980f55,32'h3f662d8d,32'h3f6f92ad, 32'h3f5f21b5,32'h3f769e85, 32'h3f53634d,32'h3f812e76,// invsqrt(1.1880) = 0.9175 +32'h3f62d5d9,32'h3f8542a1,32'h3f8ab310, 32'h3f812e4d,32'h3f8ec763, 32'h3f74c385,32'h3f9593ee,// invsqrt(0.8861) = 1.0623 +32'h3fa23c1d,32'h3f5ed7cf,32'h3f67f04a, 32'h3f580572,32'h3f6ec2a6, 32'h3f4ca6d8,32'h3f7a2140,// invsqrt(1.2675) = 0.8882 +32'h409a25ae,32'h3ee49d3d,32'h3eedf206, 32'h3edd9da6,32'h3ef4f19c, 32'h3ed1f3aa,32'h3f004dcc,// invsqrt(4.8171) = 0.4556 +32'h3f5eb487,32'h3f867d82,32'h3f8bfacc, 32'h3f825f8b,32'h3f9018c3, 32'h3f7705e0,32'h3f96f55e,// invsqrt(0.8699) = 1.0721 +32'h40d27df2,32'h3ec3a355,32'h3ecb9f8d, 32'h3ebda62b,32'h3ed19cb7, 32'h3eb3aae6,32'h3edb97fc,// invsqrt(6.5779) = 0.3899 +32'h3ed2ab4f,32'h3fc38e44,32'h3fcb89a0, 32'h3fbd91c0,32'h3fd18624, 32'h3fb3978d,32'h3fdb8057,// invsqrt(0.4115) = 1.5590 +32'h3f250555,32'h3f9c3ced,32'h3fa29d75, 32'h3f977488,32'h3fa765da, 32'h3f8f7bdf,32'h3faf5e83,// invsqrt(0.6446) = 1.2455 +32'h3f9d855d,32'h3f62271a,32'h3f6b622b, 32'h3f5b3ace,32'h3f724e78, 32'h3f4fb0f9,32'h3f7dd84d,// invsqrt(1.2306) = 0.9014 +32'h3e05e487,32'h402d738a,32'h403487ed, 32'h4028243e,32'h4039d738, 32'h401f4ac2,32'h4042b0b4,// invsqrt(0.1308) = 2.7655 +32'h3fe8396d,32'h3f3a424b,32'h3f41dc83, 32'h3f348ea2,32'h3f47902c, 32'h3f2b0ddd,32'h3f5110f1,// invsqrt(1.8143) = 0.7424 +32'h40401c85,32'h3f10cdbb,32'h3f16b6c7, 32'h3f0c5ef1,32'h3f1b2591, 32'h3f04fba1,32'h3f2288e1,// invsqrt(3.0017) = 0.5772 +32'h3feb8ead,32'h3f38efc1,32'h3f407c27, 32'h3f334675,32'h3f462573, 32'h3f29d6f5,32'h3f4f94f3,// invsqrt(1.8403) = 0.7372 +32'h3ec9dd69,32'h3fc7c657,32'h3fcfedc9, 32'h3fc1a8c2,32'h3fd60b5e, 32'h3fb77775,32'h3fe03cab,// invsqrt(0.3943) = 1.5926 +32'h3f96629e,32'h3f6774bc,32'h3f70e737, 32'h3f605ee1,32'h3f77fd13, 32'h3f548fc7,32'h3f81e616,// invsqrt(1.1749) = 0.9226 +32'h3f621c28,32'h3f85794e,32'h3f8aebf8, 32'h3f81634e,32'h3f8f01f8, 32'h3f7527f2,32'h3f95d14d,// invsqrt(0.8832) = 1.0640 +32'h4013abcc,32'h3f25294b,32'h3f2be70f, 32'h3f201af8,32'h3f30f562, 32'h3f17adc2,32'h3f396298,// invsqrt(2.3074) = 0.6583 +32'h4210bf72,32'h3e26d21b,32'h3e2da136, 32'h3e21b6c6,32'h3e32bc8a, 32'h3e1933e4,32'h3e3b3f6c,// invsqrt(36.1870) = 0.1662 +32'h3ef0e6a6,32'h3fb6dfb9,32'h3fbe5691, 32'h3fb14696,32'h3fc3efb4, 32'h3fa7f208,32'h3fcd4442,// invsqrt(0.4705) = 1.4579 +32'h3f8eb7a1,32'h3f6d97ae,32'h3f774a47, 32'h3f6651ba,32'h3f7e903a, 32'h3f5a327b,32'h3f8557bd,// invsqrt(1.1150) = 0.9470 +32'h3f1ae93d,32'h3fa1416e,32'h3fa7d663, 32'h3f9c51b7,32'h3facc61b, 32'h3f941785,32'h3fb5004d,// invsqrt(0.6051) = 1.2855 +32'h407d0f1f,32'h3efc5566,32'h3f035103, 32'h3ef49bed,32'h3f072dc0, 32'h3ee7bc24,32'h3f0d9da4,// invsqrt(3.9540) = 0.5029 +32'h401cdf4f,32'h3f203e92,32'h3f26c8f6, 32'h3f1b56c7,32'h3f2bb0c1, 32'h3f1329ca,32'h3f33ddbe,// invsqrt(2.4511) = 0.6387 +32'h3ec58422,32'h3fc9f648,32'h3fd23494, 32'h3fc3c78f,32'h3fd8634d, 32'h3fb979b0,32'h3fe2b12c,// invsqrt(0.3858) = 1.6100 +32'h3f07b1ac,32'h3fac4bd3,32'h3fb35425, 32'h3fa70596,32'h3fb89a62, 32'h3f9e3b30,32'h3fc164c8,// invsqrt(0.5301) = 1.3735 +32'h400e47e7,32'h3f2842bf,32'h3f2f20e7, 32'h3f231c22,32'h3f344784, 32'h3f1a8671,32'h3f3cdd35,// invsqrt(2.2231) = 0.6707 +32'h404514b1,32'h3f0ef763,32'h3f14cd3d, 32'h3f0a96ff,32'h3f192da1, 32'h3f034bae,32'h3f2078f2,// invsqrt(3.0794) = 0.5699 +32'h3f7d026a,32'h3f7c5bbc,32'h3f835450, 32'h3f74a212,32'h3f873125, 32'h3f67c1f6,32'h3f8da133,// invsqrt(0.9883) = 1.0059 +32'h3e7874ee,32'h3ffea8f1,32'h400486f1, 32'h3ff6dd3e,32'h40086ccb, 32'h3fe9df13,32'h400eebe1,// invsqrt(0.2426) = 2.0301 +32'h3f2815d2,32'h3f9acea7,32'h3fa1203b, 32'h3f961178,32'h3fa5dd6a, 32'h3f8e2b7f,32'h3fadc363,// invsqrt(0.6566) = 1.2341 +32'h40470050,32'h3f0e465d,32'h3f1414fd, 32'h3f09eb64,32'h3f186ff6, 32'h3f02a91c,32'h3f1fb23e,// invsqrt(3.1094) = 0.5671 +32'h3f77903a,32'h3f7f1e77,32'h3f84c41a, 32'h3f774f2b,32'h3f88abc0, 32'h3f6a4b01,32'h3f8f2dd6,// invsqrt(0.9670) = 1.0169 +32'h3eee4cce,32'h3fb7de84,32'h3fbf5fc2, 32'h3fb23d94,32'h3fc500b2, 32'h3fa8dc06,32'h3fce6240,// invsqrt(0.4654) = 1.4658 +32'h3f94bb0d,32'h3f68bd67,32'h3f723d4c, 32'h3f619d7c,32'h3f795d38, 32'h3f55bd9e,32'h3f829e8b,// invsqrt(1.1620) = 0.9277 +32'h4292ec4f,32'h3dea2acc,32'h3df3b99a, 32'h3de2ffb0,32'h3dfae4b6, 32'h3dd70d2e,32'h3e036b9c,// invsqrt(73.4615) = 0.1167 +32'h40b47f40,32'h3ed344e3,32'h3edbe46f, 32'h3ecccd3a,32'h3ee25c18, 32'h3ec205cb,32'h3eed2387,// invsqrt(5.6405) = 0.4211 +32'h3ec6b5d3,32'h3fc95ab3,32'h3fd192a5, 32'h3fc330bd,32'h3fd7bc9b, 32'h3fb8eace,32'h3fe2028a,// invsqrt(0.3881) = 1.6052 +32'h3f8802fc,32'h3f736101,32'h3f7d5011, 32'h3f6bedb5,32'h3f8261ae, 32'h3f5f82e2,32'h3f889718,// invsqrt(1.0626) = 0.9701 +32'h3e413fe6,32'h40106067,32'h401644fd, 32'h400bf4f6,32'h401ab06e, 32'h4004973a,32'h40220e2a,// invsqrt(0.1887) = 2.3019 +32'h3fbb8a9e,32'h3f4f4366,32'h3f57b917, 32'h3f48eb22,32'h3f5e115c, 32'h3f3e5806,32'h3f68a479,// invsqrt(1.4652) = 0.8261 +32'h4010c885,32'h3f26cce0,32'h3f2d9bc5, 32'h3f21b1b5,32'h3f32b6f1, 32'h3f192f18,32'h3f3b398f,// invsqrt(2.2622) = 0.6649 +32'h3ea1a624,32'h3fdf3f16,32'h3fe85bc9, 32'h3fd86991,32'h3fef314f, 32'h3fcd05b2,32'h3ffa952e,// invsqrt(0.3157) = 1.7797 +32'h3f215cb2,32'h3f9dffd5,32'h3fa472c3, 32'h3f9929a2,32'h3fa948f6, 32'h3f9119f8,32'h3fb158a0,// invsqrt(0.6303) = 1.2596 +32'h3cc6cddb,32'h40c94e87,32'h40d185fb, 32'h40c324f1,32'h40d7af91, 32'h40b8dfa1,32'h40e1f4e1,// invsqrt(0.0243) = 6.4192 +32'h405a2cec,32'h3f07e115,32'h3f0d6ce1, 32'h3f03b83b,32'h3f1195bb, 32'h3ef992f7,32'h3f18847a,// invsqrt(3.4090) = 0.5416 +32'h3f94a808,32'h3f68cc4a,32'h3f724ccb, 32'h3f61abea,32'h3f796d2c, 32'h3f55cb4a,32'h3f82a6e6,// invsqrt(1.1614) = 0.9279 +32'h3fc7cf51,32'h3f48ccab,32'h3f50fed1, 32'h3f42a70e,32'h3f57246e, 32'h3f38685e,32'h3f61631e,// invsqrt(1.5610) = 0.8004 +32'h3f8a47f4,32'h3f715fa1,32'h3f7b39bc, 32'h3f69fc0c,32'h3f814ea8, 32'h3f5dab69,32'h3f8776f9,// invsqrt(1.0803) = 0.9621 +32'h3d10043c,32'h40a73e65,32'h40ae11eb, 32'h40a21fc0,32'h40b33090, 32'h40999757,32'h40bbb8f9,// invsqrt(0.0352) = 5.3330 +32'h3f73ddde,32'h3f8085cf,32'h3f85c4bd, 32'h3f792d38,32'h3f89b3f0, 32'h3f6c0fe4,32'h3f90429a,// invsqrt(0.9526) = 1.0246 +32'h411c958b,32'h3ea0644c,32'h3ea6f03a, 32'h3e9b7b59,32'h3eabd92d, 32'h3e934c70,32'h3eb40817,// invsqrt(9.7865) = 0.3197 +32'h3de9c815,32'h4039a338,32'h404136f2, 32'h4033f46e,32'h4046e5bc, 32'h402a7bc6,32'h40505e64,// invsqrt(0.1142) = 2.9598 +32'h3f706d9c,32'h3f81703f,32'h3f86b8bf, 32'h3f7af3be,32'h3f8aaf1f, 32'h3f6dbe7e,32'h3f9149bf,// invsqrt(0.9392) = 1.0319 +32'h3f9f3110,32'h3f60f680,32'h3f6a2522, 32'h3f5a1387,32'h3f71081b, 32'h3f4e993c,32'h3f7c8266,// invsqrt(1.2437) = 0.8967 +32'h3f452093,32'h3f8ef314,32'h3f94c8c1, 32'h3f8a92d2,32'h3f992902, 32'h3f8347b9,32'h3fa0741b,// invsqrt(0.7700) = 1.1396 +32'h3dbef566,32'h404d6685,32'h4055c8bf, 32'h40471cda,32'h405c126a, 32'h403ca212,32'h40668d32,// invsqrt(0.0932) = 3.2749 +32'h401bc1ff,32'h3f20d113,32'h3f276171, 32'h3f1be4cc,32'h3f2c4db8, 32'h3f13b055,32'h3f34822f,// invsqrt(2.4337) = 0.6410 +32'h3fc689a8,32'h3f497117,32'h3f51a9f3, 32'h3f434672,32'h3f57d498, 32'h3f38ff5e,32'h3f621bac,// invsqrt(1.5511) = 0.8029 +32'h3ea0477f,32'h3fe032c4,32'h3fe95969, 32'h3fd955c9,32'h3ff03665, 32'h3fcde57b,32'h3ffba6b3,// invsqrt(0.3130) = 1.7873 +32'h3e14bdb6,32'h402490f1,32'h402b487e, 32'h401f8748,32'h40305228, 32'h401721d9,32'h4038b797,// invsqrt(0.1453) = 2.6238 +32'h3f9d26ac,32'h3f626b32,32'h3f6ba90b, 32'h3f5b7cd1,32'h3f72976d, 32'h3f4fef82,32'h3f7e24bc,// invsqrt(1.2277) = 0.9025 +32'h3fe1f748,32'h3f3cd217,32'h3f448713, 32'h3f370a5a,32'h3f4a4ed0, 32'h3f2d6820,32'h3f53f10a,// invsqrt(1.7654) = 0.7526 +32'h4090f146,32'h3eebc2fc,32'h3ef56275, 32'h3ee48b63,32'h3efc9a0f, 32'h3ed8840d,32'h3f0450b3,// invsqrt(4.5295) = 0.4699 +32'h40d24d08,32'h3ec3ba14,32'h3ecbb73a, 32'h3ebdbc38,32'h3ed1b516, 32'h3eb3bfca,32'h3edbb185,// invsqrt(6.5719) = 0.3901 +32'h417d2379,32'h3e7c4b41,32'h3e834bbc, 32'h3e749217,32'h3e872850, 32'h3e67b2d3,32'h3e8d97f3,// invsqrt(15.8212) = 0.2514 +32'h3f5d53b5,32'h3f86e88a,32'h3f8c6a32, 32'h3f82c74c,32'h3f908b70, 32'h3f77ca76,32'h3f976d81,// invsqrt(0.8646) = 1.0755 +32'h421aba84,32'h3e2159c5,32'h3e27efb9, 32'h3e1c694f,32'h3e2ce02f, 32'h3e142ddf,32'h3e351b9f,// invsqrt(38.6821) = 0.1608 +32'h40d6069f,32'h3ec20423,32'h3ec9ef68, 32'h3ebc13ae,32'h3ecfdfdc, 32'h3eb22d98,32'h3ed9c5f2,// invsqrt(6.6883) = 0.3867 +32'h3f088073,32'h3fabc921,32'h3fb2cc1d, 32'h3fa686e4,32'h3fb80e5a, 32'h3f9dc329,32'h3fc0d215,// invsqrt(0.5332) = 1.3695 +32'h3e227652,32'h401d76a7,32'h4023e3fd, 32'h4018a4a8,32'h4028b5fc, 32'h40109bfd,32'h4030bea7,// invsqrt(0.1587) = 2.5106 +32'h3f91ed51,32'h3f6af70a,32'h3f748e30, 32'h3f63c5af,32'h3f7bbf8b, 32'h3f57c8c0,32'h3f83de3d,// invsqrt(1.1401) = 0.9366 +32'h3f16d113,32'h3fa36e09,32'h3faa19b5, 32'h3f9e6d47,32'h3faf1a77, 32'h3f9616af,32'h3fb7710f,// invsqrt(0.5891) = 1.3029 +32'h3f329404,32'h3f9630b6,32'h3f9c520c, 32'h3f9197b6,32'h3fa0eb0c, 32'h3f89ee0a,32'h3fa894b8,// invsqrt(0.6976) = 1.1973 +32'h3f884e4e,32'h3f731db9,32'h3f7d0a0a, 32'h3f6bac7d,32'h3f823da3, 32'h3f5f4518,32'h3f887156,// invsqrt(1.0649) = 0.9691 +32'h40b65e27,32'h3ed22ec5,32'h3edac2f7, 32'h3ecbbfa0,32'h3ee1321c, 32'h3ec10661,32'h3eebeb5b,// invsqrt(5.6990) = 0.4189 +32'h403faf24,32'h3f10f705,32'h3f16e1c1, 32'h3f0c86f8,32'h3f1b51ce, 32'h3f05218c,32'h3f22b73a,// invsqrt(2.9951) = 0.5778 +32'h3ec55d14,32'h3fca0a42,32'h3fd2495e, 32'h3fc3daec,32'h3fd878b4, 32'h3fb98c08,32'h3fe2c798,// invsqrt(0.3855) = 1.6107 +32'h3fef80b4,32'h3f37682e,32'h3f3ee498, 32'h3f31cade,32'h3f4481e8, 32'h3f286f59,32'h3f4ddd6d,// invsqrt(1.8711) = 0.7311 +32'h3fafd03a,32'h3f561090,32'h3f5ecd52, 32'h3f4f82ff,32'h3f655ae3, 32'h3f44970c,32'h3f7046d6,// invsqrt(1.3735) = 0.8533 +32'h3e6f352a,32'h4001c4ad,32'h4007109f, 32'h3ffb976f,32'h400b0995, 32'h3fee5991,32'h4011a883,// invsqrt(0.2336) = 2.0690 +32'h3eaa9f46,32'h3fd94bfa,32'h3fe22a82, 32'h3fd2a514,32'h3fe8d168, 32'h3fc78eeb,32'h3ff3e791,// invsqrt(0.3332) = 1.7323 +32'h3f07af46,32'h3fac4d58,32'h3fb355ba, 32'h3fa7070f,32'h3fb89c03, 32'h3f9e3c95,32'h3fc1667d,// invsqrt(0.5300) = 1.3736 +32'h4031a3b9,32'h3f169629,32'h3f1cbba3, 32'h3f11fa0e,32'h3f2157be, 32'h3f0a4b35,32'h3f290697,// invsqrt(2.7756) = 0.6002 +32'h40aa4264,32'h3ed98738,32'h3ee2682a, 32'h3ed2de82,32'h3ee910e0, 32'h3ec7c552,32'h3ef42a10,// invsqrt(5.3206) = 0.4335 +32'h40547b63,32'h3f09b00a,32'h3f0f4ebc, 32'h3f057904,32'h3f1385c2, 32'h3efce54c,32'h3f1a8c20,// invsqrt(3.3200) = 0.5488 +32'h41f98c63,32'h3e33ad6f,32'h3e3b02e1, 32'h3e2e2d59,32'h3e4082f7, 32'h3e25028a,32'h3e49adc6,// invsqrt(31.1935) = 0.1790 +32'h3c9f216c,32'h40e1018e,32'h40ea30a4, 32'h40da1e3e,32'h40f113f4, 32'h40cea363,32'h40fc8ecf,// invsqrt(0.0194) = 7.1749 +32'h3eb3c584,32'h3fd3b1ea,32'h3fdc55e9, 32'h3fcd36ea,32'h3fe2d0e8, 32'h3fc269eb,32'h3fed9de7,// invsqrt(0.3511) = 1.6876 +32'h3f9ae347,32'h3f641126,32'h3f6d6037, 32'h3f5d15d8,32'h3f745b84, 32'h3f517303,32'h3f7ffe59,// invsqrt(1.2101) = 0.9091 +32'h3f67fbfa,32'h3f83c5ea,32'h3f8926ce, 32'h3f7f7a7c,32'h3f8d2f7a, 32'h3f72083f,32'h3f93e898,// invsqrt(0.9062) = 1.0505 +32'h3dc9cf86,32'h4047cd37,32'h404ff4f0, 32'h4041af6c,32'h405612ba, 32'h40377dc4,32'h40604462,// invsqrt(0.0985) = 3.1856 +32'h40a6d111,32'h3edbc2e8,32'h3ee4bb30, 32'h3ed508b2,32'h3eeb7566, 32'h3ec9d258,32'h3ef6abc0,// invsqrt(5.2130) = 0.4380 +32'h4134b64b,32'h3e954d08,32'h3e9b6512, 32'h3e90bb00,32'h3e9ff71a, 32'h3e891cf2,32'h3ea79528,// invsqrt(11.2945) = 0.2976 +32'h3fa63a8f,32'h3f5c264e,32'h3f6522a5, 32'h3f55690e,32'h3f6bdfe6, 32'h3f4a2da1,32'h3f771b53,// invsqrt(1.2987) = 0.8775 +32'h3cbfa2aa,32'h40cd0995,32'h40d56803, 32'h40c6c2c2,32'h40dbaed6, 32'h40bc4cb8,32'h40e624e0,// invsqrt(0.0234) = 6.5382 +32'h3fdd359e,32'h3f3ed704,32'h3f46a11a, 32'h3f38ff75,32'h3f4c78a9, 32'h3f2f42da,32'h3f563544,// invsqrt(1.7282) = 0.7607 +32'h411ffa5e,32'h3e9eae6d,32'h3ea5287c, 32'h3e99d2e2,32'h3eaa0408, 32'h3e91ba50,32'h3eb21c9a,// invsqrt(9.9986) = 0.3162 +32'h3fce2fdd,32'h3f45ab7b,32'h3f4dbced, 32'h3f3f9e65,32'h3f53ca03, 32'h3f358895,32'h3f5ddfd3,// invsqrt(1.6108) = 0.7879 +32'h3fb6d930,32'h3f51e803,32'h3f5a7951, 32'h3f4b7b08,32'h3f60e64c, 32'h3f40c566,32'h3f6b9bee,// invsqrt(1.4285) = 0.8367 +32'h3fa00b0f,32'h3f605d15,32'h3f698574, 32'h3f597ece,32'h3f7063bc, 32'h3f4e0c58,32'h3f7bd632,// invsqrt(1.2503) = 0.8943 +32'h3f39cf4f,32'h3f933d16,32'h3f993f94, 32'h3f8ebb37,32'h3f9dc173, 32'h3f873819,32'h3fa54491,// invsqrt(0.7258) = 1.1738 +32'h3eee7acc,32'h3fb7ccc8,32'h3fbf4d4e, 32'h3fb22c64,32'h3fc4edb2, 32'h3fa8cbbd,32'h3fce4e59,// invsqrt(0.4658) = 1.4652 +32'h3e42253c,32'h40100b08,32'h4015ec22, 32'h400ba234,32'h401a54f6, 32'h400448d3,32'h4021ae57,// invsqrt(0.1896) = 2.2966 +32'h40024256,32'h3f2fda98,32'h3f370816, 32'h3f2a7879,32'h3f3c6a35, 32'h3f217f9b,32'h3f456313,// invsqrt(2.0353) = 0.7009 +32'h3e1e5cb1,32'h401f7d28,32'h4025ffa6, 32'h401a9b48,32'h402ae186, 32'h4012782a,32'h403304a5,// invsqrt(0.1547) = 2.5429 +32'h4096034f,32'h3ee7be37,32'h3ef133b2, 32'h3ee0a61c,32'h3ef84bce, 32'h3ed4d343,32'h3f020f54,// invsqrt(4.6879) = 0.4619 +32'h3dc22b9c,32'h404bb1d3,32'h40540239, 32'h40457586,32'h405a3e86, 32'h403b1105,32'h4064a307,// invsqrt(0.0948) = 3.2477 +32'h40294afb,32'h3f1a410c,32'h3f208cd8, 32'h3f158833,32'h3f2545b1, 32'h3f0da973,32'h3f2d2471,// invsqrt(2.6452) = 0.6149 +32'h3fb4908c,32'h3f533ac4,32'h3f5bd9e6, 32'h3f4cc36a,32'h3f625140, 32'h3f41fc80,32'h3f6d182a,// invsqrt(1.4107) = 0.8420 +32'h3f0add2d,32'h3faa517a,32'h3fb14521, 32'h3fa51abd,32'h3fb67bdf, 32'h3f9c6a2d,32'h3fbf2c6f,// invsqrt(0.5424) = 1.3578 +32'h40c491c4,32'h3eca72a3,32'h3ed2b603, 32'h3ec4401c,32'h3ed8e88a, 32'h3eb9ebe4,32'h3ee33cc2,// invsqrt(6.1428) = 0.4035 +32'h3f1c7c72,32'h3fa07128,32'h3fa6fd9d, 32'h3f9b87d1,32'h3fabe6f5, 32'h3f935840,32'h3fb41687,// invsqrt(0.6113) = 1.2790 +32'h3fa6a61c,32'h3f5bdf39,32'h3f64d8a9, 32'h3f552425,32'h3f6b93bd, 32'h3f49ec59,32'h3f76cb89,// invsqrt(1.3019) = 0.8764 +32'h3db29867,32'h40546415,32'h405d0f59, 32'h404de3a1,32'h40638fcd, 32'h40430d8b,32'h406e65e3,// invsqrt(0.0872) = 3.3863 +32'h403d8512,32'h3f11ca53,32'h3f17bdaf, 32'h3f0d53ce,32'h3f1c3434, 32'h3f05e39a,32'h3f23a468,// invsqrt(2.9612) = 0.5811 +32'h408b40c9,32'h3ef08798,32'h3efa58e2, 32'h3ee92aa0,32'h3f00daed, 32'h3edce504,32'h3f06fdbb,// invsqrt(4.3517) = 0.4794 +32'h3f9c55d4,32'h3f63023c,32'h3f6c463e, 32'h3f5c0f3a,32'h3f733940, 32'h3f507a37,32'h3f7ece43,// invsqrt(1.2214) = 0.9048 +32'h40ee8dcf,32'h3eb7c575,32'h3ebf45af, 32'h3eb2254b,32'h3ec4e5d9, 32'h3ea8c503,32'h3ece4621,// invsqrt(7.4548) = 0.3663 +32'h3efdb289,32'h3fb233c2,32'h3fb979ca, 32'h3facbf3c,32'h3fbeee50, 32'h3fa3a7b2,32'h3fc805da,// invsqrt(0.4955) = 1.4206 +32'h3dbcba66,32'h404e9c54,32'h40570b32, 32'h4048492c,32'h405d5e5a, 32'h403dbe96,32'h4067e8f0,// invsqrt(0.0922) = 3.2942 +32'h3f9a340e,32'h3f649295,32'h3f6de6ef, 32'h3f5d9352,32'h3f74e632, 32'h3f51e9e2,32'h3f8047d1,// invsqrt(1.2047) = 0.9111 +32'h407abd8d,32'h3efd7f62,32'h3f03ec17, 32'h3ef5bccb,32'h3f07cd63, 32'h3ee8cdce,32'h3f0e44e1,// invsqrt(3.9178) = 0.5052 +32'h3d906899,32'h406c3274,32'h4075d678, 32'h4064f770,32'h407d117c, 32'h4058ea6a,32'h40848f41,// invsqrt(0.0705) = 3.7659 +32'h408ac357,32'h3ef0f438,32'h3efac9f2, 32'h3ee993ee,32'h3f01151e, 32'h3edd48c6,32'h3f073ab2,// invsqrt(4.3363) = 0.4802 +32'h3f9811c5,32'h3f662bb5,32'h3f6f90c1, 32'h3f5f1feb,32'h3f769c8b, 32'h3f53619c,32'h3f812d6d,// invsqrt(1.1880) = 0.9175 +32'h3f77d51f,32'h3f7efaff,32'h3f84b1a4, 32'h3f772cc9,32'h3f8898c0, 32'h3f6a2a6d,32'h3f8f19ed,// invsqrt(0.9681) = 1.0163 +32'h421227bf,32'h3e2603fc,32'h3e2ccaae, 32'h3e20eef7,32'h3e31dfb3, 32'h3e187699,32'h3e3a5811,// invsqrt(36.5388) = 0.1654 +32'h3f850263,32'h3f761c44,32'h3f8013ef, 32'h3f6e9390,32'h3f83d849, 32'h3f62050f,32'h3f8a1f89,// invsqrt(1.0391) = 0.9810 +32'h4088071b,32'h3ef35d51,32'h3efd4c3b, 32'h3eebea22,32'h3f025fb5, 32'h3edf7f7f,32'h3f089506,// invsqrt(4.2509) = 0.4850 +32'h3f0434a3,32'h3fae8df5,32'h3fb5addf, 32'h3fa93605,32'h3fbb05cf, 32'h3fa04e1f,32'h3fc3edb5,// invsqrt(0.5164) = 1.3915 +32'h3fbdce4e,32'h3f4e05f3,32'h3f566eae, 32'h3f47b766,32'h3f5cbd3a, 32'h3f3d347b,32'h3f674025,// invsqrt(1.4829) = 0.8212 +32'h3f867a42,32'h3f74c360,32'h3f7ec0e7, 32'h3f6d453c,32'h3f831f86, 32'h3f60c854,32'h3f895dfa,// invsqrt(1.0506) = 0.9756 +32'h3e59af25,32'h40080851,32'h400d95b7, 32'h4003de44,32'h4011bfc4, 32'h3ff9db07,32'h4018b084,// invsqrt(0.2126) = 2.1689 +32'h3fca4292,32'h3f47945c,32'h3f4fb9c2, 32'h3f41784e,32'h3f55d5d0, 32'h3f37498e,32'h3f600491,// invsqrt(1.5802) = 0.7955 +32'h3f84ce76,32'h3f764c5c,32'h3f802cf7, 32'h3f6ec230,32'h3f83f20d, 32'h3f62313b,32'h3f8a3a88,// invsqrt(1.0376) = 0.9817 +32'h3fd42ef5,32'h3f42db4f,32'h3f4acf5d, 32'h3f3ce445,32'h3f50c667, 32'h3f32f334,32'h3f5ab778,// invsqrt(1.6577) = 0.7767 +32'h3f1b5bb6,32'h3fa105fb,32'h3fa79882, 32'h3f9c1814,32'h3fac8668, 32'h3f93e0eb,32'h3fb4bd91,// invsqrt(0.6069) = 1.2837 +32'h3f804ba1,32'h3f7a974b,32'h3f8268db, 32'h3f72eb7a,32'h3f863ec3, 32'h3f662273,32'h3f8ca346,// invsqrt(1.0023) = 0.9988 +32'h3f85b3ac,32'h3f7578e3,32'h3f7f7dd2, 32'h3f6df52f,32'h3f8380c2, 32'h3f616f04,32'h3f89c3d8,// invsqrt(1.0445) = 0.9784 +32'h3fdbb5c5,32'h3f3f7d70,32'h3f474e50, 32'h3f39a0c8,32'h3f4d2af8, 32'h3f2fdbb0,32'h3f56f010,// invsqrt(1.7165) = 0.7633 +32'h4132b18e,32'h3e96244c,32'h3e9c4520, 32'h3e918bad,32'h3ea0ddbf, 32'h3e89e2a4,32'h3ea886c8,// invsqrt(11.1683) = 0.2992 +32'h401e4e05,32'h3f1f848c,32'h3f260758, 32'h3f1aa273,32'h3f2ae971, 32'h3f127ef3,32'h3f330cf1,// invsqrt(2.4735) = 0.6358 +32'h3f8aa6e8,32'h3f710cec,32'h3f7ae3a8, 32'h3f69abe0,32'h3f81225a, 32'h3f5d5f76,32'h3f87488f,// invsqrt(1.0832) = 0.9608 +32'h3f975510,32'h3f66bb0a,32'h3f7025f0, 32'h3f5faadd,32'h3f77361d, 32'h3f53e53d,32'h3f817dde,// invsqrt(1.1823) = 0.9197 +32'h43299041,32'h3d9a2186,32'h3da06c08, 32'h3d9569a3,32'h3da523eb, 32'h3d8d8c80,32'h3dad010e,// invsqrt(169.5635) = 0.0768 +32'h3fd8ca35,32'h3f40c67e,32'h3f48a4cc, 32'h3f3adfc3,32'h3f4e8b87, 32'h3f3109e1,32'h3f586169,// invsqrt(1.6937) = 0.7684 +32'h3d8c7d27,32'h406f782c,32'h40793e62, 32'h40682383,32'h40804985, 32'h405bebc0,32'h40866567,// invsqrt(0.0686) = 3.8181 +32'h40341994,32'h3f158def,32'h3f1ba89f, 32'h3f10f9ea,32'h3f203ca4, 32'h3f09588d,32'h3f27de01,// invsqrt(2.8141) = 0.5961 +32'h3f3ed831,32'h3f914892,32'h3f9736a2, 32'h3f8cd606,32'h3f9ba92e, 32'h3f856c71,32'h3fa312c3,// invsqrt(0.7455) = 1.1582 +32'h3f4769df,32'h3f8e20b0,32'h3f93edc6, 32'h3f89c6de,32'h3f984798, 32'h3f828682,32'h3f9f87f4,// invsqrt(0.7790) = 1.1330 +32'h3ee0d45a,32'h3fbd4c1b,32'h3fc50611, 32'h3fb780a2,32'h3fcad18a, 32'h3fadd82d,32'h3fd479ff,// invsqrt(0.4391) = 1.5091 +32'h3ec48613,32'h3fca78a9,32'h3fd2bc47, 32'h3fc445f2,32'h3fd8eefe, 32'h3fb9f16c,32'h3fe34384,// invsqrt(0.3838) = 1.6141 +32'h3e3fc12a,32'h4010f035,32'h4016daab, 32'h400c805e,32'h401b4a82, 32'h40051b4b,32'h4022af95,// invsqrt(0.1873) = 2.3109 +32'h3fb41a7b,32'h3f537ff5,32'h3f5c21ea, 32'h3f4d067d,32'h3f629b61, 32'h3f423c0a,32'h3f6d65d4,// invsqrt(1.4071) = 0.8430 +32'h3f01178d,32'h3fb0a5a5,32'h3fb7db6d, 32'h3fab3d4f,32'h3fbd43c3, 32'h3fa23a15,32'h3fc646fd,// invsqrt(0.5043) = 1.4082 +32'h3d56084d,32'h40893023,32'h408ec99d, 32'h4084fd08,32'h4092fcb8, 32'h407bfa60,32'h4099fc90,// invsqrt(0.0523) = 4.3746 +32'h40013e4d,32'h3f308b27,32'h3f37bfdb, 32'h3f2b23a1,32'h3f3d2761, 32'h3f2221c1,32'h3f462941,// invsqrt(2.0194) = 0.7037 +32'h416a7b7f,32'h3e8311bc,32'h3e886b46, 32'h3e7e1d28,32'h3e8c6e6e, 32'h3e70bd4f,32'h3e931e5b,// invsqrt(14.6552) = 0.2612 +32'h404e58c7,32'h3f0bb834,32'h3f116c22, 32'h3f077142,32'h3f15b314, 32'h3f00505a,32'h3f1cd3fc,// invsqrt(3.2242) = 0.5569 +32'h3f8fc83a,32'h3f6cb608,32'h3f765f6c, 32'h3f6576fe,32'h3f7d9e76, 32'h3f596341,32'h3f84d91a,// invsqrt(1.1233) = 0.9435 +32'h402dfab5,32'h3f182990,32'h3f1e5f80, 32'h3f13811b,32'h3f2307f5, 32'h3f0bbdae,32'h3f2acb62,// invsqrt(2.7184) = 0.6065 +32'h3fe5b9bf,32'h3f3b44ea,32'h3f42e9b0, 32'h3f358956,32'h3f48a544, 32'h3f2bfb5f,32'h3f52333b,// invsqrt(1.7947) = 0.7464 +32'h42cf6046,32'h3dc51a31,32'h3dcd25b5, 32'h3dbf118d,32'h3dd32e59, 32'h3db50328,32'h3ddd3cbf,// invsqrt(103.6880) = 0.0982 +32'h405c7245,32'h3f072d74,32'h3f0cb1eb, 32'h3f030a19,32'h3f10d545, 32'h3ef84908,32'h3f17bada,// invsqrt(3.4445) = 0.5388 +32'h3f1a4735,32'h3fa19606,32'h3fa82e6e, 32'h3f9ca3b7,32'h3fad20bd, 32'h3f946534,32'h3fb55f40,// invsqrt(0.6026) = 1.2882 +32'h3f8aa17a,32'h3f7111a4,32'h3f7ae891, 32'h3f69b073,32'h3f8124e1, 32'h3f5d63cc,32'h3f874b35,// invsqrt(1.0831) = 0.9609 +32'h3e527e6b,32'h400a561c,32'h400ffb96, 32'h40061a01,32'h401437b1, 32'h3ffe1653,32'h401b4688,// invsqrt(0.2056) = 2.2056 +32'h3ebc236a,32'h3fceef2b,32'h3fd7616b, 32'h3fc8997a,32'h3fddb71c, 32'h3fbe0aaa,32'h3fe845ec,// invsqrt(0.3675) = 1.6497 +32'h3f0f66d9,32'h3fa79a13,32'h3fae7157, 32'h3fa2789f,32'h3fb392cb, 32'h3f99eb89,32'h3fbc1fe1,// invsqrt(0.5602) = 1.3361 +32'h3f3cf102,32'h3f920368,32'h3f97f918, 32'h3f8d8b23,32'h3f9c715d, 32'h3f861806,32'h3fa3e47a,// invsqrt(0.7381) = 1.1640 +32'h3ef97506,32'h3fb3b5d9,32'h3fbb0ba3, 32'h3fae3581,32'h3fc08bfb, 32'h3fa50a45,32'h3fc9b737,// invsqrt(0.4872) = 1.4326 +32'h3f14f05b,32'h3fa474f4,32'h3fab2b5c, 32'h3f9f6c26,32'h3fb0342a, 32'h3f970824,32'h3fb8982c,// invsqrt(0.5818) = 1.3110 +32'h3dc4c985,32'h404a55f4,32'h40529827, 32'h4044244c,32'h4058c9ce, 32'h4039d18c,32'h40631c8e,// invsqrt(0.0961) = 3.2260 +32'h3f723340,32'h3f80f6ce,32'h3f863a5a, 32'h3f7a084c,32'h3f8a2d02, 32'h3f6cdf71,32'h3f90c170,// invsqrt(0.9461) = 1.0281 +32'h3f2002a4,32'h3f9eaa53,32'h3fa52437, 32'h3f99cee8,32'h3fa9ffa2, 32'h3f91b68b,32'h3fb217ff,// invsqrt(0.6250) = 1.2649 +32'h3e9388d8,32'h3fe9ae71,32'h3ff3382d, 32'h3fe28725,32'h3ffa5f79, 32'h3fd69afa,32'h400325d2,// invsqrt(0.2882) = 1.8629 +32'h3f688634,32'h3f839eb9,32'h3f88fe05, 32'h3f7f2e81,32'h3f8d057d, 32'h3f71c045,32'h3f93bc9c,// invsqrt(0.9083) = 1.0493 +32'h3f0bb1f7,32'h3fa9cf91,32'h3fb0bdeb, 32'h3fa49cce,32'h3fb5f0ae, 32'h3f9bf2de,32'h3fbe9a9e,// invsqrt(0.5457) = 1.3537 +32'h3faf715c,32'h3f564a69,32'h3f5f0987, 32'h3f4fbb12,32'h3f6598de, 32'h3f44cc2c,32'h3f7087c4,// invsqrt(1.3706) = 0.8542 +32'h3fa0a74d,32'h3f5fefe1,32'h3f6913cb, 32'h3f5914f2,32'h3f6feeba, 32'h3f4da80d,32'h3f7b5b9f,// invsqrt(1.2551) = 0.8926 +32'h3f4411dd,32'h3f8f55a1,32'h3f952f53, 32'h3f8af25a,32'h3f99929a, 32'h3f83a23b,32'h3fa0e2b9,// invsqrt(0.7659) = 1.1427 +32'h43fb9b6e,32'h3d32f0dd,32'h3d3a3e9d, 32'h3d2d768d,32'h3d3fb8ed, 32'h3d24555d,32'h3d48da1d,// invsqrt(503.2143) = 0.0446 +32'h3ec84297,32'h3fc892d8,32'h3fd0c2a2, 32'h3fc26f00,32'h3fd6e67a, 32'h3fb83344,32'h3fe12236,// invsqrt(0.3911) = 1.5990 +32'h3e83fa18,32'h3ff71236,32'h400093ed, 32'h3fef81fb,32'h40045c0b, 32'h3fe2e6ee,32'h400aa991,// invsqrt(0.2578) = 1.9696 +32'h3f9008b7,32'h3f6c8105,32'h3f76283f, 32'h3f65439a,32'h3f7d65aa, 32'h3f593292,32'h3f84bb59,// invsqrt(1.1253) = 0.9427 +32'h3f823562,32'h3f78be46,32'h3f8172b1, 32'h3f7120f0,32'h3f85415c, 32'h3f64700c,32'h3f8b99ce,// invsqrt(1.0173) = 0.9915 +32'h3ea0fe0c,32'h3fdfb384,32'h3fe8d4f8, 32'h3fd8da6e,32'h3fefae0e, 32'h3fcd709e,32'h3ffb17de,// invsqrt(0.3144) = 1.7833 +32'h3f7fec13,32'h3f7aeb0c,32'h3f829472, 32'h3f733cac,32'h3f866ba2, 32'h3f666f5f,32'h3f8cd248,// invsqrt(0.9997) = 1.0002 +32'h40a96a05,32'h3eda11f5,32'h3ee2f891, 32'h3ed36500,32'h3ee9a586, 32'h3ec844bc,32'h3ef4c5ca,// invsqrt(5.2942) = 0.4346 +32'h3d935ab7,32'h4069d302,32'h40735e3b, 32'h4062aa96,32'h407a86a6, 32'h4056bc8e,32'h40833a57,// invsqrt(0.0720) = 3.7281 +32'h3fb8b39e,32'h3f50d9bf,32'h3f596005, 32'h3f4a750a,32'h3f5fc4ba, 32'h3f3fcd32,32'h3f6a6c92,// invsqrt(1.4430) = 0.8325 +32'h3fa2d875,32'h3f5e6cbc,32'h3f6780d8, 32'h3f579da6,32'h3f6e4fee, 32'h3f4c4483,32'h3f79a911,// invsqrt(1.2722) = 0.8866 +32'h3e6d0e31,32'h40025b24,32'h4007ad3a, 32'h3ffcbb26,32'h400baacb, 32'h3fef6dee,32'h40125167,// invsqrt(0.2315) = 2.0784 +32'h3fe63bae,32'h3f3b100b,32'h3f42b2a9, 32'h3f355616,32'h3f486c9e, 32'h3f2bcad1,32'h3f51f7e3,// invsqrt(1.7987) = 0.7456 +32'h40faffb8,32'h3eb32855,32'h3eba7859, 32'h3eadac53,32'h3ebff45b, 32'h3ea4884e,32'h3ec91860,// invsqrt(7.8437) = 0.3571 +32'h3f0092ce,32'h3fb100be,32'h3fb83a3e, 32'h3fab959e,32'h3fbda55e, 32'h3fa28dbe,32'h3fc6ad3e,// invsqrt(0.5022) = 1.4111 +32'h3f9b2642,32'h3f63dfe6,32'h3f6d2cf4, 32'h3f5ce61b,32'h3f7426bf, 32'h3f5145c8,32'h3f7fc712,// invsqrt(1.2121) = 0.9083 +32'h408c1fcd,32'h3eefc7e3,32'h3ef9915a, 32'h3ee870ca,32'h3f00743a, 32'h3edc34f6,32'h3f069224,// invsqrt(4.3789) = 0.4779 +32'h3e60c2d2,32'h4005dfb0,32'h400b5688, 32'h4001c68e,32'h400f6faa, 32'h3ff5e3ff,32'h40164438,// invsqrt(0.2195) = 2.1345 +32'h3fdca684,32'h3f3f14dc,32'h3f46e178, 32'h3f393b68,32'h3f4cbaec, 32'h3f2f7ba6,32'h3f567aae,// invsqrt(1.7238) = 0.7616 +32'h3f9f3de5,32'h3f60ed6f,32'h3f6a1bb3, 32'h3f5a0abd,32'h3f70fe65, 32'h3f4e90e9,32'h3f7c7839,// invsqrt(1.2441) = 0.8966 +32'h3f69a9c8,32'h3f834c80,32'h3f88a870, 32'h3f7e8f17,32'h3f8cad64, 32'h3f71293e,32'h3f936051,// invsqrt(0.9127) = 1.0467 +32'h4026a448,32'h3f1b79ee,32'h3f21d280, 32'h3f16b781,32'h3f2694ed, 32'h3f0ec8cb,32'h3f2e83a3,// invsqrt(2.6038) = 0.6197 +32'h3f2582a8,32'h3f9c01bb,32'h3fa25fd7, 32'h3f973b25,32'h3fa7266d, 32'h3f8f4582,32'h3faf1c10,// invsqrt(0.6465) = 1.2437 +32'h3f5e2ab6,32'h3f86a733,32'h3f8c262f, 32'h3f8287f5,32'h3f90456d, 32'h3f775272,32'h3f972429,// invsqrt(0.8678) = 1.0734 +32'h3f8b8761,32'h3f704ab7,32'h3f7a1985, 32'h3f68ef9c,32'h3f80ba50, 32'h3f5cad1b,32'h3f86db90,// invsqrt(1.0901) = 0.9578 +32'h3f0dca48,32'h3fa88d38,32'h3faf6e6a, 32'h3fa36453,32'h3fb4974f, 32'h3f9acad6,32'h3fbd30cc,// invsqrt(0.5539) = 1.3437 +32'h3e3dcbcc,32'h4011af27,32'h4017a167, 32'h400d3977,32'h401c1717, 32'h4005caa6,32'h402385e8,// invsqrt(0.1853) = 2.3228 +32'h4001c8e5,32'h3f302ccb,32'h3f375da4, 32'h3f2ac827,32'h3f3cc247, 32'h3f21cb18,32'h3f45bf56,// invsqrt(2.0279) = 0.7022 +32'h42624000,32'h3e056ebb,32'h3e0ae0f6, 32'h3e01590d,32'h3e0ef6a3, 32'h3df51485,32'h3e15c56e,// invsqrt(56.5625) = 0.1330 +32'h413b8208,32'h3e929204,32'h3e988d87, 32'h3e8e1562,32'h3e9d0a2a, 32'h3e869aff,32'h3ea4848d,// invsqrt(11.7192) = 0.2921 +32'h3ff0ca62,32'h3f36ea74,32'h3f3e61bc, 32'h3f3150fd,32'h3f43fb33, 32'h3f27fbe2,32'h3f4d504e,// invsqrt(1.8812) = 0.7291 +32'h3f882a72,32'h3f733dba,32'h3f7d2b5a, 32'h3f6bcb83,32'h3f824ec8, 32'h3f5f627c,32'h3f88834c,// invsqrt(1.0638) = 0.9696 +32'h401f1453,32'h3f1f2100,32'h3f259fbc, 32'h3f1a41f3,32'h3f2a7ec9, 32'h3f122388,32'h3f329d34,// invsqrt(2.4856) = 0.6343 +32'h40907578,32'h3eec27ee,32'h3ef5cb84, 32'h3ee4ed3d,32'h3efd0635, 32'h3ed8e0c0,32'h3f048959,// invsqrt(4.5143) = 0.4707 +32'h3f9cc7c7,32'h3f62afae,32'h3f6bf052, 32'h3f5bbf33,32'h3f72e0cd, 32'h3f502e66,32'h3f7e719a,// invsqrt(1.2248) = 0.9036 +32'h3fb1b3f8,32'h3f54ec6c,32'h3f5d9d42, 32'h3f4e67cc,32'h3f6421e2, 32'h3f438ac2,32'h3f6efeed,// invsqrt(1.3883) = 0.8487 +32'h3f1d3ac7,32'h3fa00fef,32'h3fa6986b, 32'h3f9b2991,32'h3fab7ec9, 32'h3f92fef5,32'h3fb3a965,// invsqrt(0.6142) = 1.2760 +32'h3fd7c26f,32'h3f413c31,32'h3f491f4d, 32'h3f3b51dc,32'h3f4f09a2, 32'h3f3175f9,32'h3f58e585,// invsqrt(1.6856) = 0.7702 +32'h3f73692b,32'h3f80a49a,32'h3f85e4ca, 32'h3f7968ec,32'h3f89d4ee, 32'h3f6c4874,32'h3f90652a,// invsqrt(0.9508) = 1.0255 +32'h3f321729,32'h3f966553,32'h3f9c88ce, 32'h3f91cab6,32'h3fa1236a, 32'h3f8a1e5b,32'h3fa8cfc5,// invsqrt(0.6957) = 1.1989 +32'h40614281,32'h3f05b9ba,32'h3f0b2f05, 32'h3f01a1c1,32'h3f0f46fd, 32'h3ef59e45,32'h3f16199c,// invsqrt(3.5197) = 0.5330 +32'h3f1ba03d,32'h3fa0e283,32'h3fa77399, 32'h3f9bf5b4,32'h3fac6068, 32'h3f93c059,32'h3fb495c3,// invsqrt(0.6079) = 1.2826 +32'h408224b1,32'h3ef8ce39,32'h3f017afe, 32'h3ef13066,32'h3f0549e8, 32'h3ee47eb2,32'h3f0ba2c2,// invsqrt(4.0670) = 0.4959 +32'h3ea3449f,32'h3fde2302,32'h3fe7341c, 32'h3fd7562e,32'h3fee00f0, 32'h3fcc00ce,32'h3ff95651,// invsqrt(0.3189) = 1.7709 +32'h419b64cb,32'h3e63b207,32'h3e6cfd37, 32'h3e5cb9a4,32'h3e73f59a, 32'h3e511ba9,32'h3e7f9395,// invsqrt(19.4242) = 0.2269 +32'h3f7b0090,32'h3f7d5d89,32'h3f83da79, 32'h3f759bfb,32'h3f87bb41, 32'h3f68aeb8,32'h3f8e31e2,// invsqrt(0.9805) = 1.0099 +32'h3f1d5f7d,32'h3f9ffd42,32'h3fa684fc, 32'h3f9b1777,32'h3fab6ac7, 32'h3f92edcf,32'h3fb3946f,// invsqrt(0.6147) = 1.2754 +32'h403d6bba,32'h3f11d414,32'h3f17c7d6, 32'h3f0d5d42,32'h3f1c3ea8, 32'h3f05ec90,32'h3f23af5a,// invsqrt(2.9597) = 0.5813 +32'h3e9d4ce4,32'h3fe24faf,32'h3feb8c68, 32'h3fdb6225,32'h3ff279f3, 32'h3fcfd63e,32'h3ffe05da,// invsqrt(0.3072) = 1.8041 +32'h3f11c24a,32'h3fa63db9,32'h3fad06c6, 32'h3fa126f0,32'h3fb21d90, 32'h3f98aba0,32'h3fba98e0,// invsqrt(0.5694) = 1.3253 +32'h3e6ac0d9,32'h4002fe5e,32'h4008571e, 32'h3ffdf79c,32'h400c59ae, 32'h3ff099bc,32'h4013089e,// invsqrt(0.2293) = 2.0885 +32'h4049ca20,32'h3f0d49d9,32'h3f130e2a, 32'h3f08f69b,32'h3f176167, 32'h3f01c134,32'h3f1e96ce,// invsqrt(3.1530) = 0.5632 +32'h3f3808a7,32'h3f93f286,32'h3f99fc6d, 32'h3f8f6b1a,32'h3f9e83da, 32'h3f87debb,32'h3fa61039,// invsqrt(0.7189) = 1.1794 +32'h3f5c5ae1,32'h3f8734a0,32'h3f8cb962, 32'h3f83110e,32'h3f90dcf4, 32'h3f785635,32'h3f97c2e8,// invsqrt(0.8608) = 1.0779 +32'h3ff0cb80,32'h3f36ea07,32'h3f3e614c, 32'h3f315095,32'h3f43fabf, 32'h3f27fb7f,32'h3f4d4fd5,// invsqrt(1.8812) = 0.7291 +32'h403bfd81,32'h3f1261da,32'h3f185b66, 32'h3f0de6b2,32'h3f1cd68e, 32'h3f066ec3,32'h3f244e7d,// invsqrt(2.9373) = 0.5835 +32'h3f0b8764,32'h3fa9e977,32'h3fb0d8df, 32'h3fa4b5e9,32'h3fb60c6d, 32'h3f9c0aa7,32'h3fbeb7af,// invsqrt(0.5450) = 1.3545 +32'h41087a4e,32'h3eabccff,32'h3eb2d023, 32'h3ea68aa3,32'h3eb8127f, 32'h3e9dc6b6,32'h3ec0d66c,// invsqrt(8.5299) = 0.3424 +32'h400c37e4,32'h3f297e65,32'h3f30696f, 32'h3f244e1e,32'h3f3599b6, 32'h3f1ba853,32'h3f3e3f81,// invsqrt(2.1909) = 0.6756 +32'h3e8113ff,32'h3ff9d480,32'h4002037c, 32'h3ff22ea6,32'h4005d669, 32'h3fe56f90,32'h400c35f4,// invsqrt(0.2521) = 1.9916 +32'h3eb965a5,32'h3fd07561,32'h3fd8f78e, 32'h3fca13be,32'h3fdf5930, 32'h3fbf7105,32'h3fe9fbe9,// invsqrt(0.3621) = 1.6618 +32'h3ebf47ce,32'h3fcd3a42,32'h3fd59aad, 32'h3fc6f1f1,32'h3fdbe2fd, 32'h3fbc796b,32'h3fe65b83,// invsqrt(0.3736) = 1.6361 +32'h3f47e449,32'h3f8df524,32'h3f93c074, 32'h3f899ca8,32'h3f9818f0, 32'h3f825e84,32'h3f9f5714,// invsqrt(0.7808) = 1.1317 +32'h3ecabeda,32'h3fc75727,32'h3fcf7a0e, 32'h3fc13cf9,32'h3fd5943b, 32'h3fb71157,32'h3fdfbfdd,// invsqrt(0.3960) = 1.5891 +32'h3f9d57cf,32'h3f6247d5,32'h3f6b843b, 32'h3f5b5a88,32'h3f727188, 32'h3f4fcf07,32'h3f7dfd09,// invsqrt(1.2292) = 0.9019 +32'h41943f1a,32'h3e691e9f,32'h3e72a27b, 32'h3e61fbb9,32'h3e79c561, 32'h3e5616e5,32'h3e82d51a,// invsqrt(18.5308) = 0.2323 +32'h3fa425b3,32'h3f5d8a82,32'h3f669562, 32'h3f56c259,32'h3f6d5d8b, 32'h3f4b74c0,32'h3f78ab24,// invsqrt(1.2824) = 0.8831 +32'h3f950488,32'h3f6883fe,32'h3f72018c, 32'h3f6165d5,32'h3f791fb5, 32'h3f5588e4,32'h3f827e53,// invsqrt(1.1642) = 0.9268 +32'h3f2f7fb0,32'h3f978091,32'h3f9daf9d, 32'h3f92dd49,32'h3fa252e5, 32'h3f8b227b,32'h3faa0db3,// invsqrt(0.6855) = 1.2078 +32'h41420462,32'h3e901739,32'h3e95f8d3, 32'h3e8bae06,32'h3e9a6206, 32'h3e845405,32'h3ea1bc07,// invsqrt(12.1261) = 0.2872 +32'h4023bf35,32'h3f1cd836,32'h3f233f13, 32'h3f180b0f,32'h3f280c39, 32'h3f100a7a,32'h3f300cce,// invsqrt(2.5585) = 0.6252 +32'h3f886fd7,32'h3f72ffd7,32'h3f7ceaef, 32'h3f6b8f84,32'h3f822da1, 32'h3f5f29a6,32'h3f886090,// invsqrt(1.0659) = 0.9686 +32'h3f3696ed,32'h3f948805,32'h3f9a9805, 32'h3f8ffc05,32'h3f9f2405, 32'h3f886804,32'h3fa6b806,// invsqrt(0.7132) = 1.1841 +32'h40567740,32'h3f090ca2,32'h3f0ea4a9, 32'h3f04da9e,32'h3f12d6ae, 32'h3efbb92b,32'h3f19d4b7,// invsqrt(3.3510) = 0.5463 +32'h3fa93d91,32'h3f5a2e96,32'h3f63165e, 32'h3f5380c1,32'h3f69c433, 32'h3f485f07,32'h3f74e5ed,// invsqrt(1.3222) = 0.8697 +32'h3e6fc64f,32'h40019d60,32'h4006e7b8, 32'h3ffb4b3d,32'h400adf79, 32'h3fee1162,32'h40117c67,// invsqrt(0.2342) = 2.0666 +32'h3d859ab3,32'h40758fd2,32'h407f95b1, 32'h406e0b6c,32'h40838d0c, 32'h40618415,32'h4089d0b8,// invsqrt(0.0652) = 3.9152 +32'h3f9d0793,32'h3f62819d,32'h3f6bc05f, 32'h3f5b928b,32'h3f72af71, 32'h3f500418,32'h3f7e3de4,// invsqrt(1.2268) = 0.9028 +32'h3f4cc61b,32'h3f8c4151,32'h3f91fad7, 32'h3f87f62d,32'h3f9645fb, 32'h3f80ce45,32'h3f9d6de3,// invsqrt(0.7999) = 1.1181 +32'h3f83c855,32'h3f7740d8,32'h3f80ac31, 32'h3f6faf2f,32'h3f847506, 32'h3f6311c0,32'h3f8ac3bd,// invsqrt(1.0296) = 0.9855 +32'h41974353,32'h3e66c891,32'h3e703405, 32'h3e5fb7fa,32'h3e77449c, 32'h3e53f1aa,32'h3e818576,// invsqrt(18.9079) = 0.2300 +32'h4008d2cf,32'h3f2b9566,32'h3f329645, 32'h3f2654be,32'h3f37d6ec, 32'h3f1d93a6,32'h3f409804,// invsqrt(2.1379) = 0.6839 +32'h40a41151,32'h3edd9845,32'h3ee6a3b5, 32'h3ed6cfb0,32'h3eed6c4a, 32'h3ecb8164,32'h3ef8ba96,// invsqrt(5.1271) = 0.4416 +32'h3f867d8b,32'h3f74c063,32'h3f7ebdcb, 32'h3f6d4256,32'h3f831dec, 32'h3f60c595,32'h3f895c4d,// invsqrt(1.0507) = 0.9756 +32'h3ff27b5a,32'h3f3646dd,32'h3f3db779, 32'h3f30b269,32'h3f434bed, 32'h3f2765a7,32'h3f4c98af,// invsqrt(1.8944) = 0.7265 +32'h3f641223,32'h3f84e619,32'h3f8a52c1, 32'h3f80d49b,32'h3f8e643f, 32'h3f741991,32'h3f952c12,// invsqrt(0.8909) = 1.0595 +32'h3f7a7c1a,32'h3f7da07e,32'h3f83fd51, 32'h3f75dce2,32'h3f87df1f, 32'h3f68ec35,32'h3f8e5776,// invsqrt(0.9785) = 1.0109 +32'h3fd1c00e,32'h3f43fbd0,32'h3f4bfba4, 32'h3f3dfbf1,32'h3f51fb83, 32'h3f33fc27,32'h3f5bfb4d,// invsqrt(1.6387) = 0.7812 +32'h3f4d7b78,32'h3f8c035e,32'h3f91ba5c, 32'h3f87ba1f,32'h3f96039b, 32'h3f809560,32'h3f9d285a,// invsqrt(0.8027) = 1.1162 +32'h440fc666,32'h3d276258,32'h3d2e3756, 32'h3d224299,32'h3d335715, 32'h3d19b85b,32'h3d3be153,// invsqrt(575.1000) = 0.0417 +32'h3c64538b,32'h4104d30f,32'h410a3ef1, 32'h4100c226,32'h410e4fda, 32'h40f3f69a,32'h411516b3,// invsqrt(0.0139) = 8.4709 +32'h3f7388be,32'h3f809c43,32'h3f85dc1c, 32'h3f7958c2,32'h3f89cbff, 32'h3f6c3923,32'h3f905bce,// invsqrt(0.9513) = 1.0253 +32'h3f36a8f9,32'h3f9480af,32'h3f9a9062, 32'h3f8ff4e8,32'h3f9f1c28, 32'h3f886147,32'h3fa6afc9,// invsqrt(0.7135) = 1.1839 +32'h4101cad0,32'h3eb02b7e,32'h3eb75c49, 32'h3eaac6e4,32'h3ebcc0e2, 32'h3ea1c9e6,32'h3ec5bde0,// invsqrt(8.1120) = 0.3511 +32'h3f9d96bd,32'h3f621aa2,32'h3f6b5531, 32'h3f5b2eb8,32'h3f72411c, 32'h3f4fa586,32'h3f7dca4e,// invsqrt(1.2312) = 0.9012 +32'h40a5cef0,32'h3edc6db5,32'h3ee56cf5, 32'h3ed5ae44,32'h3eec2c66, 32'h3eca6f33,32'h3ef76b77,// invsqrt(5.1815) = 0.4393 +32'h3fd0d4ca,32'h3f446a16,32'h3f4c6e6a, 32'h3f3e66d6,32'h3f5271aa, 32'h3f34616d,32'h3f5c7713,// invsqrt(1.6315) = 0.7829 +32'h3f3435fb,32'h3f958225,32'h3f9b9c5b, 32'h3f90ee7d,32'h3fa03003, 32'h3f894dba,32'h3fa7d0c6,// invsqrt(0.7039) = 1.1919 +32'h3e837ffe,32'h3ff784d0,32'h4000cf91, 32'h3feff113,32'h40049970, 32'h3fe3502d,32'h400ae9e3,// invsqrt(0.2568) = 1.9732 +32'h3eb96026,32'h3fd07878,32'h3fd8fac6, 32'h3fca16bd,32'h3fdf5c81, 32'h3fbf73dc,32'h3fe9ff62,// invsqrt(0.3621) = 1.6619 +32'h3fed2918,32'h3f384f76,32'h3f3fd550, 32'h3f32ab11,32'h3f4579b5, 32'h3f2943c0,32'h3f4ee107,// invsqrt(1.8528) = 0.7347 +32'h3e46e28d,32'h400e5102,32'h40142012, 32'h4009f5b6,32'h40187b5e, 32'h4002b2e3,32'h401fbe31,// invsqrt(0.1942) = 2.2691 +32'h3f81db2f,32'h3f79149b,32'h3f819f9f, 32'h3f7174a0,32'h3f856f9c, 32'h3f64bf54,32'h3f8bca42,// invsqrt(1.0145) = 0.9928 +32'h3f988a13,32'h3f65d0df,32'h3f6f3237, 32'h3f5ec7de,32'h3f763b38, 32'h3f530e30,32'h3f80fa73,// invsqrt(1.1917) = 0.9160 +32'h3ec8d36b,32'h3fc84a78,32'h3fd0774e, 32'h3fc228d8,32'h3fd698ee, 32'h3fb7f0cc,32'h3fe0d0fa,// invsqrt(0.3922) = 1.5967 +32'h4052d3aa,32'h3f0a3a21,32'h3f0fde76, 32'h3f05fee2,32'h3f1419b6, 32'h3efde2ef,32'h3f1b2720,// invsqrt(3.2942) = 0.5510 +32'h4047676d,32'h3f0e218f,32'h3f13eeaf, 32'h3f09c7b7,32'h3f184887, 32'h3f02874f,32'h3f1f88ef,// invsqrt(3.1157) = 0.5665 +32'h3ee4460c,32'h3fbbdd24,32'h3fc38820, 32'h3fb61ce7,32'h3fc9485d, 32'h3fac872b,32'h3fd2de19,// invsqrt(0.4458) = 1.4976 +32'h4087ef35,32'h3ef372b5,32'h3efd627d, 32'h3eebfede,32'h3f026b2a, 32'h3edf9323,32'h3f08a107,// invsqrt(4.2480) = 0.4852 +32'h3f90e664,32'h3f6bcbd7,32'h3f756bab, 32'h3f6493f7,32'h3f7ca38b, 32'h3f588c2e,32'h3f8455aa,// invsqrt(1.1320) = 0.9399 +32'h403105e6,32'h3f16d93a,32'h3f1d0171, 32'h3f123b12,32'h3f219f9a, 32'h3f0a88cd,32'h3f2951df,// invsqrt(2.7660) = 0.6013 +32'h3fcfe789,32'h3f44da08,32'h3f4ce2ef, 32'h3f3ed35c,32'h3f52e99c, 32'h3f34c83c,32'h3f5cf4bc,// invsqrt(1.6243) = 0.7846 +32'h3f1197a2,32'h3fa65612,32'h3fad201d, 32'h3fa13e89,32'h3fb237a5, 32'h3f98c1fb,32'h3fbab433,// invsqrt(0.5687) = 1.3260 +32'h40174a14,32'h3f232ca1,32'h3f29d5a2, 32'h3f1e2ddf,32'h3f2ed463, 32'h3f15da9e,32'h3f3727a4,// invsqrt(2.3639) = 0.6504 +32'h40932baa,32'h3ee9f85f,32'h3ef3851f, 32'h3ee2cecf,32'h3efaaeaf, 32'h3ed6dedf,32'h3f034f4f,// invsqrt(4.5991) = 0.4663 +32'h3f0d0db3,32'h3fa8fdbf,32'h3fafe388, 32'h3fa3d168,32'h3fb50fde, 32'h3f9b322c,32'h3fbdaf1a,// invsqrt(0.5510) = 1.3472 +32'h3dbae052,32'h404fa1c1,32'h40581b4b, 32'h40494699,32'h405e7673, 32'h403eaeac,32'h40690e60,// invsqrt(0.0912) = 3.3105 +32'h41b9a5d3,32'h3e505155,32'h3e58d20b, 32'h3e49f0ce,32'h3e5f3292, 32'h3e3f4feb,32'h3e69d375,// invsqrt(23.2060) = 0.2076 +32'h407c4860,32'h3efcb8b7,32'h3f0384b3, 32'h3ef4fc34,32'h3f0762f4, 32'h3ee81759,32'h3f0dd561,// invsqrt(3.9419) = 0.5037 +32'h3f65acca,32'h3f846f15,32'h3f89d6e1, 32'h3f80613b,32'h3f8de4bb, 32'h3f733ef7,32'h3f94a67a,// invsqrt(0.8972) = 1.0558 +32'h40073c66,32'h3f2c9677,32'h3f33a1d5, 32'h3f274df1,32'h3f38ea5b, 32'h3f1e7fbc,32'h3f41b890,// invsqrt(2.1131) = 0.6879 +32'h4032a5db,32'h3f162936,32'h3f1c4a3e, 32'h3f119071,32'h3f20e303, 32'h3f09e727,32'h3f288c4d,// invsqrt(2.7914) = 0.5985 +32'h3f5accc8,32'h3f87af69,32'h3f8d392e, 32'h3f838814,32'h3f916082, 32'h3f7937ba,32'h3f984cb9,// invsqrt(0.8547) = 1.0817 +32'h3f0af25e,32'h3faa447d,32'h3fb1379c, 32'h3fa50e25,32'h3fb66df3, 32'h3f9c5e3e,32'h3fbf1dda,// invsqrt(0.5428) = 1.3574 +32'h3f87cb83,32'h3f7392b2,32'h3f7d83c8, 32'h3f6c1de0,32'h3f827c4d, 32'h3f5fb084,32'h3f88b2fb,// invsqrt(1.0609) = 0.9709 +32'h3f5a6121,32'h3f87d0d6,32'h3f8d5bf8, 32'h3f83a87b,32'h3f918453, 32'h3f797520,32'h3f98723e,// invsqrt(0.8530) = 1.0827 +32'h3fbc6b6a,32'h3f4ec79e,32'h3f573841, 32'h3f487323,32'h3f5d8cbb, 32'h3f3de657,32'h3f681987,// invsqrt(1.4720) = 0.8242 +32'h40f955e7,32'h3eb3c110,32'h3ebb174f, 32'h3eae4060,32'h3ec097fe, 32'h3ea51491,32'h3ec9c3cd,// invsqrt(7.7917) = 0.3582 +32'h3f8c936c,32'h3f6f6533,32'h3f792aa3, 32'h3f68111f,32'h3f803f5b, 32'h3f5bda54,32'h3f865ac1,// invsqrt(1.0982) = 0.9542 +32'h3f9db400,32'h3f6205a7,32'h3f6b3f5b, 32'h3f5b1a61,32'h3f722aa1, 32'h3f4f9241,32'h3f7db2c1,// invsqrt(1.2321) = 0.9009 +32'h401d95cb,32'h3f1fe1af,32'h3f266848, 32'h3f1afcbb,32'h3f2b4d3b, 32'h3f12d47c,32'h3f33757b,// invsqrt(2.4623) = 0.6373 +32'h3eb5492a,32'h3fd2cf1a,32'h3fdb69d8, 32'h3fcc5b0d,32'h3fe1dde5, 32'h3fc199a0,32'h3fec9f52,// invsqrt(0.3541) = 1.6806 +32'h3e917341,32'h3feb598d,32'h3ff4f4b7, 32'h3fe4252d,32'h3ffc2917, 32'h3fd82338,32'h40041586,// invsqrt(0.2841) = 1.8762 +32'h3f8db817,32'h3f6e6d82,32'h3f7828d6, 32'h3f672103,32'h3f7f7555, 32'h3f5af6db,32'h3f85cfbf,// invsqrt(1.1072) = 0.9504 +32'h3f24cdca,32'h3f9c573f,32'h3fa2b8d9, 32'h3f978e0b,32'h3fa7820d, 32'h3f8f940b,32'h3faf7c0d,// invsqrt(0.6438) = 1.2463 +32'h3f93177c,32'h3f6a086b,32'h3f7395d3, 32'h3f62de5d,32'h3f7abfe1, 32'h3f56ed9c,32'h3f835851,// invsqrt(1.1492) = 0.9328 +32'h3feb87c6,32'h3f38f276,32'h3f407ef9, 32'h3f334915,32'h3f46285b, 32'h3f29d972,32'h3f4f97fe,// invsqrt(1.8401) = 0.7372 +32'h3f6425a8,32'h3f84e06a,32'h3f8a4cd6, 32'h3f80cf18,32'h3f8e5e28, 32'h3f740f20,32'h3f9525b0,// invsqrt(0.8912) = 1.0593 +32'h3f20c2a3,32'h3f9e4b77,32'h3fa4c17c, 32'h3f9972f4,32'h3fa99a00, 32'h3f915f6e,32'h3fb1ad86,// invsqrt(0.6280) = 1.2619 +32'h3e7153f3,32'h4001326b,32'h40067865, 32'h3ffa7bdf,32'h400a6ce0, 32'h3fed4cee,32'h40110459,// invsqrt(0.2357) = 2.0599 +32'h3efe9332,32'h3fb1e50f,32'h3fb927e1, 32'h3fac72f2,32'h3fbe99fe, 32'h3fa35f6c,32'h3fc7ad84,// invsqrt(0.4972) = 1.4182 +32'h3ee05222,32'h3fbd8304,32'h3fc53f39, 32'h3fb7b5dd,32'h3fcb0c61, 32'h3fae0a9c,32'h3fd4b7a2,// invsqrt(0.4381) = 1.5108 +32'h3e51a939,32'h400a9c60,32'h401044b7, 32'h40065e1e,32'h401482f8, 32'h3ffe9761,32'h401b9566,// invsqrt(0.2047) = 2.2100 +32'h408c5a44,32'h3eef95ed,32'h3ef95d59, 32'h3ee8405b,32'h3f005976, 32'h3edc0713,32'h3f06761a,// invsqrt(4.3860) = 0.4775 +32'h3fa00667,32'h3f606059,32'h3f6988db, 32'h3f5981f9,32'h3f70673b, 32'h3f4e0f57,32'h3f7bd9dd,// invsqrt(1.2502) = 0.8944 +32'h3f5a7248,32'h3f87cb81,32'h3f8d566c, 32'h3f83a350,32'h3f917e9c, 32'h3f796b54,32'h3f986c42,// invsqrt(0.8533) = 1.0825 +32'h3f0f0cf5,32'h3fa7ceb3,32'h3faea81e, 32'h3fa2aba4,32'h3fb3cb2e, 32'h3f9a1bde,32'h3fbc5af4,// invsqrt(0.5588) = 1.3378 +32'h400de327,32'h3f287e72,32'h3f2f5f08, 32'h3f235600,32'h3f34877a, 32'h3f1abd44,32'h3f3d2036,// invsqrt(2.2170) = 0.6716 +32'h3f59aa8f,32'h3f8809bf,32'h3f8d9735, 32'h3f83dfa7,32'h3f91c14d, 32'h3f79dda8,32'h3f98b220,// invsqrt(0.8503) = 1.0845 +32'h3fcf1b61,32'h3f453af7,32'h3f4d47d2, 32'h3f3f3152,32'h3f535176, 32'h3f352140,32'h3f5d6188,// invsqrt(1.6180) = 0.7862 +32'h40320d43,32'h3f166981,32'h3f1c8d28, 32'h3f11cec3,32'h3f2127e5, 32'h3f0a2232,32'h3f28d476,// invsqrt(2.7821) = 0.5995 +32'h3ea6c95f,32'h3fdbc7f9,32'h3fe4c077, 32'h3fd50d9c,32'h3feb7ad4, 32'h3fc9d6ff,32'h3ff6b171,// invsqrt(0.3258) = 1.7521 +32'h4057e5a9,32'h3f089825,32'h3f0e2b6b, 32'h3f0469b1,32'h3f1259df, 32'h3efae335,32'h3f1951f6,// invsqrt(3.3734) = 0.5445 +32'h3f80d610,32'h3f7a1085,32'h3f8222b8, 32'h3f7268d5,32'h3f85f691, 32'h3f65a6af,32'h3f8c57a4,// invsqrt(1.0065) = 0.9967 +32'h402c6db8,32'h3f18d855,32'h3f1f1569, 32'h3f142a87,32'h3f23c337, 32'h3f0c5e2f,32'h3f2b8f8f,// invsqrt(2.6942) = 0.6092 +32'h410342c8,32'h3eaf2e7b,32'h3eb654f3, 32'h3ea9d1a1,32'h3ebbb1cd, 32'h3ea0e18b,32'h3ec4a1e3,// invsqrt(8.2038) = 0.3491 +32'h3f2596f6,32'h3f9bf82a,32'h3fa255e2, 32'h3f9731df,32'h3fa71c2d, 32'h3f8f3cb9,32'h3faf1153,// invsqrt(0.6468) = 1.2434 +32'h3e60831f,32'h4005f2ad,32'h400b6a4b, 32'h4001d8f6,32'h400f8402, 32'h3ff606df,32'h40165988,// invsqrt(0.2193) = 2.1356 +32'h4068eb97,32'h3f038210,32'h3f08e030, 32'h3efef6f0,32'h3f0ce6c8, 32'h3ef18ba0,32'h3f139c70,// invsqrt(3.6394) = 0.5242 +32'h414c1e74,32'h3e8c7ade,32'h3e9236be, 32'h3e882df7,32'h3e9683a5, 32'h3e810320,32'h3e9dae7c,// invsqrt(12.7574) = 0.2800 +32'h3f9337c5,32'h3f69eec0,32'h3f737b1c, 32'h3f62c57c,32'h3f7aa460, 32'h3f56d609,32'h3f8349e9,// invsqrt(1.1501) = 0.9324 +32'h41764d3f,32'h3e7fc586,32'h3e851b09, 32'h3e77f11b,32'h3e89053e, 32'h3e6ae46b,32'h3e8f8b97,// invsqrt(15.3939) = 0.2549 +32'h3eef44a4,32'h3fb77f31,32'h3fbefc8c, 32'h3fb1e12d,32'h3fc49a91, 32'h3fa8847c,32'h3fcdf742,// invsqrt(0.4673) = 1.4628 +32'h3f767a0e,32'h3f7fae45,32'h3f850ef0, 32'h3f77da91,32'h3f88f8c9, 32'h3f6acf10,32'h3f8f7e8a,// invsqrt(0.9628) = 1.0191 +32'h3faec139,32'h3f56b64c,32'h3f5f79d1, 32'h3f5023a7,32'h3f660c75, 32'h3f452f40,32'h3f7100dc,// invsqrt(1.3653) = 0.8558 +32'h3a76eadc,32'h41ff73d7,32'h4204f088, 32'h41f7a1ee,32'h4208d97d, 32'h41ea9968,32'h420f5dc0,// invsqrt(0.0009) = 32.5832 +32'h3f82efe6,32'h3f780cdd,32'h3f81165e, 32'h3f7074f6,32'h3f84e252, 32'h3f63cd1f,32'h3f8b363e,// invsqrt(1.0229) = 0.9887 +32'h3eb414c8,32'h3fd3834e,32'h3fdc2566, 32'h3fcd09bc,32'h3fe29ef8, 32'h3fc23f1e,32'h3fed6996,// invsqrt(0.3517) = 1.6862 +32'h3fc0e488,32'h3f4c5e3c,32'h3f54b5ad, 32'h3f461ca9,32'h3f5af741, 32'h3f3baf5c,32'h3f65648e,// invsqrt(1.5070) = 0.8146 +32'h3fbd131d,32'h3f4e6bd6,32'h3f56d8ba, 32'h3f481a2b,32'h3f5d2a65, 32'h3f3d920e,32'h3f67b282,// invsqrt(1.4771) = 0.8228 +32'h403c9f30,32'h3f122310,32'h3f181a0b, 32'h3f0da9d3,32'h3f1c9347, 32'h3f063518,32'h3f240802,// invsqrt(2.9472) = 0.5825 +32'h3f9046f6,32'h3f6c4dfb,32'h3f75f31f, 32'h3f651220,32'h3f7d2efa, 32'h3f5903b2,32'h3f849eb4,// invsqrt(1.1272) = 0.9419 +32'h3d8251d9,32'h4078a31a,32'h4081648e, 32'h4071069a,32'h408532ce, 32'h40645718,32'h408b8a8f,// invsqrt(0.0636) = 3.9642 +32'h402a0702,32'h3f19eba9,32'h3f2033f9, 32'h3f15356d,32'h3f24ea35, 32'h3f0d5b09,32'h3f2cc499,// invsqrt(2.6567) = 0.6135 +32'h3ec83aba,32'h3fc896c8,32'h3fd0c6bc, 32'h3fc272d2,32'h3fd6eab2, 32'h3fb836e2,32'h3fe126a2,// invsqrt(0.3911) = 1.5991 +32'h3fdc5079,32'h3f3f3a29,32'h3f47084a, 32'h3f395f90,32'h3f4ce2e2, 32'h3f2f9de6,32'h3f56a48c,// invsqrt(1.7212) = 0.7622 +32'h400844fa,32'h3f2bee9a,32'h3f32f31d, 32'h3f26ab36,32'h3f383680, 32'h3f1de592,32'h3f40fc24,// invsqrt(2.1292) = 0.6853 +32'h3f267212,32'h3f9b915f,32'h3fa1eae6, 32'h3f96ce3b,32'h3fa6ae0b, 32'h3f8ede53,32'h3fae9df3,// invsqrt(0.6502) = 1.2402 +32'h3e1cdb8f,32'h4020407c,32'h4026caf4, 32'h401b58a2,32'h402bb2ce, 32'h40132b8c,32'h4033dfe4,// invsqrt(0.1532) = 2.5550 +32'h415db6db,32'h3e86ca5c,32'h3e8c4ac9, 32'h3e82aa0c,32'h3e906b1a, 32'h3e779309,32'h3e974ba2,// invsqrt(13.8571) = 0.2686 +32'h3d7d0f46,32'h407c5553,32'h408350fa, 32'h40749bdc,32'h40872db6, 32'h4067bc14,32'h408d9d9a,// invsqrt(0.0618) = 4.0232 +32'h3f57547d,32'h3f88c629,32'h3f8e5b4f, 32'h3f84964c,32'h3f928b2c, 32'h3f7b37b9,32'h3f99859c,// invsqrt(0.8411) = 1.0904 +32'h3e3299e6,32'h40162e3d,32'h401c4f79, 32'h40119550,32'h4020e866, 32'h4009ebc5,32'h402891f1,// invsqrt(0.1744) = 2.3945 +32'h3f9e6972,32'h3f618411,32'h3f6ab87a, 32'h3f5a9cc2,32'h3f719fc8, 32'h3f4f1b3e,32'h3f7d214c,// invsqrt(1.2376) = 0.8989 +32'h3e1dfb14,32'h401fae66,32'h402632e8, 32'h401acb05,32'h402b1649, 32'h4012a563,32'h40333beb,// invsqrt(0.1543) = 2.5459 +32'h3f84e88c,32'h3f76342f,32'h3f802062, 32'h3f6eaac0,32'h3f83e519, 32'h3f621b06,32'h3f8a2cf6,// invsqrt(1.0383) = 0.9814 +32'h3d27c0ee,32'h409af5cd,32'h40a148fa, 32'h4096376c,32'h40a6075c, 32'h408e4f74,32'h40adef54,// invsqrt(0.0410) = 4.9413 +32'h3d17cd9c,32'h40a2e5e0,32'h40a98bfe, 32'h409de949,32'h40ae8895, 32'h409599a4,32'h40b6d83a,// invsqrt(0.0371) = 5.1944 +32'h3fd1bf10,32'h3f43fc47,32'h3f4bfc20, 32'h3f3dfc64,32'h3f51fc02, 32'h3f33fc94,32'h3f5bfbd2,// invsqrt(1.6386) = 0.7812 +32'h3f598955,32'h3f881423,32'h3f8da205, 32'h3f83e9b9,32'h3f91cc6f, 32'h3f79f0be,32'h3f98bdc9,// invsqrt(0.8498) = 1.0848 +32'h417f41c2,32'h3e7b3eb4,32'h3e82bffa, 32'h3e738dc3,32'h3e869872, 32'h3e66bc32,32'h3e8d013b,// invsqrt(15.9536) = 0.2504 +32'h3f47d6c0,32'h3f8df9f3,32'h3f93c575, 32'h3f89a151,32'h3f981e17, 32'h3f8262ef,32'h3f9f5c79,// invsqrt(0.7806) = 1.1318 +32'h3f210b3a,32'h3f9e27c7,32'h3fa49c56, 32'h3f99505a,32'h3fa973c2, 32'h3f913ea6,32'h3fb18576,// invsqrt(0.6291) = 1.2608 +32'h40107374,32'h3f26fdf6,32'h3f2dcedc, 32'h3f21e14a,32'h3f32eb88, 32'h3f195c2b,32'h3f3b70a7,// invsqrt(2.2570) = 0.6656 +32'h3df5e4d7,32'h403501fb,32'h403c6553, 32'h402f7778,32'h4041efd6, 32'h40263b4a,32'h404b2c05,// invsqrt(0.1201) = 2.8860 +32'h3f879143,32'h3f73c700,32'h3f7dba3a, 32'h3f6c5095,32'h3f829852, 32'h3f5fe08d,32'h3f88d056,// invsqrt(1.0591) = 0.9717 +32'h3f82e33c,32'h3f7818dd,32'h3f811c9d, 32'h3f708097,32'h3f84e8bf, 32'h3f63d824,32'h3f8b3cf9,// invsqrt(1.0226) = 0.9889 +32'h3e10a2f9,32'h4026e285,32'h402db24c, 32'h4021c6b1,32'h4032ce21, 32'h401942f8,32'h403b51da,// invsqrt(0.1412) = 2.6608 +32'h3d154ddf,32'h40a4416b,32'h40aaf5b9, 32'h409f3a31,32'h40affcf3, 32'h4096d8d0,32'h40b85e54,// invsqrt(0.0365) = 5.2377 +32'h40187960,32'h3f228a05,32'h3f292c63, 32'h3f1d903e,32'h3f2e262a, 32'h3f154548,32'h3f367120,// invsqrt(2.3824) = 0.6479 +32'h3f0cf962,32'h3fa909ec,32'h3faff034, 32'h3fa3dd36,32'h3fb51cea, 32'h3f9b3d5b,32'h3fbdbcc5,// invsqrt(0.5507) = 1.3476 +32'h3fc0803e,32'h3f4c9372,32'h3f54ed0e, 32'h3f46503d,32'h3f5b3043, 32'h3f3be03a,32'h3f65a046,// invsqrt(1.5039) = 0.8154 +32'h3f1fd08e,32'h3f9ec32e,32'h3fa53e16, 32'h3f99e700,32'h3faa1a44, 32'h3f91cd5f,32'h3fb233e5,// invsqrt(0.6243) = 1.2656 +32'h3f0bfe00,32'h3fa9a16d,32'h3fb08de5, 32'h3fa47014,32'h3fb5bf3e, 32'h3f9bc87e,32'h3fbe66d4,// invsqrt(0.5468) = 1.3523 +32'h3fc35722,32'h3f4b156c,32'h3f535f70, 32'h3f44dde8,32'h3f5996f4, 32'h3f3a8163,32'h3f63f379,// invsqrt(1.5261) = 0.8095 +32'h3f34e312,32'h3f953a8c,32'h3f9b51d6, 32'h3f90a915,32'h3f9fe34d, 32'h3f890bf9,32'h3fa78069,// invsqrt(0.7066) = 1.1896 +32'h40c422eb,32'h3ecaabd0,32'h3ed2f185, 32'h3ec47789,32'h3ed925cd, 32'h3eba2067,32'h3ee37cef,// invsqrt(6.1293) = 0.4039 +32'h401d10b4,32'h3f20255d,32'h3f26aeba, 32'h3f1b3e58,32'h3f2b95c0, 32'h3f1312a4,32'h3f33c174,// invsqrt(2.4541) = 0.6383 +32'h3fd374cf,32'h3f433101,32'h3f4b288f, 32'h3f3d3758,32'h3f512238, 32'h3f3341e7,32'h3f5b17a9,// invsqrt(1.6520) = 0.7780 +32'h3f9a270a,32'h3f649c3b,32'h3f6df0f9, 32'h3f5d9cac,32'h3f74f088, 32'h3f51f2be,32'h3f804d3b,// invsqrt(1.2043) = 0.9112 +32'h3f83f3b1,32'h3f771835,32'h3f80970c, 32'h3f6f87ca,32'h3f845f41, 32'h3f62ec6f,32'h3f8aacee,// invsqrt(1.0309) = 0.9849 +32'h3eb2fb13,32'h3fd42981,32'h3fdcd261, 32'h3fcdaad8,32'h3fe3510a, 32'h3fc2d7bf,32'h3fee2423,// invsqrt(0.3496) = 1.6913 +32'h40444dfd,32'h3f0f3fac,32'h3f15187a, 32'h3f0add12,32'h3f197b14, 32'h3f038e11,32'h3f20ca15,// invsqrt(3.0673) = 0.5710 +32'h400201df,32'h3f30062c,32'h3f373572, 32'h3f2aa2b8,32'h3f3c98e6, 32'h3f21a7a1,32'h3f4593fd,// invsqrt(2.0314) = 0.7016 +32'h3f6e308e,32'h3f820b97,32'h3f875a6f, 32'h3f7c20ec,32'h3f8b5590, 32'h3f6edbd2,32'h3f91f81d,// invsqrt(0.9304) = 1.0367 +32'h3fc11cd7,32'h3f4c406f,32'h3f5496a7, 32'h3f45ffc4,32'h3f5ad752, 32'h3f3b93fd,32'h3f654319,// invsqrt(1.5087) = 0.8141 +32'h4190acb9,32'h3e6bfad1,32'h3e759c90, 32'h3e64c181,32'h3e7cd5df, 32'h3e58b752,32'h3e847007,// invsqrt(18.0843) = 0.2352 +32'h3f85e13c,32'h3f754f1a,32'h3f7f5254, 32'h3f6dccae,32'h3f836a60, 32'h3f6148a5,32'h3f89ac65,// invsqrt(1.0459) = 0.9778 +32'h40085a60,32'h3f2be11b,32'h3f32e512, 32'h3f269e23,32'h3f38280b, 32'h3f1dd92e,32'h3f40ed00,// invsqrt(2.1305) = 0.6851 +32'h3f6f9d3f,32'h3f81a87a,32'h3f86f346, 32'h3f7b60c3,32'h3f8aeb5e, 32'h3f6e25c6,32'h3f9188dd,// invsqrt(0.9360) = 1.0336 +32'h40213b84,32'h3f1e1016,32'h3f2483ae, 32'h3f193964,32'h3f295a60, 32'h3f1128e5,32'h3f316adf,// invsqrt(2.5193) = 0.6300 +32'h3e2ffcc6,32'h40174ab0,32'h401d7788, 32'h4012a90e,32'h4022192a, 32'h400af100,32'h4029d138,// invsqrt(0.1719) = 2.4122 +32'h3f31634f,32'h3f96b17d,32'h3f9cd815, 32'h3f92148c,32'h3fa17506, 32'h3f8a644e,32'h3fa92544,// invsqrt(0.6929) = 1.2013 +32'h4109c242,32'h3eab0005,32'h3eb1facc, 32'h3ea5c3f1,32'h3eb736e1, 32'h3e9d0a78,32'h3ebff05a,// invsqrt(8.6099) = 0.3408 +32'h3ffb5996,32'h3f33084b,32'h3f3a5700, 32'h3f2d8d44,32'h3f3fd208, 32'h3f246ae2,32'h3f48f46a,// invsqrt(1.9637) = 0.7136 +32'h3fc16510,32'h3f4c1a48,32'h3f546ef2, 32'h3f45dac8,32'h3f5aae72, 32'h3f3b70f4,32'h3f651846,// invsqrt(1.5109) = 0.8135 +32'h3f0f03a1,32'h3fa7d42c,32'h3faeadd0, 32'h3fa2b0f1,32'h3fb3d10b, 32'h3f9a20e5,32'h3fbc6117,// invsqrt(0.5586) = 1.3379 +32'h3fb70f07,32'h3f51c922,32'h3f5a592e, 32'h3f4b5d19,32'h3f60c537, 32'h3f40a90a,32'h3f6b7946,// invsqrt(1.4301) = 0.8362 +32'h3f6c2ccb,32'h3f829949,32'h3f87ede9, 32'h3f7d33a3,32'h3f8bed61, 32'h3f6fe013,32'h3f929728,// invsqrt(0.9226) = 1.0411 +32'h3fd9c93c,32'h3f40557e,32'h3f482f30, 32'h3f3a7239,32'h3f4e1275, 32'h3f30a21b,32'h3f57e293,// invsqrt(1.7015) = 0.7666 +32'h3e84d1ea,32'h3ff64928,32'h40002b4c, 32'h3feebf15,32'h4003f056, 32'h3fe22e4a,32'h400a38bb,// invsqrt(0.2594) = 1.9634 +32'h3f17abff,32'h3fa2f7ec,32'h3fa99ec6, 32'h3f9dfac8,32'h3fae9bea, 32'h3f95aa36,32'h3fb6ec7c,// invsqrt(0.5925) = 1.2992 +32'h3fa978d5,32'h3f5a086d,32'h3f62eea5, 32'h3f535bc2,32'h3f699b50, 32'h3f483bfb,32'h3f74bb17,// invsqrt(1.3240) = 0.8691 +32'h3f693df9,32'h3f836ad5,32'h3f88c802, 32'h3f7ec9e5,32'h3f8ccde4, 32'h3f7160f4,32'h3f93825c,// invsqrt(0.9111) = 1.0477 +32'h3ffeaaab,32'h3f31dcdc,32'h3f391f58, 32'h3f2c6aff,32'h3f3e9135, 32'h3f2357e4,32'h3f47a450,// invsqrt(1.9896) = 0.7090 +32'h3eb00b99,32'h3fd5ec74,32'h3fdea7bd, 32'h3fcf5ffe,32'h3fe53434, 32'h3fc475e3,32'h3ff01e4f,// invsqrt(0.3438) = 1.7054 +32'h3f12d1f6,32'h3fa5a3a4,32'h3fac6666, 32'h3fa09192,32'h3fb17878, 32'h3f981e1e,32'h3fb9ebec,// invsqrt(0.5735) = 1.3205 +32'h3dcd1cc5,32'h40462fdd,32'h404e46b7, 32'h40401eba,32'h405457da, 32'h40360229,32'h405e746b,// invsqrt(0.1002) = 3.1599 +32'h40aabd5c,32'h3ed938d4,32'h3ee21694, 32'h3ed29285,32'h3ee8bce3, 32'h3ec77d55,32'h3ef3d213,// invsqrt(5.3356) = 0.4329 +32'h3fb79850,32'h3f517aa4,32'h3f5a077c, 32'h3f4b1102,32'h3f60711e, 32'h3f4060f5,32'h3f6b212b,// invsqrt(1.4343) = 0.8350 +32'h3f4f4ba8,32'h3f8b6642,32'h3f9116d8, 32'h3f8721d3,32'h3f955b47, 32'h3f800518,32'h3f9c7802,// invsqrt(0.8097) = 1.1113 +32'h3f045362,32'h3fae79ac,32'h3fb598c2, 32'h3fa9225b,32'h3fbaf013, 32'h3fa03b7e,32'h3fc3d6f0,// invsqrt(0.5169) = 1.3909 +32'h3ef352d5,32'h3fb5f615,32'h3fbd6365, 32'h3fb0641a,32'h3fc2f560, 32'h3fa71b77,32'h3fcc3e03,// invsqrt(0.4752) = 1.4506 +32'h3faa6926,32'h3f596e7a,32'h3f624e6a, 32'h3f52c686,32'h3f68f65e, 32'h3f47ae9a,32'h3f740e4a,// invsqrt(1.3313) = 0.8667 +32'h3f50dc2e,32'h3f8ae059,32'h3f908b77, 32'h3f86a003,32'h3f94cbcd, 32'h3f7f143b,32'h3f9be1b2,// invsqrt(0.8159) = 1.1071 +32'h3f5d8a58,32'h3f86d7e6,32'h3f8c58e0, 32'h3f82b72b,32'h3f90799b, 32'h3f77abe5,32'h3f975ad3,// invsqrt(0.8654) = 1.0750 +32'h3ff267c7,32'h3f364e39,32'h3f3dbf21, 32'h3f30b98b,32'h3f4353cf, 32'h3f276c68,32'h3f4ca0f2,// invsqrt(1.8938) = 0.7267 +32'h3f941326,32'h3f694136,32'h3f72c67c, 32'h3f621d41,32'h3f79ea71, 32'h3f5636aa,32'h3f82e884,// invsqrt(1.1568) = 0.9297 +32'h3f132bcd,32'h3fa5710e,32'h3fac31c0, 32'h3fa06088,32'h3fb14246, 32'h3f97efaa,32'h3fb9b325,// invsqrt(0.5749) = 1.3189 +32'h3ea235aa,32'h3fdedc3d,32'h3fe7f4e7, 32'h3fd809be,32'h3feec766, 32'h3fccaaea,32'h3ffa263a,// invsqrt(0.3168) = 1.7766 +32'h40206982,32'h3f1e776b,32'h3f24ef3b, 32'h3f199d8f,32'h3f29c917, 32'h3f1187cb,32'h3f31dedb,// invsqrt(2.5064) = 0.6316 +32'h3e1d0e3f,32'h4020269e,32'h4026b008, 32'h401b3f8f,32'h402b9717, 32'h401313cb,32'h4033c2db,// invsqrt(0.1534) = 2.5534 +32'h41b31406,32'h3e541ab9,32'h3e5cc2ff, 32'h3e4d9c84,32'h3e634134, 32'h3e42ca2c,32'h3e6e138c,// invsqrt(22.3848) = 0.2114 +32'h405e2ca4,32'h3f06a69d,32'h3f0c2593, 32'h3f028764,32'h3f1044cc, 32'h3ef7515e,32'h3f172381,// invsqrt(3.4715) = 0.5367 +32'h4158df08,32'h3e884986,32'h3e8dd996, 32'h3e841d7a,32'h3e9205a2, 32'h3e7a52cc,32'h3e98f9b6,// invsqrt(13.5545) = 0.2716 +32'h41057482,32'h3eadbc46,32'h3eb4d3a2, 32'h3ea86ac1,32'h3eba2527, 32'h3e9f8d8f,32'h3ec30259,// invsqrt(8.3409) = 0.3463 +32'h42a3cb1e,32'h3dddc7bc,32'h3de6d51c, 32'h3dd6fdb3,32'h3ded9f25, 32'h3dcbacfb,32'h3df8efdd,// invsqrt(81.8967) = 0.1105 +32'h3fee6777,32'h3f37d43c,32'h3f3f550f, 32'h3f32339d,32'h3f44f5ad, 32'h3f28d294,32'h3f4e56b6,// invsqrt(1.8625) = 0.7327 +32'h3f89bebc,32'h3f71d7bc,32'h3f7bb6bf, 32'h3f6a707b,32'h3f818f01, 32'h3f5e19b8,32'h3f87ba62,// invsqrt(1.0761) = 0.9640 +32'h3f9fb7fd,32'h3f609766,32'h3f69c227, 32'h3f59b757,32'h3f70a237, 32'h3f4e41e6,32'h3f7c17a8,// invsqrt(1.2478) = 0.8952 +32'h4057003c,32'h3f08e0f3,32'h3f0e7731, 32'h3f04b044,32'h3f12a7e0, 32'h3efb68ed,32'h3f19a3ad,// invsqrt(3.3594) = 0.5456 +32'h3fa2008e,32'h3f5f00c2,32'h3f681ae9, 32'h3f582d24,32'h3f6eee86, 32'h3f4ccc73,32'h3f7a4f37,// invsqrt(1.2656) = 0.8889 +32'h3e3e152e,32'h40119305,32'h4017841f, 32'h400d1e31,32'h401bf8f3, 32'h4005b0d0,32'h40236654,// invsqrt(0.1856) = 2.3210 +32'h3d8a5bc2,32'h40714e59,32'h407b27c0, 32'h4069eb4c,32'h40814567, 32'h405d9b8c,32'h40876d47,// invsqrt(0.0676) = 3.8474 +32'h408d6717,32'h3eeeb1c2,32'h3ef86fdf, 32'h3ee7632d,32'h3effbe75, 32'h3edb3589,32'h3f05f60d,// invsqrt(4.4188) = 0.4757 +32'h4005f5ea,32'h3f2d6848,32'h3f347c36, 32'h3f281955,32'h3f39cb29, 32'h3f1f406c,32'h3f42a412,// invsqrt(2.0931) = 0.6912 +32'h4070f1be,32'h3f014cbd,32'h3f0693c9, 32'h3efaaee6,32'h3f0a8913, 32'h3eed7d45,32'h3f1121e3,// invsqrt(3.7648) = 0.5154 +32'h3daa1191,32'h4059a66f,32'h406288a7, 32'h4052fcc4,32'h40693252, 32'h4047e1fd,32'h40744d19,// invsqrt(0.0830) = 3.4702 +32'h3f9d4945,32'h3f62524a,32'h3f6b8f1e, 32'h3f5b64ab,32'h3f727cbd, 32'h3f4fd8a2,32'h3f7e08c6,// invsqrt(1.2288) = 0.9021 +32'h3c9bc51a,32'h40e36b98,32'h40ecb3e8, 32'h40dc755d,32'h40f3aa23, 32'h40d0dafa,32'h40ff4486,// invsqrt(0.0190) = 7.2519 +32'h3e4c17c0,32'h400c7d2d,32'h40123925, 32'h40083034,32'h4016861e, 32'h4001053e,32'h401db114,// invsqrt(0.1993) = 2.2399 +32'h3fa7a4bf,32'h3f5b37fd,32'h3f642a9a, 32'h3f548208,32'h3f6ae090, 32'h3f4952c4,32'h3f760fd4,// invsqrt(1.3097) = 0.8738 +32'h40089a3e,32'h3f2bb8e8,32'h3f32bb3b, 32'h3f26772b,32'h3f37fcf9, 32'h3f1db443,32'h3f40bfe1,// invsqrt(2.1344) = 0.6845 +32'h3f6db91c,32'h3f822c3f,32'h3f877c6b, 32'h3f7c603b,32'h3f8b788c, 32'h3f6f17cc,32'h3f921cc4,// invsqrt(0.9286) = 1.0377 +32'h3f8660b1,32'h3f74daa8,32'h3f7ed922, 32'h3f6d5bcd,32'h3f832bff, 32'h3f60ddb4,32'h3f896b0b,// invsqrt(1.0498) = 0.9760 +32'h40803254,32'h3efab004,32'h3f0275b9, 32'h3ef30372,32'h3f064c02, 32'h3ee63928,32'h3f0cb127,// invsqrt(4.0061) = 0.4996 +32'h3fe3b926,32'h3f3c1739,32'h3f43c495, 32'h3f365535,32'h3f498699, 32'h3f2cbc83,32'h3f531f4b,// invsqrt(1.7791) = 0.7497 +32'h3e909659,32'h3fec0d12,32'h3ff5af90, 32'h3fe4d333,32'h3ffce96f, 32'h3fd8c816,32'h40047a46,// invsqrt(0.2824) = 1.8818 +32'h3f366f3d,32'h3f94982c,32'h3f9aa8d6, 32'h3f900bae,32'h3f9f3554, 32'h3f8876da,32'h3fa6ca28,// invsqrt(0.7126) = 1.1846 +32'h40733d07,32'h3f00b046,32'h3f05f0f0, 32'h3ef97f8d,32'h3f09e170, 32'h3eec5de4,32'h3f107244,// invsqrt(3.8006) = 0.5129 +32'h3f46b360,32'h3f8e61e6,32'h3f9431a6, 32'h3f8a0616,32'h3f988d76, 32'h3f82c265,32'h3f9fd127,// invsqrt(0.7762) = 1.1351 +32'h3f88ab50,32'h3f72caf2,32'h3f7cb3e2, 32'h3f6b5c3e,32'h3f82114b, 32'h3f5ef913,32'h3f8842e1,// invsqrt(1.0677) = 0.9678 +32'h3e9aedd0,32'h3fe40965,32'h3fed5825, 32'h3fdd0e55,32'h3ff45335, 32'h3fd16be4,32'h3ffff5a6,// invsqrt(0.3026) = 1.8179 +32'h40365071,32'h3f14a4b9,32'h3f1ab5e5, 32'h3f1017d8,32'h3f1f42c6, 32'h3f088261,32'h3f26d83d,// invsqrt(2.8487) = 0.5925 +32'h3f9c9d5e,32'h3f62ce5d,32'h3f6c1041, 32'h3f5bdcf1,32'h3f7301ad, 32'h3f504a94,32'h3f7e940a,// invsqrt(1.2236) = 0.9040 +32'h3effc1d2,32'h3fb17bb1,32'h3fb8ba35, 32'h3fac0ccd,32'h3fbe2919, 32'h3fa2fea8,32'h3fc7373f,// invsqrt(0.4995) = 1.4149 +32'h411ba307,32'h3ea0e112,32'h3ea77218, 32'h3e9bf44e,32'h3eac5edc, 32'h3e93bf06,32'h3eb49424,// invsqrt(9.7273) = 0.3206 +32'h3e0c49c7,32'h40297397,32'h40305e2f, 32'h402443a4,32'h40358e22, 32'h401b9e66,32'h403e3360,// invsqrt(0.1370) = 2.7017 +32'h3f19fab6,32'h3fa1be24,32'h3fa85830, 32'h3f9cca9b,32'h3fad4bb9, 32'h3f948a0c,32'h3fb58c48,// invsqrt(0.6015) = 1.2894 +32'h40901245,32'h3eec792d,32'h3ef62015, 32'h3ee53bff,32'h3efd5d43, 32'h3ed92b5e,32'h3f04b6f2,// invsqrt(4.5022) = 0.4713 +32'h3f6083dc,32'h3f85f274,32'h3f8b6a10, 32'h3f81d8bf,32'h3f8f83c5, 32'h3f760677,32'h3f965949,// invsqrt(0.8770) = 1.0678 +32'h3febf4d1,32'h3f38c7b5,32'h3f405279, 32'h3f331fa3,32'h3f45fa8b, 32'h3f29b22e,32'h3f4f6800,// invsqrt(1.8434) = 0.7365 +32'h407de039,32'h3efbed67,32'h3f031ae5, 32'h3ef4371d,32'h3f06f609, 32'h3ee75ca2,32'h3f0d6347,// invsqrt(3.9668) = 0.5021 +32'h4061900f,32'h3f05a2bb,32'h3f0b1716, 32'h3f018b76,32'h3f0f2e5a, 32'h3ef57408,32'h3f15ffcc,// invsqrt(3.5244) = 0.5327 +32'h3fc1c2d4,32'h3f4be8df,32'h3f543b85, 32'h3f45aae3,32'h3f5a7981, 32'h3f3b4393,32'h3f64e0d1,// invsqrt(1.5138) = 0.8128 +32'h3feb79f0,32'h3f38f7e5,32'h3f4084a0, 32'h3f334e59,32'h3f462e2d, 32'h3f29de70,32'h3f4f9e17,// invsqrt(1.8397) = 0.7373 +32'h40cd4f29,32'h3ec61789,32'h3ece2d65, 32'h3ec00724,32'h3ed43dca, 32'h3eb5ebd2,32'h3ede591d,// invsqrt(6.4159) = 0.3948 +32'h3ee670e1,32'h3fbafa72,32'h3fc29c2e, 32'h3fb54126,32'h3fc8557a, 32'h3fabb6fb,32'h3fd1dfa5,// invsqrt(0.4501) = 1.4906 +32'h3f50509d,32'h3f8b0ed7,32'h3f90bbda, 32'h3f86cd14,32'h3f94fd9c, 32'h3f7f699e,32'h3f9c15e1,// invsqrt(0.8137) = 1.1086 +32'h406962f2,32'h3f03606c,32'h3f08bd2c, 32'h3efeb5b7,32'h3f0cc2bd, 32'h3ef14dd6,32'h3f1376ad,// invsqrt(3.6467) = 0.5237 +32'h3f0d82aa,32'h3fa8b7da,32'h3faf9ac8, 32'h3fa38da7,32'h3fb4c4fb, 32'h3f9af1fc,32'h3fbd60a6,// invsqrt(0.5528) = 1.3450 +32'h3cc87918,32'h40c87792,32'h40d0a640, 32'h40c25491,32'h40d6c941, 32'h40b81a38,32'h40e1039a,// invsqrt(0.0245) = 6.3924 +32'h401e6340,32'h3f1f79db,32'h3f25fc37, 32'h3f1a9815,32'h3f2addfd, 32'h3f127522,32'h3f3300f0,// invsqrt(2.4748) = 0.6357 +32'h3fe85afe,32'h3f3a34d7,32'h3f41ce81, 32'h3f348197,32'h3f4781c1, 32'h3f2b0181,32'h3f5101d7,// invsqrt(1.8153) = 0.7422 +32'h41052650,32'h3eadef42,32'h3eb508b3, 32'h3ea89c2e,32'h3eba5bc8, 32'h3e9fbc62,32'h3ec33b94,// invsqrt(8.3219) = 0.3466 +32'h3eba9a33,32'h3fcfc8c0,32'h3fd843e2, 32'h3fc96c67,32'h3fdea03b, 32'h3fbed27c,32'h3fe93a26,// invsqrt(0.3645) = 1.6564 +32'h405a2ea4,32'h3f07e08c,32'h3f0d6c52, 32'h3f03b7b6,32'h3f119528, 32'h3ef991fb,32'h3f1883e0,// invsqrt(3.4091) = 0.5416 +32'h3fd38601,32'h3f432912,32'h3f4b204c, 32'h3f3d2fa6,32'h3f5119b8, 32'h3f333a9e,32'h3f5b0ec1,// invsqrt(1.6525) = 0.7779 +32'h3e147fcf,32'h4024b33b,32'h402b6c2d, 32'h401fa885,32'h403076e3, 32'h40174155,32'h4038de13,// invsqrt(0.1450) = 2.6260 +32'h3f479dad,32'h3f8e0e3e,32'h3f93da94, 32'h3f89b4fd,32'h3f9833d5, 32'h3f827592,32'h3f9f7340,// invsqrt(0.7797) = 1.1325 +32'h40086595,32'h3f2bda0c,32'h3f32ddb8, 32'h3f26974a,32'h3f38207a, 32'h3f1dd2b2,32'h3f40e512,// invsqrt(2.1312) = 0.6850 +32'h3d931b9b,32'h406a0524,32'h4073926a, 32'h4062db30,32'h407abc5e, 32'h4056ea9a,32'h4083567a,// invsqrt(0.0718) = 3.7312 +32'h3f58e61d,32'h3f88474c,32'h3f8dd745, 32'h3f841b52,32'h3f920340, 32'h3f7a4eb7,32'h3f98f737,// invsqrt(0.8473) = 1.0864 +32'h3f8566a7,32'h3f75bfb5,32'h3f7fc789, 32'h3f6e39d7,32'h3f83a6b3, 32'h3f61b00f,32'h3f89eb97,// invsqrt(1.0422) = 0.9795 +32'h3f158595,32'h3fa422cf,32'h3faad5dd, 32'h3f9f1c85,32'h3fafdc27, 32'h3f96bcb4,32'h3fb83bf8,// invsqrt(0.5841) = 1.3085 +32'h3f959bec,32'h3f680e3c,32'h3f7186fa, 32'h3f60f3ad,32'h3f78a189, 32'h3f551cbf,32'h3f823c3c,// invsqrt(1.1688) = 0.9250 +32'h3f8190ee,32'h3f795bf0,32'h3f81c4be, 32'h3f71b9c6,32'h3f8595d3, 32'h3f6500d7,32'h3f8bf24a,// invsqrt(1.0122) = 0.9939 +32'h404ba995,32'h3f0ca327,32'h3f1260ab, 32'h3f085504,32'h3f16aece, 32'h3f01281e,32'h3f1ddbb4,// invsqrt(3.1822) = 0.5606 +32'h3ff2c292,32'h3f362c1e,32'h3f3d9ba2, 32'h3f30987b,32'h3f432f45, 32'h3f274d16,32'h3f4c7aaa,// invsqrt(1.8966) = 0.7261 +32'h3ef8d89e,32'h3fb3ee4a,32'h3fbb4662, 32'h3fae6c38,32'h3fc0c874, 32'h3fa53e1a,32'h3fc9f692,// invsqrt(0.4860) = 1.4344 +32'h400c5809,32'h3f296afb,32'h3f305539, 32'h3f243b4c,32'h3f3584e8, 32'h3f1b967e,32'h3f3e29b6,// invsqrt(2.1929) = 0.6753 +32'h3f7dee5f,32'h3f7be662,32'h3f83173d, 32'h3f74304f,32'h3f86f247, 32'h3f675630,32'h3f8d5f56,// invsqrt(0.9919) = 1.0041 +32'h3edf6c9a,32'h3fbde444,32'h3fc5a470, 32'h3fb81422,32'h3fcb7492, 32'h3fae63eb,32'h3fd524c9,// invsqrt(0.4364) = 1.5138 +32'h3f0325a3,32'h3faf41f1,32'h3fb66935, 32'h3fa9e47f,32'h3fbbc6a7, 32'h3fa0f36b,32'h3fc4b7bb,// invsqrt(0.5123) = 1.3971 +32'h3e871510,32'h3ff436f8,32'h3ffe2ec4, 32'h3fecbd20,32'h4002d44e, 32'h3fe04761,32'h40090f2d,// invsqrt(0.2638) = 1.9469 +32'h3f7eeba6,32'h3f7b691f,32'h3f82d60e, 32'h3f73b6e3,32'h3f86af2d, 32'h3f66e328,32'h3f8d190a,// invsqrt(0.9958) = 1.0021 +32'h3f9b77e6,32'h3f63a409,32'h3f6ceea6, 32'h3f5cac13,32'h3f73e69b, 32'h3f510ece,32'h3f7f83e0,// invsqrt(1.2146) = 0.9074 +32'h3f91dde0,32'h3f6b037a,32'h3f749b20, 32'h3f63d1bc,32'h3f7bccde, 32'h3f57d42c,32'h3f83e537,// invsqrt(1.1396) = 0.9368 +32'h3f6429c9,32'h3f84df36,32'h3f8a4b96, 32'h3f80cdee,32'h3f8e5cde, 32'h3f740ceb,32'h3f952457,// invsqrt(0.8913) = 1.0592 +32'h3fe108da,32'h3f3d3605,32'h3f44ef15, 32'h3f376b39,32'h3f4ab9e1, 32'h3f2dc3e5,32'h3f546135,// invsqrt(1.7581) = 0.7542 +32'h3e89f089,32'h3ff1ac10,32'h3ffb894a, 32'h3fea4624,32'h4001779b, 32'h3fddf19c,32'h4007a1df,// invsqrt(0.2694) = 1.9266 +32'h3faa7cd7,32'h3f5961eb,32'h3f624157, 32'h3f52ba59,32'h3f68e8e9, 32'h3f47a311,32'h3f740031,// invsqrt(1.3319) = 0.8665 +32'h3edfde41,32'h3fbdb40a,32'h3fc5723f, 32'h3fb7e563,32'h3fcb40e7, 32'h3fae37a1,32'h3fd4eea9,// invsqrt(0.4372) = 1.5123 +32'h3ea7a299,32'h3fdb3965,32'h3fe42c11, 32'h3fd48365,32'h3feae211, 32'h3fc9540f,32'h3ff61167,// invsqrt(0.3274) = 1.7476 +32'h3f828c3c,32'h3f786b7a,32'h3f81479b, 32'h3f70d0ad,32'h3f851501, 32'h3f642402,32'h3f8b6b57,// invsqrt(1.0199) = 0.9902 +32'h42106f04,32'h3e270087,32'h3e2dd187, 32'h3e21e3c7,32'h3e32ee47, 32'h3e195e86,32'h3e3b7388,// invsqrt(36.1084) = 0.1664 +32'h406f6462,32'h3f01b7e0,32'h3f07034c, 32'h3efb7e9d,32'h3f0afbde, 32'h3eee420e,32'h3f119a25,// invsqrt(3.7405) = 0.5171 +32'h3e013aff,32'h40308d69,32'h4037c234, 32'h402b25d1,32'h403d29cd, 32'h402223d4,32'h40462bca,// invsqrt(0.1262) = 2.8149 +32'h3fa833cc,32'h3f5adab1,32'h3f63c97f, 32'h3f542797,32'h3f6a7c99, 32'h3f48fd16,32'h3f75a71a,// invsqrt(1.3141) = 0.8723 +32'h3e4796e3,32'h400e10a8,32'h4013dd18, 32'h4009b755,32'h4018366b, 32'h400277ca,32'h401f75f6,// invsqrt(0.1949) = 2.2651 +32'h3efed09a,32'h3fb1cf9f,32'h3fb91190, 32'h3fac5e29,32'h3fbe8305, 32'h3fa34bbb,32'h3fc79573,// invsqrt(0.4977) = 1.4175 +32'h3f241dd3,32'h3f9caaf9,32'h3fa30ffd, 32'h3f97df35,32'h3fa7dbc1, 32'h3f8fe0ef,32'h3fafda07,// invsqrt(0.6411) = 1.2489 +32'h3ec105b4,32'h3fcc4cac,32'h3fd4a364, 32'h3fc60ba1,32'h3fdae46f, 32'h3fbb9f3a,32'h3fe550d6,// invsqrt(0.3770) = 1.6287 +32'h3ef69b93,32'h3fb4bedf,32'h3fbc1f7a, 32'h3faf366a,32'h3fc1a7ee, 32'h3fa5fda8,32'h3fcae0b0,// invsqrt(0.4817) = 1.4409 +32'h3f60c1c1,32'h3f85e002,32'h3f8b56dd, 32'h3f81c6dd,32'h3f8f7001, 32'h3f75e495,32'h3f964494,// invsqrt(0.8780) = 1.0672 +32'h3f8786e2,32'h3f73d056,32'h3f7dc3f0, 32'h3f6c59a1,32'h3f829d52, 32'h3f5fe920,32'h3f88d593,// invsqrt(1.0588) = 0.9718 +32'h3ee85792,32'h3fba3636,32'h3fc1cfef, 32'h3fb482eb,32'h3fc78339, 32'h3fab02c3,32'h3fd10361,// invsqrt(0.4538) = 1.4845 +32'h409cac20,32'h3ee2c3ae,32'h3eec0523, 32'h3edbd297,32'h3ef2f63b, 32'h3ed040c5,32'h3efe880d,// invsqrt(4.8960) = 0.4519 +32'h40bd1f83,32'h3ece6511,32'h3ed6d1af, 32'h3ec8139b,32'h3edd2325, 32'h3ebd8bd6,32'h3ee7aaea,// invsqrt(5.9101) = 0.4113 +32'h3fe2a0dc,32'h3f3c8b65,32'h3f443d7f, 32'h3f36c5d3,32'h3f4a0311, 32'h3f2d2733,32'h3f53a1b1,// invsqrt(1.7705) = 0.7515 +32'h401a835d,32'h3f21768f,32'h3f280daf, 32'h3f1c8537,32'h3f2cff07, 32'h3f14484f,32'h3f353bef,// invsqrt(2.4143) = 0.6436 +32'h3fd190d6,32'h3f4411e3,32'h3f4c129d, 32'h3f3e1156,32'h3f52132a, 32'h3f34106d,32'h3f5c1413,// invsqrt(1.6372) = 0.7815 +32'h415ebcd6,32'h3e867b00,32'h3e8bf830, 32'h3e825d1d,32'h3e901613, 32'h3e770145,32'h3e96f28e,// invsqrt(13.9211) = 0.2680 +32'h418d9e7a,32'h3e6e8311,32'h3e783f45, 32'h3e6735e9,32'h3e7f8c6d, 32'h3e5b0aa7,32'h3e85dbd8,// invsqrt(17.7024) = 0.2377 +32'h40967fdc,32'h3ee75e3f,32'h3ef0cfcf, 32'h3ee04913,32'h3ef7e4fb, 32'h3ed47b20,32'h3f01d977,// invsqrt(4.7031) = 0.4611 +32'h402ff6c8,32'h3f174d44,32'h3f1d7a37, 32'h3f12ab8d,32'h3f221bed, 32'h3f0af35d,32'h3f29d41d,// invsqrt(2.7494) = 0.6031 +32'h3deff3e3,32'h40373c23,32'h403eb6c1, 32'h4031a02c,32'h404452b8, 32'h402846e7,32'h404dabfd,// invsqrt(0.1172) = 2.9215 +32'h40149ffa,32'h3f24a167,32'h3f2b599f, 32'h3f1f973c,32'h3f3063ca, 32'h3f1730f6,32'h3f38ca10,// invsqrt(2.3223) = 0.6562 +32'h3ed7f656,32'h3fc124f7,32'h3fc90721, 32'h3fbb3b58,32'h3fcef0c0, 32'h3fb160a4,32'h3fd8cb74,// invsqrt(0.4218) = 1.5397 +32'h3ec25e65,32'h3fcb9735,32'h3fd3e685, 32'h3fc55bb8,32'h3fda2202, 32'h3fbaf894,32'h3fe48526,// invsqrt(0.3796) = 1.6230 +32'h3d0a6305,32'h40aa9c96,32'h40b1934d, 32'h40a5638b,32'h40b6cc57, 32'h409caf26,32'h40bf80bc,// invsqrt(0.0338) = 5.4404 +32'h3fadade9,32'h3f576036,32'h3f602aac, 32'h3f50c85f,32'h3f66c283, 32'h3f45cb4c,32'h3f71bf96,// invsqrt(1.3569) = 0.8585 +32'h3f4e13b2,32'h3f8bcf9e,32'h3f91847f, 32'h3f8787f4,32'h3f95cc28, 32'h3f8065d9,32'h3f9cee43,// invsqrt(0.8050) = 1.1146 +32'h40070c5b,32'h3f2cb527,32'h3f33c1c5, 32'h3f276bb0,32'h3f390b3c, 32'h3f1e9bea,32'h3f41db02,// invsqrt(2.1101) = 0.6884 +32'h3f23587d,32'h3f9d097f,32'h3fa3725f, 32'h3f983ad6,32'h3fa84108, 32'h3f9037be,32'h3fb04421,// invsqrt(0.6381) = 1.2519 +32'h40840914,32'h3ef70431,32'h3f008ca1, 32'h3eef7464,32'h3f045488, 32'h3ee2da0e,32'h3f0aa1b3,// invsqrt(4.1261) = 0.4923 +32'h3e7d1220,32'h3ffc53e7,32'h4003503c, 32'h3ff49a7a,32'h40072cf3, 32'h3fe7bac5,32'h400d9cce,// invsqrt(0.2471) = 2.0115 +32'h4094988a,32'h3ee8d86d,32'h3ef2596c, 32'h3ee1b7ad,32'h3ef97a2b, 32'h3ed5d66e,32'h3f02adb5,// invsqrt(4.6436) = 0.4641 +32'h3f2cf943,32'h3f989aa2,32'h3f9ed530, 32'h3f93eeb7,32'h3fa3811b, 32'h3f8c2585,32'h3fab4a4d,// invsqrt(0.6757) = 1.2165 +32'h3f99ea76,32'h3f64c933,32'h3f6e1fc8, 32'h3f5dc844,32'h3f7520b8, 32'h3f521c0b,32'h3f806678,// invsqrt(1.2025) = 0.9119 +32'h3f8c4948,32'h3f6fa46d,32'h3f796c71, 32'h3f684e69,32'h3f80613a, 32'h3f5c1464,32'h3f867e3d,// invsqrt(1.0960) = 0.9552 +32'h3e9455c1,32'h3fe90cd2,32'h3ff28ff4, 32'h3fe1ea78,32'h3ff9b24e, 32'h3fd6068c,32'h4002cb1d,// invsqrt(0.2897) = 1.8579 +32'h3ffbd208,32'h3f32dd75,32'h3f3a2a6b, 32'h3f2d63be,32'h3f3fa422, 32'h3f24438b,32'h3f48c455,// invsqrt(1.9673) = 0.7130 +32'h3f8a5f57,32'h3f714b3a,32'h3f7b2480, 32'h3f69e845,32'h3f8143ba, 32'h3f5d98ad,32'h3f876b86,// invsqrt(1.0810) = 0.9618 +32'h402dac56,32'h3f184be1,32'h3f1e8339, 32'h3f13a260,32'h3f232cba, 32'h3f0bdd32,32'h3f2af1e8,// invsqrt(2.7136) = 0.6070 +32'h400d51cd,32'h3f28d502,32'h3f2fb922, 32'h3f23a9eb,32'h3f34e439, 32'h3f1b0cc3,32'h3f3d8161,// invsqrt(2.2081) = 0.6730 +32'h3f7f4eb3,32'h3f7b3856,32'h3f82bcaa, 32'h3f738796,32'h3f869509, 32'h3f66b658,32'h3f8cfda8,// invsqrt(0.9973) = 1.0014 +32'h404a002c,32'h3f0d36f0,32'h3f12fa7d, 32'h3f08e447,32'h3f174d27, 32'h3f01afd8,32'h3f1e8196,// invsqrt(3.1563) = 0.5629 +32'h3f5a82bd,32'h3f87c664,32'h3f8d511a, 32'h3f839e5c,32'h3f917922, 32'h3f7961f1,32'h3f986685,// invsqrt(0.8536) = 1.0824 +32'h4193aadf,32'h3e699383,32'h3e731c25, 32'h3e626d09,32'h3e7a429f, 32'h3e56823f,32'h3e8316b5,// invsqrt(18.4584) = 0.2328 +32'h3f4d068a,32'h3f8c2b45,32'h3f91e3e5, 32'h3f87e0ce,32'h3f962e5c, 32'h3f80ba06,32'h3f9d5524,// invsqrt(0.8009) = 1.1174 +32'h3f87ec56,32'h3f737547,32'h3f7d652b, 32'h3f6c015d,32'h3f826c8b, 32'h3f5f9580,32'h3f88a279,// invsqrt(1.0619) = 0.9704 +32'h3ef4722d,32'h3fb58b03,32'h3fbcf3f4, 32'h3faffc4f,32'h3fc282a9, 32'h3fa6b923,32'h3fcbc5d5,// invsqrt(0.4774) = 1.4472 +32'h3f4c6c26,32'h3f8c6029,32'h3f921af2, 32'h3f881414,32'h3f966708, 32'h3f80ea99,32'h3f9d9083,// invsqrt(0.7985) = 1.1191 +32'h3e267ae6,32'h401b8d3f,32'h4021e69b, 32'h4016ca3b,32'h4026a99f, 32'h400eda88,32'h402e9952,// invsqrt(0.1626) = 2.4801 +32'h40bccad8,32'h3ece9354,32'h3ed701d5, 32'h3ec84074,32'h3edd54b6, 32'h3ebdb653,32'h3ee7ded7,// invsqrt(5.8998) = 0.4117 +32'h3f1b3159,32'h3fa11bf3,32'h3fa7af61, 32'h3f9c2d62,32'h3fac9df2, 32'h3f93f519,32'h3fb4d63b,// invsqrt(0.6062) = 1.2844 +32'h408f6373,32'h3eed0929,32'h3ef6b5f1, 32'h3ee5c793,32'h3efdf787, 32'h3ed9af98,32'h3f0507c1,// invsqrt(4.4809) = 0.4724 +32'h3fff10ff,32'h3f31b92b,32'h3f38fa32, 32'h3f2c4866,32'h3f3e6af8, 32'h3f23371e,32'h3f477c41,// invsqrt(1.9927) = 0.7084 +32'h3ee9f215,32'h3fb9928e,32'h3fc12598, 32'h3fb3e445,32'h3fc6d3e1, 32'h3faa6c78,32'h3fd04baf,// invsqrt(0.4569) = 1.4794 +32'h3f199aed,32'h3fa1f08b,32'h3fa88ca5, 32'h3f9cfb77,32'h3fad81b9, 32'h3f94b856,32'h3fb5c4da,// invsqrt(0.6000) = 1.2910 +32'h3e007561,32'h40311502,32'h40384f56, 32'h402ba943,32'h403dbb15, 32'h4022a05b,32'h4046c3fd,// invsqrt(0.1254) = 2.8234 +32'h3fc89c9a,32'h3f4865d4,32'h3f5093c8, 32'h3f42435d,32'h3f56b63f, 32'h3f3809ed,32'h3f60efaf,// invsqrt(1.5673) = 0.7988 +32'h3f133c8b,32'h3fa567a6,32'h3fac27f5, 32'h3fa05769,32'h3fb13831, 32'h3f97e705,32'h3fb9a895,// invsqrt(0.5751) = 1.3186 +32'h3ed8f0bc,32'h3fc0b55f,32'h3fc892fb, 32'h3fbacf2b,32'h3fce792f, 32'h3fb0fa28,32'h3fd84e32,// invsqrt(0.4237) = 1.5363 +32'h3fe53062,32'h3f3b7d00,32'h3f432410, 32'h3f35bfb4,32'h3f48e15c, 32'h3f2c2ee1,32'h3f52722f,// invsqrt(1.7905) = 0.7473 +32'h40bf804c,32'h3ecd1bfa,32'h3ed57b29, 32'h3ec6d498,32'h3edbc28c, 32'h3ebc5d9d,32'h3ee63987,// invsqrt(5.9844) = 0.4088 +32'h3f67b808,32'h3f83d93a,32'h3f893ae8, 32'h3f7f9fed,32'h3f8d442b, 32'h3f722bb8,32'h3f93fe46,// invsqrt(0.9052) = 1.0511 +32'h3fbcf03e,32'h3f4e7ee1,32'h3f56ec8d, 32'h3f482ca1,32'h3f5d3ecd, 32'h3f3da38b,32'h3f67c7e3,// invsqrt(1.4761) = 0.8231 +32'h3f5a6946,32'h3f87ce4e,32'h3f8d5956, 32'h3f83a608,32'h3f91819c, 32'h3f79707a,32'h3f986f67,// invsqrt(0.8532) = 1.0826 +32'h3f317fee,32'h3f96a557,32'h3f9ccb6f, 32'h3f9208c4,32'h3fa16802, 32'h3f8a5926,32'h3fa917a0,// invsqrt(0.6934) = 1.2009 +32'h3f8b895f,32'h3f704900,32'h3f7a17bc, 32'h3f68edf3,32'h3f80b965, 32'h3f5cab88,32'h3f86da9a,// invsqrt(1.0901) = 0.9578 +32'h3fb6a24c,32'h3f52078c,32'h3f5a9a24, 32'h3f4b999a,32'h3f610816, 32'h3f40e25c,32'h3f6bbf54,// invsqrt(1.4268) = 0.8372 +32'h3e35bf6c,32'h4014dffa,32'h401af392, 32'h40105149,32'h401f8243, 32'h4008b8cc,32'h40271ac0,// invsqrt(0.1775) = 2.3736 +32'h3f08ea68,32'h3fab869c,32'h3fb286e1, 32'h3fa64668,32'h3fb7c714, 32'h3f9d8611,32'h3fc0876b,// invsqrt(0.5348) = 1.3674 +32'h3dcc1c3d,32'h4046ac41,32'h404ec82f, 32'h4040974f,32'h4054dd21, 32'h40367466,32'h405f000a,// invsqrt(0.0997) = 3.1676 +32'h3f9c9fcf,32'h3f62cc98,32'h3f6c0e6a, 32'h3f5bdb3b,32'h3f72ffc7, 32'h3f5048f4,32'h3f7e920e,// invsqrt(1.2236) = 0.9040 +32'h401d980f,32'h3f1fe089,32'h3f266716, 32'h3f1afb9e,32'h3f2b4c00, 32'h3f12d36e,32'h3f337431,// invsqrt(2.4624) = 0.6373 +32'h3f807ea8,32'h3f7a6584,32'h3f824ef4, 32'h3f72bb3a,32'h3f862419, 32'h3f65f4bd,32'h3f8c8757,// invsqrt(1.0039) = 0.9981 +32'h4064c3eb,32'h3f04b26b,32'h3f0a1cf8, 32'h3f00a283,32'h3f0e2ce1, 32'h3ef3baa7,32'h3f14f211,// invsqrt(3.5745) = 0.5289 +32'h4012df3a,32'h3f259c29,32'h3f2c5e9d, 32'h3f208a51,32'h3f317075, 32'h3f181740,32'h3f39e387,// invsqrt(2.2949) = 0.6601 +32'h4104411c,32'h3eae85b9,32'h3eb5a54e, 32'h3ea92e0a,32'h3ebafcfe, 32'h3ea04690,32'h3ec3e478,// invsqrt(8.2659) = 0.3478 +32'h40cf278e,32'h3ec5352b,32'h3ecd41c9, 32'h3ebf2bb4,32'h3ed34b40, 32'h3eb51bee,32'h3edd5b06,// invsqrt(6.4736) = 0.3930 +32'h3e8ee29c,32'h3fed73ef,32'h3ff72513, 32'h3fe62f14,32'h3ffe69ee, 32'h3fda11a7,32'h400543ad,// invsqrt(0.2791) = 1.8930 +32'h3fbcf5b2,32'h3f4e7be7,32'h3f56e973, 32'h3f4829be,32'h3f5d3b9c, 32'h3f3da0cf,32'h3f67c48b,// invsqrt(1.4762) = 0.8230 +32'h41266bf0,32'h3e9b943d,32'h3ea1ede1, 32'h3e96d101,32'h3ea6b11d, 32'h3e8ee0f4,32'h3eaea12a,// invsqrt(10.4014) = 0.3101 +32'h3ebb2c47,32'h3fcf779b,32'h3fd7ef6d, 32'h3fc91dbd,32'h3fde494b, 32'h3fbe87f7,32'h3fe8df11,// invsqrt(0.3656) = 1.6539 +32'h410d9123,32'h3ea8af3a,32'h3eaf91ce, 32'h3ea3854a,32'h3eb4bbbe, 32'h3e9aea10,32'h3ebd56f8,// invsqrt(8.8479) = 0.3362 +32'h3fe50b61,32'h3f3b8c25,32'h3f4333d3, 32'h3f35ce63,32'h3f48f195, 32'h3f2c3cc9,32'h3f52832f,// invsqrt(1.7894) = 0.7476 +32'h40646b07,32'h3f04cc3b,32'h3f0a37d5, 32'h3f00bb88,32'h3f0e4888, 32'h3ef3ea0e,32'h3f150f09,// invsqrt(3.5690) = 0.5293 +32'h3eab8074,32'h3fd8bd24,32'h3fe195d7, 32'h3fd21a9d,32'h3fe8385d, 32'h3fc70bbd,32'h3ff3473d,// invsqrt(0.3350) = 1.7278 +32'h3fa9e4bc,32'h3f59c325,32'h3f62a689, 32'h3f531899,32'h3f695115, 32'h3f47fc5b,32'h3f746d53,// invsqrt(1.3273) = 0.8680 +32'h3f639938,32'h3f850962,32'h3f8a777b, 32'h3f80f6d0,32'h3f8e8a0e, 32'h3f745a61,32'h3f9553ad,// invsqrt(0.8891) = 1.0606 +32'h40719004,32'h3f01225a,32'h3f0667ac, 32'h3efa5cb9,32'h3f0a5baa, 32'h3eed2f6c,32'h3f10f250,// invsqrt(3.7744) = 0.5147 +32'h3fafd7d7,32'h3f560bee,32'h3f5ec880, 32'h3f4f7e81,32'h3f6555ed, 32'h3f4492cb,32'h3f7041a3,// invsqrt(1.3738) = 0.8532 +32'h3f2e9d56,32'h3f97e2a3,32'h3f9e15af, 32'h3f933c5a,32'h3fa2bbf8, 32'h3f8b7c8b,32'h3faa7bc7,// invsqrt(0.6821) = 1.2108 +32'h3f65373f,32'h3f849105,32'h3f89fa35, 32'h3f808222,32'h3f8e0918, 32'h3f737d4e,32'h3f94cc93,// invsqrt(0.8954) = 1.0568 +32'h3dcf2a15,32'h404533f7,32'h404d4089, 32'h403f2a8a,32'h405349f6, 32'h40351ad3,32'h405d59ad,// invsqrt(0.1012) = 3.1442 +32'h41c8db40,32'h3e484691,32'h3e50733e, 32'h3e42250f,32'h3e5694bf, 32'h3e37ed36,32'h3e60cc98,// invsqrt(25.1071) = 0.1996 +32'h3e7311c3,32'h4000bbba,32'h4005fcdb, 32'h3ff995c0,32'h4009edb4, 32'h3fec72ec,32'h40107f1e,// invsqrt(0.2374) = 2.0525 +32'h3e536bfe,32'h400a084d,32'h400faa99, 32'h4005ce94,32'h4013e452, 32'h3ffd8769,32'h401aef32,// invsqrt(0.2065) = 2.2008 +32'h4000f298,32'h3f30bef3,32'h3f37f5c3, 32'h3f2b55d6,32'h3f3d5ee0, 32'h3f225152,32'h3f466364,// invsqrt(2.0148) = 0.7045 +32'h3f5a98c9,32'h3f87bf8b,32'h3f8d49f9, 32'h3f8397b8,32'h3f9171cc, 32'h3f79555d,32'h3f985ed5,// invsqrt(0.8539) = 1.0822 +32'h3e1098fa,32'h4026e84a,32'h402db84e, 32'h4021cc48,32'h4032d450, 32'h40194844,32'h403b5854,// invsqrt(0.1412) = 2.6612 +32'h3ed1b14d,32'h3fc402b5,32'h3fcc02d1, 32'h3fbe02a0,32'h3fd202e6, 32'h3fb4027c,32'h3fdc030a,// invsqrt(0.4096) = 1.5626 +32'h3d722f88,32'h4080f7cc,32'h40863b61, 32'h407a0a37,32'h408a2e11, 32'h406ce141,32'h4090c28b,// invsqrt(0.0591) = 4.1125 +32'h3f23c231,32'h3f9cd6c8,32'h3fa33d96, 32'h3f9809ad,32'h3fa80ab1, 32'h3f90092a,32'h3fb00b34,// invsqrt(0.6397) = 1.2503 +32'h40d7c463,32'h3ec13b51,32'h3ec91e63, 32'h3ebb5102,32'h3ecf08b2, 32'h3eb1752b,32'h3ed8e489,// invsqrt(6.7427) = 0.3851 +32'h3f182f09,32'h3fa2b1b3,32'h3fa955b0, 32'h3f9db6b6,32'h3fae50ae, 32'h3f9569ba,32'h3fb69daa,// invsqrt(0.5945) = 1.2970 +32'h3f8da952,32'h3f6e79f0,32'h3f7835c5, 32'h3f672d0f,32'h3f7f82a5, 32'h3f5b0244,32'h3f85d6b8,// invsqrt(1.1067) = 0.9506 +32'h3f583532,32'h3f887f03,32'h3f8e1141, 32'h3f845154,32'h3f923ef0, 32'h3f7ab50a,32'h3f9935bf,// invsqrt(0.8446) = 1.0881 +32'h3e3dae6c,32'h4011ba6e,32'h4017ad24, 32'h400d4465,32'h401c232d, 32'h4005d502,32'h40239290,// invsqrt(0.1852) = 2.3235 +32'h4007223b,32'h3f2ca72c,32'h3f33b338, 32'h3f275e23,32'h3f38fc41, 32'h3f1e8f13,32'h3f41cb51,// invsqrt(2.1115) = 0.6882 +32'h400f0e98,32'h3f27cdbd,32'h3f2ea71e, 32'h3f22aab5,32'h3f33ca27, 32'h3f1a1afd,32'h3f3c59df,// invsqrt(2.2353) = 0.6689 +32'h3f4e1fc8,32'h3f8bcb84,32'h3f91803c, 32'h3f8783fb,32'h3f95c7c5, 32'h3f806216,32'h3f9ce9aa,// invsqrt(0.8052) = 1.1144 +32'h3e8abbff,32'h3ff0fa99,32'h3ffad095, 32'h3fe99a1c,32'h40011889, 32'h3fdd4ea2,32'h40073e46,// invsqrt(0.2710) = 1.9211 +32'h3f05273f,32'h3fadeea6,32'h3fb50810, 32'h3fa89b96,32'h3fba5b20, 32'h3f9fbbd2,32'h3fc33ae4,// invsqrt(0.5201) = 1.3866 +32'h3f4bc3c4,32'h3f8c9a1e,32'h3f925744, 32'h3f884c42,32'h3f96a520, 32'h3f811fd2,32'h3f9dd190,// invsqrt(0.7960) = 1.1209 +32'h404acac8,32'h3f0cf054,32'h3f12b0fe, 32'h3f089fd4,32'h3f17017e, 32'h3f016efe,32'h3f1e3254,// invsqrt(3.1686) = 0.5618 +32'h3f55d947,32'h3f893f38,32'h3f8ed94f, 32'h3f850ba6,32'h3f930ce0, 32'h3f7c1612,32'h3f9a0d7d,// invsqrt(0.8353) = 1.0941 +32'h3f99a6a6,32'h3f64fbaa,32'h3f6e544e, 32'h3f5df92f,32'h3f7556c9, 32'h3f524a63,32'h3f8082cb,// invsqrt(1.2004) = 0.9127 +32'h3da44ba4,32'h405d70ec,32'h40667ac2, 32'h4056a98c,32'h406d4222, 32'h404b5d42,32'h40788e6d,// invsqrt(0.0802) = 3.5306 +32'h3ed84d02,32'h3fc0fe41,32'h3fc8ded5, 32'h3fbb15d1,32'h3fcec745, 32'h3fb13d17,32'h3fd89fff,// invsqrt(0.4225) = 1.5385 +32'h3f298e79,32'h3f9a2255,32'h3fa06ce1, 32'h3f956a6d,32'h3fa524c9, 32'h3f8d8d3f,32'h3fad01f7,// invsqrt(0.6623) = 1.2287 +32'h3ea50fc8,32'h3fdced33,32'h3fe5f1a9, 32'h3fd629dc,32'h3fecb500, 32'h3fcae449,32'h3ff7fa93,// invsqrt(0.3224) = 1.7612 +32'h3fe868e8,32'h3f3a2f44,32'h3f41c8b4, 32'h3f347c2f,32'h3f477bc9, 32'h3f2afc63,32'h3f50fb95,// invsqrt(1.8157) = 0.7421 +32'h3fcf0e74,32'h3f45411e,32'h3f4d4e3a, 32'h3f3f374a,32'h3f53580e, 32'h3f3526e8,32'h3f5d6870,// invsqrt(1.6176) = 0.7862 +32'h3f61e0dc,32'h3f858ad1,32'h3f8afe33, 32'h3f817448,32'h3f8f14bc, 32'h3f75481d,32'h3f95e4f5,// invsqrt(0.8823) = 1.0646 +32'h401d2433,32'h3f201b6e,32'h3f26a462, 32'h3f1b34b6,32'h3f2b8b1a, 32'h3f130984,32'h3f33b64c,// invsqrt(2.4553) = 0.6382 +32'h3fae4f0f,32'h3f56fc90,32'h3f5fc2f4, 32'h3f5067c5,32'h3f6657bf, 32'h3f456fc8,32'h3f714fbc,// invsqrt(1.3618) = 0.8569 +32'h3e4c6682,32'h400c6219,32'h40121cf6, 32'h400815f4,32'h4016691c, 32'h4000ec61,32'h401d92af,// invsqrt(0.1996) = 2.2383 +32'h3ee32be5,32'h3fbc51aa,32'h3fc40168, 32'h3fb68ddc,32'h3fc9c536, 32'h3facf22e,32'h3fd360e4,// invsqrt(0.4437) = 1.5013 +32'h3ff5644f,32'h3f35315c,32'h3f3c96a4, 32'h3f2fa566,32'h3f42229a, 32'h3f2666cd,32'h3f4b6133,// invsqrt(1.9171) = 0.7222 +32'h3f6d8674,32'h3f823a1f,32'h3f878add, 32'h3f7c7b23,32'h3f8b876b, 32'h3f6f3149,32'h3f922c57,// invsqrt(0.9278) = 1.0382 +32'h3f52778f,32'h3f8a585d,32'h3f8ffded, 32'h3f861c30,32'h3f943a1a, 32'h3f7e1a76,32'h3f9b490f,// invsqrt(0.8221) = 1.1029 +32'h403cee87,32'h3f12045d,32'h3f17fa17, 32'h3f0d8c11,32'h3f1c7263, 32'h3f0618e7,32'h3f23e58d,// invsqrt(2.9521) = 0.5820 +32'h3e9a96e4,32'h3fe44978,32'h3fed9ad6, 32'h3fdd4c72,32'h3ff497dc, 32'h3fd1a6bd,32'h40001ec9,// invsqrt(0.3019) = 1.8199 +32'h3f39290e,32'h3f937f21,32'h3f998451, 32'h3f8efb3d,32'h3f9e0835, 32'h3f8774c0,32'h3fa58eb2,// invsqrt(0.7233) = 1.1758 +32'h3db8e70d,32'h4050bcb1,32'h405941c7, 32'h404a58df,32'h405fa599, 32'h403fb283,32'h406a4bf5,// invsqrt(0.0903) = 3.3281 +32'h3f9cd24d,32'h3f62a813,32'h3f6be867, 32'h3f5bb7d4,32'h3f72d8a6, 32'h3f50276a,32'h3f7e6910,// invsqrt(1.2252) = 0.9034 +32'h3f1a5e5f,32'h3fa189e6,32'h3fa821d0, 32'h3f9c97f6,32'h3fad13c0, 32'h3f945a12,32'h3fb551a4,// invsqrt(0.6030) = 1.2878 +32'h403c1f22,32'h3f1254c4,32'h3f184dc6, 32'h3f0dda02,32'h3f1cc888, 32'h3f0662be,32'h3f243fcc,// invsqrt(2.9394) = 0.5833 +32'h412f572d,32'h3e979211,32'h3e9dc1d3, 32'h3e92ee40,32'h3ea265a4, 32'h3e8b328d,32'h3eaa2157,// invsqrt(10.9588) = 0.3021 +32'h3eb48cd0,32'h3fd33cf3,32'h3fdbdc2c, 32'h3fccc589,32'h3fe25397, 32'h3fc1fe82,32'h3fed1a9e,// invsqrt(0.3526) = 1.6840 +32'h3f58b791,32'h3f8855ee,32'h3f8de680, 32'h3f842981,32'h3f9212ed, 32'h3f7a6996,32'h3f9907a3,// invsqrt(0.8466) = 1.0869 +32'h3f8557a4,32'h3f75cd8a,32'h3f7fd5ee, 32'h3f6e473f,32'h3f83ae1c, 32'h3f61bcc3,32'h3f89f35b,// invsqrt(1.0417) = 0.9798 +32'h4123eec2,32'h3e9cc175,32'h3ea32765, 32'h3e97f501,32'h3ea7f3d9, 32'h3e8ff595,32'h3eaff345,// invsqrt(10.2458) = 0.3124 +32'h3ffe9d25,32'h3f31e195,32'h3f392443, 32'h3f2c6f93,32'h3f3e9645, 32'h3f235c3b,32'h3f47a99d,// invsqrt(1.9892) = 0.7090 +32'h3f3fff70,32'h3f90d8b2,32'h3f96c232, 32'h3f8c6993,32'h3f9b3151, 32'h3f8505b3,32'h3fa29531,// invsqrt(0.7500) = 1.1547 +32'h3f977b58,32'h3f669de1,32'h3f700797, 32'h3f5f8e99,32'h3f7716df, 32'h3f53ca76,32'h3f816d81,// invsqrt(1.1835) = 0.9192 +32'h40c3b89b,32'h3ecae2d4,32'h3ed32ac8, 32'h3ec4acdd,32'h3ed960bf, 32'h3eba52ed,32'h3ee3baaf,// invsqrt(6.1163) = 0.4043 +32'h403dbcdf,32'h3f11b4e2,32'h3f17a75e, 32'h3f0d3f05,32'h3f1c1d3b, 32'h3f05cfea,32'h3f238c56,// invsqrt(2.9647) = 0.5808 +32'h3f78213b,32'h3f7ed3e1,32'h3f849d49, 32'h3f7706de,32'h3f8883cb, 32'h3f6a0681,32'h3f8f03fa,// invsqrt(0.9693) = 1.0157 +32'h3f7b8f28,32'h3f7d15b0,32'h3f83b515, 32'h3f755653,32'h3f8794c2, 32'h3f686cbb,32'h3f8e098f,// invsqrt(0.9827) = 1.0088 +32'h3ff6e6c6,32'h3f34a356,32'h3f3c02d2, 32'h3f2f1bb9,32'h3f418a6f, 32'h3f25e45f,32'h3f4ac1c9,// invsqrt(1.9289) = 0.7200 +32'h3fe1dfde,32'h3f3cdbe0,32'h3f449142, 32'h3f3713d7,32'h3f4a594b, 32'h3f2d711c,32'h3f53fc06,// invsqrt(1.7646) = 0.7528 +32'h40ba03d8,32'h3ed01ca9,32'h3ed89b37, 32'h3ec9bdbe,32'h3edefa22, 32'h3ebf1f8b,32'h3ee99855,// invsqrt(5.8130) = 0.4148 +32'h3ff20bfc,32'h3f3670c7,32'h3f3de319, 32'h3f30db0a,32'h3f4378d6, 32'h3f278c25,32'h3f4cc7bb,// invsqrt(1.8910) = 0.7272 +32'h410399a7,32'h3eaef4a0,32'h3eb618bb, 32'h3ea9998b,32'h3ebb73cf, 32'h3ea0ac68,32'h3ec460f2,// invsqrt(8.2250) = 0.3487 +32'h3f15efa9,32'h3fa3e8b5,32'h3faa9963, 32'h3f9ee432,32'h3faf9de6, 32'h3f968758,32'h3fb7fac0,// invsqrt(0.5857) = 1.3067 +32'h3f2cddc0,32'h3f98a6c6,32'h3f9ee1d4, 32'h3f93fa7c,32'h3fa38e1e, 32'h3f8c30ac,32'h3fab57ee,// invsqrt(0.6753) = 1.2169 +32'h3fa8e3f8,32'h3f5a686e,32'h3f635292, 32'h3f53b8d3,32'h3f6a022d, 32'h3f489426,32'h3f7526da,// invsqrt(1.3195) = 0.8706 +32'h40989a3f,32'h3ee5c4b1,32'h3eef2589, 32'h3edebc0f,32'h3ef62e2b, 32'h3ed30300,32'h3f00f39d,// invsqrt(4.7688) = 0.4579 +32'h3e892519,32'h3ff25f0d,32'h3ffc4395, 32'h3feaf3a7,32'h4001d77e, 32'h3fde95fc,32'h40080653,// invsqrt(0.2679) = 1.9322 +32'h3f245c4c,32'h3f9c8d30,32'h3fa2f0fd, 32'h3f97c255,32'h3fa7bbd7, 32'h3f8fc594,32'h3fafb898,// invsqrt(0.6420) = 1.2480 +32'h3f0ca1e5,32'h3fa93e78,32'h3fb026e6, 32'h3fa41026,32'h3fb55538, 32'h3f9b6d9e,32'h3fbdf7c1,// invsqrt(0.5493) = 1.3492 +32'h3f484784,32'h3f8dd1f5,32'h3f939bd5, 32'h3f897a8d,32'h3f97f33d, 32'h3f823e34,32'h3f9f2f96,// invsqrt(0.7823) = 1.1306 +32'h417b5482,32'h3e7d3336,32'h3e83c472, 32'h3e7572f2,32'h3e87a493, 32'h3e6887d8,32'h3e8e1a20,// invsqrt(15.7081) = 0.2523 +32'h4062f100,32'h3f053aa8,32'h3f0aaac3, 32'h3f012692,32'h3f0ebed8, 32'h3ef4b4df,32'h3f158afa,// invsqrt(3.5460) = 0.5310 +32'h41092f9f,32'h3eab5b51,32'h3eb259d1, 32'h3ea61c70,32'h3eb798b2, 32'h3e9d5e4f,32'h3ec056d3,// invsqrt(8.5741) = 0.3415 +32'h3edc8c70,32'h3fbf2028,32'h3fc6ed3a, 32'h3fb9465b,32'h3fccc707, 32'h3faf8606,32'h3fd6875c,// invsqrt(0.4308) = 1.5236 +32'h3e4f1358,32'h400b7935,32'h40112a90, 32'h40073431,32'h40156f95, 32'h40001680,32'h401c8d46,// invsqrt(0.2022) = 2.2237 +32'h400900a5,32'h3f2b78af,32'h3f327863, 32'h3f2638e9,32'h3f37b829, 32'h3f1d7948,32'h3f4077ca,// invsqrt(2.1407) = 0.6835 +32'h409597a8,32'h3ee8118b,32'h3ef18a6d, 32'h3ee0f6e3,32'h3ef8a515, 32'h3ed51fc9,32'h3f023e17,// invsqrt(4.6748) = 0.4625 +32'h3faa00db,32'h3f59b121,32'h3f6293ca, 32'h3f530723,32'h3f693dc9, 32'h3f47ebd1,32'h3f74591b,// invsqrt(1.3282) = 0.8677 +32'h3f98de7c,32'h3f659163,32'h3f6ef023, 32'h3f5e8a53,32'h3f75f733, 32'h3f52d3e3,32'h3f80d6d2,// invsqrt(1.1943) = 0.9151 +32'h40754e7f,32'h3f002519,32'h3f056015, 32'h3ef871b8,32'h3f094c52, 32'h3eeb5e43,32'h3f0fd60c,// invsqrt(3.8329) = 0.5108 +32'h3e9d02cb,32'h3fe28510,32'h3febc3f6, 32'h3fdb95e3,32'h3ff2b323, 32'h3fd00743,32'h3ffe41c3,// invsqrt(0.3067) = 1.8058 +32'h3e6f08a3,32'h4001d0c2,32'h40071d32, 32'h3ffbaedb,32'h400b1686, 32'h3fee6fc2,32'h4011b613,// invsqrt(0.2334) = 2.0698 +32'h3fee4d17,32'h3f37de68,32'h3f3f5fa6, 32'h3f323d7a,32'h3f450094, 32'h3f28dbed,32'h3f4e6221,// invsqrt(1.8617) = 0.7329 +32'h3e878858,32'h3ff3cf05,32'h3ffdc293, 32'h3fec585b,32'h40029c9e, 32'h3fdfe7eb,32'h4008d4d7,// invsqrt(0.2647) = 1.9436 +32'h3fbccf2f,32'h3f4e90f4,32'h3f56ff5c, 32'h3f483e26,32'h3f5d522a, 32'h3f3db424,32'h3f67dc2c,// invsqrt(1.4751) = 0.8234 +32'h3f700913,32'h3f818b58,32'h3f86d4f3, 32'h3f7b2846,32'h3f8acc27, 32'h3f6df043,32'h3f916829,// invsqrt(0.9376) = 1.0327 +32'h3fc72b36,32'h3f491f54,32'h3f5154da, 32'h3f42f72f,32'h3f577cff, 32'h3f38b448,32'h3f61bfe6,// invsqrt(1.5560) = 0.8017 +32'h3f911186,32'h3f6ba8c6,32'h3f75472c, 32'h3f6471f9,32'h3f7c7df9, 32'h3f586bfa,32'h3f8441fc,// invsqrt(1.1333) = 0.9393 +32'h3edf772a,32'h3fbddfc7,32'h3fc59fc5, 32'h3fb80fc9,32'h3fcb6fc3, 32'h3fae5fcc,32'h3fd51fc0,// invsqrt(0.4365) = 1.5137 +32'h3f7c0af0,32'h3f7cd783,32'h3f8394b9, 32'h3f751a0e,32'h3f877373, 32'h3f6833a1,32'h3f8de6aa,// invsqrt(0.9845) = 1.0078 +32'h3e1d94cb,32'h401fe231,32'h402668cf, 32'h401afd3a,32'h402b4dc6, 32'h4012d4f3,32'h4033760d,// invsqrt(0.1539) = 2.5492 +32'h419e0dfe,32'h3e61c546,32'h3e6afc58, 32'h3e5adbf8,32'h3e71e5a6, 32'h3e4f5721,32'h3e7d6a7d,// invsqrt(19.7568) = 0.2250 +32'h3f678265,32'h3f83e87f,32'h3f894acd, 32'h3f7fbd88,32'h3f8d5488, 32'h3f7247c4,32'h3f940f6a,// invsqrt(0.9043) = 1.0516 +32'h3ef64824,32'h3fb4dd7a,32'h3fbc3f55, 32'h3faf5415,32'h3fc1c8b9, 32'h3fa619c3,32'h3fcb030b,// invsqrt(0.4810) = 1.4418 +32'h3ff000f4,32'h3f373726,32'h3f3eb190, 32'h3f319b56,32'h3f444d60, 32'h3f284252,32'h3f4da664,// invsqrt(1.8750) = 0.7303 +32'h3f18689f,32'h3fa292f4,32'h3fa935b0, 32'h3f9d98e8,32'h3fae2fbc, 32'h3f954d7d,32'h3fb67b27,// invsqrt(0.5953) = 1.2960 +32'h3ffb2b16,32'h3f3318dd,32'h3f3a683f, 32'h3f2d9d54,32'h3f3fe3c8, 32'h3f247a19,32'h3f490703,// invsqrt(1.9623) = 0.7139 +32'h400e2f1c,32'h3f28516a,32'h3f2f302a, 32'h3f232a5a,32'h3f34573a, 32'h3f1a93e9,32'h3f3cedab,// invsqrt(2.2216) = 0.6709 +32'h3e42aa27,32'h400fd9d2,32'h4015b8ea, 32'h400b7280,32'h401a203c, 32'h40041ba1,32'h4021771b,// invsqrt(0.1901) = 2.2935 +32'h4045ef37,32'h3f0ea862,32'h3f147b02, 32'h3f0a4a69,32'h3f18d8fb, 32'h3f030320,32'h3f202044,// invsqrt(3.0927) = 0.5686 +32'h41219c69,32'h3e9de0ac,32'h3ea45256, 32'h3e990b6e,32'h3ea92794, 32'h3e90fd5a,32'h3eb135a8,// invsqrt(10.1007) = 0.3146 +32'h3ddba15c,32'h403f8656,32'h40475792, 32'h4039a968,32'h404d3480, 32'h402fe3dc,32'h4056fa0c,// invsqrt(0.1072) = 3.0536 +32'h410ac117,32'h3eaa62b6,32'h3eb15712, 32'h3ea52b72,32'h3eb68e56, 32'h3e9c7a00,32'h3ebf3fc8,// invsqrt(8.6721) = 0.3396 +32'h3fe462a0,32'h3f3bd162,32'h3f437be4, 32'h3f361181,32'h3f493bc5, 32'h3f2c7c60,32'h3f52d0e7,// invsqrt(1.7843) = 0.7486 +32'h3f25ad18,32'h3f9bedbf,32'h3fa24b0b, 32'h3f9727c6,32'h3fa71104, 32'h3f8f3328,32'h3faf05a2,// invsqrt(0.6472) = 1.2431 +32'h3f85df07,32'h3f755120,32'h3f7f546f, 32'h3f6dcea3,32'h3f836b75, 32'h3f614a80,32'h3f89ad87,// invsqrt(1.0459) = 0.9778 +32'h3fa2ec0d,32'h3f5e5f5c,32'h3f6772ec, 32'h3f5790af,32'h3f6e4199, 32'h3f4c383a,32'h3f799a0e,// invsqrt(1.2728) = 0.8864 +32'h3f7307a5,32'h3f80be67,32'h3f85ffa5, 32'h3f799af2,32'h3f89f093, 32'h3f6c77d8,32'h3f908220,// invsqrt(0.9493) = 1.0263 +32'h3fc67f12,32'h3f497676,32'h3f51af8b, 32'h3f434ba7,32'h3f57da5b, 32'h3f39044e,32'h3f6221b4,// invsqrt(1.5508) = 0.8030 +32'h3ff63fe1,32'h3f34e082,32'h3f3c427d, 32'h3f2f5706,32'h3f41cbfa, 32'h3f261c8d,32'h3f4b0673,// invsqrt(1.9238) = 0.7210 +32'h3f343993,32'h3f9580a8,32'h3f9b9ace, 32'h3f90ed0b,32'h3fa02e6b, 32'h3f894c5c,32'h3fa7cf1a,// invsqrt(0.7040) = 1.1918 +32'h3f6aecb5,32'h3f82f223,32'h3f884a63, 32'h3f7ddfe6,32'h3f8c4c93, 32'h3f708345,32'h3f92fae3,// invsqrt(0.9177) = 1.0439 +32'h3f9ae8ba,32'h3f640d23,32'h3f6d5c0b, 32'h3f5d11f6,32'h3f745738, 32'h3f516f55,32'h3f7ff9d9,// invsqrt(1.2102) = 0.9090 +32'h4158d538,32'h3e884c9b,32'h3e8ddccb, 32'h3e842077,32'h3e9208ef, 32'h3e7a5875,32'h3e98fd2b,// invsqrt(13.5521) = 0.2716 +32'h3f96b729,32'h3f6733c9,32'h3f70a39d, 32'h3f601fea,32'h3f77b77c, 32'h3f545421,32'h3f81c1a2,// invsqrt(1.1775) = 0.9216 +32'h40235224,32'h3f1d0c8c,32'h3f23758c, 32'h3f183dcb,32'h3f28444d, 32'h3f103a8b,32'h3f30478d,// invsqrt(2.5519) = 0.6260 +32'h40018e39,32'h3f3054ab,32'h3f378725, 32'h3f2aeed0,32'h3f3ced00, 32'h3f21efb7,32'h3f45ec19,// invsqrt(2.0243) = 0.7028 +32'h3f3106a5,32'h3f96d8e9,32'h3f9d011d, 32'h3f923ac3,32'h3fa19f43, 32'h3f8a8883,32'h3fa95183,// invsqrt(0.6915) = 1.2025 +32'h3fca1c73,32'h3f47a72d,32'h3f4fcd59, 32'h3f418a8c,32'h3f55e9fa, 32'h3f375ad6,32'h3f6019b0,// invsqrt(1.5790) = 0.7958 +32'h4059d87f,32'h3f07fb67,32'h3f0d8847, 32'h3f03d1bf,32'h3f11b1ef, 32'h3ef9c350,32'h3f18a206,// invsqrt(3.4038) = 0.5420 +32'h40072937,32'h3f2ca2b6,32'h3f33ae94, 32'h3f2759d0,32'h3f38f77a, 32'h3f1e8afb,32'h3f41c64f,// invsqrt(2.1119) = 0.6881 +32'h3c3b02a0,32'h4112c3e9,32'h4118c175, 32'h410e45c0,32'h411d3f9e, 32'h4106c8d1,32'h4124bc8d,// invsqrt(0.0114) = 9.3600 +32'h4009b5fc,32'h3f2b07a4,32'h3f3202ba, 32'h3f25cb53,32'h3f373f0b, 32'h3f1d1177,32'h3f3ff8e7,// invsqrt(2.1517) = 0.6817 +32'h3f3fa1e8,32'h3f90fc07,32'h3f96e6f7, 32'h3f8c8bd2,32'h3f9b572c, 32'h3f852626,32'h3fa2bcd8,// invsqrt(0.7486) = 1.1558 +32'h3f28ea4d,32'h3f9a6d2a,32'h3fa0bac4, 32'h3f95b2f7,32'h3fa574f7, 32'h3f8dd1f8,32'h3fad55f6,// invsqrt(0.6598) = 1.2311 +32'h3f160290,32'h3fa3de61,32'h3faa8ea3, 32'h3f9eda2f,32'h3faf92d5, 32'h3f967ddb,32'h3fb7ef29,// invsqrt(0.5860) = 1.3064 +32'h3d57ae01,32'h4088a9c3,32'h408e3dc1, 32'h40847ac5,32'h40926cbf, 32'h407b0390,32'h409965bc,// invsqrt(0.0527) = 4.3579 +32'h3f91f15a,32'h3f6af3cb,32'h3f748ace, 32'h3f63c288,32'h3f7bbc10, 32'h3f57c5c4,32'h3f83dc6a,// invsqrt(1.1402) = 0.9365 +32'h407102ff,32'h3f01481c,32'h3f068ef8, 32'h3efaa5ed,32'h3f0a841e, 32'h3eed74c5,32'h3f111cb1,// invsqrt(3.7658) = 0.5153 +32'h3d8a0aa5,32'h40719534,32'h407b7180, 32'h406a2ffc,32'h40816b5c, 32'h405ddc9e,32'h4087950b,// invsqrt(0.0674) = 3.8518 +32'h3fbc7718,32'h3f4ec135,32'h3f573195, 32'h3f486ced,32'h3f5d85dd, 32'h3f3de074,32'h3f681256,// invsqrt(1.4724) = 0.8241 +32'h3eb3dbdc,32'h3fd3a4c3,32'h3fdc4839, 32'h3fcd2a2b,32'h3fe2c2d1, 32'h3fc25dd8,32'h3fed8f24,// invsqrt(0.3513) = 1.6872 +32'h401d3c0d,32'h3f200f49,32'h3f2697bf, 32'h3f1b28f1,32'h3f2b7e17, 32'h3f12fe5d,32'h3f33a8ab,// invsqrt(2.4568) = 0.6380 +32'h3f4f4b44,32'h3f8b6664,32'h3f9116fa, 32'h3f8721f3,32'h3f955b6b, 32'h3f800537,32'h3f9c7827,// invsqrt(0.8097) = 1.1113 +32'h3f97acd5,32'h3f66783f,32'h3f6fe06b, 32'h3f5f6a1e,32'h3f76ee8c, 32'h3f53a7e6,32'h3f815862,// invsqrt(1.1850) = 0.9186 +32'h40ed580b,32'h3eb83d3a,32'h3ebfc257, 32'h3eb29965,32'h3ec5662d, 32'h3ea93302,32'h3ececc90,// invsqrt(7.4170) = 0.3672 +32'h3f77f41a,32'h3f7eeb11,32'h3f84a95a, 32'h3f771d57,32'h3f889037, 32'h3f6a1bcb,32'h3f8f10fc,// invsqrt(0.9686) = 1.0161 +32'h40da74fe,32'h3ec009d4,32'h3ec7e06e, 32'h3eba28df,32'h3ecdc163, 32'h3eb05c9e,32'h3ed78da4,// invsqrt(6.8268) = 0.3827 +32'h40be624b,32'h3ecdb5d0,32'h3ed61b47, 32'h3ec769b8,32'h3edc6760, 32'h3ebceae4,32'h3ee6e634,// invsqrt(5.9495) = 0.4100 +32'h3f4cd0b3,32'h3f8c3db0,32'h3f91f710, 32'h3f87f2a8,32'h3f964218, 32'h3f80caf0,32'h3f9d69d0,// invsqrt(0.8001) = 1.1180 +32'h40649054,32'h3f04c164,32'h3f0a2c8d, 32'h3f00b106,32'h3f0e3cec, 32'h3ef3d627,32'h3f1502df,// invsqrt(3.5713) = 0.5292 +32'h3fd06a84,32'h3f449c23,32'h3f4ca283, 32'h3f3e975c,32'h3f52a74a, 32'h3f348f64,32'h3f5caf42,// invsqrt(1.6283) = 0.7837 +32'h3fb6d02e,32'h3f51ed2f,32'h3f5a7eb3, 32'h3f4b800b,32'h3f60ebd7, 32'h3f40ca26,32'h3f6ba1bc,// invsqrt(1.4282) = 0.8368 +32'h3ebe78f2,32'h3fcda995,32'h3fd60e8b, 32'h3fc75ddc,32'h3fdc5a44, 32'h3fbcdfa8,32'h3fe6d878,// invsqrt(0.3720) = 1.6395 +32'h3eda9501,32'h3fbffbc3,32'h3fc7d1cb, 32'h3fba1b3d,32'h3fcdb251, 32'h3fb04fb3,32'h3fd77ddb,// invsqrt(0.4269) = 1.5305 +32'h3fe16fa5,32'h3f3d0adc,32'h3f44c229, 32'h3f374163,32'h3f4a8ba3, 32'h3f2d9c43,32'h3f5430c3,// invsqrt(1.7612) = 0.7535 +32'h3fcd2180,32'h3f462d94,32'h3f4e4456, 32'h3f401c82,32'h3f545568, 32'h3f360010,32'h3f5e71da,// invsqrt(1.6026) = 0.7899 +32'h3f18af51,32'h3fa26d4c,32'h3fa90e7f, 32'h3f9d7467,32'h3fae0765, 32'h3f952ae9,32'h3fb650e3,// invsqrt(0.5964) = 1.2949 +32'h406d5966,32'h3f02467b,32'h3f0797b9, 32'h3efc9318,32'h3f0b94a8, 32'h3eef47fc,32'h3f123a36,// invsqrt(3.7086) = 0.5193 +32'h3e809296,32'h3ffa521b,32'h400244da, 32'h3ff2a868,32'h400619b3, 32'h3fe5e2ea,32'h400c7c72,// invsqrt(0.2511) = 1.9955 +32'h40d98849,32'h3ec07233,32'h3ec84d11, 32'h3eba8e0d,32'h3ece3137, 32'h3eb0bc78,32'h3ed802cc,// invsqrt(6.7979) = 0.3835 +32'h3ff4d858,32'h3f35651f,32'h3f3ccc83, 32'h3f2fd793,32'h3f425a0f, 32'h3f269656,32'h3f4b9b4c,// invsqrt(1.9129) = 0.7230 +32'h3d426f4b,32'h408fef97,32'h4095cf92, 32'h408b8799,32'h409a378f, 32'h40842f9f,32'h40a18f89,// invsqrt(0.0475) = 4.5898 +32'h3f774a76,32'h3f7f4271,32'h3f84d6d3, 32'h3f77720b,32'h3f88bf06, 32'h3f6a6c0b,32'h3f8f4207,// invsqrt(0.9660) = 1.0175 +32'h3e92144a,32'h3fead7b0,32'h3ff46d8e, 32'h3fe3a74a,32'h3ffb9df4, 32'h3fd7abf6,32'h4003cca4,// invsqrt(0.2853) = 1.8722 +32'h3edbca42,32'h3fbf7483,32'h3fc74505, 32'h3fb99821,32'h3fcd2167, 32'h3fafd37d,32'h3fd6e60b,// invsqrt(0.4293) = 1.5263 +32'h4008afdd,32'h3f2bab53,32'h3f32ad17, 32'h3f2669ff,32'h3f37ee6b, 32'h3f1da7c9,32'h3f40b0a1,// invsqrt(2.1357) = 0.6843 +32'h3eee79f7,32'h3fb7cd1a,32'h3fbf4da3, 32'h3fb22cb4,32'h3fc4ee0a, 32'h3fa8cc09,32'h3fce4eb5,// invsqrt(0.4658) = 1.4653 +32'h3fc928fe,32'h3f481fda,32'h3f504af2, 32'h3f41ff87,32'h3f566b45, 32'h3f37c9a9,32'h3f60a123,// invsqrt(1.5716) = 0.7977 +32'h40c6838c,32'h3ec97431,32'h3ed1ad2d, 32'h3ec34973,32'h3ed7d7eb, 32'h3eb90237,32'h3ee21f27,// invsqrt(6.2036) = 0.4015 +32'h3d85dc17,32'h407553d1,32'h407f573d, 32'h406dd140,32'h40836ce7, 32'h40614cfa,32'h4089af0a,// invsqrt(0.0654) = 3.9115 +32'h40002fcd,32'h3f31450b,32'h3f388155, 32'h3f2bd7d4,32'h3f3dee8c, 32'h3f22cc78,32'h3f46f9e8,// invsqrt(2.0029) = 0.7066 +32'h3f58dd9a,32'h3f8849f9,32'h3f8dda0d, 32'h3f841de9,32'h3f92061d, 32'h3f7a539f,32'h3f98fa36,// invsqrt(0.8471) = 1.0865 +32'h3fbb31cf,32'h3f4f748b,32'h3f57ec3d, 32'h3f491ac5,32'h3f5e4603, 32'h3f3e8527,32'h3f68dba1,// invsqrt(1.4625) = 0.8269 +32'h3f4d382a,32'h3f8c1a51,32'h3f91d240, 32'h3f87d05f,32'h3f961c33, 32'h3f80aa75,32'h3f9d421d,// invsqrt(0.8016) = 1.1169 +32'h3f24f6d6,32'h3f9c43ca,32'h3fa2a499, 32'h3f977b30,32'h3fa76d34, 32'h3f8f822d,32'h3faf6637,// invsqrt(0.6444) = 1.2457 +32'h3fa7b56a,32'h3f5b2d18,32'h3f641f43, 32'h3f547778,32'h3f6ad4e4, 32'h3f4948c3,32'h3f760399,// invsqrt(1.3102) = 0.8736 +32'h40ad8da6,32'h3ed7743a,32'h3ee03f80, 32'h3ed0dbc5,32'h3ee6d7f5, 32'h3ec5ddad,32'h3ef1d60d,// invsqrt(5.4235) = 0.4294 +32'h3ee5846a,32'h3fbb5aab,32'h3fc30053, 32'h3fb59e6c,32'h3fc8bc92, 32'h3fac0f59,32'h3fd24ba5,// invsqrt(0.4483) = 1.4936 +32'h3e04880e,32'h402e56fd,32'h403574a9, 32'h402900bc,32'h403acaea, 32'h40201ba4,32'h4043b002,// invsqrt(0.1294) = 2.7797 +32'h400ce295,32'h3f291799,32'h3f2ffe71, 32'h3f23ea78,32'h3f352b92, 32'h3f1b49eb,32'h3f3dcc1f,// invsqrt(2.2013) = 0.6740 +32'h4036b395,32'h3f147c5f,32'h3f1a8be5, 32'h3f0ff0ba,32'h3f1f178a, 32'h3f085d52,32'h3f26aaf2,// invsqrt(2.8547) = 0.5919 +32'h3f28a3d9,32'h3f9a8d69,32'h3fa0dc53, 32'h3f95d239,32'h3fa59783, 32'h3f8def95,32'h3fad7a27,// invsqrt(0.6588) = 1.2321 +32'h40b95864,32'h3ed07cd4,32'h3ed8ff50, 32'h3eca1af8,32'h3edf612c, 32'h3ebf77dd,32'h3eea0447,// invsqrt(5.7920) = 0.4155 +32'h3dac2e6a,32'h40584f8b,32'h406123c5, 32'h4051b060,32'h4067c2f0, 32'h4046a717,32'h4072cc39,// invsqrt(0.0841) = 3.4488 +32'h409e77f5,32'h3ee179bd,32'h3eeaadbb, 32'h3eda92bf,32'h3ef194b9, 32'h3ecf11c3,32'h3efd15b5,// invsqrt(4.9521) = 0.4494 +32'h3f975ce5,32'h3f66b512,32'h3f701fba, 32'h3f5fa514,32'h3f772fb8, 32'h3f53dfc2,32'h3f817a85,// invsqrt(1.1825) = 0.9196 +32'h3efe0576,32'h3fb216a9,32'h3fb95b81, 32'h3faca307,32'h3fbecf23, 32'h3fa38cf9,32'h3fc7e531,// invsqrt(0.4961) = 1.4197 +32'h3e342580,32'h401588fc,32'h401ba37a, 32'h4010f51e,32'h40203758, 32'h40095402,32'h4027d874,// invsqrt(0.1759) = 2.3842 +32'h40944133,32'h3ee91cf9,32'h3ef2a0c5, 32'h3ee1fa21,32'h3ef9c39d, 32'h3ed61562,32'h3f02d42e,// invsqrt(4.6330) = 0.4646 +32'h3f1849dc,32'h3fa2a35f,32'h3fa946c6, 32'h3f9da8d1,32'h3fae4153, 32'h3f955c90,32'h3fb68d94,// invsqrt(0.5949) = 1.2965 +32'h3e6ab4c3,32'h400301be,32'h40085aa1, 32'h3ffdfe26,32'h400c5d4b, 32'h3ff09fee,32'h40130c67,// invsqrt(0.2292) = 2.0888 +32'h3f92ff2e,32'h3f6a1bc3,32'h3f73a9f5, 32'h3f62f11e,32'h3f7ad49a, 32'h3f56ff60,32'h3f83632c,// invsqrt(1.1484) = 0.9331 +32'h3f1ef48e,32'h3f9f30e6,32'h3fa5b048, 32'h3f9a515c,32'h3faa8fd2, 32'h3f923222,32'h3fb2af0d,// invsqrt(0.6209) = 1.2691 +32'h3e3fb2ff,32'h4010f590,32'h4016e03e, 32'h400c858f,32'h401b503f, 32'h40052036,32'h4022b598,// invsqrt(0.1872) = 2.3112 +32'h3f027215,32'h3fafba66,32'h3fb6e694, 32'h3faa5944,32'h3fbc47b6, 32'h3fa1620a,32'h3fc53ef0,// invsqrt(0.5096) = 1.4009 +32'h3ff75ad7,32'h3f3478f0,32'h3f3bd6b0, 32'h3f2ef29f,32'h3f415d01, 32'h3f25bd6e,32'h3f4a9232,// invsqrt(1.9325) = 0.7194 +32'h3fd035b0,32'h3f44b513,32'h3f4cbc77, 32'h3f3eaf88,32'h3f52c202, 32'h3f34a64b,32'h3f5ccb3f,// invsqrt(1.6266) = 0.7841 +32'h3fecb4c8,32'h3f387cb9,32'h3f40046d, 32'h3f32d6f2,32'h3f45aa34, 32'h3f296d51,32'h3f4f13d5,// invsqrt(1.8493) = 0.7354 +32'h3fd88c50,32'h3f40e209,32'h3f48c177, 32'h3f3afa76,32'h3f4ea90a, 32'h3f31232d,32'h3f588053,// invsqrt(1.6918) = 0.7688 +32'h402bc959,32'h3f192164,32'h3f1f6172, 32'h3f147159,32'h3f24117d, 32'h3f0ca147,32'h3f2be18f,// invsqrt(2.6842) = 0.6104 +32'h400a5f89,32'h3f2a9ebb,32'h3f31958a, 32'h3f2565a1,32'h3f36cea5, 32'h3f1cb120,32'h3f3f8327,// invsqrt(2.1621) = 0.6801 +32'h3fd6264f,32'h3f41f5c8,32'h3f49e077, 32'h3f3c05c4,32'h3f4fd07a, 32'h3f322069,32'h3f59b5d5,// invsqrt(1.6730) = 0.7731 +32'h4009dc49,32'h3f2aefe0,32'h3f31e9fe, 32'h3f25b449,32'h3f372595, 32'h3f1cfba4,32'h3f3fde3a,// invsqrt(2.1541) = 0.6813 +32'h41103e84,32'h3ea71c98,32'h3eadeebe, 32'h3ea1fefc,32'h3eb30c5a, 32'h3e99784d,32'h3ebb9309,// invsqrt(9.0153) = 0.3331 +32'h3ebbb5fa,32'h3fcf2b75,32'h3fd7a02b, 32'h3fc8d3ec,32'h3fddf7b4, 32'h3fbe4208,32'h3fe88998,// invsqrt(0.3666) = 1.6515 +32'h3e134385,32'h402563ba,32'h402c23e1, 32'h4020539d,32'h403133ff, 32'h4017e36d,32'h4039a42f,// invsqrt(0.1438) = 2.6370 +32'h40875219,32'h3ef3ffdf,32'h3efdf56a, 32'h3eec87b5,32'h3f02b6c9, 32'h3ee014c7,32'h3f08f041,// invsqrt(4.2288) = 0.4863 +32'h3ee2d143,32'h3fbc7746,32'h3fc4288d, 32'h3fb6b252,32'h3fc9ed82, 32'h3fad14b9,32'h3fd38b1b,// invsqrt(0.4430) = 1.5024 +32'h40f7d57a,32'h3eb44c43,32'h3ebba831, 32'h3eaec751,32'h3ec12d23, 32'h3ea59467,32'h3eca600d,// invsqrt(7.7448) = 0.3593 +32'h3f196f8a,32'h3fa2076e,32'h3fa8a478, 32'h3f9d11a7,32'h3fad9a3f, 32'h3f94cd5b,32'h3fb5de8b,// invsqrt(0.5994) = 1.2917 +32'h3ffa71d6,32'h3f335b0e,32'h3f3aad23, 32'h3f2ddd7d,32'h3f402ab3, 32'h3f24b6e2,32'h3f49514e,// invsqrt(1.9566) = 0.7149 +32'h3f84de59,32'h3f763da2,32'h3f80254d, 32'h3f6eb3e9,32'h3f83ea29, 32'h3f6223b5,32'h3f8a3244,// invsqrt(1.0380) = 0.9815 +32'h3f8ca102,32'h3f6f59a3,32'h3f791e99, 32'h3f6805e9,32'h3f803929, 32'h3f5bcfb5,32'h3f865444,// invsqrt(1.0987) = 0.9540 +32'h3fb96a62,32'h3f5072b7,32'h3f58f4c9, 32'h3f4a112a,32'h3f5f5656, 32'h3f3f6e93,32'h3f69f8ed,// invsqrt(1.4486) = 0.8309 +32'h427ea276,32'h3dfb8d3e,32'h3e02e8d9, 32'h3df3d9e5,32'h3e06c285, 32'h3de70452,32'h3e0d2d4f,// invsqrt(63.6587) = 0.1253 +32'h3e87dccc,32'h3ff38333,32'h3ffd73a8, 32'h3fec0edb,32'h40027400, 32'h3fdfa248,32'h4008aa49,// invsqrt(0.2654) = 1.9413 +32'h3e23663a,32'h401d02e4,32'h40236b80, 32'h40183470,32'h402839f4, 32'h401031ad,32'h40303cb7,// invsqrt(0.1596) = 2.5034 +32'h423b4bcb,32'h3e12a73c,32'h3e18a39c, 32'h3e0e29f3,32'h3e1d20e5, 32'h3e06ae7b,32'h3e249c5d,// invsqrt(46.8240) = 0.1461 +32'h3d612b94,32'h4085c088,32'h408b361b, 32'h4081a85b,32'h408f4e49, 32'h4075aac7,32'h40962141,// invsqrt(0.0550) = 4.2651 +32'h3f97f943,32'h3f663e43,32'h3f6fa411, 32'h3f5f31e8,32'h3f76b06c, 32'h3f5372a6,32'h3f8137d7,// invsqrt(1.1873) = 0.9177 +32'h3e553a82,32'h40097247,32'h400f0e73, 32'h40053d25,32'h40134395, 32'h3ffc73db,32'h401a46cd,// invsqrt(0.2082) = 2.1914 +32'h3fab36e5,32'h3f58ebae,32'h3f61c648, 32'h3f5247bb,32'h3f686a3b, 32'h3f47367c,32'h3f737b7b,// invsqrt(1.3376) = 0.8646 +32'h3ecde9bd,32'h3fc5cd21,32'h3fcddff3, 32'h3fbfbf03,32'h3fd3ee11, 32'h3fb5a77c,32'h3fde0598,// invsqrt(0.4022) = 1.5769 +32'h3f68be0d,32'h3f838eed,32'h3f88ed94, 32'h3f7f0fe2,32'h3f8cf491, 32'h3f71a342,32'h3f93aae1,// invsqrt(0.9091) = 1.0488 +32'h3ed540cd,32'h3fc25e0b,32'h3fca4cfb, 32'h3fbc6ad6,32'h3fd04030, 32'h3fb28029,32'h3fda2add,// invsqrt(0.4165) = 1.5495 +32'h408a7f67,32'h3ef12f4a,32'h3efb076c, 32'h3ee9cd30,32'h3f0134c3, 32'h3edd7f05,32'h3f075bd8,// invsqrt(4.3281) = 0.4807 +32'h3fcbca62,32'h3f46d423,32'h3f4ef1b2, 32'h3f40bdf9,32'h3f5507dd, 32'h3f369907,32'h3f5f2ccf,// invsqrt(1.5921) = 0.7925 +32'h3f85011e,32'h3f761d71,32'h3f80148c, 32'h3f6e94b4,32'h3f83d8ea, 32'h3f620624,32'h3f8a2032,// invsqrt(1.0391) = 0.9810 +32'h3e906ef4,32'h3fec2d41,32'h3ff5d10f, 32'h3fe4f266,32'h3ffd0bea, 32'h3fd8e5a4,32'h40048c56,// invsqrt(0.2821) = 1.8828 +32'h3f62e50a,32'h3f853e2a,32'h3f8aae6b, 32'h3f8129fa,32'h3f8ec29c, 32'h3f74bb54,32'h3f958eec,// invsqrt(0.8863) = 1.0622 +32'h3f69f362,32'h3f8337d7,32'h3f8892f0, 32'h3f7e670b,32'h3f8c9743, 32'h3f71034e,32'h3f934921,// invsqrt(0.9139) = 1.0461 +32'h3ff22840,32'h3f366621,32'h3f3dd803, 32'h3f30d0b8,32'h3f436d6c, 32'h3f27825d,32'h3f4cbbc7,// invsqrt(1.8919) = 0.7270 +32'h3f8300e2,32'h3f77fcc8,32'h3f810e00, 32'h3f70655f,32'h3f84d9b4, 32'h3f63be5a,32'h3f8b2d37,// invsqrt(1.0235) = 0.9885 +32'h3f235ffe,32'h3f9d05e3,32'h3fa36e9e, 32'h3f983757,32'h3fa83d2b, 32'h3f90346e,32'h3fb04014,// invsqrt(0.6382) = 1.2518 +32'h3ea4e544,32'h3fdd09ad,32'h3fe60f4b, 32'h3fd64576,32'h3fecd382, 32'h3fcafe70,32'h3ff81a88,// invsqrt(0.3221) = 1.7621 +32'h4045ab04,32'h3f0ec0fc,32'h3f14949e, 32'h3f0a6243,32'h3f18f357, 32'h3f0319b8,32'h3f203be2,// invsqrt(3.0886) = 0.5690 +32'h3f82a729,32'h3f7851e0,32'h3f813a48, 32'h3f70b7dc,32'h3f85074a, 32'h3f640c7f,32'h3f8b5cf8,// invsqrt(1.0207) = 0.9898 +32'h412c2222,32'h3e98f9e1,32'h3e9f3853, 32'h3e944b0c,32'h3ea3e728, 32'h3e8c7cfe,32'h3eabb536,// invsqrt(10.7583) = 0.3049 +32'h3f3c1d5d,32'h3f925574,32'h3f984e7e, 32'h3f8ddaad,32'h3f9cc945, 32'h3f866360,32'h3fa44092,// invsqrt(0.7348) = 1.1666 +32'h3e54eeaa,32'h40098abf,32'h400f27eb, 32'h400554de,32'h40135dcc, 32'h3ffca0cc,32'h401a6244,// invsqrt(0.2079) = 2.1930 +32'h3d1306b2,32'h40a585ed,32'h40ac4779, 32'h40a074c4,32'h40b158a2, 32'h409802d4,32'h40b9ca92,// invsqrt(0.0359) = 5.2782 +32'h3ef04bb8,32'h3fb71aa3,32'h3fbe93e3, 32'h3fb17fb3,32'h3fc42ed3, 32'h3fa82823,32'h3fcd8663,// invsqrt(0.4693) = 1.4597 +32'h3fa365a7,32'h3f5e0c8d,32'h3f671cbd, 32'h3f57406a,32'h3f6de8e0, 32'h3f4bec2e,32'h3f793d1c,// invsqrt(1.2765) = 0.8851 +32'h3fd77704,32'h3f415dff,32'h3f49427d, 32'h3f3b72a1,32'h3f4f2ddb, 32'h3f319505,32'h3f590b77,// invsqrt(1.6833) = 0.7708 +32'h3f5c4ab9,32'h3f873995,32'h3f8cbe8b, 32'h3f8315dc,32'h3f90e244, 32'h3f785f50,32'h3f97c878,// invsqrt(0.8605) = 1.0780 +32'h3f2aa299,32'h3f99a56c,32'h3f9feade, 32'h3f94f156,32'h3fa49ef4, 32'h3f8d1a88,32'h3fac75c2,// invsqrt(0.6665) = 1.2249 +32'h3e07f822,32'h402c1f28,32'h403325a8, 32'h4026da49,32'h40386a87, 32'h401e122a,32'h404132a6,// invsqrt(0.1328) = 2.7443 +32'h3f396827,32'h3f936606,32'h3f996a30, 32'h3f8ee2e6,32'h3f9ded50, 32'h3f875db2,32'h3fa57284,// invsqrt(0.7242) = 1.1751 +32'h403f64c6,32'h3f11132c,32'h3f16ff0f, 32'h3f0ca243,32'h3f1b6ff9, 32'h3f053b68,32'h3f22d6d4,// invsqrt(2.9905) = 0.5783 +32'h42448c29,32'h3e0f2902,32'h3e1500e3, 32'h3e0ac71a,32'h3e1962cc, 32'h3e037941,32'h3e20b0a5,// invsqrt(49.1369) = 0.1427 +32'h3f84a73c,32'h3f7670c4,32'h3f803fe9, 32'h3f6ee57a,32'h3f84058e, 32'h3f6252aa,32'h3f8a4ef6,// invsqrt(1.0364) = 0.9823 +32'h3faff1cb,32'h3f55fc24,32'h3f5eb810, 32'h3f4f6f32,32'h3f654502, 32'h3f44844b,32'h3f702fe9,// invsqrt(1.3746) = 0.8529 +32'h40a2b97f,32'h3ede81e4,32'h3ee796de, 32'h3ed7b229,32'h3eee6699, 32'h3ecc57f1,32'h3ef9c0d1,// invsqrt(5.0851) = 0.4435 +32'h3fab613a,32'h3f58d0e2,32'h3f61aa64, 32'h3f522dc1,32'h3f684d85, 32'h3f471de0,32'h3f735d67,// invsqrt(1.3389) = 0.8642 +32'h402f73e8,32'h3f1785a7,32'h3f1db4e7, 32'h3f12e237,32'h3f225857, 32'h3f0b2726,32'h3f2a1368,// invsqrt(2.7414) = 0.6040 +32'h3f8692e8,32'h3f74acf5,32'h3f7ea991, 32'h3f6d2f80,32'h3f831383, 32'h3f60b3bc,32'h3f895165,// invsqrt(1.0514) = 0.9753 +32'h3f7b9583,32'h3f7d127e,32'h3f83b36b, 32'h3f75533b,32'h3f87930c, 32'h3f6869cc,32'h3f8e07c4,// invsqrt(0.9828) = 1.0087 +32'h3fb34cc9,32'h3f53f923,32'h3f5ca00b, 32'h3f4d7bf6,32'h3f631d38, 32'h3f42ab55,32'h3f6dedd9,// invsqrt(1.4008) = 0.8449 +32'h3dff0bb8,32'h4031bb02,32'h4038fc1c, 32'h402c4a2e,32'h403e6cf0, 32'h402338ce,32'h40477e51,// invsqrt(0.1245) = 2.8337 +32'h40495d17,32'h3f0d7014,32'h3f1335f6, 32'h3f091bab,32'h3f178a5f, 32'h3f01e451,32'h3f1ec1b9,// invsqrt(3.1463) = 0.5638 +32'h3fbffcc4,32'h3f4cd972,32'h3f5535ea, 32'h3f469418,32'h3f5b7b44, 32'h3f3c2083,32'h3f65eed9,// invsqrt(1.4999) = 0.8165 +32'h4005d9c3,32'h3f2d7a83,32'h3f348f2f, 32'h3f282b01,32'h3f39deb1, 32'h3f1f512a,32'h3f42b888,// invsqrt(2.0914) = 0.6915 +32'h3fe0d487,32'h3f3d4c08,32'h3f4505fe, 32'h3f378090,32'h3f4ad176, 32'h3f2dd81c,32'h3f5479ea,// invsqrt(1.7565) = 0.7545 +32'h403ec83c,32'h3f114ea5,32'h3f173cf5, 32'h3f0cdbe9,32'h3f1bafb1, 32'h3f057205,32'h3f231995,// invsqrt(2.9810) = 0.5792 +32'h410fbd8d,32'h3ea7677f,32'h3eae3cb3, 32'h3ea24798,32'h3eb35c9a, 32'h3e99bd16,32'h3ebbe71c,// invsqrt(8.9838) = 0.3336 +32'h3e184ef6,32'h4022a0a5,32'h402943f0, 32'h401da62e,32'h402e3e68, 32'h40155a10,32'h40368a86,// invsqrt(0.1487) = 2.5929 +32'h405f6d31,32'h3f0645e3,32'h3f0bc0e7, 32'h3f0229a0,32'h3f0fdd2a, 32'h3ef69fb6,32'h3f16b6ef,// invsqrt(3.4910) = 0.5352 +32'h3f8db807,32'h3f6e6d90,32'h3f7828e4, 32'h3f672111,32'h3f7f7563, 32'h3f5af6e7,32'h3f85cfc6,// invsqrt(1.1072) = 0.9504 +32'h3f7d0acb,32'h3f7c578f,32'h3f835223, 32'h3f749e05,32'h3f872ee8, 32'h3f67be1f,32'h3f8d9eda,// invsqrt(0.9884) = 1.0058 +32'h3f595b67,32'h3f882283,32'h3f8db0fb, 32'h3f83f7a9,32'h3f91dbd5, 32'h3f7a0b25,32'h3f98cdec,// invsqrt(0.8491) = 1.0853 +32'h3f3791c8,32'h3f942266,32'h3f9a2e40, 32'h3f8f9982,32'h3f9eb724, 32'h3f880ab1,32'h3fa645f5,// invsqrt(0.7171) = 1.1809 +32'h3f86bc07,32'h3f74879c,32'h3f7e82b2, 32'h3f6d0b4b,32'h3f82ff81, 32'h3f609170,32'h3f893c6f,// invsqrt(1.0526) = 0.9747 +32'h3f26c0f7,32'h3f9b6c8e,32'h3fa1c494, 32'h3f96aa8a,32'h3fa68698, 32'h3f8ebc82,32'h3fae74a0,// invsqrt(0.6514) = 1.2390 +32'h3fafb3b4,32'h3f5621f0,32'h3f5edf67, 32'h3f4f93d6,32'h3f656d80, 32'h3f44a700,32'h3f705a56,// invsqrt(1.3727) = 0.8535 +32'h3f11ecd0,32'h3fa6257f,32'h3faced8f, 32'h3fa10f73,32'h3fb2039b, 32'h3f989560,32'h3fba7dae,// invsqrt(0.5700) = 1.3245 +32'h3c3c0800,32'h41125dc4,32'h41185724, 32'h410de2bb,32'h411cd22d, 32'h41066b02,32'h412449e6,// invsqrt(0.0115) = 9.3346 +32'h3d8496ed,32'h40767feb,32'h408047cb, 32'h406ef42b,32'h40840dac, 32'h40626094,32'h408a5777,// invsqrt(0.0647) = 3.9302 +32'h3fa34f34,32'h3f5e1bd0,32'h3f672c9e, 32'h3f574f34,32'h3f6df93a, 32'h3f4bfa32,32'h3f794e3d,// invsqrt(1.2759) = 0.8853 +32'h3fcc8521,32'h3f467948,32'h3f4e9322, 32'h3f4065e6,32'h3f54a684, 32'h3f364596,32'h3f5ec6d4,// invsqrt(1.5978) = 0.7911 +32'h3ffe7f34,32'h3f31ec0c,32'h3f392f26, 32'h3f2c79b8,32'h3f3ea17a, 32'h3f2365d6,32'h3f47b55c,// invsqrt(1.9883) = 0.7092 +32'h3f033dd3,32'h3faf31ca,32'h3fb65864, 32'h3fa9d4d6,32'h3fbbb558, 32'h3fa0e495,32'h3fc4a599,// invsqrt(0.5127) = 1.3966 +32'h3f42ecc8,32'h3f8fc13a,32'h3f959f52, 32'h3f8b5aa9,32'h3f9a05e3, 32'h3f84050c,32'h3fa15b80,// invsqrt(0.7614) = 1.1460 +32'h3e5aeb67,32'h4007a5eb,32'h400d2f4d, 32'h40037ee1,32'h40115657, 32'h3ff9264c,32'h40184212,// invsqrt(0.2138) = 2.1628 +32'h3f30eeab,32'h3f96e321,32'h3f9d0bbf, 32'h3f9244aa,32'h3fa1aa36, 32'h3f8a91e5,32'h3fa95cfb,// invsqrt(0.6911) = 1.2029 +32'h406d82c8,32'h3f023b21,32'h3f078be9, 32'h3efc7d16,32'h3f0b887f, 32'h3eef3323,32'h3f122d79,// invsqrt(3.7111) = 0.5191 +32'h3f9ea0d1,32'h3f615cb1,32'h3f6a8f7f, 32'h3f5a7697,32'h3f717599, 32'h3f4ef716,32'h3f7cf51a,// invsqrt(1.2393) = 0.8983 +32'h3e9dbaa0,32'h3fe200e8,32'h3feb3a6a, 32'h3fdb15c7,32'h3ff2258b, 32'h3fcf8de5,32'h3ffdad6d,// invsqrt(0.3081) = 1.8017 +32'h3fff6f1c,32'h3f31986b,32'h3f38d81b, 32'h3f2c28a6,32'h3f3e47e0, 32'h3f231909,32'h3f47577d,// invsqrt(1.9956) = 0.7079 +32'h3f9edaec,32'h3f613376,32'h3f6a6495, 32'h3f5a4e9f,32'h3f71496d, 32'h3f4ed139,32'h3f7cc6d3,// invsqrt(1.2411) = 0.8976 +32'h3f28d36c,32'h3f9a77a0,32'h3fa0c5a7, 32'h3f95bd1c,32'h3fa5802c, 32'h3f8ddb94,32'h3fad61b4,// invsqrt(0.6595) = 1.2314 +32'h3f9f0cb0,32'h3f611038,32'h3f6a3fe6, 32'h3f5a2c75,32'h3f7123a9, 32'h3f4eb0da,32'h3f7c9f44,// invsqrt(1.2426) = 0.8971 +32'h404b282c,32'h3f0ccfeb,32'h3f128f43, 32'h3f088069,32'h3f16dec5, 32'h3f01513b,32'h3f1e0df3,// invsqrt(3.1743) = 0.5613 +32'h3df13509,32'h4036c1ff,32'h403e37a1, 32'h403129c6,32'h4043cfda, 32'h4027d6bb,32'h404d22e5,// invsqrt(0.1178) = 2.9139 +32'h3fd29723,32'h3f4397a1,32'h3f4b935f, 32'h3f3d9ad3,32'h3f51902d, 32'h3f33a026,32'h3f5b8ada,// invsqrt(1.6452) = 0.7796 +32'h3e009b77,32'h4030fac8,32'h4038340a, 32'h402b8fd7,32'h403d9efb, 32'h40228845,32'h4046a68d,// invsqrt(0.1256) = 2.8217 +32'h3f3a0a77,32'h3f9325ab,32'h3f992735, 32'h3f8ea484,32'h3f9da85c, 32'h3f872298,32'h3fa52a48,// invsqrt(0.7267) = 1.1730 +32'h3f5dd5b0,32'h3f86c0fe,32'h3f8c4108, 32'h3f82a0f6,32'h3f906110, 32'h3f7781d2,32'h3f97411d,// invsqrt(0.8665) = 1.0742 +32'h3f9bdcd9,32'h3f635a45,32'h3f6ca1df, 32'h3f5c6491,32'h3f739793, 32'h3f50cb10,32'h3f7f3114,// invsqrt(1.2177) = 0.9062 +32'h3f48221b,32'h3f8ddf36,32'h3f93a9a0, 32'h3f898766,32'h3f980170, 32'h3f824a60,32'h3f9f3e76,// invsqrt(0.7818) = 1.1310 +32'h41208e1b,32'h3e9e655b,32'h3ea4dc6e, 32'h3e998c0c,32'h3ea9b5bc, 32'h3e917734,32'h3eb1ca94,// invsqrt(10.0347) = 0.3157 +32'h3f9319e9,32'h3f6a067d,32'h3f7393d1, 32'h3f62dc7f,32'h3f7abdcf, 32'h3f56ebd6,32'h3f83573c,// invsqrt(1.1492) = 0.9328 +32'h3f113201,32'h3fa6903e,32'h3fad5caa, 32'h3fa176ee,32'h3fb275fa, 32'h3f98f768,32'h3fbaf580,// invsqrt(0.5672) = 1.3278 +32'h3f6b79c8,32'h3f82cae4,32'h3f88218a, 32'h3f7d93cf,32'h3f8c2287, 32'h3f703b30,32'h3f92ced6,// invsqrt(0.9198) = 1.0427 +32'h3fa3f9aa,32'h3f5da83f,32'h3f66b457, 32'h3f56df2e,32'h3f6d7d68, 32'h3f4b9010,32'h3f78cc86,// invsqrt(1.2811) = 0.8835 +32'h3d874066,32'h40740fd5,32'h407e0607, 32'h406c972f,32'h4082bf57, 32'h40602370,32'h4088f936,// invsqrt(0.0660) = 3.8913 +32'h3f472bad,32'h3f8e36df,32'h3f9404de, 32'h3f89dc61,32'h3f985f5d, 32'h3f829ae2,32'h3f9fa0dc,// invsqrt(0.7780) = 1.1337 +32'h3f0fd75e,32'h3fa75878,32'h3fae2d10, 32'h3fa23907,32'h3fb34c81, 32'h3f99af4a,32'h3fbbd63e,// invsqrt(0.5619) = 1.3341 +32'h40c092be,32'h3ecc899e,32'h3ed4e2d4, 32'h3ec646b6,32'h3edb25bc, 32'h3ebbd733,32'h3ee5953f,// invsqrt(6.0179) = 0.4076 +32'h3f0958ea,32'h3fab418d,32'h3fb23f00, 32'h3fa60376,32'h3fb77d16, 32'h3f9d46a5,32'h3fc039e7,// invsqrt(0.5365) = 1.3652 +32'h4090a868,32'h3eebfe56,32'h3ef5a03a, 32'h3ee4c4eb,32'h3efcd9a5, 32'h3ed8ba8e,32'h3f047201,// invsqrt(4.5206) = 0.4703 +32'h3f034a76,32'h3faf295b,32'h3fb64f9d, 32'h3fa9cca9,32'h3fbbac4f, 32'h3fa0dcd6,32'h3fc49c22,// invsqrt(0.5129) = 1.3964 +32'h414061f6,32'h3e90b396,32'h3e969b92, 32'h3e8c4599,32'h3e9b098f, 32'h3e84e39f,32'h3ea26b89,// invsqrt(12.0239) = 0.2884 +32'h3e9c4e58,32'h3fe307ab,32'h3fec4be7, 32'h3fdc147f,32'h3ff33f13, 32'h3fd07f35,32'h3ffed45d,// invsqrt(0.3053) = 1.8099 +32'h3f3ce82a,32'h3f9206d3,32'h3f97fca7, 32'h3f8d8e74,32'h3f9c7506, 32'h3f861b2a,32'h3fa3e850,// invsqrt(0.7379) = 1.1641 +32'h3ec9b5ff,32'h3fc7d9db,32'h3fd00219, 32'h3fc1bbae,32'h3fd62046, 32'h3fb78961,32'h3fe05293,// invsqrt(0.3940) = 1.5932 +32'h3ef865b8,32'h3fb417e3,32'h3fbb71ad, 32'h3fae948b,32'h3fc0f505, 32'h3fa5644e,32'h3fca2542,// invsqrt(0.4852) = 1.4357 +32'h3f9d976d,32'h3f621a24,32'h3f6b54ae, 32'h3f5b2e3d,32'h3f724095, 32'h3f4fa512,32'h3f7dc9c0,// invsqrt(1.2312) = 0.9012 +32'h3ee60525,32'h3fbb2637,32'h3fc2c9bb, 32'h3fb56b93,32'h3fc8845f, 32'h3fabdf2d,32'h3fd210c5,// invsqrt(0.4493) = 1.4919 +32'h3f3e66a7,32'h3f9173dc,32'h3f9763b1, 32'h3f8cfffd,32'h3f9bd791, 32'h3f859433,32'h3fa3435b,// invsqrt(0.7438) = 1.1595 +32'h3da3394f,32'h405e2ab5,32'h40673c1f, 32'h40575da5,32'h406e092f, 32'h404c07df,32'h40795ef5,// invsqrt(0.0797) = 3.5422 +32'h3f6b5928,32'h3f82d3f4,32'h3f882af9, 32'h3f7da562,32'h3f8c2c3d, 32'h3f704bd6,32'h3f92d903,// invsqrt(0.9193) = 1.0430 +32'h408d1628,32'h3eeef62f,32'h3ef8b717, 32'h3ee7a581,32'h3f0003e2, 32'h3edb7460,32'h3f061c73,// invsqrt(4.4090) = 0.4762 +32'h3f278352,32'h3f9b124a,32'h3fa166a0, 32'h3f965309,32'h3fa625e1, 32'h3f8e699c,32'h3fae0f4e,// invsqrt(0.6543) = 1.2362 +32'h3c99307d,32'h40e553e9,32'h40eeb027, 32'h40de4ebb,32'h40f5b555, 32'h40d29b6e,32'h4100b451,// invsqrt(0.0187) = 7.3127 +32'h4120413f,32'h3e9e8b52,32'h3ea503f2, 32'h3e99b0da,32'h3ea9de6a, 32'h3e919a12,32'h3eb1f532,// invsqrt(10.0159) = 0.3160 +32'h3f47055d,32'h3f8e448f,32'h3f94131d, 32'h3f89e9a5,32'h3f986e07, 32'h3f82a774,32'h3f9fb038,// invsqrt(0.7774) = 1.1342 +32'h3f1b3d5a,32'h3fa115b9,32'h3fa7a8e5, 32'h3f9c2758,32'h3fac9746, 32'h3f93ef61,32'h3fb4cf3d,// invsqrt(0.6064) = 1.2842 +32'h3df1ec94,32'h40367c9e,32'h403def6c, 32'h4030e685,32'h40438585, 32'h40279704,32'h404cd506,// invsqrt(0.1181) = 2.9095 +32'h406ce865,32'h3f026589,32'h3f07b80c, 32'h3efccf4e,32'h3f0bb5ef, 32'h3eef8107,32'h3f125d12,// invsqrt(3.7017) = 0.5198 +32'h3f577a7e,32'h3f88ba18,32'h3f8e4ec0, 32'h3f848a9a,32'h3f927e3e, 32'h3f7b218f,32'h3f997810,// invsqrt(0.8417) = 1.0900 +32'h3f80b25f,32'h3f7a3330,32'h3f8234c3, 32'h3f728a70,32'h3f860923, 32'h3f65c685,32'h3f8c6b18,// invsqrt(1.0054) = 0.9973 +32'h40a7c24c,32'h3edb24ae,32'h3ee41680, 32'h3ed46f4f,32'h3eeacbdf, 32'h3ec94108,32'h3ef5fa26,// invsqrt(5.2425) = 0.4367 +32'h3fc581a2,32'h3f49f78f,32'h3f5235e9, 32'h3f43c8cc,32'h3f5864ac, 32'h3f397adc,32'h3f62b29c,// invsqrt(1.5430) = 0.8050 +32'h3f90c92a,32'h3f6be3a2,32'h3f758470, 32'h3f64ab09,32'h3f7cbd09, 32'h3f58a208,32'h3f846305,// invsqrt(1.1311) = 0.9402 +32'h3f1e5d7e,32'h3f9f7cc1,32'h3fa5ff3b, 32'h3f9a9ae4,32'h3faae118, 32'h3f9277cb,32'h3fb30431,// invsqrt(0.6186) = 1.2714 +32'h3e92d6c7,32'h3fea3bf6,32'h3ff3cb78, 32'h3fe31054,32'h3ffaf71a, 32'h3fd71cf2,32'h4003753e,// invsqrt(0.2868) = 1.8673 +32'h3efdfb8c,32'h3fb21a23,32'h3fb95f1f, 32'h3faca666,32'h3fbed2dc, 32'h3fa3902a,32'h3fc7e918,// invsqrt(0.4961) = 1.4198 +32'h3dcf201d,32'h404538b6,32'h404d4579, 32'h403f2f23,32'h40534f0b, 32'h40351f2e,32'h405d5f00,// invsqrt(0.1011) = 3.1445 +32'h3f45a321,32'h3f8ec3d5,32'h3f949795, 32'h3f8a6506,32'h3f98f664, 32'h3f831c56,32'h3fa03f14,// invsqrt(0.7720) = 1.1381 +32'h3ee7eb4b,32'h3fba61a9,32'h3fc1fd28, 32'h3fb4ad09,32'h3fc7b1c7, 32'h3fab2aaa,32'h3fd13426,// invsqrt(0.4530) = 1.4858 +32'h3fde8b01,32'h3f3e446b,32'h3f460885, 32'h3f387158,32'h3f4bdb98, 32'h3f2ebc39,32'h3f5590b7,// invsqrt(1.7386) = 0.7584 +32'h3e7619dd,32'h3fffe038,32'h400528ee, 32'h3ff80afd,32'h4009138c, 32'h3feafcef,32'h400f9a92,// invsqrt(0.2403) = 2.0398 +32'h3dc63382,32'h40499cda,32'h4051d780, 32'h404370de,32'h4058037c, 32'h4039278f,32'h40624ccb,// invsqrt(0.0968) = 3.2145 +32'h4036bfaa,32'h3f147776,32'h3f1a86ca, 32'h3f0febf8,32'h3f1f1248, 32'h3f0858d0,32'h3f26a570,// invsqrt(2.8554) = 0.5918 +32'h3f9a0c93,32'h3f64afdd,32'h3f6e0569, 32'h3f5dafb4,32'h3f750592, 32'h3f5204c6,32'h3f805840,// invsqrt(1.2035) = 0.9115 +32'h3ed95ce0,32'h3fc08569,32'h3fc86110, 32'h3fbaa0ad,32'h3fce45cd, 32'h3fb0ce1d,32'h3fd8185d,// invsqrt(0.4245) = 1.5348 +32'h3eaa28f6,32'h3fd99778,32'h3fe27914, 32'h3fd2ee42,32'h3fe9224a, 32'h3fc7d43f,32'h3ff43c4d,// invsqrt(0.3323) = 1.7346 +32'h3e9bc07f,32'h3fe36ef5,32'h3fecb767, 32'h3fdc789f,32'h3ff3adbd, 32'h3fd0de10,32'h3fff484c,// invsqrt(0.3042) = 1.8131 +32'h3f231baa,32'h3f9d26c4,32'h3fa390d6, 32'h3f985736,32'h3fa86064, 32'h3f90529f,32'h3fb064fb,// invsqrt(0.6371) = 1.2528 +32'h401ed1f3,32'h3f1f423d,32'h3f25c254, 32'h3f1a622b,32'h3f2aa265, 32'h3f12420e,32'h3f32c282,// invsqrt(2.4816) = 0.6348 +32'h3f328ad2,32'h3f963494,32'h3f9c5612, 32'h3f919b75,32'h3fa0ef31, 32'h3f89f198,32'h3fa8990e,// invsqrt(0.6974) = 1.1974 +32'h3e1b3a86,32'h40211730,32'h4027aa6c, 32'h401c28c4,32'h402c98d8, 32'h4013f0b9,32'h4034d0e3,// invsqrt(0.1516) = 2.5684 +32'h3f0469ee,32'h3fae6ad1,32'h3fb5894c, 32'h3fa913f4,32'h3fbae028, 32'h3fa02dd9,32'h3fc3c643,// invsqrt(0.5172) = 1.3904 +32'h3fca68ae,32'h3f478191,32'h3f4fa633, 32'h3f416617,32'h3f55c1ad, 32'h3f37384b,32'h3f5fef79,// invsqrt(1.5813) = 0.7952 +32'h3fd59ca9,32'h3f42343c,32'h3f4a2178, 32'h3f3c424f,32'h3f501365, 32'h3f3259c4,32'h3f59fbf0,// invsqrt(1.6688) = 0.7741 +32'h4005cf4f,32'h3f2d814a,32'h3f34963d, 32'h3f283193,32'h3f39e5f3, 32'h3f1f5763,32'h3f42c023,// invsqrt(2.0908) = 0.6916 +32'h3f9b26a1,32'h3f63dfa0,32'h3f6d2cac, 32'h3f5ce5d7,32'h3f742675, 32'h3f514589,32'h3f7fc6c3,// invsqrt(1.2121) = 0.9083 +32'h3bd9115b,32'h4140a6e4,32'h414883e8, 32'h413ac121,32'h414e69ab, 32'h4130ecdc,32'h41583df0,// invsqrt(0.0066) = 12.2865 +32'h3f6804e2,32'h3f83c362,32'h3f89242c, 32'h3f7f7594,32'h3f8d2cc4, 32'h3f720399,32'h3f93e5c1,// invsqrt(0.9063) = 1.0504 +32'h3f0923b6,32'h3fab62c2,32'h3fb26190, 32'h3fa623a7,32'h3fb7a0ab, 32'h3f9d6525,32'h3fc05f2d,// invsqrt(0.5357) = 1.3663 +32'h3e129857,32'h4025c42e,32'h402c8845, 32'h4020b11e,32'h40319b56, 32'h40183c01,32'h403a1073,// invsqrt(0.1432) = 2.6430 +32'h3f9ceca2,32'h3f62950e,32'h3f6bd49b, 32'h3f5ba563,32'h3f72c445, 32'h3f5015f2,32'h3f7e53b6,// invsqrt(1.2260) = 0.9031 +32'h3fbe9b20,32'h3f4d9723,32'h3f55fb59, 32'h3f474bfb,32'h3f5c4681, 32'h3f3cceb8,32'h3f66c3c4,// invsqrt(1.4891) = 0.8195 +32'h3e141959,32'h4024ec2a,32'h402ba770, 32'h401fdfb6,32'h4030b3e4, 32'h4017759f,32'h40391dfb,// invsqrt(0.1446) = 2.6295 +32'h3f8f1645,32'h3f6d490e,32'h3f76f872, 32'h3f660583,32'h3f7e3bfd, 32'h3f59ea46,32'h3f852b9d,// invsqrt(1.1179) = 0.9458 +32'h3f913f5a,32'h3f6b8396,32'h3f752078, 32'h3f644ded,32'h3f7c5621, 32'h3f5849d3,32'h3f842d1d,// invsqrt(1.1347) = 0.9388 +32'h3f4249f3,32'h3f8ffd6b,32'h3f95ddf7, 32'h3f8b9502,32'h3f9a4660, 32'h3f843c52,32'h3fa19f10,// invsqrt(0.7589) = 1.1479 +32'h3f4de9b1,32'h3f8bdddf,32'h3f919356, 32'h3f8795c6,32'h3f95db70, 32'h3f8072f2,32'h3f9cfe44,// invsqrt(0.8043) = 1.1150 +32'h3e6854e4,32'h4003acb0,32'h40090c8e, 32'h3fff4994,32'h400d1474, 32'h3ff1d9eb,32'h4013cc48,// invsqrt(0.2269) = 2.0994 +32'h3f830e08,32'h3f77f057,32'h3f810786, 32'h3f705950,32'h3f84d30a, 32'h3f63b2ed,32'h3f8b263c,// invsqrt(1.0239) = 0.9883 +32'h3f42efe5,32'h3f8fc014,32'h3f959e20, 32'h3f8b598c,32'h3f9a04a8, 32'h3f8403fe,32'h3fa15a36,// invsqrt(0.7615) = 1.1460 +32'h3f4ae9f0,32'h3f8ce581,32'h3f92a5bb, 32'h3f889556,32'h3f96f5e6, 32'h3f81650e,32'h3f9e262e,// invsqrt(0.7926) = 1.1232 +32'h3ff0bcaf,32'h3f36efa8,32'h3f3e6728, 32'h3f315609,32'h3f4400c7, 32'h3f2800aa,32'h3f4d5626,// invsqrt(1.8808) = 0.7292 +32'h3f855ad0,32'h3f75ca9e,32'h3f7fd2e3, 32'h3f6e446a,32'h3f83ac8b, 32'h3f61ba13,32'h3f89f1b6,// invsqrt(1.0418) = 0.9797 +32'h3e95bc9e,32'h3fe7f4e5,32'h3ff16c9b, 32'h3fe0db1d,32'h3ff88663, 32'h3fd5057a,32'h40022e03,// invsqrt(0.2925) = 1.8491 +32'h3fab81d6,32'h3f58bc44,32'h3f6194ee, 32'h3f5219c5,32'h3f68376d, 32'h3f470af0,32'h3f734642,// invsqrt(1.3399) = 0.8639 +32'h3f42f178,32'h3f8fbf80,32'h3f959d85, 32'h3f8b58fc,32'h3f9a0408, 32'h3f840375,32'h3fa1598f,// invsqrt(0.7615) = 1.1460 +32'h406e360e,32'h3f020a17,32'h3f0758de, 32'h3efc1e01,32'h3f0b53f3, 32'h3eeed90f,32'h3f11f66d,// invsqrt(3.7220) = 0.5183 +32'h3f07c4ed,32'h3fac3f9b,32'h3fb3476d, 32'h3fa6f9bd,32'h3fb88d4b, 32'h3f9e2ff7,32'h3fc15711,// invsqrt(0.5303) = 1.3732 +32'h3f9d065e,32'h3f62827c,32'h3f6bc147, 32'h3f5b9363,32'h3f72b05f, 32'h3f5004e4,32'h3f7e3ede,// invsqrt(1.2268) = 0.9029 +32'h404ec0d6,32'h3f0b9507,32'h3f114785, 32'h3f074f29,32'h3f158d63, 32'h3f00300c,32'h3f1cac80,// invsqrt(3.2305) = 0.5564 +32'h3f2eac8c,32'h3f97dc06,32'h3f9e0ecd, 32'h3f9335f2,32'h3fa2b4e2, 32'h3f8b7679,32'h3faa745b,// invsqrt(0.6823) = 1.2106 +32'h3f2c3a9a,32'h3f98ef02,32'h3f9f2d02, 32'h3f944082,32'h3fa3db82, 32'h3f8c7302,32'h3faba902,// invsqrt(0.6728) = 1.2192 +32'h3ed6cb47,32'h3fc1ab3d,32'h3fc992e2, 32'h3fbbbd82,32'h3fcf809e, 32'h3fb1dbf5,32'h3fd9622b,// invsqrt(0.4195) = 1.5439 +32'h3f98986b,32'h3f65c612,32'h3f6f26f8, 32'h3f5ebd65,32'h3f762fa5, 32'h3f530444,32'h3f80f463,// invsqrt(1.1922) = 0.9159 +32'h4052a3fc,32'h3f0a49c5,32'h3f0feebe, 32'h3f060e0c,32'h3f142a78, 32'h3efdffaa,32'h3f1b38af,// invsqrt(3.2913) = 0.5512 +32'h40002fcb,32'h3f31450c,32'h3f388156, 32'h3f2bd7d5,32'h3f3dee8d, 32'h3f22cc79,32'h3f46f9e9,// invsqrt(2.0029) = 0.7066 +32'h3fab01a6,32'h3f590d71,32'h3f61e96b, 32'h3f526875,32'h3f688e67, 32'h3f47557d,32'h3f73a15f,// invsqrt(1.3360) = 0.8652 +32'h3f62ebbd,32'h3f853c33,32'h3f8aac5f, 32'h3f812812,32'h3f8ec080, 32'h3f74b7b7,32'h3f958cb7,// invsqrt(0.8864) = 1.0621 +32'h3f761305,32'h3f7fe3c7,32'h3f852ac8, 32'h3f780e70,32'h3f891574, 32'h3f6b0034,32'h3f8f9c92,// invsqrt(0.9612) = 1.0200 +32'h3e8c0053,32'h3fefe2d6,32'h3ff9ad66, 32'h3fe88ae9,32'h400082a9, 32'h3fdc4db5,32'h4006a144,// invsqrt(0.2734) = 1.9124 +32'h3ffef68d,32'h3f31c263,32'h3f3903ca, 32'h3f2c5155,32'h3f3e74d7, 32'h3f233f94,32'h3f478698,// invsqrt(1.9919) = 0.7085 +32'h3e71742a,32'h400129cc,32'h40066f6c, 32'h3ffa6b28,32'h400a63a4, 32'h3fed3d19,32'h4010faac,// invsqrt(0.2358) = 2.0594 +32'h410de01b,32'h3ea88041,32'h3eaf60eb, 32'h3ea357c2,32'h3eb4896a, 32'h3e9abeed,32'h3ebd223f,// invsqrt(8.8672) = 0.3358 +32'h40581dcf,32'h3f088665,32'h3f0e18f1, 32'h3f04587c,32'h3f1246da, 32'h3efac29a,32'h3f193e09,// invsqrt(3.3768) = 0.5442 +32'h3ee43271,32'h3fbbe535,32'h3fc39086, 32'h3fb624b9,32'h3fc95103, 32'h3fac8e95,32'h3fd2e727,// invsqrt(0.4457) = 1.4979 +32'h405353a5,32'h3f0a1040,32'h3f0fb2e0, 32'h3f05d649,32'h3f13ecd7, 32'h3efd9603,32'h3f1af81e,// invsqrt(3.3020) = 0.5503 +32'h3f8ecfed,32'h3f6d8377,32'h3f77353d, 32'h3f663e22,32'h3f7e7a92, 32'h3f5a1feb,32'h3f854c65,// invsqrt(1.1157) = 0.9467 +32'h3e547b4b,32'h4009b011,32'h400f4ec4, 32'h4005790c,32'h401385ca, 32'h3ffce55a,32'h401a8c29,// invsqrt(0.2075) = 2.1953 +32'h3f0ae58d,32'h3faa4c58,32'h3fb13fc9, 32'h3fa515c2,32'h3fb6765e, 32'h3f9c6575,32'h3fbf26ab,// invsqrt(0.5426) = 1.3576 +32'h3f8f952e,32'h3f6ce019,32'h3f768b34, 32'h3f659fc4,32'h3f7dcb88, 32'h3f5989e2,32'h3f84f0b5,// invsqrt(1.1217) = 0.9442 +32'h3f65e162,32'h3f845fee,32'h3f89c71c, 32'h3f80528b,32'h3f8dd47f, 32'h3f732323,32'h3f949579,// invsqrt(0.8980) = 1.0553 +32'h3d8a64ee,32'h4071465a,32'h407b1f6e, 32'h4069e38c,32'h4081411e, 32'h405d9434,32'h408768ca,// invsqrt(0.0676) = 3.8469 +32'h408f2d00,32'h3eed3637,32'h3ef6e4d5, 32'h3ee5f33f,32'h3efe27cd, 32'h3ed9d8f9,32'h3f05210a,// invsqrt(4.4742) = 0.4728 +32'h3fd39f4e,32'h3f431d67,32'h3f4b1427, 32'h3f3d2457,32'h3f510d37, 32'h3f332fe6,32'h3f5b01a8,// invsqrt(1.6533) = 0.7777 +32'h3fa9f142,32'h3f59bb1e,32'h3f629e2f, 32'h3f5310d2,32'h3f69487c, 32'h3f47f4fd,32'h3f746451,// invsqrt(1.3277) = 0.8679 +32'h41813fa3,32'h3e79aa4f,32'h3e81ed87, 32'h3e7205c0,32'h3e85bfcf, 32'h3e6548d1,32'h3e8c1e47,// invsqrt(16.1561) = 0.2488 +32'h403e5be6,32'h3f1177f8,32'h3f1767f8, 32'h3f0d03f8,32'h3f1bdbf8, 32'h3f0597f9,32'h3f2347f7,// invsqrt(2.9744) = 0.5798 +32'h3e0d6a75,32'h4028c64a,32'h402fa9cf, 32'h40239ba5,32'h4034d473, 32'h401aff3e,32'h403d70da,// invsqrt(0.1381) = 2.6909 +32'h3f6b3be5,32'h3f82dc17,32'h3f883371, 32'h3f7db528,32'h3f8c34f4, 32'h3f705ac7,32'h3f92e224,// invsqrt(0.9189) = 1.0432 +32'h3dd5af4e,32'h40422bc3,32'h404a18a6, 32'h403c3a18,32'h40500a50, 32'h403251fc,32'h4059f26c,// invsqrt(0.1043) = 3.0958 +32'h4118415d,32'h3ea2a7e8,32'h3ea94b7e, 32'h3e9dad37,32'h3eae462f, 32'h3e9560bb,32'h3eb692ab,// invsqrt(9.5160) = 0.3242 +32'h3f89e33f,32'h3f71b7b5,32'h3f7b9569, 32'h3f6a516e,32'h3f817dd8, 32'h3f5dfc4e,32'h3f87a868,// invsqrt(1.0772) = 0.9635 +32'h3fe6be0a,32'h3f3adb2c,32'h3f427ba1, 32'h3f3522d5,32'h3f4833f9, 32'h3f2b9a43,32'h3f51bc8b,// invsqrt(1.8027) = 0.7448 +32'h3d831b55,32'h4077e3c3,32'h408100fa, 32'h40704d1e,32'h4084cc4d, 32'h4063a760,32'h408b1f2c,// invsqrt(0.0640) = 3.9523 +32'h3fa68e50,32'h3f5beeed,32'h3f64e901, 32'h3f55335e,32'h3f6ba490, 32'h3f49fac5,32'h3f76dd29,// invsqrt(1.3012) = 0.8766 +32'h3f025686,32'h3fafccf9,32'h3fb6f9e9, 32'h3faa6b45,32'h3fbc5b9d, 32'h3fa17319,32'h3fc553c9,// invsqrt(0.5091) = 1.4015 +32'h3f048055,32'h3fae5c11,32'h3fb579f3, 32'h3fa905a8,32'h3fbad05c, 32'h3fa0204f,32'h3fc3b5b5,// invsqrt(0.5176) = 1.3900 +32'h400265f5,32'h3f2fc291,32'h3f36ef15, 32'h3f2a612f,32'h3f3c5077, 32'h3f21698b,32'h3f45481b,// invsqrt(2.0375) = 0.7006 +32'h3f589457,32'h3f886104,32'h3f8df20a, 32'h3f843440,32'h3f921ece, 32'h3f7a7df3,32'h3f991414,// invsqrt(0.8460) = 1.0872 +32'h3f73e385,32'h3f808452,32'h3f85c330, 32'h3f792a55,32'h3f89b257, 32'h3f6c0d28,32'h3f9040ee,// invsqrt(0.9527) = 1.0245 +32'h4012110f,32'h3f2610e0,32'h3f2cd818, 32'h3f20fb76,32'h3f31ed82, 32'h3f188270,32'h3f3a6688,// invsqrt(2.2823) = 0.6619 +32'h3edcc26d,32'h3fbf08c8,32'h3fc6d4e5, 32'h3fb92fb2,32'h3fccadfa, 32'h3faf708d,32'h3fd66d1f,// invsqrt(0.4312) = 1.5229 +32'h40382f50,32'h3f13e2ff,32'h3f19ec43, 32'h3f0f5c0c,32'h3f1e7336, 32'h3f07d077,32'h3f25fecb,// invsqrt(2.8779) = 0.5895 +32'h3fc0a7a1,32'h3f4c7e87,32'h3f54d749, 32'h3f463bf6,32'h3f5b19da, 32'h3f3bcd04,32'h3f6588cc,// invsqrt(1.5051) = 0.8151 +32'h3f831a38,32'h3f77e4d1,32'h3f810187, 32'h3f704e23,32'h3f84ccdd, 32'h3f63a857,32'h3f8b1fc3,// invsqrt(1.0242) = 0.9881 +32'h4066de3e,32'h3f04175c,32'h3f097b94, 32'h3f000c32,32'h3f0d86be, 32'h3ef29dd8,32'h3f144404,// invsqrt(3.6073) = 0.5265 +32'h3ef36a34,32'h3fb5ed59,32'h3fbd5a4d, 32'h3fb05ba2,32'h3fc2ec04, 32'h3fa71371,32'h3fcc3435,// invsqrt(0.4754) = 1.4503 +32'h3eb76a44,32'h3fd194ee,32'h3fda22d8, 32'h3fcb2a7e,32'h3fe08d48, 32'h3fc07919,32'h3feb3ead,// invsqrt(0.3582) = 1.6708 +32'h4226bd95,32'h3e1b6e22,32'h3e21c638, 32'h3e16ac11,32'h3e268849, 32'h3e0ebdf5,32'h3e2e7665,// invsqrt(41.6851) = 0.1549 +32'h3f45b8a4,32'h3f8ebc11,32'h3f948f7f, 32'h3f8a5d7e,32'h3f98ee12, 32'h3f831534,32'h3fa0365c,// invsqrt(0.7723) = 1.1379 +32'h3f4b7644,32'h3f8cb4e2,32'h3f927320, 32'h3f886634,32'h3f96c1ce, 32'h3f813867,32'h3f9def9b,// invsqrt(0.7948) = 1.1217 +32'h3f8462b5,32'h3f76b084,32'h3f806116, 32'h3f6f2347,32'h3f8427b5, 32'h3f628d36,32'h3f8a72bd,// invsqrt(1.0343) = 0.9833 +32'h3df3a0bc,32'h4035d8fb,32'h403d451b, 32'h403047e4,32'h4042d632, 32'h402700bd,32'h404c1d59,// invsqrt(0.1190) = 2.8994 +32'h4002099d,32'h3f3000ee,32'h3f372ffd, 32'h3f2a9da3,32'h3f3c9349, 32'h3f21a2d1,32'h3f458e1b,// invsqrt(2.0318) = 0.7015 +32'h3fa9391a,32'h3f5a3177,32'h3f63195d, 32'h3f53838b,32'h3f69c749, 32'h3f4861ac,32'h3f74e928,// invsqrt(1.3221) = 0.8697 +32'h3ee4e3ae,32'h3fbb9c68,32'h3fc344c0, 32'h3fb5de26,32'h3fc90302, 32'h3fac4bb8,32'h3fd29570,// invsqrt(0.4470) = 1.4956 +32'h3e0a0895,32'h402ad471,32'h4031cd70, 32'h402599b1,32'h4037082f, 32'h401ce272,32'h403fbf6e,// invsqrt(0.1348) = 2.7237 +32'h3fb63ffd,32'h3f524029,32'h3f5ad511, 32'h3f4bd07c,32'h3f6144be, 32'h3f41165a,32'h3f6bfee0,// invsqrt(1.4238) = 0.8381 +32'h3e3e47d2,32'h40117fa5,32'h40176ff5, 32'h400d0b69,32'h401be431, 32'h40059f05,32'h40235095,// invsqrt(0.1858) = 2.3198 +32'h3f951474,32'h3f687793,32'h3f71f49f, 32'h3f6159cb,32'h3f791267, 32'h3f557d7d,32'h3f82775b,// invsqrt(1.1647) = 0.9266 +32'h40ca8f47,32'h3ec76e8e,32'h3ecf926a, 32'h3ec153a9,32'h3ed5ad4f, 32'h3eb726d6,32'h3edfda22,// invsqrt(6.3300) = 0.3975 +32'h3f6e1c98,32'h3f82110a,32'h3f87601a, 32'h3f7c2b7c,32'h3f8b5b66, 32'h3f6ee5d4,32'h3f91fe3a,// invsqrt(0.9301) = 1.0369 +32'h40a9e7c6,32'h3ed9c132,32'h3ee2a482, 32'h3ed316b6,32'h3ee94efe, 32'h3ec7fa91,32'h3ef46b23,// invsqrt(5.3095) = 0.4340 +32'h3f982a1e,32'h3f66194a,32'h3f6f7d96, 32'h3f5f0e11,32'h3f7688cf, 32'h3f5350b2,32'h3f812317,// invsqrt(1.1888) = 0.9172 +32'h3e369ac9,32'h40148673,32'h401a9663, 32'h400ffa7f,32'h401f2257, 32'h40086694,32'h4026b642,// invsqrt(0.1783) = 2.3681 +32'h3f628301,32'h3f855afd,32'h3f8acc6b, 32'h3f8145eb,32'h3f8ee17d, 32'h3f74f044,32'h3f95af46,// invsqrt(0.8848) = 1.0631 +32'h3f8ff36b,32'h3f6c9283,32'h3f763a73, 32'h3f65548e,32'h3f7d7868, 32'h3f5942a2,32'h3f84c52a,// invsqrt(1.1246) = 0.9430 +32'h3f95fa7f,32'h3f67c506,32'h3f713ac8, 32'h3f60acb5,32'h3f785319, 32'h3f54d983,32'h3f821325,// invsqrt(1.1717) = 0.9238 +32'h3f155854,32'h3fa43bab,32'h3faaefbd, 32'h3f9f349e,32'h3faff6ca, 32'h3f96d388,32'h3fb857e0,// invsqrt(0.5834) = 1.3093 +32'h3e8faf71,32'h3fecca72,32'h3ff674aa, 32'h3fe58ac7,32'h3ffdb455, 32'h3fd97600,32'h4004e48e,// invsqrt(0.2806) = 1.8877 +32'h3f7e517d,32'h3f7bb547,32'h3f82fdaf, 32'h3f7400b4,32'h3f86d7f8, 32'h3f672917,32'h3f8d43c7,// invsqrt(0.9934) = 1.0033 +32'h4097000c,32'h3ee6fbf5,32'h3ef06981, 32'h3edfe9cb,32'h3ef77bab, 32'h3ed420dc,32'h3f01a24d,// invsqrt(4.7188) = 0.4603 +32'h3f17f55c,32'h3fa2d090,32'h3fa975d0, 32'h3f9dd4a1,32'h3fae71bf, 32'h3f958612,32'h3fb6c04e,// invsqrt(0.5936) = 1.2979 +32'h3fa16570,32'h3f5f6bd2,32'h3f688a58, 32'h3f5894ee,32'h3f6f613c, 32'h3f4d2ec6,32'h3f7ac764,// invsqrt(1.2609) = 0.8905 +32'h400f48a7,32'h3f27abbb,32'h3f2e83b8, 32'h3f2289bd,32'h3f33a5b5, 32'h3f19fbc0,32'h3f3c33b2,// invsqrt(2.2388) = 0.6683 +32'h3ef85f01,32'h3fb41a52,32'h3fbb7436, 32'h3fae96e7,32'h3fc0f7a1, 32'h3fa5668a,32'h3fca27fe,// invsqrt(0.4851) = 1.4358 +32'h3f76a164,32'h3f7f99e1,32'h3f850453, 32'h3f77c6cd,32'h3f88eddd, 32'h3f6abc57,32'h3f8f7319,// invsqrt(0.9634) = 1.0188 +32'h40d643ba,32'h3ec1e877,32'h3ec9d29b, 32'h3ebbf8dc,32'h3ecfc236, 32'h3eb2142e,32'h3ed9a6e4,// invsqrt(6.6958) = 0.3865 +32'h3fa0e0e4,32'h3f5fc7c8,32'h3f68ea0f, 32'h3f58ee13,32'h3f6fc3c5, 32'h3f4d833b,32'h3f7b2e9d,// invsqrt(1.2569) = 0.8920 +32'h3f090260,32'h3fab779a,32'h3fb27742, 32'h3fa637dc,32'h3fb7b700, 32'h3f9d7849,32'h3fc07693,// invsqrt(0.5352) = 1.3669 +32'h3ee717a5,32'h3fbab6ef,32'h3fc255e9, 32'h3fb4ffb3,32'h3fc80d25, 32'h3fab78fb,32'h3fd193dd,// invsqrt(0.4514) = 1.4885 +32'h3e3e2925,32'h40118b60,32'h40177c2b, 32'h400d16c9,32'h401bf0c3, 32'h4005a9cc,32'h40235dc0,// invsqrt(0.1857) = 2.3205 +32'h3f07b2c5,32'h3fac4b20,32'h3fb3536a, 32'h3fa704e8,32'h3fb899a2, 32'h3f9e3a8b,32'h3fc163ff,// invsqrt(0.5301) = 1.3735 +32'h3fef827e,32'h3f37677e,32'h3f3ee3e2, 32'h3f31ca34,32'h3f44812c, 32'h3f286eb8,32'h3f4ddca8,// invsqrt(1.8712) = 0.7310 +32'h3e8461cd,32'h3ff6b15c,32'h40006186, 32'h3fef2418,32'h40042828, 32'h3fe28dfc,32'h400a7336,// invsqrt(0.2586) = 1.9666 +32'h3f88e799,32'h3f729577,32'h3f7c7c38, 32'h3f6b2867,32'h3f81f4a5, 32'h3f5ec7f6,32'h3f8824dd,// invsqrt(1.0696) = 0.9669 +32'h3f148838,32'h3fa4ae91,32'h3fab6753, 32'h3f9fa400,32'h3fb071e4, 32'h3f973d0d,32'h3fb8d8d7,// invsqrt(0.5802) = 1.3128 +32'h3fc2c40f,32'h3f4b620b,32'h3f53af31, 32'h3f452830,32'h3f59e90c, 32'h3f3ac7c1,32'h3f64497b,// invsqrt(1.5216) = 0.8107 +32'h3f830c91,32'h3f77f1ba,32'h3f81083f, 32'h3f705aa7,32'h3f84d3c8, 32'h3f63b433,32'h3f8b2703,// invsqrt(1.0238) = 0.9883 +32'h3f22d7a2,32'h3f9d4794,32'h3fa3b2fd, 32'h3f987704,32'h3fa8838c, 32'h3f9070c1,32'h3fb089cf,// invsqrt(0.6361) = 1.2538 +32'h3f0f47c4,32'h3fa7ac40,32'h3fae8442, 32'h3fa28a3e,32'h3fb3a644, 32'h3f99fc3a,32'h3fbc3448,// invsqrt(0.5597) = 1.3367 +32'h4251549c,32'h3e0ab860,32'h3e1061dc, 32'h3e067943,32'h3e14a0f9, 32'h3dfecad0,32'h3e1bb4d4,// invsqrt(52.3326) = 0.1382 +32'h3edaf287,32'h3fbfd2be,32'h3fc7a71a, 32'h3fb9f37a,32'h3fcd865e, 32'h3fb02a08,32'h3fd74fd0,// invsqrt(0.4276) = 1.5292 +32'h3f56ccc5,32'h3f88f157,32'h3f8e8841, 32'h3f84c028,32'h3f92b970, 32'h3f7b8709,32'h3f99b614,// invsqrt(0.8391) = 1.0917 +32'h414163b5,32'h3e905308,32'h3e963713, 32'h3e8be800,32'h3e9aa21c, 32'h3e848af3,32'h3ea1ff29,// invsqrt(12.0868) = 0.2876 +32'h3f12617a,32'h3fa5e33c,32'h3faca898, 32'h3fa0cf38,32'h3fb1bc9c, 32'h3f985886,32'h3fba334e,// invsqrt(0.5718) = 1.3224 +32'h3f09356c,32'h3fab57b2,32'h3fb2560c, 32'h3fa618ed,32'h3fb794d1, 32'h3f9d5afc,32'h3fc052c2,// invsqrt(0.5360) = 1.3659 +32'h3f4433fa,32'h3f8f492b,32'h3f95225b, 32'h3f8ae646,32'h3f998540, 32'h3f8396c9,32'h3fa0d4bd,// invsqrt(0.7664) = 1.1423 +32'h3fd5aeaa,32'h3f422c0d,32'h3f4a18f3, 32'h3f3c3a60,32'h3f500aa0, 32'h3f325240,32'h3f59f2c0,// invsqrt(1.6694) = 0.7740 +32'h3f219552,32'h3f9de423,32'h3fa455f1, 32'h3f990eca,32'h3fa92b4a, 32'h3f910089,32'h3fb1398b,// invsqrt(0.6312) = 1.2587 +32'h3f990db9,32'h3f656df3,32'h3f6ecb41, 32'h3f5e67f9,32'h3f75d13b, 32'h3f52b357,32'h3f80c2ee,// invsqrt(1.1957) = 0.9145 +32'h3f74a048,32'h3f8052b3,32'h3f858f8b, 32'h3f78ca21,32'h3f897d2d, 32'h3f6bb205,32'h3f90093c,// invsqrt(0.9556) = 1.0230 +32'h3f00d916,32'h3fb0d071,32'h3fb807f9, 32'h3fab66cc,32'h3fbd719e, 32'h3fa26163,32'h3fc67707,// invsqrt(0.5033) = 1.4096 +32'h40072026,32'h3f2ca881,32'h3f33b49b, 32'h3f275f6d,32'h3f38fdaf, 32'h3f1e904d,32'h3f41cccf,// invsqrt(2.1113) = 0.6882 +32'h3f6b661b,32'h3f82d05b,32'h3f88273b, 32'h3f7d9e68,32'h3f8c2862, 32'h3f70453a,32'h3f92d4f9,// invsqrt(0.9195) = 1.0428 +32'h3f86f8e6,32'h3f745072,32'h3f7e4947, 32'h3f6cd5d1,32'h3f82e1f4, 32'h3f605ec6,32'h3f891d79,// invsqrt(1.0545) = 0.9738 +32'h3e7d0125,32'h3ffc5c5f,32'h400354a4, 32'h3ff4a2b0,32'h4007317c, 32'h3fe7c28c,32'h400da18e,// invsqrt(0.2471) = 2.0118 +32'h3f4ff097,32'h3f8b2eef,32'h3f90dd41, 32'h3f86ec30,32'h3f952000, 32'h3f7fa492,32'h3f9c39e7,// invsqrt(0.8123) = 1.1096 +32'h40b8163b,32'h3ed132f3,32'h3ed9bcde, 32'h3ecacb84,32'h3ee0244e, 32'h3ec01f1e,32'h3eead0b4,// invsqrt(5.7527) = 0.4169 +32'h3f44149c,32'h3f8f54a0,32'h3f952e48, 32'h3f8af161,32'h3f999187, 32'h3f83a14f,32'h3fa0e199,// invsqrt(0.7659) = 1.1426 +32'h3e5878e2,32'h400869aa,32'h400dfb0a, 32'h40043ca2,32'h40122812, 32'h3ffa8dd5,32'h40191dc9,// invsqrt(0.2114) = 2.1749 +32'h3f6adc5c,32'h3f82f6b2,32'h3f884f22, 32'h3f7de8bd,32'h3f8c5176, 32'h3f708ba5,32'h3f930001,// invsqrt(0.9174) = 1.0440 +32'h3fa1d22e,32'h3f5f20b4,32'h3f683c29, 32'h3f584c1c,32'h3f6f10c0, 32'h3f4ce9c9,32'h3f7a7313,// invsqrt(1.2642) = 0.8894 +32'h3ea60a12,32'h3fdc4671,32'h3fe54417, 32'h3fd58834,32'h3fec0254, 32'h3fca4b24,32'h3ff73f64,// invsqrt(0.3243) = 1.7560 +32'h3fb6ee14,32'h3f51dc06,32'h3f5a6cd8, 32'h3f4b6f69,32'h3f60d975, 32'h3f40ba64,32'h3f6b8e7a,// invsqrt(1.4291) = 0.8365 +32'h3f777f24,32'h3f7f2746,32'h3f84c8af, 32'h3f7757b4,32'h3f88b078, 32'h3f6a5317,32'h3f8f32c7,// invsqrt(0.9668) = 1.0170 +32'h3fea8db3,32'h3f3954f4,32'h3f40e57c, 32'h3f33a88f,32'h3f4691e1, 32'h3f2a33e6,32'h3f50068a,// invsqrt(1.8324) = 0.7387 +32'h40e3d25f,32'h3ebc0ccf,32'h3ec3b9bd, 32'h3eb64b1c,32'h3ec97b70, 32'h3eacb2f2,32'h3ed3139a,// invsqrt(7.1194) = 0.3748 +32'h3f838ba1,32'h3f7779dd,32'h3f80c9de, 32'h3f6fe676,32'h3f849392, 32'h3f63461f,32'h3f8ae3be,// invsqrt(1.0277) = 0.9864 +32'h3f5a0730,32'h3f87ecd7,32'h3f8d791e, 32'h3f83c3a1,32'h3f91a253, 32'h3f79a88f,32'h3f9891ad,// invsqrt(0.8517) = 1.0836 +32'h3debace0,32'h4038e3e7,32'h40406fd1, 32'h40333af7,32'h404618c1, 32'h4029cc13,32'h404f87a5,// invsqrt(0.1151) = 2.9479 +32'h3e12fce6,32'h40258b71,32'h402c4d37, 32'h40207a1d,32'h40315e8b, 32'h401807e5,32'h4039d0c3,// invsqrt(0.1435) = 2.6394 +32'h3f162b82,32'h3fa3c808,32'h3faa7762, 32'h3f9ec486,32'h3faf7ae4, 32'h3f966956,32'h3fb7d614,// invsqrt(0.5866) = 1.3057 +32'h3f59716e,32'h3f881b9d,32'h3f8da9cd, 32'h3f83f0f9,32'h3f91d471, 32'h3f79fe79,32'h3f98c62e,// invsqrt(0.8494) = 1.0850 +32'h3f3ce18a,32'h3f920962,32'h3f97ff52, 32'h3f8d90ef,32'h3f9c77c5, 32'h3f861d84,32'h3fa3eb30,// invsqrt(0.7378) = 1.1642 +32'h3f851b4f,32'h3f760539,32'h3f8007f1, 32'h3f6e7d39,32'h3f83cbf0, 32'h3f61efe5,32'h3f8a129a,// invsqrt(1.0399) = 0.9806 +32'h401821d6,32'h3f22b8c2,32'h3f295d08, 32'h3f1dbd8d,32'h3f2e583d, 32'h3f157034,32'h3f36a596,// invsqrt(2.3771) = 0.6486 +32'h3fbff4f8,32'h3f4cdd9b,32'h3f553a3f, 32'h3f469821,32'h3f5b7fb9, 32'h3f3c2455,32'h3f65f385,// invsqrt(1.4997) = 0.8166 +32'h40167905,32'h3f239dd4,32'h3f2a4b74, 32'h3f1e9b9c,32'h3f2f4dac, 32'h3f164294,32'h3f37a6b4,// invsqrt(2.3511) = 0.6522 +32'h3f185a4d,32'h3fa29a98,32'h3fa93da3, 32'h3f9da04f,32'h3fae37eb, 32'h3f955480,32'h3fb683ba,// invsqrt(0.5951) = 1.2963 +32'h3f945bc0,32'h3f69081c,32'h3f728b0e, 32'h3f61e5e7,32'h3f79ad43, 32'h3f560239,32'h3f82c878,// invsqrt(1.1590) = 0.9289 +32'h3ffbf4c9,32'h3f32d11f,32'h3f3a1d93, 32'h3f2d57c8,32'h3f3f96ea, 32'h3f243836,32'h3f48b67c,// invsqrt(1.9684) = 0.7128 +32'h41c8d0a5,32'h3e484bda,32'h3e5078bf, 32'h3e422a30,32'h3e569a6a, 32'h3e37f212,32'h3e60d288,// invsqrt(25.1019) = 0.1996 +32'h3f383e43,32'h3f93dcff,32'h3f99e604, 32'h3f8f563b,32'h3f9e6cc7, 32'h3f87caf4,32'h3fa5f80e,// invsqrt(0.7197) = 1.1788 +32'h3f93884a,32'h3f69aee1,32'h3f7338a1, 32'h3f628791,32'h3f7a5ff1, 32'h3f569b61,32'h3f832611,// invsqrt(1.1526) = 0.9315 +32'h403dcf9f,32'h3f11adaf,32'h3f179fdf, 32'h3f0d380a,32'h3f1c1584, 32'h3f05c94d,32'h3f238441,// invsqrt(2.9658) = 0.5807 +32'h3fbd872c,32'h3f4e2c98,32'h3f5696e8, 32'h3f47dcdd,32'h3f5ce6a3, 32'h3f3d57fa,32'h3f676b86,// invsqrt(1.4807) = 0.8218 +32'h3fc40000,32'h3f4abddd,32'h3f53044f, 32'h3f448908,32'h3f593924, 32'h3f3a30fa,32'h3f639132,// invsqrt(1.5313) = 0.8081 +32'h3e8db49c,32'h3fee7070,32'h3ff82be2, 32'h3fe723da,32'h3fff7878, 32'h3fdaf98b,32'h4005d163,// invsqrt(0.2768) = 1.9008 +32'h3df01eea,32'h40372bb8,32'h403ea5aa, 32'h40319042,32'h40444120, 32'h402837d2,32'h404d9990,// invsqrt(0.1172) = 2.9205 +32'h40b959cb,32'h3ed07c0b,32'h3ed8fe7e, 32'h3eca1a34,32'h3edf6054, 32'h3ebf7724,32'h3eea0364,// invsqrt(5.7922) = 0.4155 +32'h3f54fd8f,32'h3f8985f0,32'h3f8f22ea, 32'h3f855034,32'h3f9358a6, 32'h3f7c97f7,32'h3f9a5cde,// invsqrt(0.8320) = 1.0963 +32'h3fa04bef,32'h3f602faa,32'h3f69562e, 32'h3f5952c7,32'h3f703311, 32'h3f4de2a1,32'h3f7ba337,// invsqrt(1.2523) = 0.8936 +32'h3f8acefa,32'h3f70ea1f,32'h3f7abf6e, 32'h3f698a22,32'h3f810fb5, 32'h3f5d3f7f,32'h3f873506,// invsqrt(1.0844) = 0.9603 +32'h3fc48c5a,32'h3f4a756d,32'h3f52b8e9, 32'h3f4442cf,32'h3f58eb87, 32'h3f39ee74,32'h3f633fe3,// invsqrt(1.5355) = 0.8070 +32'h418c793c,32'h3e6f7b83,32'h3e7941db, 32'h3e6826c0,32'h3e804b4f, 32'h3e5beed1,32'h3e866747,// invsqrt(17.5592) = 0.2386 +32'h405ad2ad,32'h3f07ad95,32'h3f0d3747, 32'h3f03864f,32'h3f115e8d, 32'h3ef93460,32'h3f184aac,// invsqrt(3.4191) = 0.5408 +32'h3f9fa3ed,32'h3f60a583,32'h3f69d0d7, 32'h3f59c504,32'h3f70b156, 32'h3f4e4edc,32'h3f7c277e,// invsqrt(1.2472) = 0.8954 +32'h3f0fce06,32'h3fa75de8,32'h3fae32b8, 32'h3fa23e4c,32'h3fb35254, 32'h3f99b448,32'h3fbbdc58,// invsqrt(0.5617) = 1.3342 +32'h40098890,32'h3f2b23e0,32'h3f32201d, 32'h3f25e6b1,32'h3f375d4b, 32'h3f1d2b65,32'h3f401897,// invsqrt(2.1490) = 0.6822 +32'h3f051579,32'h3fadfa43,32'h3fb51427, 32'h3fa8a6d9,32'h3fba6791, 32'h3f9fc67c,32'h3fc347ee,// invsqrt(0.5199) = 1.3869 +32'h401a5ada,32'h3f218bbd,32'h3f2823bb, 32'h3f1c99bf,32'h3f2d15b9, 32'h3f145bc3,32'h3f3553b5,// invsqrt(2.4118) = 0.6439 +32'h40c00000,32'h3eccd7b9,32'h3ed5341f, 32'h3ec6926d,32'h3edb796b, 32'h3ebc1eee,32'h3ee5ecea,// invsqrt(6.0000) = 0.4082 +32'h3f9b1837,32'h3f63ea36,32'h3f6d37b1, 32'h3f5cf01b,32'h3f7431cd, 32'h3f514f42,32'h3f7fd2a6,// invsqrt(1.2117) = 0.9085 +32'h400ea968,32'h3f280936,32'h3f2ee503, 32'h3f22e45b,32'h3f3409dd, 32'h3f1a5199,32'h3f3c9c9f,// invsqrt(2.2291) = 0.6698 +32'h3f8b1c8e,32'h3f70a6e8,32'h3f7a797a, 32'h3f6948fb,32'h3f80ebb3, 32'h3f5d01c6,32'h3f870f4e,// invsqrt(1.0868) = 0.9592 +32'h3f02ba30,32'h3faf89e9,32'h3fb6b41c, 32'h3faa2a42,32'h3fbc13c2, 32'h3fa13582,32'h3fc50882,// invsqrt(0.5107) = 1.3994 +32'h4045012d,32'h3f0efe78,32'h3f14d49c, 32'h3f0a9ddd,32'h3f193537, 32'h3f03522f,32'h3f2080e5,// invsqrt(3.0782) = 0.5700 +32'h40187d7a,32'h3f2287d5,32'h3f292a1d, 32'h3f1d8e20,32'h3f2e23d2, 32'h3f154346,32'h3f366eac,// invsqrt(2.3827) = 0.6478 +32'h3f9b2fb6,32'h3f63d8f5,32'h3f6d25bb, 32'h3f5cdf60,32'h3f741f50, 32'h3f513f69,32'h3f7fbf47,// invsqrt(1.2124) = 0.9082 +32'h3e812c2d,32'h3ff9bd1d,32'h4001f750, 32'h3ff217fa,32'h4005c9e2, 32'h3fe55a16,32'h400c28d4,// invsqrt(0.2523) = 1.9909 +32'h3f4ebef1,32'h3f8b95ab,32'h3f91482f, 32'h3f874fc8,32'h3f958e12, 32'h3f8030a2,32'h3f9cad38,// invsqrt(0.8076) = 1.1128 +32'h3f8b8cdb,32'h3f704600,32'h3f7a149d, 32'h3f68eb0b,32'h3f80b7c9, 32'h3f5ca8c7,32'h3f86d8eb,// invsqrt(1.0902) = 0.9577 +32'h400a388a,32'h3f2ab6cb,32'h3f31ae95, 32'h3f257cf4,32'h3f36e86c, 32'h3f1cc738,32'h3f3f9e28,// invsqrt(2.1597) = 0.6805 +32'h403bb208,32'h3f127f45,32'h3f187a04, 32'h3f0e0336,32'h3f1cf614, 32'h3f0689c8,32'h3f246f82,// invsqrt(2.9327) = 0.5839 +32'h413dbae3,32'h3e91b5a5,32'h3e97a829, 32'h3e8d3fc2,32'h3e9c1e0c, 32'h3e85d09d,32'h3ea38d31,// invsqrt(11.8581) = 0.2904 +32'h40a98bfa,32'h3ed9fc1d,32'h3ee2e1d5, 32'h3ed34fd3,32'h3ee98e1f, 32'h3ec830ad,32'h3ef4ad45,// invsqrt(5.2983) = 0.4344 +32'h4010e48a,32'h3f26bcbf,32'h3f2d8afb, 32'h3f21a212,32'h3f32a5a8, 32'h3f192047,32'h3f3b2773,// invsqrt(2.2639) = 0.6646 +32'h40e1a2e1,32'h3ebcf565,32'h3ec4abd1, 32'h3eb72c93,32'h3eca74a3, 32'h3ead888c,32'h3ed418ab,// invsqrt(7.0511) = 0.3766 +32'h402a9c2e,32'h3f19a850,32'h3f1fede0, 32'h3f14f424,32'h3f24a20c, 32'h3f0d1d2f,32'h3f2c7901,// invsqrt(2.6658) = 0.6125 +32'h3f895309,32'h3f723680,32'h3f7c1960, 32'h3f6acc57,32'h3f81c1c4, 32'h3f5e70be,32'h3f87ef91,// invsqrt(1.0728) = 0.9655 +32'h3fe61a62,32'h3f3b1d93,32'h3f42c0be, 32'h3f356334,32'h3f487b1e, 32'h3f2bd73e,32'h3f520714,// invsqrt(1.7977) = 0.7458 +32'h3f019c8f,32'h3fb04aea,32'h3fb77cfe, 32'h3faae55b,32'h3fbce28d, 32'h3fa1e6c2,32'h3fc5e126,// invsqrt(0.5063) = 1.4054 +32'h3fe4e47d,32'h3f3b9c13,32'h3f434467, 32'h3f35ddd4,32'h3f4902a6, 32'h3f2c4b6a,32'h3f529510,// invsqrt(1.7882) = 0.7478 +32'h3f77f3f6,32'h3f7eeb23,32'h3f84a963, 32'h3f771d68,32'h3f889040, 32'h3f6a1bdc,32'h3f8f1106,// invsqrt(0.9686) = 1.0161 +32'h3f0805c2,32'h3fac1689,32'h3fb31cae, 32'h3fa6d1ee,32'h3fb8614a, 32'h3f9e0a40,32'h3fc128f8,// invsqrt(0.5313) = 1.3719 +32'h3fc899d0,32'h3f486738,32'h3f50953b, 32'h3f4244b7,32'h3f56b7bd, 32'h3f380b34,32'h3f60f140,// invsqrt(1.5672) = 0.7988 +32'h407e89f2,32'h3efb995b,32'h3f02ef27, 32'h3ef3e5a3,32'h3f06c902, 32'h3ee70f72,32'h3f0d341b,// invsqrt(3.9772) = 0.5014 +32'h3f72bd68,32'h3f80d216,32'h3f861422, 32'h3f79c11c,32'h3f8a05aa, 32'h3f6c9bff,32'h3f909838,// invsqrt(0.9482) = 1.0270 +32'h4100ab04,32'h3eb0f016,32'h3eb828e8, 32'h3eab8579,32'h3ebd9385, 32'h3ea27e72,32'h3ec69a8c,// invsqrt(8.0418) = 0.3526 +32'h40bb0e0a,32'h3ecf885f,32'h3ed800e1, 32'h3ec92dfe,32'h3ede5b42, 32'h3ebe975d,32'h3ee8f1e3,// invsqrt(5.8455) = 0.4136 +32'h40198f99,32'h3f21f684,32'h3f2892dc, 32'h3f1d0141,32'h3f2d881f, 32'h3f14bdd2,32'h3f35cb8e,// invsqrt(2.3994) = 0.6456 +32'h3f16a5a5,32'h3fa38596,32'h3faa3238, 32'h3f9e841b,32'h3faf33b3, 32'h3f962c50,32'h3fb78b7e,// invsqrt(0.5885) = 1.3036 +32'h3f483f48,32'h3f8dd4e0,32'h3f939ede, 32'h3f897d61,32'h3f97f65d, 32'h3f8240e2,32'h3f9f32dc,// invsqrt(0.7822) = 1.1307 +32'h3f8f8986,32'h3f6ce9b7,32'h3f769537, 32'h3f65a917,32'h3f7dd5d7, 32'h3f5992b8,32'h3f84f61b,// invsqrt(1.1214) = 0.9443 +32'h408f207c,32'h3eed4096,32'h3ef6efa1, 32'h3ee5fd4d,32'h3efe32e9, 32'h3ed9e27f,32'h3f0526dc,// invsqrt(4.4727) = 0.4728 +32'h4044e6b7,32'h3f0f0813,32'h3f14de9b, 32'h3f0aa72c,32'h3f193f82, 32'h3f035b02,32'h3f208bac,// invsqrt(3.0766) = 0.5701 +32'h3f3fe95f,32'h3f90e105,32'h3f96cadc, 32'h3f8c71a5,32'h3f9b3a3d, 32'h3f850d59,32'h3fa29e89,// invsqrt(0.7497) = 1.1550 +32'h3fb458f6,32'h3f535b4f,32'h3f5bfbc5, 32'h3f4ce2f6,32'h3f62741e, 32'h3f421a63,32'h3f6d3cb1,// invsqrt(1.4090) = 0.8425 +32'h3feeb86c,32'h3f37b50d,32'h3f3f349b, 32'h3f321563,32'h3f44d445, 32'h3f28b5f2,32'h3f4e33b6,// invsqrt(1.8650) = 0.7323 +32'h40093c10,32'h3f2b538c,32'h3f3251bc, 32'h3f2614e9,32'h3f37905f, 32'h3f1d572d,32'h3f404e1b,// invsqrt(2.1443) = 0.6829 +32'h3e1ef8b8,32'h401f2ed0,32'h4025ae1c, 32'h401a4f56,32'h402a8d96, 32'h40123037,32'h4032acb5,// invsqrt(0.1552) = 2.5380 +32'h3ed08746,32'h3fc48e94,32'h3fcc9466, 32'h3fbe8a37,32'h3fd298c3, 32'h3fb482f0,32'h3fdca00a,// invsqrt(0.4073) = 1.5669 +32'h3f1dd742,32'h3f9fc083,32'h3fa645c2, 32'h3f9adc94,32'h3fab29b2, 32'h3f92b606,32'h3fb35040,// invsqrt(0.6166) = 1.2735 +32'h3ccef4e8,32'h40c54d4b,32'h40cd5ae5, 32'h40bf4317,32'h40d36519, 32'h40b53216,32'h40dd761a,// invsqrt(0.0253) = 6.2915 +32'h3f29febe,32'h3f99ef67,32'h3fa037df, 32'h3f95390e,32'h3fa4ee38, 32'h3f8d5e79,32'h3facc8cd,// invsqrt(0.6640) = 1.2272 +32'h3f85e0f3,32'h3f754f5d,32'h3f7f529a, 32'h3f6dccee,32'h3f836a84, 32'h3f6148e2,32'h3f89ac8a,// invsqrt(1.0459) = 0.9778 +32'h3f1fec01,32'h3f9eb58d,32'h3fa52fe7, 32'h3f99d9ca,32'h3faa0baa, 32'h3f91c0db,32'h3fb22499,// invsqrt(0.6247) = 1.2652 +32'h401d2181,32'h3f201ccd,32'h3f26a5d1, 32'h3f1b360b,32'h3f2b8c93, 32'h3f130ac7,32'h3f33b7d7,// invsqrt(2.4552) = 0.6382 +32'h3f3811b3,32'h3f93eee4,32'h3f99f8a4, 32'h3f8f6794,32'h3f9e7ff4, 32'h3f87db64,32'h3fa60c24,// invsqrt(0.7190) = 1.1793 +32'h3faacb61,32'h3f592fea,32'h3f620d4c, 32'h3f5289e0,32'h3f68b356, 32'h3f477525,32'h3f73c811,// invsqrt(1.3343) = 0.8657 +32'h3f8f9be2,32'h3f6cda91,32'h3f768573, 32'h3f659a68,32'h3f7dc59c, 32'h3f5984cf,32'h3f84ed9b,// invsqrt(1.1219) = 0.9441 +32'h3f4e6737,32'h3f8bb351,32'h3f91670b, 32'h3f876c85,32'h3f95add7, 32'h3f804bdd,32'h3f9cce7f,// invsqrt(0.8063) = 1.1137 +32'h3f137e59,32'h3fa542bc,32'h3fac018a, 32'h3fa033a1,32'h3fb110a5, 32'h3f97c520,32'h3fb97f27,// invsqrt(0.5761) = 1.3174 +32'h3f02f113,32'h3faf651a,32'h3fb68dcd, 32'h3faa0695,32'h3fbbec53, 32'h3fa113b5,32'h3fc4df33,// invsqrt(0.5115) = 1.3982 +32'h3f6d0bfb,32'h3f825bbf,32'h3f87addc, 32'h3f7cbc54,32'h3f8bab72, 32'h3f6f6f0c,32'h3f925216,// invsqrt(0.9260) = 1.0392 +32'h4096fd31,32'h3ee6fe24,32'h3ef06bc8, 32'h3edfebea,32'h3ef77e02, 32'h3ed422dd,32'h3f01a387,// invsqrt(4.7184) = 0.4604 +32'h3fc4e181,32'h3f4a49a0,32'h3f528b53, 32'h3f44185a,32'h3f58bc9a, 32'h3f39c63b,32'h3f630eb9,// invsqrt(1.5381) = 0.8063 +32'h406200cf,32'h3f058161,32'h3f0af45f, 32'h3f016b22,32'h3f0f0a9e, 32'h3ef536c6,32'h3f15da5d,// invsqrt(3.5313) = 0.5321 +32'h3f46634c,32'h3f8e7e9f,32'h3f944f8b, 32'h3f8a21ee,32'h3f98ac3c, 32'h3f82dcc6,32'h3f9ff164,// invsqrt(0.7750) = 1.1360 +32'h3fb4a2e6,32'h3f533009,32'h3f5bcebb, 32'h3f4cb904,32'h3f6245c0, 32'h3f41f2a5,32'h3f6d0c1f,// invsqrt(1.4112) = 0.8418 +32'h42e0c074,32'h3dbd547c,32'h3dc50eca, 32'h3db788c1,32'h3dcada85, 32'h3daddfe0,32'h3dd48367,// invsqrt(112.3759) = 0.0943 +32'h420c60f4,32'h3e296599,32'h3e304f9f, 32'h3e243614,32'h3e357f24, 32'h3e1b918c,32'h3e3e23ac,// invsqrt(35.0947) = 0.1688 +32'h3f2d45b3,32'h3f9878f5,32'h3f9eb224, 32'h3f93ce13,32'h3fa35d07, 32'h3f8c0698,32'h3fab2482,// invsqrt(0.6768) = 1.2155 +32'h3e3d4a16,32'h4011e108,32'h4017d552, 32'h400d69d1,32'h401c4c89, 32'h4005f875,32'h4023bde5,// invsqrt(0.1849) = 2.3259 +32'h40b2f278,32'h3ed42e9b,32'h3edcd7b1, 32'h3ecdafca,32'h3ee35682, 32'h3ec2dc6f,32'h3eee29dd,// invsqrt(5.5921) = 0.4229 +32'h426404e6,32'h3e04e9f5,32'h3e0a56c5, 32'h3e00d858,32'h3e0e6862, 32'h3df420a7,32'h3e153066,// invsqrt(57.0048) = 0.1324 +32'h3f9bc60a,32'h3f636ae9,32'h3f6cb331, 32'h3f5c74b3,32'h3f73a967, 32'h3f50da58,32'h3f7f43c2,// invsqrt(1.2170) = 0.9065 +32'h3f8bd378,32'h3f70094d,32'h3f79d56f, 32'h3f68b033,32'h3f809745, 32'h3f5c7108,32'h3f86b6da,// invsqrt(1.0924) = 0.9568 +32'h3f0db4e7,32'h3fa899ef,32'h3faf7ba5, 32'h3fa370a6,32'h3fb4a4ee, 32'h3f9ad683,32'h3fbd3f11,// invsqrt(0.5535) = 1.3441 +32'h3f47366a,32'h3f8e330a,32'h3f9400e0, 32'h3f89d8a9,32'h3f985b41, 32'h3f82975c,32'h3f9f9c8e,// invsqrt(0.7782) = 1.1336 +32'h402c7cbf,32'h3f18d1ad,32'h3f1f0e7b, 32'h3f142413,32'h3f23bc15, 32'h3f0c5812,32'h3f2b8816,// invsqrt(2.6951) = 0.6091 +32'h3ee74568,32'h3fbaa475,32'h3fc242ae, 32'h3fb4edca,32'h3fc7f958, 32'h3fab6802,32'h3fd17f20,// invsqrt(0.4517) = 1.4879 +32'h3fc957e8,32'h3f480888,32'h3f5032ac, 32'h3f41e8ec,32'h3f565248, 32'h3f37b43e,32'h3f6086f6,// invsqrt(1.5730) = 0.7973 +32'h3fa9af90,32'h3f59e540,32'h3f62ca0a, 32'h3f5339aa,32'h3f6975a0, 32'h3f481bae,32'h3f74939c,// invsqrt(1.3257) = 0.8685 +32'h3f4abf5d,32'h3f8cf44c,32'h3f92b520, 32'h3f88a3ad,32'h3f9705bf, 32'h3f8172a4,32'h3f9e36c8,// invsqrt(0.7920) = 1.1237 +32'h3fd87769,32'h3f40eb59,32'h3f48cb29, 32'h3f3b037e,32'h3f4eb304, 32'h3f312bba,32'h3f588ac8,// invsqrt(1.6911) = 0.7690 +32'h404d7520,32'h3f0c0587,32'h3f11bc9d, 32'h3f07bc38,32'h3f1605ec, 32'h3f00975d,32'h3f1d2ac7,// invsqrt(3.2103) = 0.5581 +32'h40508bd8,32'h3f0afb16,32'h3f10a74c, 32'h3f06b9ef,32'h3f14e873, 32'h3eff4558,32'h3f1bffb6,// invsqrt(3.2585) = 0.5540 +32'h40176dca,32'h3f231962,32'h3f29c19a, 32'h3f1e1b38,32'h3f2ebfc4, 32'h3f15c8f1,32'h3f37120b,// invsqrt(2.3661) = 0.6501 +32'h3f5cd46a,32'h3f870f66,32'h3f8c92a4, 32'h3f82ecf8,32'h3f90b512, 32'h3f7811d6,32'h3f97991f,// invsqrt(0.8626) = 1.0767 +32'h400f709f,32'h3f27945d,32'h3f2e6b66, 32'h3f227316,32'h3f338cac, 32'h3f19e64a,32'h3f3c1978,// invsqrt(2.2412) = 0.6680 +32'h3f8348dd,32'h3f77b8c3,32'h3f80ea9a, 32'h3f70236e,32'h3f84b544, 32'h3f637fe2,32'h3f8b070a,// invsqrt(1.0257) = 0.9874 +32'h3f3e3502,32'h3f9186d6,32'h3f977772, 32'h3f8d1262,32'h3f9bebe6, 32'h3f85a5a0,32'h3fa358a8,// invsqrt(0.7430) = 1.1601 +32'h3d7b4311,32'h407d3bff,32'h4083c905, 32'h40757b77,32'h4087a948, 32'h40688fea,32'h408e1f0f,// invsqrt(0.0613) = 4.0375 +32'h3fcb26b0,32'h3f47242e,32'h3f4f4501, 32'h3f410b90,32'h3f555da0, 32'h3f36e289,32'h3f5f86a7,// invsqrt(1.5871) = 0.7938 +32'h3fd88ec5,32'h3f40e0f1,32'h3f48c053, 32'h3f3af967,32'h3f4ea7dd, 32'h3f31222b,32'h3f587f19,// invsqrt(1.6919) = 0.7688 +32'h3fbea873,32'h3f4d8ff4,32'h3f55f3de, 32'h3f474504,32'h3f5c3ece, 32'h3f3cc81e,32'h3f66bbb4,// invsqrt(1.4895) = 0.8194 +32'h3da42b2e,32'h405d86cf,32'h40669189, 32'h4056bec3,32'h406d5995, 32'h404b715b,32'h4078a6fd,// invsqrt(0.0802) = 3.5320 +32'h3f850905,32'h3f761621,32'h3f8010be, 32'h3f6e8d9d,32'h3f83d4ff, 32'h3f61ff6d,32'h3f8a1c18,// invsqrt(1.0393) = 0.9809 +32'h3f281787,32'h3f9acddd,32'h3fa11f69, 32'h3f9610b4,32'h3fa5dc92, 32'h3f8e2ac6,32'h3fadc280,// invsqrt(0.6566) = 1.2341 +32'h40cbaced,32'h3ec6e284,32'h3ecf00a8, 32'h3ec0cbe8,32'h3ed51744, 32'h3eb6a63a,32'h3edf3cf2,// invsqrt(6.3649) = 0.3964 +32'h402cafd8,32'h3f18bb0f,32'h3f1ef6f1, 32'h3f140e26,32'h3f23a3da, 32'h3f0c434d,32'h3f2b6eb3,// invsqrt(2.6982) = 0.6088 +32'h41514b23,32'h3e8abb84,32'h3e906520, 32'h3e867c4e,32'h3e94a456, 32'h3e7ed094,32'h3e9bb85a,// invsqrt(13.0808) = 0.2765 +32'h3da86c7e,32'h405ab5d8,32'h4063a325, 32'h405403df,32'h406a551f, 32'h4048db3f,32'h40757dbf,// invsqrt(0.0822) = 3.4871 +32'h40bbb10b,32'h3ecf2e2e,32'h3ed7a300, 32'h3ec8d68f,32'h3eddfa9f, 32'h3ebe4488,32'h3ee88ca6,// invsqrt(5.8654) = 0.4129 +32'h3f81b6cd,32'h3f793787,32'h3f81b1cb, 32'h3f71967b,32'h3f858251, 32'h3f64df67,32'h3f8bdddb,// invsqrt(1.0134) = 0.9934 +32'h3f686008,32'h3f83a988,32'h3f890944, 32'h3f7f4375,32'h3f8d1112, 32'h3f71d41e,32'h3f93c8bd,// invsqrt(0.9077) = 1.0496 +32'h3e4dc39c,32'h400bead0,32'h4011a0ce, 32'h4007a251,32'h4015e94d, 32'h40007ed4,32'h401d0cca,// invsqrt(0.2009) = 2.2308 +32'h40003765,32'h3f313fcb,32'h3f387bdd, 32'h3f2bd2bd,32'h3f3de8eb, 32'h3f22c7a5,32'h3f46f403,// invsqrt(2.0034) = 0.7065 +32'h428444a8,32'h3df6cc89,32'h3e006fab, 32'h3def3e70,32'h3e0436b7, 32'h3de2a6f1,32'h3e0a8277,// invsqrt(66.1341) = 0.1230 +32'h3ea2a0bf,32'h3fde92d2,32'h3fe7a87c, 32'h3fd7c292,32'h3fee78bc, 32'h3fcc677d,32'h3ff9d3d1,// invsqrt(0.3176) = 1.7743 +32'h3f136a93,32'h3fa54dd1,32'h3fac0d13, 32'h3fa03e60,32'h3fb11c84, 32'h3f97cf4d,32'h3fb98b97,// invsqrt(0.5758) = 1.3178 +32'h3f363af4,32'h3f94ad7c,32'h3f9abf04, 32'h3f902056,32'h3f9f4c2a, 32'h3f888a6d,32'h3fa6e213,// invsqrt(0.7118) = 1.1852 +32'h3e80bb52,32'h3ffa2a7d,32'h4002303c, 32'h3ff28202,32'h4006047a, 32'h3fe5be88,32'h400c6637,// invsqrt(0.2514) = 1.9943 +32'h3f5e0fcd,32'h3f86af5b,32'h3f8c2ead, 32'h3f828fdd,32'h3f904e2b, 32'h3f77616e,32'h3f972d51,// invsqrt(0.8674) = 1.0737 +32'h3f948406,32'h3f68e881,32'h3f726a29, 32'h3f61c744,32'h3f798b66, 32'h3f55e533,32'h3f82b6bc,// invsqrt(1.1603) = 0.9284 +32'h3f13c8d4,32'h3fa51911,32'h3fabd62c, 32'h3fa00b3d,32'h3fb0e401, 32'h3f979edc,32'h3fb95062,// invsqrt(0.5773) = 1.3162 +32'h3fb522c8,32'h3f52e56f,32'h3f5b8115, 32'h3f4c70b2,32'h3f61f5d2, 32'h3f41ae22,32'h3f6cb862,// invsqrt(1.4151) = 0.8406 +32'h3f042cd9,32'h3fae9319,32'h3fb5b339, 32'h3fa93b01,32'h3fbb0b51, 32'h3fa052d8,32'h3fc3f37a,// invsqrt(0.5163) = 1.3917 +32'h3f9c9232,32'h3f62d674,32'h3f6c18ae, 32'h3f5be4ca,32'h3f730a58, 32'h3f505202,32'h3f7e9d20,// invsqrt(1.2232) = 0.9042 +32'h4084e8ab,32'h3ef63413,32'h3f002053, 32'h3eeeaaa4,32'h3f03e50a, 32'h3ee21aec,32'h3f0a2ce6,// invsqrt(4.1534) = 0.4907 +32'h4002cd70,32'h3f2f7cfd,32'h3f36a6aa, 32'h3f2a1dbd,32'h3f3c05eb, 32'h3f2129a5,32'h3f44fa03,// invsqrt(2.0438) = 0.6995 +32'h3e916131,32'h3feb682b,32'h3ff503ef, 32'h3fe43359,32'h3ffc38c1, 32'h3fd830a5,32'h40041dba,// invsqrt(0.2839) = 1.8766 +32'h3d667ec8,32'h408432b3,32'h40899809, 32'h408026b3,32'h408da409, 32'h4072d010,32'h409462b4,// invsqrt(0.0563) = 4.2155 +32'h4083bb8d,32'h3ef74cd6,32'h3f00b26f, 32'h3eefbacf,32'h3f047b72, 32'h3ee31cc4,32'h3f0aca78,// invsqrt(4.1166) = 0.4929 +32'h3f963eb7,32'h3f679062,32'h3f7103fe, 32'h3f6079ae,32'h3f781ab2, 32'h3f54a92b,32'h3f81f59a,// invsqrt(1.1738) = 0.9230 +32'h3f22ca87,32'h3f9d4de8,32'h3fa3b994, 32'h3f987d28,32'h3fa88a54, 32'h3f907691,32'h3fb090eb,// invsqrt(0.6359) = 1.2540 +32'h400e504c,32'h3f283dc9,32'h3f2f1bbd, 32'h3f231753,32'h3f344233, 32'h3f1a81e3,32'h3f3cd7a3,// invsqrt(2.2237) = 0.6706 +32'h3ff38800,32'h3f35e237,32'h3f3d4eb7, 32'h3f3050d7,32'h3f42e017, 32'h3f270938,32'h3f4c27b6,// invsqrt(1.9026) = 0.7250 +32'h3fc8a4b2,32'h3f4861c9,32'h3f508f93, 32'h3f423f72,32'h3f56b1ea, 32'h3f380636,32'h3f60eb26,// invsqrt(1.5675) = 0.7987 +32'h3f0234f7,32'h3fafe39f,32'h3fb7117b, 32'h3faa8139,32'h3fbc73e1, 32'h3fa187e5,32'h3fc56d35,// invsqrt(0.5086) = 1.4022 +32'h3f682073,32'h3f83bb8f,32'h3f891c07, 32'h3f7f6668,32'h3f8d2462, 32'h3f71f53a,32'h3f93dcf9,// invsqrt(0.9067) = 1.0502 +32'h3f2783db,32'h3f9b120a,32'h3fa1665e, 32'h3f9652cb,32'h3fa6259d, 32'h3f8e6962,32'h3fae0f06,// invsqrt(0.6544) = 1.2362 +32'h40ba45f6,32'h3ecff7b6,32'h3ed874c3, 32'h3ec999ed,32'h3eded28d, 32'h3ebefd9d,32'h3ee96edd,// invsqrt(5.8210) = 0.4145 +32'h3e7e8ae9,32'h3ffb98e1,32'h4002eee8, 32'h3ff3e52d,32'h4006c8c1, 32'h3fe70f02,32'h400d33d7,// invsqrt(0.2486) = 2.0057 +32'h3f5bf8e8,32'h3f8752b9,32'h3f8cd8b6, 32'h3f832e3b,32'h3f90fd33, 32'h3f788d7d,32'h3f97e4b0,// invsqrt(0.8593) = 1.0788 +32'h3f1f5742,32'h3f9eff90,32'h3fa57cee, 32'h3f9a2189,32'h3faa5af5, 32'h3f9204d2,32'h3fb277ac,// invsqrt(0.6224) = 1.2675 +32'h3fac7dc0,32'h3f581dc6,32'h3f60eff8, 32'h3f518021,32'h3f678d9d, 32'h3f467962,32'h3f72945c,// invsqrt(1.3476) = 0.8614 +32'h3edaa115,32'h3fbff675,32'h3fc7cc46, 32'h3fba1619,32'h3fcdaca3, 32'h3fb04ad5,32'h3fd777e7,// invsqrt(0.4270) = 1.5303 +32'h3db98400,32'h40506452,32'h4058e5ce, 32'h404a0336,32'h405f46ea, 32'h403f615b,32'h4069e8c5,// invsqrt(0.0906) = 3.3226 +32'h3f176cbb,32'h3fa319f4,32'h3fa9c232, 32'h3f9e1bc5,32'h3faec061, 32'h3f95c978,32'h3fb712af,// invsqrt(0.5915) = 1.3002 +32'h3e2790e1,32'h401b0c03,32'h40216019, 32'h40164cf4,32'h40261f28, 32'h400e63d9,32'h402e0843,// invsqrt(0.1636) = 2.4720 +32'h402b3575,32'h3f196379,32'h3f1fa63a, 32'h3f14b168,32'h3f24584a, 32'h3f0cddf6,32'h3f2c2bbc,// invsqrt(2.6751) = 0.6114 +32'h3fa7df9e,32'h3f5b118a,32'h3f640294, 32'h3f545cc1,32'h3f6ab75d, 32'h3f492f74,32'h3f75e4aa,// invsqrt(1.3115) = 0.8732 +32'h3ef06fb2,32'h3fb70cf0,32'h3fbe85a0, 32'h3fb1726b,32'h3fc42025, 32'h3fa81b8e,32'h3fcd7702,// invsqrt(0.4696) = 1.4593 +32'h3f9c5ddd,32'h3f62fc67,32'h3f6c402c, 32'h3f5c0992,32'h3f733300, 32'h3f5074db,32'h3f7ec7b7,// invsqrt(1.2216) = 0.9048 +32'h3fd7d396,32'h3f413483,32'h3f49174f, 32'h3f3b4a6a,32'h3f4f0168, 32'h3f316eeb,32'h3f58dce7,// invsqrt(1.6861) = 0.7701 +32'h4080c9f3,32'h3efa1c48,32'h3f0228d7, 32'h3ef2743b,32'h3f05fcdd, 32'h3ee5b17c,32'h3f0c5e3d,// invsqrt(4.0247) = 0.4985 +32'h3e6a76ed,32'h40031303,32'h40086c9b, 32'h3ffe1fa3,32'h400c6fcd, 32'h3ff0bfa8,32'h40131fca,// invsqrt(0.2290) = 2.0898 +32'h3f039845,32'h3faef58b,32'h3fb619b0, 32'h3fa99a6f,32'h3fbb74cb, 32'h3fa0ad40,32'h3fc461fa,// invsqrt(0.5140) = 1.3948 +32'h3f9a8e1e,32'h3f644ff2,32'h3f6da194, 32'h3f5d52b9,32'h3f749ecd, 32'h3f51acb0,32'h3f80226b,// invsqrt(1.2075) = 0.9100 +32'h3ff59433,32'h3f351fb1,32'h3f3c8440, 32'h3f2f9445,32'h3f420fab, 32'h3f265692,32'h3f4b4d5e,// invsqrt(1.9186) = 0.7220 +32'h3f3d9e08,32'h3f91c0ba,32'h3f97b3b2, 32'h3f8d4a80,32'h3f9c29ec, 32'h3f85daca,32'h3fa399a2,// invsqrt(0.7407) = 1.1619 +32'h3ebf1b61,32'h3fcd521b,32'h3fd5b37f, 32'h3fc70910,32'h3fdbfc8a, 32'h3fbc8f52,32'h3fe67648,// invsqrt(0.3733) = 1.6368 +32'h3e85322c,32'h3ff5f01a,32'h3ffff9e7, 32'h3fee68c1,32'h4003c0a1, 32'h3fe1dc81,32'h400a06c1,// invsqrt(0.2601) = 1.9606 +32'h3ede435e,32'h3fbe6312,32'h3fc6286c, 32'h3fb88f0f,32'h3fcbfc6f, 32'h3faed85f,32'h3fd5b31f,// invsqrt(0.4341) = 1.5178 +32'h3df6b5ed,32'h4034b537,32'h403c156e, 32'h402f2d0f,32'h40419d97, 32'h4025f4cb,32'h404ad5db,// invsqrt(0.1205) = 2.8812 +32'h3e84a319,32'h3ff6749c,32'h400041e8, 32'h3feee933,32'h4004079c, 32'h3fe25631,32'h400a511e,// invsqrt(0.2591) = 1.9647 +32'h3e9bfc44,32'h3fe3435e,32'h3fec8a0a, 32'h3fdc4e5e,32'h3ff37f0a, 32'h3fd0b608,32'h3fff1760,// invsqrt(0.3047) = 1.8117 +32'h3f990c96,32'h3f656ecd,32'h3f6ecc24, 32'h3f5e68cd,32'h3f75d225, 32'h3f52b420,32'h3f80c369,// invsqrt(1.1957) = 0.9145 +32'h3e19abbb,32'h4021e7b0,32'h4028836e, 32'h401cf2e1,32'h402d783d, 32'h4014b034,32'h4035baea,// invsqrt(0.1501) = 2.5814 +32'h3ea0336f,32'h3fe040ce,32'h3fe96806, 32'h3fd96365,32'h3ff0456f, 32'h3fcdf25f,32'h3ffbb675,// invsqrt(0.3129) = 1.7877 +32'h3f3c032d,32'h3f925fa5,32'h3f985919, 32'h3f8de48d,32'h3f9cd431, 32'h3f866cbc,32'h3fa44c02,// invsqrt(0.7344) = 1.1669 +32'h3dfafdb7,32'h4033290c,32'h403a7917, 32'h402dad04,32'h403ff520, 32'h402488f7,32'h4049192d,// invsqrt(0.1226) = 2.8565 +32'h3fbba638,32'h3f4f3427,32'h3f57a939, 32'h3f48dc5a,32'h3f5e0106, 32'h3f3e4a05,32'h3f68935b,// invsqrt(1.4660) = 0.8259 +32'h3f68e525,32'h3f8383e2,32'h3f88e216, 32'h3f7efa78,32'h3f8ce8bc, 32'h3f718ef9,32'h3f939e7c,// invsqrt(0.9097) = 1.0484 +32'h3f593218,32'h3f882f74,32'h3f8dbe74, 32'h3f840435,32'h3f91e9b3, 32'h3f7a22ea,32'h3f98dc73,// invsqrt(0.8484) = 1.0857 +32'h3f401382,32'h3f90d120,32'h3f96ba51, 32'h3f8c623d,32'h3f9b2935, 32'h3f84fec0,32'h3fa28cb2,// invsqrt(0.7503) = 1.1545 +32'h41b02251,32'h3e55dea8,32'h3e5e9960, 32'h3e4f529d,32'h3e65256b, 32'h3e446937,32'h3e700ed1,// invsqrt(22.0168) = 0.2131 +32'h3fe41649,32'h3f3bf0ce,32'h3f439c98, 32'h3f362ff7,32'h3f495d6f, 32'h3f2c993b,32'h3f52f42b,// invsqrt(1.7819) = 0.7491 +32'h4318788f,32'h3da28a75,32'h3da92cd7, 32'h3d9d90aa,32'h3dae26a2, 32'h3d9545af,32'h3db6719d,// invsqrt(152.4709) = 0.0810 +32'h40ff348e,32'h3eb1acc9,32'h3eb8ed4f, 32'h3eac3c65,32'h3ebe5db3, 32'h3ea32bbe,32'h3ec76e5a,// invsqrt(7.9752) = 0.3541 +32'h3eccf63c,32'h3fc6427e,32'h3fce5a1a, 32'h3fc030c8,32'h3fd46bd0, 32'h3fb61344,32'h3fde8954,// invsqrt(0.4003) = 1.5805 +32'h409fab6c,32'h3ee0a03d,32'h3ee9cb59, 32'h3ed9bfe7,32'h3ef0abaf, 32'h3ece4a04,32'h3efc2193,// invsqrt(4.9897) = 0.4477 +32'h3faa30f8,32'h3f59925a,32'h3f6273c0, 32'h3f52e94c,32'h3f691cce, 32'h3f47cf8c,32'h3f74368e,// invsqrt(1.3296) = 0.8672 +32'h3f574eff,32'h3f88c7e7,32'h3f8e5d1f, 32'h3f8497fc,32'h3f928d0a, 32'h3f7b3aec,32'h3f998790,// invsqrt(0.8410) = 1.0904 +32'h40170fe3,32'h3f234c0b,32'h3f29f655, 32'h3f1e4c54,32'h3f2ef60c, 32'h3f15f778,32'h3f374ae8,// invsqrt(2.3603) = 0.6509 +32'h3f918bcd,32'h3f6b45b4,32'h3f74e00e, 32'h3f6411ef,32'h3f7c13d3, 32'h3f5810fe,32'h3f840a62,// invsqrt(1.1371) = 0.9378 +32'h40865ffa,32'h3ef4db4f,32'h3efed9cf, 32'h3eed5c6e,32'h3f032c58, 32'h3ee0de4e,32'h3f096b68,// invsqrt(4.1992) = 0.4880 +32'h3f497045,32'h3f8d6958,32'h3f932ef4, 32'h3f891524,32'h3f978328, 32'h3f81de22,32'h3f9eba2a,// invsqrt(0.7869) = 1.1273 +32'h3f4b18f9,32'h3f8cd530,32'h3f9294be, 32'h3f888584,32'h3f96e46a, 32'h3f815612,32'h3f9e13dc,// invsqrt(0.7933) = 1.1227 +32'h40642aa4,32'h3f04def6,32'h3f0a4b54, 32'h3f00cdb0,32'h3f0e5c9a, 32'h3ef40c76,32'h3f15240f,// invsqrt(3.5651) = 0.5296 +32'h3e2a3540,32'h4019d6bf,32'h40201e35, 32'h40152127,32'h4024d3cd, 32'h400d47d4,32'h402cad20,// invsqrt(0.1662) = 2.4528 +32'h3f891236,32'h3f726fbf,32'h3f7c54f5, 32'h3f6b03d6,32'h3f81e06f, 32'h3f5ea551,32'h3f880fb2,// invsqrt(1.0709) = 0.9663 +32'h3f15a874,32'h3fa40fae,32'h3faac1f4, 32'h3f9f09fa,32'h3fafc7a8, 32'h3f96ab22,32'h3fb82680,// invsqrt(0.5846) = 1.3079 +32'h3f989c9c,32'h3f65c2ea,32'h3f6f23b0, 32'h3f5eba56,32'h3f762c44, 32'h3f53015f,32'h3f80f29e,// invsqrt(1.1923) = 0.9158 +32'h3e965d36,32'h3fe778e6,32'h3ff0eb8c, 32'h3fe062e9,32'h3ff80189, 32'h3fd4939a,32'h4001e86c,// invsqrt(0.2937) = 1.8453 +32'h3f7017df,32'h3f81875a,32'h3f86d0cc, 32'h3f7b208a,32'h3f8ac7e1, 32'h3f6de8ef,32'h3f9163ae,// invsqrt(0.9379) = 1.0326 +32'h3f050d0f,32'h3fadffc3,32'h3fb519e0, 32'h3fa8ac2e,32'h3fba6d76, 32'h3f9fcb8a,32'h3fc34e1a,// invsqrt(0.5197) = 1.3871 +32'h3d233ba7,32'h409d175d,32'h40a380cf, 32'h40984848,32'h40a84fe4, 32'h4090447a,32'h40b053b2,// invsqrt(0.0399) = 5.0093 +32'h3f818d0f,32'h3f795faa,32'h3f81c6af, 32'h3f71bd64,32'h3f8597d2, 32'h3f650444,32'h3f8bf462,// invsqrt(1.0121) = 0.9940 +32'h3ea8f7af,32'h3fda5bb0,32'h3fe3454e, 32'h3fd3ac79,32'h3fe9f485, 32'h3fc88872,32'h3ff5188c,// invsqrt(0.3300) = 1.7407 +32'h3fd3f4a7,32'h3f42f61a,32'h3f4aeb40, 32'h3f3cfe3e,32'h3f50e31c, 32'h3f330bcf,32'h3f5ad58b,// invsqrt(1.6559) = 0.7771 +32'h3ec953d1,32'h3fc80a90,32'h3fd034ca, 32'h3fc1eae4,32'h3fd65476, 32'h3fb7b61c,32'h3fe0893e,// invsqrt(0.3932) = 1.5947 +32'h3e6b4c79,32'h4002d77b,32'h40082ea5, 32'h3ffdac38,32'h400c3004, 32'h3ff05250,32'h4012dcf8,// invsqrt(0.2298) = 2.0861 +32'h3e3a3862,32'h40131386,32'h40191452, 32'h400e92ed,32'h401d94eb, 32'h400711ee,32'h402515ea,// invsqrt(0.1819) = 2.3450 +32'h3f02c82f,32'h3faf8084,32'h3fb6aa55, 32'h3faa2127,32'h3fbc09b1, 32'h3fa12ce1,32'h3fc4fdf7,// invsqrt(0.5109) = 1.3991 +32'h3f06af2d,32'h3facf0db,32'h3fb3ffe9, 32'h3fa7a590,32'h3fb94b34, 32'h3f9ed2bf,32'h3fc21e05,// invsqrt(0.5261) = 1.3787 +32'h3fe691e2,32'h3f3aed10,32'h3f428e40, 32'h3f35342c,32'h3f484724, 32'h3f2baab1,32'h3f51d09f,// invsqrt(1.8013) = 0.7451 +32'h3faf98d7,32'h3f563250,32'h3f5ef072, 32'h3f4fa3b6,32'h3f657f0c, 32'h3f44b60a,32'h3f706cb8,// invsqrt(1.3719) = 0.8538 +32'h3fc971b9,32'h3f47fbb6,32'h3f502554, 32'h3f41dc7e,32'h3f56448c, 32'h3f37a878,32'h3f607892,// invsqrt(1.5738) = 0.7971 +32'h4014de51,32'h3f247eeb,32'h3f2b35bb, 32'h3f1f75cf,32'h3f303ed7, 32'h3f17114b,32'h3f38a35b,// invsqrt(2.3261) = 0.6557 +32'h3fa263e3,32'h3f5ebc83,32'h3f67d3e1, 32'h3f57eafc,32'h3f6ea568, 32'h3f4c8dc7,32'h3f7a029d,// invsqrt(1.2687) = 0.8878 +32'h3f6d77fb,32'h3f823e17,32'h3f878efe, 32'h3f7c82d5,32'h3f8b8bac, 32'h3f6f3894,32'h3f9230cc,// invsqrt(0.9276) = 1.0383 +32'h3f8782f9,32'h3f73d3da,32'h3f7dc79a, 32'h3f6c5d0a,32'h3f829f35, 32'h3f5fec5b,32'h3f88d78d,// invsqrt(1.0587) = 0.9719 +32'h4004cd9c,32'h3f2e294f,32'h3f35451e, 32'h3f28d474,32'h3f3a99fa, 32'h3f1ff1b2,32'h3f437cbd,// invsqrt(2.0750) = 0.6942 +32'h3ec144b1,32'h3fcc2b5f,32'h3fd480bb, 32'h3fc5eb59,32'h3fdac0c1, 32'h3fbb80a5,32'h3fe52b75,// invsqrt(0.3775) = 1.6276 +32'h3fb5c047,32'h3f5289fb,32'h3f5b21e5, 32'h3f4c180a,32'h3f6193d6, 32'h3f415a25,32'h3f6c51bb,// invsqrt(1.4199) = 0.8392 +32'h3f958cc5,32'h3f6819fd,32'h3f719337, 32'h3f60ff12,32'h3f78ae22, 32'h3f55278b,32'h3f8242d5,// invsqrt(1.1684) = 0.9251 +32'h3e00220f,32'h40314e8c,32'h40388b39, 32'h402be10b,32'h403df8bb, 32'h4022d533,32'h40470493,// invsqrt(0.1251) = 2.8270 +32'h3ed10f49,32'h3fc44e9a,32'h3fcc51cf, 32'h3fbe4c31,32'h3fd25437, 32'h3fb4482f,32'h3fdc5839,// invsqrt(0.4083) = 1.5649 +32'h3d0c12a4,32'h40a994ed,32'h40b080e2, 32'h40a463f6,32'h40b5b1da, 32'h409bbd04,32'h40be58cc,// invsqrt(0.0342) = 5.4076 +32'h40187d57,32'h3f2287e8,32'h3f292a30, 32'h3f1d8e32,32'h3f2e23e6, 32'h3f154358,32'h3f366ec0,// invsqrt(2.3827) = 0.6478 +32'h3f4b9a00,32'h3f8ca889,32'h3f926645, 32'h3f885a3b,32'h3f96b493, 32'h3f812d10,32'h3f9de1be,// invsqrt(0.7953) = 1.1213 +32'h3fab5eb1,32'h3f58d27c,32'h3f61ac0e, 32'h3f522f4e,32'h3f684f3c, 32'h3f471f58,32'h3f735f32,// invsqrt(1.3388) = 0.8642 +32'h3da0a6ad,32'h405ff050,32'h4069143f, 32'h4059155e,32'h406fef32, 32'h404da874,32'h407b5c1c,// invsqrt(0.0784) = 3.5705 +32'h3da16752,32'h405f6a84,32'h406888fc, 32'h405893aa,32'h406f5fd6, 32'h404d2d93,32'h407ac5ed,// invsqrt(0.0788) = 3.5621 +32'h3eccfcbf,32'h3fc63f57,32'h3fce56d3, 32'h3fc02dba,32'h3fd46870, 32'h3fb61060,32'h3fde85ca,// invsqrt(0.4004) = 1.5804 +32'h3f623611,32'h3f8571a9,32'h3f8ae403, 32'h3f815be5,32'h3f8ef9c7, 32'h3f7519e8,32'h3f95c8b8,// invsqrt(0.8836) = 1.0638 +32'h40425ddb,32'h3f0ff60b,32'h3f15d64b, 32'h3f0b8ddc,32'h3f1a3e7a, 32'h3f04358d,32'h3f2196c9,// invsqrt(3.0370) = 0.5738 +32'h402eae2b,32'h3f17db52,32'h3f1e0e12, 32'h3f133543,32'h3f22b421, 32'h3f0b75d3,32'h3f2a7391,// invsqrt(2.7294) = 0.6053 +32'h402a5480,32'h3f19c8a2,32'h3f200f84, 32'h3f151378,32'h3f24c4ae, 32'h3f0d3ade,32'h3f2c9d48,// invsqrt(2.6614) = 0.6130 +32'h3fe1d074,32'h3f3ce252,32'h3f4497f8, 32'h3f371a16,32'h3f4a6034, 32'h3f2d7708,32'h3f540342,// invsqrt(1.7642) = 0.7529 +32'h408cf418,32'h3eef130d,32'h3ef8d523, 32'h3ee7c17d,32'h3f001359, 32'h3edb8ee2,32'h3f062ca7,// invsqrt(4.4048) = 0.4765 +32'h3f49a8d4,32'h3f8d5582,32'h3f931a4e, 32'h3f8901e9,32'h3f976de7, 32'h3f81cbea,32'h3f9ea3e6,// invsqrt(0.7877) = 1.1267 +32'h4007c839,32'h3f2c3d83,32'h3f33453f, 32'h3f26f7b6,32'h3f388b0c, 32'h3f1e2e0a,32'h3f4154b8,// invsqrt(2.1216) = 0.6865 +32'h3f62c10b,32'h3f8548bd,32'h3f8ab96c, 32'h3f81343a,32'h3f8ecdf0, 32'h3f74cebf,32'h3f959aca,// invsqrt(0.8858) = 1.0625 +32'h3e0a8ece,32'h402a819e,32'h4031773c, 32'h40254968,32'h4036af72, 32'h401c9662,32'h403f6278,// invsqrt(0.1353) = 2.7185 +32'h3e2d430f,32'h40187a1f,32'h401eb359, 32'h4013cf33,32'h40235e45, 32'h400c07a9,32'h402b25cf,// invsqrt(0.1692) = 2.4311 +32'h3fe3459e,32'h3f3c4701,32'h3f43f650, 32'h3f368387,32'h3f49b9cb, 32'h3f2ce865,32'h3f5354ed,// invsqrt(1.7756) = 0.7505 +32'h40046c4f,32'h3f2e6940,32'h3f3587ab, 32'h3f29126f,32'h3f3ade7b, 32'h3f202c69,32'h3f43c481,// invsqrt(2.0691) = 0.6952 +32'h3fcf55fb,32'h3f451f15,32'h3f4d2acd, 32'h3f3f164b,32'h3f533397, 32'h3f3507a6,32'h3f5d423c,// invsqrt(1.6198) = 0.7857 +32'h3f82bf4a,32'h3f783af5,32'h3f812e5b, 32'h3f70a1a4,32'h3f84fb03, 32'h3f63f773,32'h3f8b501c,// invsqrt(1.0215) = 0.9894 +32'h4007edb7,32'h3f2c25c1,32'h3f332c85, 32'h3f26e0ae,32'h3f387198, 32'h3f1e1839,32'h3f413a0d,// invsqrt(2.1239) = 0.6862 +32'h3f841a4d,32'h3f76f416,32'h3f808440, 32'h3f6f64c7,32'h3f844be7, 32'h3f62cb44,32'h3f8a98a9,// invsqrt(1.0321) = 0.9843 +32'h3da024ad,32'h40604b23,32'h406972c6, 32'h40596d68,32'h40705080, 32'h404dfbdc,32'h407bc20c,// invsqrt(0.0782) = 3.5761 +32'h3fa722f5,32'h3f5b8d0b,32'h3f648320, 32'h3f54d47a,32'h3f6b3bb0, 32'h3f49a0e0,32'h3f766f4a,// invsqrt(1.3058) = 0.8751 +32'h3fd7ba40,32'h3f413fdb,32'h3f49231d, 32'h3f3b5569,32'h3f4f0d8f, 32'h3f317956,32'h3f58e9a2,// invsqrt(1.6854) = 0.7703 +32'h3f415b15,32'h3f905640,32'h3f963a6d, 32'h3f8beb1f,32'h3f9aa58f, 32'h3f848de8,32'h3fa202c6,// invsqrt(0.7553) = 1.1506 +32'h3ff31e59,32'h3f3609b8,32'h3f3d77d5, 32'h3f307723,32'h3f430a6b, 32'h3f272d80,32'h3f4c540e,// invsqrt(1.8994) = 0.7256 +32'h4017edc0,32'h3f22d4a4,32'h3f297a0e, 32'h3f1dd895,32'h3f2e761d, 32'h3f1589d0,32'h3f36c4e2,// invsqrt(2.3739) = 0.6490 +32'h3feb7882,32'h3f38f875,32'h3f408536, 32'h3f334ee5,32'h3f462ec7, 32'h3f29def4,32'h3f4f9eb8,// invsqrt(1.8396) = 0.7373 +32'h3eee0547,32'h3fb7fa22,32'h3fbf7c82, 32'h3fb2585b,32'h3fc51e49, 32'h3fa8f563,32'h3fce8141,// invsqrt(0.4649) = 1.4667 +32'h3f324364,32'h3f9652a9,32'h3f9c7561, 32'h3f91b89e,32'h3fa10f6c, 32'h3f8a0d38,32'h3fa8bad2,// invsqrt(0.6963) = 1.1984 +32'h42022615,32'h3e2fedad,32'h3e371bf3, 32'h3e2a8af9,32'h3e3c7ea7, 32'h3e219122,32'h3e45787e,// invsqrt(32.5372) = 0.1753 +32'h3e0a6a73,32'h402a9801,32'h40318e89, 32'h40255f1b,32'h4036c76f, 32'h401caaf1,32'h403f7b99,// invsqrt(0.1352) = 2.7199 +32'h3f3bf9f8,32'h3f92633a,32'h3f985cd4, 32'h3f8de807,32'h3f9cd807, 32'h3f867006,32'h3fa45008,// invsqrt(0.7343) = 1.1670 +32'h3f22b5f5,32'h3f9d57da,32'h3fa3c3ed, 32'h3f9886cb,32'h3fa894fb, 32'h3f907fb3,32'h3fb09c13,// invsqrt(0.6356) = 1.2543 +32'h3ff5a090,32'h3f351b22,32'h3f3c7f81, 32'h3f2f8fda,32'h3f420ac8, 32'h3f265262,32'h3f4b4840,// invsqrt(1.9190) = 0.7219 +32'h3dc88954,32'h40486f75,32'h40509dcd, 32'h40424cb3,32'h4056c08f, 32'h403812c4,32'h4060fa7e,// invsqrt(0.0979) = 3.1957 +32'h3e193bbe,32'h402222ce,32'h4028c0f6, 32'h401d2c30,32'h402db794, 32'h4014e67f,32'h4035fd45,// invsqrt(0.1496) = 2.5851 +32'h3f11acb3,32'h3fa64a0a,32'h3fad1398, 32'h3fa132e0,32'h3fb22ac2, 32'h3f98b6ef,32'h3fbaa6b3,// invsqrt(0.5690) = 1.3256 +32'h3f8b7b65,32'h3f70550a,32'h3f7a2444, 32'h3f68f99f,32'h3f80bfd8, 32'h3f5cb696,32'h3f86e15c,// invsqrt(1.0897) = 0.9580 +32'h3f97f604,32'h3f6640b9,32'h3f6fa6a1, 32'h3f5f344b,32'h3f76b30f, 32'h3f5374e8,32'h3f813939,// invsqrt(1.1872) = 0.9178 +32'h3fbd8dd8,32'h3f4e28f7,32'h3f569321, 32'h3f47d958,32'h3f5ce2c0, 32'h3f3d54a4,32'h3f676774,// invsqrt(1.4809) = 0.8217 +32'h404fbbf6,32'h3f0b408f,32'h3f10ef9a, 32'h3f06fd46,32'h3f1532e2, 32'h3effc4f1,32'h3f1c4db0,// invsqrt(3.2458) = 0.5551 +32'h3f4a44fe,32'h3f8d1ee8,32'h3f92e17a, 32'h3f88ccfb,32'h3f973367, 32'h3f8199c6,32'h3f9e669c,// invsqrt(0.7901) = 1.1250 +32'h3e21376e,32'h401e1216,32'h402485c4, 32'h40193b54,32'h40295c86, 32'h40112abc,32'h40316d1e,// invsqrt(0.1574) = 2.5203 +32'h3f319acc,32'h3f9699f1,32'h3f9cbf93, 32'h3f91fdb8,32'h3fa15bcc, 32'h3f8a4eaf,32'h3fa90ad5,// invsqrt(0.6938) = 1.2006 +32'h40a8ea6e,32'h3eda6441,32'h3ee34e39, 32'h3ed3b4c7,32'h3ee9fdb3, 32'h3ec89050,32'h3ef5222a,// invsqrt(5.2786) = 0.4353 +32'h3f0db0e9,32'h3fa89c4f,32'h3faf7e1d, 32'h3fa372f3,32'h3fb4a779, 32'h3f9ad8b1,32'h3fbd41bb,// invsqrt(0.5535) = 1.3442 +32'h3de889e2,32'h403a2210,32'h4041baf6, 32'h40346f63,32'h40476da3, 32'h402af043,32'h4050ecc3,// invsqrt(0.1135) = 2.9677 +32'h3f045168,32'h3fae7afa,32'h3fb59a1e, 32'h3fa9239f,32'h3fbaf179, 32'h3fa03cb1,32'h3fc3d867,// invsqrt(0.5169) = 1.3909 +32'h3febd143,32'h3f38d5a3,32'h3f4060f8, 32'h3f332d23,32'h3f460977, 32'h3f29bef8,32'h3f4f77a2,// invsqrt(1.8423) = 0.7367 +32'h3c3d3f70,32'h4111e523,32'h4117d997, 32'h410d6dcc,32'h411c50ee, 32'h4105fc3a,32'h4123c280,// invsqrt(0.0116) = 9.3045 +32'h42169320,32'h3e238fa4,32'h3e2a3cb0, 32'h3e1e8ddb,32'h3e2f3e79, 32'h3e16358c,32'h3e3796c8,// invsqrt(37.6437) = 0.1630 +32'h3ead6111,32'h3fd78feb,32'h3fe05c53, 32'h3fd0f69d,32'h3fe6f5a1, 32'h3fc5f71c,32'h3ff1f522,// invsqrt(0.3386) = 1.7184 +32'h3f4e0f49,32'h3f8bd11d,32'h3f91860e, 32'h3f878967,32'h3f95cdc3, 32'h3f806739,32'h3f9ceff1,// invsqrt(0.8049) = 1.1146 +32'h3f71ff12,32'h3f8104b5,32'h3f8648d1, 32'h3f7a233f,32'h3f8a3be6, 32'h3f6cf8f9,32'h3f90d10a,// invsqrt(0.9453) = 1.0285 +32'h3ebe1a44,32'h3fcddcc5,32'h3fd643d3, 32'h3fc78f7b,32'h3fdc911d, 32'h3fbd0eab,32'h3fe711ed,// invsqrt(0.3713) = 1.6411 +32'h3f370651,32'h3f945acb,32'h3f9a68f3, 32'h3f8fd02d,32'h3f9ef391, 32'h3f883e7c,32'h3fa68542,// invsqrt(0.7149) = 1.1827 +32'h43157ae1,32'h3da428af,32'h3daadbfb, 32'h3d9f2237,32'h3dafe273, 32'h3d96c219,32'h3db84291,// invsqrt(149.4800) = 0.0818 +32'h3eba0a9a,32'h3fd018e1,32'h3fd89749, 32'h3fc9ba14,32'h3fdef616, 32'h3fbf1c13,32'h3fe99417,// invsqrt(0.3634) = 1.6589 +32'h40648e91,32'h3f04c1e7,32'h3f0a2d15, 32'h3f00b184,32'h3f0e3d78, 32'h3ef3d716,32'h3f150371,// invsqrt(3.5712) = 0.5292 +32'h401597a0,32'h3f2418e9,32'h3f2acb8f, 32'h3f1f12ec,32'h3f2fd18c, 32'h3f16b39c,32'h3f3830dc,// invsqrt(2.3374) = 0.6541 +32'h40311984,32'h3f16d0df,32'h3f1cf8bf, 32'h3f1232f8,32'h3f2196a6, 32'h3f0a8121,32'h3f29487d,// invsqrt(2.7672) = 0.6011 +32'h4091676e,32'h3eeb631f,32'h3ef4fead, 32'h3ee42e74,32'h3efc3358, 32'h3ed82c02,32'h3f041ae5,// invsqrt(4.5439) = 0.4691 +32'h3f3005f8,32'h3f9746bc,32'h3f9d736b, 32'h3f92a539,32'h3fa214ef, 32'h3f8aed5f,32'h3fa9ccc9,// invsqrt(0.6876) = 1.2060 +32'h3fb0c5e5,32'h3f557b9d,32'h3f5e324b, 32'h3f4ef29b,32'h3f64bb4d, 32'h3f440e42,32'h3f6f9fa6,// invsqrt(1.3810) = 0.8509 +32'h3f4b2170,32'h3f8cd240,32'h3f9291b1, 32'h3f8882ad,32'h3f96e145, 32'h3f815360,32'h3f9e1092,// invsqrt(0.7935) = 1.1226 +32'h3f250ac4,32'h3f9c3a5b,32'h3fa29ac7, 32'h3f97720a,32'h3fa76318, 32'h3f8f7982,32'h3faf5ba0,// invsqrt(0.6447) = 1.2454 +32'h3f25d5f6,32'h3f9bda87,32'h3fa23709, 32'h3f971524,32'h3fa6fc6c, 32'h3f8f2181,32'h3faef00f,// invsqrt(0.6478) = 1.2425 +32'h3f4b665b,32'h3f8cba63,32'h3f9278d9, 32'h3f886b89,32'h3f96c7b3, 32'h3f813d75,32'h3f9df5c7,// invsqrt(0.7945) = 1.1219 +32'h412e6469,32'h3e97fb6b,32'h3e9e2f7a, 32'h3e935460,32'h3ea2d686, 32'h3e8b934e,32'h3eaa9798,// invsqrt(10.8995) = 0.3029 +32'h3dfc7d17,32'h4032a0d4,32'h4039eb50, 32'h402d28f8,32'h403f632c, 32'h40240bdd,32'h40488047,// invsqrt(0.1233) = 2.8480 +32'h3fc88160,32'h3f48736e,32'h3f50a1f0, 32'h3f42508d,32'h3f56c4d1, 32'h3f38166a,32'h3f60fef4,// invsqrt(1.5664) = 0.7990 +32'h3f8d18fa,32'h3f6ef3cc,32'h3f78b49a, 32'h3f67a330,32'h3f80029b, 32'h3f5b722e,32'h3f861b1c,// invsqrt(1.1023) = 0.9525 +32'h3fc9739c,32'h3f47fac6,32'h3f50245c, 32'h3f41db97,32'h3f56438b, 32'h3f37a79c,32'h3f607786,// invsqrt(1.5738) = 0.7971 +32'h3edaa5c2,32'h3fbff468,32'h3fc7ca24, 32'h3fba141c,32'h3fcdaa70, 32'h3fb048f2,32'h3fd7759a,// invsqrt(0.4270) = 1.5303 +32'h4075b9de,32'h3f000917,32'h3f0542ef, 32'h3ef83b6c,32'h3f092e50, 32'h3eeb2ad2,32'h3f0fb69d,// invsqrt(3.8395) = 0.5103 +32'h3f2c7956,32'h3f98d330,32'h3f9f100d, 32'h3f942589,32'h3fa3bdb3, 32'h3f8c5975,32'h3fab89c7,// invsqrt(0.6737) = 1.2183 +32'h3fcecd82,32'h3f456015,32'h3f4d6e74, 32'h3f3f554e,32'h3f53793c, 32'h3f354358,32'h3f5d8b32,// invsqrt(1.6156) = 0.7867 +32'h3f873eeb,32'h3f74112b,32'h3f7e076b, 32'h3f6c987a,32'h3f82c00e, 32'h3f6024aa,32'h3f88f9f6,// invsqrt(1.0566) = 0.9728 +32'h3fa778af,32'h3f5b54d2,32'h3f64489c, 32'h3f549dfb,32'h3f6aff73, 32'h3f496d3e,32'h3f763030,// invsqrt(1.3084) = 0.8742 +32'h3f5884e8,32'h3f8865e0,32'h3f8df718, 32'h3f8438f6,32'h3f922402, 32'h3f7a86df,32'h3f991988,// invsqrt(0.8458) = 1.0874 +32'h3e94f35a,32'h3fe89167,32'h3ff20f80, 32'h3fe172d4,32'h3ff92e12, 32'h3fd59534,32'h400285d9,// invsqrt(0.2909) = 1.8540 +32'h3f397e6f,32'h3f935d2b,32'h3f9960f9, 32'h3f8eda51,32'h3f9de3d3, 32'h3f875590,32'h3fa56894,// invsqrt(0.7246) = 1.1748 +32'h40828087,32'h3ef8769e,32'h3f014d67, 32'h3ef0db7a,32'h3f051af9, 32'h3ee42e3d,32'h3f0b7197,// invsqrt(4.0782) = 0.4952 +32'h3f9bea60,32'h3f635068,32'h3f6c979b, 32'h3f5c5b01,32'h3f738d01, 32'h3f50c201,32'h3f7f2601,// invsqrt(1.2181) = 0.9061 +32'h3fdbd96a,32'h3f3f6de9,32'h3f473e27, 32'h3f3991bb,32'h3f4d1a55, 32'h3f2fcd6e,32'h3f56dea2,// invsqrt(1.7176) = 0.7630 +32'h3ff3ca1e,32'h3f35c98c,32'h3f3d350a, 32'h3f3038ee,32'h3f42c5a8, 32'h3f26f290,32'h3f4c0c06,// invsqrt(1.9046) = 0.7246 +32'h3f94d72b,32'h3f68a76b,32'h3f72266a, 32'h3f61882b,32'h3f7945a9, 32'h3f55a96c,32'h3f829234,// invsqrt(1.1628) = 0.9274 +32'h3f249e38,32'h3f9c6dd4,32'h3fa2d05a, 32'h3f97a3ef,32'h3fa79a3f, 32'h3f8fa8c8,32'h3faf9566,// invsqrt(0.6430) = 1.2470 +32'h40b1d75a,32'h3ed4d73c,32'h3edd8735, 32'h3ece5343,32'h3ee40b2f, 32'h3ec3774d,32'h3eeee725,// invsqrt(5.5575) = 0.4242 +32'h40cca03b,32'h3ec66c23,32'h3ece8573, 32'h3ec05927,32'h3ed4986f, 32'h3eb63984,32'h3edeb813,// invsqrt(6.3946) = 0.3955 +32'h40abd09a,32'h3ed88a91,32'h3ee16133, 32'h3ed1e997,32'h3ee8022d, 32'h3ec6dd4b,32'h3ef30e79,// invsqrt(5.3692) = 0.4316 +32'h3eeb30ce,32'h3fb914a5,32'h3fc0a28d, 32'h3fb36a38,32'h3fc64cfa, 32'h3fa9f8d6,32'h3fcfbe5c,// invsqrt(0.4594) = 1.4755 +32'h40bb82aa,32'h3ecf47cb,32'h3ed7bdaa, 32'h3ec8ef65,32'h3ede1611, 32'h3ebe5c0f,32'h3ee8a967,// invsqrt(5.8597) = 0.4131 +32'h40129815,32'h3f25c454,32'h3f2c886c, 32'h3f20b142,32'h3f319b7e, 32'h3f183c23,32'h3f3a109d,// invsqrt(2.2905) = 0.6607 +32'h3fef66d0,32'h3f377218,32'h3f3eeeea, 32'h3f31d47b,32'h3f448c87, 32'h3f287874,32'h3f4de88e,// invsqrt(1.8703) = 0.7312 +32'h4012a0a1,32'h3f25bf7f,32'h3f2c8365, 32'h3f20ac93,32'h3f319651, 32'h3f1837b3,32'h3f3a0b31,// invsqrt(2.2911) = 0.6607 +32'h3e266b7a,32'h401b9474,32'h4021ee1b, 32'h4016d138,32'h4026b158, 32'h400ee127,32'h402ea169,// invsqrt(0.1625) = 2.4805 +32'h3e18f5b0,32'h402247eb,32'h4028e797, 32'h401d502b,32'h402ddf57, 32'h40150894,32'h403626ee,// invsqrt(0.1494) = 2.5874 +32'h3ed892f2,32'h3fc0df15,32'h3fc8be65, 32'h3fbaf79a,32'h3fcea5e0, 32'h3fb12077,32'h3fd87d03,// invsqrt(0.4230) = 1.5376 +32'h3f324d35,32'h3f964e86,32'h3f9c7113, 32'h3f91b49b,32'h3fa10afd, 32'h3f8a096b,32'h3fa8b62d,// invsqrt(0.6965) = 1.1982 +32'h3dcc1cdd,32'h4046abf3,32'h404ec7dd, 32'h40409703,32'h4054dccd, 32'h4036741e,32'h405effb2,// invsqrt(0.0997) = 3.1676 +32'h3fdc9cdf,32'h3f3f190a,32'h3f46e5d0, 32'h3f393f74,32'h3f4cbf66, 32'h3f2f7f7c,32'h3f567f5e,// invsqrt(1.7235) = 0.7617 +32'h3f498a30,32'h3f8d6040,32'h3f93257c, 32'h3f890c53,32'h3f977969, 32'h3f81d5c8,32'h3f9eaff4,// invsqrt(0.7873) = 1.1270 +32'h3fa82a58,32'h3f5ae0d8,32'h3f63cfe6, 32'h3f542d8d,32'h3f6a8331, 32'h3f4902bc,32'h3f75ae02,// invsqrt(1.3138) = 0.8724 +32'h3eba8b5d,32'h3fcfd103,32'h3fd84c7b, 32'h3fc97469,32'h3fdea915, 32'h3fbeda12,32'h3fe9436c,// invsqrt(0.3643) = 1.6567 +32'h3ec3b9cc,32'h3fcae236,32'h3fd32a24, 32'h3fc4ac44,32'h3fd96016, 32'h3fba525c,32'h3fe3b9fe,// invsqrt(0.3823) = 1.6174 +32'h3fa1cc9e,32'h3f5f2489,32'h3f684027, 32'h3f584fd4,32'h3f6f14dc, 32'h3f4ced4f,32'h3f7a7761,// invsqrt(1.2641) = 0.8894 +32'h3f5cb87d,32'h3f8717f1,32'h3f8c9b88, 32'h3f82f540,32'h3f90be3a, 32'h3f782187,32'h3f97a2b6,// invsqrt(0.8622) = 1.0770 +32'h3e20b511,32'h401e5226,32'h4024c871, 32'h4019796f,32'h4029a129, 32'h40116591,32'h4031b507,// invsqrt(0.1569) = 2.5242 +32'h3f94ed56,32'h3f689619,32'h3f721463, 32'h3f617761,32'h3f79331b, 32'h3f559985,32'h3f82887c,// invsqrt(1.1635) = 0.9271 +32'h3f06c6ae,32'h3face1c6,32'h3fb3f036, 32'h3fa796f1,32'h3fb93b0b, 32'h3f9ec4e5,32'h3fc20d17,// invsqrt(0.5265) = 1.3782 +32'h3fde6771,32'h3f3e53a1,32'h3f461859, 32'h3f388017,32'h3f4bebe3, 32'h3f2eca30,32'h3f55a1ca,// invsqrt(1.7375) = 0.7586 +32'h40011f9b,32'h3f30a022,32'h3f37d5b0, 32'h3f2b37f7,32'h3f3d3ddb, 32'h3f223505,32'h3f4640cd,// invsqrt(2.0176) = 0.7040 +32'h3fc09755,32'h3f4c872e,32'h3f54e04a, 32'h3f464459,32'h3f5b231f, 32'h3f3bd4f6,32'h3f659282,// invsqrt(1.5046) = 0.8152 +32'h3fa5a0ef,32'h3f5c8c50,32'h3f658cd0, 32'h3f55cbef,32'h3f6c4d31, 32'h3f4a8b4e,32'h3f778dd2,// invsqrt(1.2940) = 0.8791 +32'h3f846f8d,32'h3f76a48e,32'h3f805adc, 32'h3f6f17ae,32'h3f84214c, 32'h3f628239,32'h3f8a6c06,// invsqrt(1.0347) = 0.9831 +32'h40c4d198,32'h3eca51cd,32'h3ed293d5, 32'h3ec42047,32'h3ed8c55b, 32'h3eb9cdbc,32'h3ee317e6,// invsqrt(6.1506) = 0.4032 +32'h3f7669b8,32'h3f7fb6bf,32'h3f851359, 32'h3f77e2c8,32'h3f88fd54, 32'h3f6ad6d9,32'h3f8f834c,// invsqrt(0.9626) = 1.0193 +32'h3e63f4d9,32'h4004eea3,32'h400a5ba4, 32'h4000dce1,32'h400e6d65, 32'h3ff4293f,32'h401535a6,// invsqrt(0.2226) = 2.1195 +32'h40df748b,32'h3ebde0e4,32'h3ec5a0ee, 32'h3eb810dd,32'h3ecb70f5, 32'h3eae60d2,32'h3ed52100,// invsqrt(6.9830) = 0.3784 +32'h3e73501b,32'h4000ab3a,32'h4005ebb0, 32'h3ff975c5,32'h4009dc08, 32'h3fec549f,32'h40106c9a,// invsqrt(0.2376) = 2.0515 +32'h3fb5641e,32'h3f52bf70,32'h3f5b598a, 32'h3f4c4bdd,32'h3f61cd1d, 32'h3f418b3d,32'h3f6c8dbd,// invsqrt(1.4171) = 0.8400 +32'h3ef73d05,32'h3fb483d2,32'h3fbbe204, 32'h3faefd2c,32'h3fc168aa, 32'h3fa5c76d,32'h3fca9e69,// invsqrt(0.4829) = 1.4391 +32'h3f885fa2,32'h3f730e47,32'h3f7cf9f6, 32'h3f6b9d83,32'h3f82355d, 32'h3f5f36e8,32'h3f8868aa,// invsqrt(1.0654) = 0.9688 +32'h3fd96cb6,32'h3f407e66,32'h3f4859c4, 32'h3f3a99e1,32'h3f4e3e49, 32'h3f30c7ac,32'h3f58107e,// invsqrt(1.6986) = 0.7673 +32'h400c3c3c,32'h3f297bc5,32'h3f3066b3, 32'h3f244b93,32'h3f3596e5, 32'h3f1ba5e9,32'h3f3e3c8f,// invsqrt(2.1912) = 0.6756 +32'h4149368f,32'h3e8d7d9e,32'h3e93440d, 32'h3e8928cb,32'h3e9798e1, 32'h3e81f0c1,32'h3e9ed0eb,// invsqrt(12.5758) = 0.2820 +32'h3f1249cd,32'h3fa5f0a8,32'h3facb690, 32'h3fa0dc3b,32'h3fb1cafd, 32'h3f9864d9,32'h3fba425f,// invsqrt(0.5714) = 1.3229 +32'h3f2d6a08,32'h3f9868fc,32'h3f9ea183, 32'h3f93be95,32'h3fa34be9, 32'h3f8bf7ec,32'h3fab1292,// invsqrt(0.6774) = 1.2150 +32'h3ee1f138,32'h3fbcd4a0,32'h3fc489b6, 32'h3fb70ccf,32'h3fca5187, 32'h3fad6a74,32'h3fd3f3e3,// invsqrt(0.4413) = 1.5053 +32'h413cc8eb,32'h3e9212e8,32'h3e98093a, 32'h3e8d9a2a,32'h3e9c81f8, 32'h3e862642,32'h3ea3f5e0,// invsqrt(11.7991) = 0.2911 +32'h407e41b3,32'h3efbbd17,32'h3f0301c0, 32'h3ef40848,32'h3f06dc28, 32'h3ee73044,32'h3f0d482a,// invsqrt(3.9728) = 0.5017 +32'h406e25cf,32'h3f020e86,32'h3f075d7c, 32'h3efc269c,32'h3f0b58b4, 32'h3eeee135,32'h3f11fb68,// invsqrt(3.7211) = 0.5184 +32'h3f8d4266,32'h3f6ed0c0,32'h3f789021, 32'h3f678138,32'h3f7fdfaa, 32'h3f5b51ff,32'h3f860771,// invsqrt(1.1036) = 0.9519 +32'h3fa1f5d3,32'h3f5f0825,32'h3f682299, 32'h3f58344d,32'h3f6ef671, 32'h3f4cd33c,32'h3f7a5782,// invsqrt(1.2653) = 0.8890 +32'h3f37b78f,32'h3f94132a,32'h3f9a1e65, 32'h3f8f8abd,32'h3f9ea6d1, 32'h3f87fcb3,32'h3fa634db,// invsqrt(0.7176) = 1.1804 +32'h3f4c9e7e,32'h3f8c4ee4,32'h3f9208f8, 32'h3f880355,32'h3f965487, 32'h3f80dabd,32'h3f9d7d1f,// invsqrt(0.7993) = 1.1185 +32'h3fe3a953,32'h3f3c1dc2,32'h3f43cb62, 32'h3f365b8b,32'h3f498d99, 32'h3f2cc283,32'h3f5326a1,// invsqrt(1.7786) = 0.7498 +32'h400b1cd0,32'h3f2a2a81,32'h3f311c91, 32'h3f24f4f5,32'h3f36521d, 32'h3f1c4662,32'h3f3f00b0,// invsqrt(2.1736) = 0.6783 +32'h3fbf0ae6,32'h3f4d5af6,32'h3f55bcb6, 32'h3f4711a5,32'h3f5c0607, 32'h3f3c9774,32'h3f668038,// invsqrt(1.4925) = 0.8185 +32'h3e2b596e,32'h4019535e,32'h401f9576, 32'h4014a1cb,32'h40244709, 32'h400ccf2c,32'h402c19a8,// invsqrt(0.1673) = 2.4446 +32'h402241f3,32'h3f1d900f,32'h3f23fe6d, 32'h3f18bd48,32'h3f28d134, 32'h3f10b351,32'h3f30db2b,// invsqrt(2.5353) = 0.6280 +32'h3f4f7803,32'h3f8b575b,32'h3f910754, 32'h3f87135f,32'h3f954b4f, 32'h3f7feed0,32'h3f9c6746,// invsqrt(0.8104) = 1.1108 +32'h3f81f7ce,32'h3f78f92c,32'h3f819158, 32'h3f715a08,32'h3f8560ea, 32'h3f64a623,32'h3f8bbadc,// invsqrt(1.0154) = 0.9924 +32'h3fa2f0d9,32'h3f5e5c16,32'h3f676f84, 32'h3f578d83,32'h3f6e3e17, 32'h3f4c3538,32'h3f799662,// invsqrt(1.2730) = 0.8863 +32'h418a489c,32'h3e715f0e,32'h3e7b3924, 32'h3e69fb7e,32'h3e814e5a, 32'h3e5daae3,32'h3e8776a7,// invsqrt(17.2855) = 0.2405 +32'h4047ab26,32'h3f0e0973,32'h3f13d597, 32'h3f09b058,32'h3f182eb2, 32'h3f02712b,32'h3f1f6ddf,// invsqrt(3.1198) = 0.5662 +32'h41752f62,32'h3e802d3b,32'h3e85688b, 32'h3e78817c,32'h3e895508, 32'h3e6b6d32,32'h3e8fdf2d,// invsqrt(15.3241) = 0.2555 +32'h3fc80b5b,32'h3f48ae87,32'h3f50df73, 32'h3f4289d7,32'h3f570423, 32'h3f384cb0,32'h3f61414a,// invsqrt(1.5628) = 0.7999 +32'h3d2093a3,32'h409e62a0,32'h40a4d997, 32'h40998967,32'h40a9b2d1, 32'h409174b3,32'h40b1c785,// invsqrt(0.0392) = 5.0506 +32'h3f7c022f,32'h3f7cdbe7,32'h3f839703, 32'h3f751e50,32'h3f8775ce, 32'h3f6837aa,32'h3f8de921,// invsqrt(0.9844) = 1.0079 +32'h3f2285be,32'h3f9d6f2f,32'h3fa3dc36, 32'h3f989d69,32'h3fa8adfb, 32'h3f909520,32'h3fb0b644,// invsqrt(0.6349) = 1.2551 +32'h3f38a9ef,32'h3f93b1dd,32'h3f99b91f, 32'h3f8f2c6b,32'h3f9e3e91, 32'h3f87a358,32'h3fa5c7a4,// invsqrt(0.7213) = 1.1774 +32'h3df3b26d,32'h4035d262,32'h403d3e3c, 32'h4030417e,32'h4042cf20, 32'h4026faae,32'h404c15f1,// invsqrt(0.1190) = 2.8989 +32'h40240ba7,32'h3f1cb3a6,32'h3f231906, 32'h3f17e79e,32'h3f27e50e, 32'h3f0fe8e7,32'h3f2fe3c5,// invsqrt(2.5632) = 0.6246 +32'h3f0623fc,32'h3fad4a7e,32'h3fb45d34, 32'h3fa7fc75,32'h3fb9ab3d, 32'h3f9f2510,32'h3fc282a2,// invsqrt(0.5240) = 1.3815 +32'h40309d6a,32'h3f1705d3,32'h3f1d2fdb, 32'h3f12664c,32'h3f21cf62, 32'h3f0ab1c2,32'h3f2983ec,// invsqrt(2.7596) = 0.6020 +32'h3fb9ac07,32'h3f504dda,32'h3f58ce6b, 32'h3f49ed6e,32'h3f5f2ed8, 32'h3f3f4cb9,32'h3f69cf8d,// invsqrt(1.4506) = 0.8303 +32'h3e2eaf0b,32'h4017daf1,32'h401e0dac, 32'h401334e4,32'h4022b3b8, 32'h400b7579,32'h402a7323,// invsqrt(0.1706) = 2.4212 +32'h3ebb12a2,32'h3fcf85d3,32'h3fd7fe39, 32'h3fc92b86,32'h3fde5886, 32'h3fbe9505,32'h3fe8ef07,// invsqrt(0.3654) = 1.6544 +32'h3f3728a2,32'h3f944ce5,32'h3f9a5a7b, 32'h3f8fc2b4,32'h3f9ee4ac, 32'h3f8831b8,32'h3fa675a8,// invsqrt(0.7155) = 1.1822 +32'h3f17db09,32'h3fa2deac,32'h3fa9847f, 32'h3f9de24e,32'h3fae80de, 32'h3f959307,32'h3fb6d025,// invsqrt(0.5932) = 1.2984 +32'h3f41ebfd,32'h3f902049,32'h3f960241, 32'h3f8bb6ce,32'h3f9a6bbc, 32'h3f845c58,32'h3fa1c632,// invsqrt(0.7575) = 1.1490 +32'h3fcdff1d,32'h3f45c2dd,32'h3f4dd545, 32'h3f3fb510,32'h3f53e312, 32'h3f359e0f,32'h3f5dfa13,// invsqrt(1.6093) = 0.7883 +32'h40085157,32'h3f2be6cd,32'h3f32eb00, 32'h3f26a3a8,32'h3f382e26, 32'h3f1dde6a,32'h3f40f365,// invsqrt(2.1300) = 0.6852 +32'h3cfe197e,32'h40b20fa4,32'h40b95433, 32'h40ac9c3a,32'h40bec79e, 32'h40a38688,32'h40c7dd50,// invsqrt(0.0310) = 5.6780 +32'h4081eda7,32'h3ef902e6,32'h3f019668, 32'h3ef16376,32'h3f056620, 32'h3ee4af12,32'h3f0bc052,// invsqrt(4.0603) = 0.4963 +32'h3f1f1a51,32'h3f9f1e01,32'h3fa59c9d, 32'h3f9a3f0b,32'h3faa7b93, 32'h3f9220c7,32'h3fb299d7,// invsqrt(0.6215) = 1.2685 +32'h3f63c205,32'h3f84fd77,32'h3f8a6b13, 32'h3f80eb42,32'h3f8e7d48, 32'h3f74447c,32'h3f95464c,// invsqrt(0.8897) = 1.0602 +32'h3e8761e7,32'h3ff3f1a0,32'h3ffde697, 32'h3fec79e7,32'h4002af28, 32'h3fe007b3,32'h4008e843,// invsqrt(0.2644) = 1.9447 +32'h41892f68,32'h3e7255f1,32'h3e7c3a1b, 32'h3e6aead2,32'h3e81d29d, 32'h3e5e8d9f,32'h3e880136,// invsqrt(17.1481) = 0.2415 +32'h3f5742c3,32'h3f88cbca,32'h3f8e612c, 32'h3f849bc2,32'h3f929134, 32'h3f7b4211,32'h3f998bee,// invsqrt(0.8409) = 1.0905 +32'h411adf1d,32'h3ea146b4,32'h3ea7dbe0, 32'h3e9c56d3,32'h3eaccbc1, 32'h3e941c5c,32'h3eb50638,// invsqrt(9.6795) = 0.3214 +32'h427cd02d,32'h3dfc74ce,32'h3e03615b, 32'h3df4ba5f,32'h3e073e93, 32'h3de7d8fb,32'h3e0daf44,// invsqrt(63.2033) = 0.1258 +32'h3e7c5415,32'h3ffcb2db,32'h400381a6, 32'h3ff4f686,32'h40075fd1, 32'h3fe811f8,32'h400dd218,// invsqrt(0.2464) = 2.0145 +32'h3f75c393,32'h3f800690,32'h3f85404c, 32'h3f783684,32'h3f892b9a, 32'h3f6b262c,32'h3f8fb3c6,// invsqrt(0.9600) = 1.0206 +32'h43c0e753,32'h3d4c5cc2,32'h3d54b422, 32'h3d461b39,32'h3d5af5ab, 32'h3d3bae00,32'h3d6562e4,// invsqrt(385.8072) = 0.0509 +32'h3f8839f4,32'h3f732fe2,32'h3f7d1cf0, 32'h3f6bbe17,32'h3f82475e, 32'h3f5f55c5,32'h3f887b87,// invsqrt(1.0643) = 0.9693 +32'h3f017e49,32'h3fb05f84,32'h3fb79270, 32'h3faaf954,32'h3fbcf8a0, 32'h3fa1f9ae,32'h3fc5f846,// invsqrt(0.5058) = 1.4060 +32'h3fd3cbd6,32'h3f4308e3,32'h3f4afecd, 32'h3f3d1074,32'h3f50f73c, 32'h3f331d0f,32'h3f5aeaa1,// invsqrt(1.6547) = 0.7774 +32'h409b425f,32'h3ee3cb43,32'h3eed177a, 32'h3edcd21a,32'h3ef410a4, 32'h3ed132d6,32'h3effafe9,// invsqrt(4.8519) = 0.4540 +32'h4121d538,32'h3e9dc4f4,32'h3ea4357c, 32'h3e98f08f,32'h3ea909e1, 32'h3e90e3e6,32'h3eb1168a,// invsqrt(10.1146) = 0.3144 +32'h4012d0d3,32'h3f25a448,32'h3f2c6712, 32'h3f209231,32'h3f317929, 32'h3f181eb5,32'h3f39eca5,// invsqrt(2.2940) = 0.6602 +32'h41cefd7e,32'h3e454933,32'h3e4d56a3, 32'h3e3f3f1f,32'h3e5360b7, 32'h3e352e54,32'h3e5d7183,// invsqrt(25.8738) = 0.1966 +32'h40afc559,32'h3ed61730,32'h3eded438, 32'h3ecf896b,32'h3ee561fd, 32'h3ec49d22,32'h3ef04e46,// invsqrt(5.4928) = 0.4267 +32'h3f4c59cb,32'h3f8c6677,32'h3f922181, 32'h3f881a2f,32'h3f966dc9, 32'h3f80f063,32'h3f9d9795,// invsqrt(0.7982) = 1.1193 +32'h3f88c82d,32'h3f72b153,32'h3f7c9937, 32'h3f6b4368,32'h3f820391, 32'h3f5ee18b,32'h3f883480,// invsqrt(1.0686) = 0.9674 +32'h3f612de8,32'h3f85bfd7,32'h3f8b3563, 32'h3f81a7af,32'h3f8f4d8b, 32'h3f75a981,32'h3f96207a,// invsqrt(0.8796) = 1.0662 +32'h3f4fab20,32'h3f8b4634,32'h3f90f57a, 32'h3f8702bf,32'h3f9538ef, 32'h3f7fcf50,32'h3f9c5406,// invsqrt(0.8112) = 1.1103 +32'h3f40330a,32'h3f90c53f,32'h3f96adf3, 32'h3f8c56b8,32'h3f9b1c7a, 32'h3f84f3d6,32'h3fa27f5c,// invsqrt(0.7508) = 1.1541 +32'h3fe38875,32'h3f3c2b58,32'h3f43d986, 32'h3f3668b6,32'h3f499c28, 32'h3f2ccefe,32'h3f5335e1,// invsqrt(1.7776) = 0.7500 +32'h3fa1cc0a,32'h3f5f24ef,32'h3f684091, 32'h3f585036,32'h3f6f154a, 32'h3f4cedad,32'h3f7a77d3,// invsqrt(1.2640) = 0.8894 +32'h3f9694e1,32'h3f674e18,32'h3f70beff, 32'h3f60396b,32'h3f77d3ad, 32'h3f546c4b,32'h3f81d067,// invsqrt(1.1764) = 0.9220 +32'h3f800370,32'h3f7adde9,32'h3f828d9b, 32'h3f732fef,32'h3f866498, 32'h3f66634e,32'h3f8ccae9,// invsqrt(1.0001) = 0.9999 +32'h3db5ac70,32'h40529579,32'h405b2ddc, 32'h404c232f,32'h4061a027, 32'h404164b4,32'h406c5ea3,// invsqrt(0.0887) = 3.3575 +32'h3eb935b0,32'h3fd0905c,32'h3fd913a4, 32'h3fca2de6,32'h3fdf761a, 32'h3fbf89cd,32'h3fea1a33,// invsqrt(0.3617) = 1.6627 +32'h3f9e775d,32'h3f617a29,32'h3f6aae2b, 32'h3f5a9328,32'h3f71952c, 32'h3f4f1226,32'h3f7d162e,// invsqrt(1.2380) = 0.8987 +32'h3fb5950b,32'h3f52a30a,32'h3f5b3bfa, 32'h3f4c3055,32'h3f61aeaf, 32'h3f417128,32'h3f6c6ddc,// invsqrt(1.4186) = 0.8396 +32'h3f6754f5,32'h3f83f573,32'h3f895849, 32'h3f7fd6a6,32'h3f8d6269, 32'h3f725f90,32'h3f941df4,// invsqrt(0.9036) = 1.0520 +32'h3f6a40ab,32'h3f832230,32'h3f887c66, 32'h3f7e3d0e,32'h3f8c800f, 32'h3f70db87,32'h3f9330d2,// invsqrt(0.9150) = 1.0454 +32'h3e711539,32'h40014339,32'h400689e2, 32'h3ffa9c72,32'h400a7ee1, 32'h3fed6bcb,32'h40111735,// invsqrt(0.2354) = 2.0609 +32'h3f970b66,32'h3f66f347,32'h3f706079, 32'h3f5fe162,32'h3f77725e, 32'h3f5418e3,32'h3f819d6e,// invsqrt(1.1800) = 0.9206 +32'h3ecab43b,32'h3fc75c60,32'h3fcf7f7e, 32'h3fc14209,32'h3fd599d5, 32'h3fb71624,32'h3fdfc5ba,// invsqrt(0.3959) = 1.5893 +32'h40a97fed,32'h3eda03dd,32'h3ee2e9e6, 32'h3ed35756,32'h3ee9966c, 32'h3ec837ca,32'h3ef4b5f8,// invsqrt(5.2969) = 0.4345 +32'h3eb1b88a,32'h3fd4e9af,32'h3fdd9a67, 32'h3fce6524,32'h3fe41ef2, 32'h3fc3883d,32'h3feefbd9,// invsqrt(0.3471) = 1.6973 +32'h3fe7eab6,32'h3f3a61e5,32'h3f41fd66, 32'h3f34ad43,32'h3f47b207, 32'h3f2b2ae1,32'h3f513469,// invsqrt(1.8119) = 0.7429 +32'h3fc4f7da,32'h3f4a3e26,32'h3f527f62, 32'h3f440d3a,32'h3f58b04e, 32'h3f39bbb0,32'h3f6301d8,// invsqrt(1.5388) = 0.8061 +32'h4022b612,32'h3f1d57cc,32'h3f23c3de, 32'h3f1886be,32'h3f2894ec, 32'h3f107fa6,32'h3f309c04,// invsqrt(2.5424) = 0.6272 +32'h3e789f99,32'h3ffe9316,32'h40047b91, 32'h3ff6c80e,32'h40086115, 32'h3fe9cb00,32'h400edf9c,// invsqrt(0.2428) = 2.0295 +32'h3e990ae0,32'h3fe57016,32'h3feecd7a, 32'h3fde6a0b,32'h3ff5d385, 32'h3fd2b54e,32'h4000c421,// invsqrt(0.2989) = 1.8291 +32'h412de41b,32'h3e983373,32'h3e9e69cb, 32'h3e938ab1,32'h3ea3128d, 32'h3e8bc6c2,32'h3eaad67c,// invsqrt(10.8682) = 0.3033 +32'h3e374af4,32'h40143f02,32'h401a4c08, 32'h400fb53e,32'h401ed5cc, 32'h400824f8,32'h40266612,// invsqrt(0.1790) = 2.3636 +32'h409bc347,32'h3ee36ced,32'h3eecb54b, 32'h3edc76a7,32'h3ef3ab91, 32'h3ed0dc33,32'h3eff4605,// invsqrt(4.8676) = 0.4533 +32'h3ed35814,32'h3fc33e45,32'h3fcb365d, 32'h3fbd4433,32'h3fd1306f, 32'h3fb34e16,32'h3fdb268c,// invsqrt(0.4128) = 1.5565 +32'h3f15f1ff,32'h3fa3e76e,32'h3faa980f, 32'h3f9ee2f4,32'h3faf9c88, 32'h3f96862b,32'h3fb7f951,// invsqrt(0.5857) = 1.3066 +32'h3dddab1c,32'h403ea46a,32'h40466c6e, 32'h4038ce67,32'h404c4271, 32'h402f1461,32'h4055fc77,// invsqrt(0.1082) = 3.0396 +32'h3f4ffc79,32'h3f8b2af5,32'h3f90d91e, 32'h3f86e855,32'h3f951bbd, 32'h3f7f9d43,32'h3f9c3570,// invsqrt(0.8124) = 1.1094 +32'h40126c2e,32'h3f25dd2c,32'h3f2ca248, 32'h3f20c957,32'h3f31b61d, 32'h3f1852f4,32'h3f3a2c80,// invsqrt(2.2879) = 0.6611 +32'h3f9522d0,32'h3f686c62,32'h3f71e8f8, 32'h3f614ef1,32'h3f790669, 32'h3f557335,32'h3f827112,// invsqrt(1.1651) = 0.9264 +32'h3f77c5ab,32'h3f7f02f2,32'h3f84b5c7, 32'h3f77347d,32'h3f889d02, 32'h3f6a31ba,32'h3f8f1e63,// invsqrt(0.9679) = 1.0165 +32'h41eaa9b5,32'h3e3949e4,32'h3e40d9f8, 32'h3e339dd5,32'h3e468607, 32'h3e2a29bd,32'h3e4ffa1f,// invsqrt(29.3329) = 0.1846 +32'h3e7a8b4e,32'h3ffd98cc,32'h4003f950, 32'h3ff5d56d,32'h4007db00, 32'h3fe8e524,32'h400e5324,// invsqrt(0.2447) = 2.0217 +32'h4073400c,32'h3f00af7a,32'h3f05f01b, 32'h3ef97e00,32'h3f09e094, 32'h3eec5c6c,32'h3f10715e,// invsqrt(3.8008) = 0.5129 +32'h40b02017,32'h3ed5e002,32'h3ede9ac8, 32'h3ecf53ed,32'h3ee526dd, 32'h3ec46a74,32'h3ef01056,// invsqrt(5.5039) = 0.4262 +32'h3ffb56ab,32'h3f330955,32'h3f3a5815, 32'h3f2d8e46,32'h3f3fd324, 32'h3f246bd6,32'h3f48f594,// invsqrt(1.9636) = 0.7136 +32'h3e81a22f,32'h3ff94b57,32'h4001bc1b, 32'h3ff1a9b0,32'h40058cef, 32'h3fe4f19a,32'h400be8fa,// invsqrt(0.2532) = 1.9874 +32'h3f58fdd8,32'h3f883fd9,32'h3f8dcf83, 32'h3f841418,32'h3f91fb44, 32'h3f7a4106,32'h3f98eed9,// invsqrt(0.8476) = 1.0862 +32'h3e90bacf,32'h3febef55,32'h3ff5909c, 32'h3fe4b65f,32'h3ffcc991, 32'h3fd8acc6,32'h40046995,// invsqrt(0.2827) = 1.8809 +32'h40309c65,32'h3f170642,32'h3f1d3050, 32'h3f1266b9,32'h3f21cfd9, 32'h3f0ab228,32'h3f29846a,// invsqrt(2.7595) = 0.6020 +32'h3ef83521,32'h3fb42982,32'h3fbb8405, 32'h3faea5a1,32'h3fc107e7, 32'h3fa5747d,32'h3fca390b,// invsqrt(0.4848) = 1.4362 +32'h3e8993d7,32'h3ff1fd6d,32'h3ffbddf9, 32'h3fea9504,32'h4001a331, 32'h3fde3c54,32'h4007cf89,// invsqrt(0.2687) = 1.9291 +32'h418c40fa,32'h3e6fab85,32'h3e7973d4, 32'h3e68554b,32'h3e806508, 32'h3e5c1ae8,32'h3e868239,// invsqrt(17.5317) = 0.2388 +32'h3c6faee0,32'h4101a3b6,32'h4106ee50, 32'h40fb5786,32'h410ae643, 32'h40ee1d05,32'h41118383,// invsqrt(0.0146) = 8.2678 +32'h3ecd0de2,32'h3fc6370f,32'h3fce4e34, 32'h3fc025b3,32'h3fd45f8f, 32'h3fb608c4,32'h3fde7c7e,// invsqrt(0.4005) = 1.5802 +32'h3ebea1fc,32'h3fcd9370,32'h3fd5f780, 32'h3fc74865,32'h3fdc428b, 32'h3fbccb52,32'h3fe6bf9e,// invsqrt(0.3723) = 1.6388 +32'h3f761dd5,32'h3f7fde28,32'h3f8527dc, 32'h3f7808fe,32'h3f891271, 32'h3f6afb0b,32'h3f8f996a,// invsqrt(0.9614) = 1.0199 +32'h40ac217e,32'h3ed857a9,32'h3ee12c38, 32'h3ed1b83f,32'h3ee7cba3, 32'h3ec6ae8c,32'h3ef2d556,// invsqrt(5.3791) = 0.4312 +32'h413ae9f6,32'h3e92cd98,32'h3e98cb89, 32'h3e8e4f22,32'h3e9d49fe, 32'h3e86d1b5,32'h3ea4c76b,// invsqrt(11.6821) = 0.2926 +32'h3ed8df60,32'h3fc0bd16,32'h3fc89b02, 32'h3fbad6a5,32'h3fce8173, 32'h3fb1013e,32'h3fd856da,// invsqrt(0.4236) = 1.5365 +32'h3f91ceaf,32'h3f6b0fb7,32'h3f74a7de, 32'h3f63dd9a,32'h3f7bd9fc, 32'h3f57df6a,32'h3f83ec16,// invsqrt(1.1391) = 0.9369 +32'h430854d9,32'h3dabe497,32'h3db2e8b3, 32'h3da6a183,32'h3db82bc7, 32'h3d9ddc61,32'h3dc0f0e9,// invsqrt(136.3314) = 0.0856 +32'h3fabc620,32'h3f58912b,32'h3f616813, 32'h3f51effd,32'h3f680941, 32'h3f46e35c,32'h3f7315e2,// invsqrt(1.3420) = 0.8632 +32'h3d1ffacc,32'h409eae37,32'h40a52843, 32'h4099d2ad,32'h40aa03cd, 32'h4091ba1d,32'h40b21c5d,// invsqrt(0.0391) = 5.0600 +32'h3e647dc1,32'h4004c6ca,32'h400a322b, 32'h4000b641,32'h400e42b3, 32'h3ff3e00f,32'h401508ed,// invsqrt(0.2231) = 2.1170 +32'h3ff5dec4,32'h3f350438,32'h3f3c67a8, 32'h3f2f79a4,32'h3f41f23c, 32'h3f263d58,32'h3f4b2e88,// invsqrt(1.9209) = 0.7215 +32'h3ee599af,32'h3fbb51fd,32'h3fc2f74b, 32'h3fb59602,32'h3fc8b346, 32'h3fac0760,32'h3fd241e8,// invsqrt(0.4484) = 1.4933 +32'h4011353e,32'h3f268e63,32'h3f2d5abb, 32'h3f217521,32'h3f3273fd, 32'h3f18f5b4,32'h3f3af36a,// invsqrt(2.2689) = 0.6639 +32'h4055f4ea,32'h3f09365a,32'h3f0ed014, 32'h3f05030e,32'h3f130360, 32'h3efc05c9,32'h3f1a0389,// invsqrt(3.3431) = 0.5469 +32'h3f10416e,32'h3fa71ae8,32'h3fadecfc, 32'h3fa1fd59,32'h3fb30a8b, 32'h3f9976c0,32'h3fbb9124,// invsqrt(0.5635) = 1.3322 +32'h3f892f28,32'h3f72562a,32'h3f7c3a56, 32'h3f6aeb0a,32'h3f81d2bb, 32'h3f5e8dd3,32'h3f880156,// invsqrt(1.0718) = 0.9659 +32'h3fbe4bbf,32'h3f4dc200,32'h3f5627f6, 32'h3f477588,32'h3f5c746e, 32'h3f3cf615,32'h3f66f3e1,// invsqrt(1.4867) = 0.8201 +32'h3eb15fcc,32'h3fd51eeb,32'h3fddd1d1, 32'h3fce98c0,32'h3fe457fc, 32'h3fc3b921,32'h3fef379b,// invsqrt(0.3464) = 1.6990 +32'h3f2b9dca,32'h3f9934d1,32'h3f9f75ab, 32'h3f94842e,32'h3fa4264e, 32'h3f8cb31e,32'h3fabf75e,// invsqrt(0.6704) = 1.2214 +32'h3f345353,32'h3f9575fb,32'h3f9b8fb1, 32'h3f90e2b2,32'h3fa022fa, 32'h3f89428d,32'h3fa7c31f,// invsqrt(0.7044) = 1.1915 +32'h3fff86cd,32'h3f31902f,32'h3f38cf89, 32'h3f2c20ab,32'h3f3e3f0d, 32'h3f231179,32'h3f474e3f,// invsqrt(1.9963) = 0.7078 +32'h3fa5e0f5,32'h3f5c61bc,32'h3f65607f, 32'h3f55a2a8,32'h3f6c1f92, 32'h3f4a6434,32'h3f775e06,// invsqrt(1.2959) = 0.8784 +32'h3f3eb50f,32'h3f9155f3,32'h3f97448f, 32'h3f8ce2fe,32'h3f9bb784, 32'h3f8578ba,32'h3fa321c8,// invsqrt(0.7450) = 1.1586 +32'h3fbcd5fd,32'h3f4e8d3c,32'h3f56fb7d, 32'h3f483a8b,32'h3f5d4e2d, 32'h3f3db0b9,32'h3f67d7ff,// invsqrt(1.4753) = 0.8233 +32'h3fb764d3,32'h3f51980a,32'h3f5a2615, 32'h3f4b2d82,32'h3f60909e, 32'h3f407bf5,32'h3f6b422b,// invsqrt(1.4328) = 0.8354 +32'h40564c10,32'h3f091a71,32'h3f0eb307, 32'h3f04e7ff,32'h3f12e579, 32'h3efbd286,32'h3f19e435,// invsqrt(3.3484) = 0.5465 +32'h400e6107,32'h3f2833e6,32'h3f2f1172, 32'h3f230dbd,32'h3f34379b, 32'h3f1a78ce,32'h3f3ccc8a,// invsqrt(2.2247) = 0.6705 +32'h3f088be7,32'h3fabc1ed,32'h3fb2c49d, 32'h3fa67fe8,32'h3fb806a2, 32'h3f9dbc8b,32'h3fc0c9ff,// invsqrt(0.5334) = 1.3692 +32'h3f36794b,32'h3f949414,32'h3f9aa492, 32'h3f9007b5,32'h3f9f30f1, 32'h3f887318,32'h3fa6c58e,// invsqrt(0.7128) = 1.1845 +32'h3f4a190c,32'h3f8d2e3f,32'h3f92f171, 32'h3f88dbda,32'h3f9743d6, 32'h3f81a7dc,32'h3f9e77d4,// invsqrt(0.7894) = 1.1255 +32'h3f714690,32'h3f813600,32'h3f867c20, 32'h3f7a82d2,32'h3f8a70b7, 32'h3f6d5383,32'h3f91085e,// invsqrt(0.9425) = 1.0301 +32'h3f6a8740,32'h3f830e73,32'h3f8867db, 32'h3f7e16ca,32'h3f8c6ae9, 32'h3f70b746,32'h3f931aab,// invsqrt(0.9161) = 1.0448 +32'h3ef66ca5,32'h3fb4d014,32'h3fbc3163, 32'h3faf4718,32'h3fc1ba5e, 32'h3fa60d75,32'h3fcaf401,// invsqrt(0.4813) = 1.4414 +32'h3f65dbe8,32'h3f846181,32'h3f89c8c0, 32'h3f805413,32'h3f8dd62f, 32'h3f732608,32'h3f94973e,// invsqrt(0.8979) = 1.0553 +32'h3eca3ae9,32'h3fc79823,32'h3fcfbdb2, 32'h3fc17bf9,32'h3fd5d9dd, 32'h3fb74d07,32'h3fe008cf,// invsqrt(0.3950) = 1.5912 +32'h411e0862,32'h3e9fa7ad,32'h3ea62be9, 32'h3e9ac481,32'h3eab0f15, 32'h3e929f37,32'h3eb3345f,// invsqrt(9.8770) = 0.3182 +32'h425c89f7,32'h3e072630,32'h3e0caa5c, 32'h3e03030f,32'h3e10cd7d, 32'h3df83bb1,32'h3e17b2b3,// invsqrt(55.1347) = 0.1347 +32'h3ffef0cc,32'h3f31c464,32'h3f3905e0, 32'h3f2c5347,32'h3f3e76fd, 32'h3f23416b,32'h3f4788d9,// invsqrt(1.9917) = 0.7086 +32'h417f1337,32'h3e7b559f,32'h3e82cbe7, 32'h3e73a3fa,32'h3e86a4b9, 32'h3e66d13d,32'h3e8d0e17,// invsqrt(15.9422) = 0.2505 +32'h40c543db,32'h3eca172c,32'h3ed256d0, 32'h3ec3e771,32'h3ed8868b, 32'h3eb997e5,32'h3ee2d617,// invsqrt(6.1645) = 0.4028 +32'h3f93875e,32'h3f69af9c,32'h3f733964, 32'h3f628846,32'h3f7a60ba, 32'h3f569c0d,32'h3f83267a,// invsqrt(1.1526) = 0.9315 +32'h40017346,32'h3f306704,32'h3f379a3e, 32'h3f2b0099,32'h3f3d00a9, 32'h3f220091,32'h3f4600b1,// invsqrt(2.0227) = 0.7031 +32'h3f2043ee,32'h3f9e89fe,32'h3fa50290, 32'h3f99af90,32'h3fa9dcfe, 32'h3f9198da,32'h3fb1f3b5,// invsqrt(0.6260) = 1.2639 +32'h3f210a34,32'h3f9e2847,32'h3fa49cdd, 32'h3f9950d8,32'h3fa9744c, 32'h3f913f1d,32'h3fb18607,// invsqrt(0.6291) = 1.2608 +32'h3f67535f,32'h3f83f5e7,32'h3f8958c1, 32'h3f7fd786,32'h3f8d62e5, 32'h3f726064,32'h3f941e76,// invsqrt(0.9036) = 1.0520 +32'h3edecf2a,32'h3fbe274f,32'h3fc5ea38, 32'h3fb85520,32'h3fcbbc66, 32'h3faea17c,32'h3fd5700a,// invsqrt(0.4352) = 1.5159 +32'h3f19e38a,32'h3fa1ca51,32'h3fa864dd, 32'h3f9cd669,32'h3fad58c5, 32'h3f94953b,32'h3fb599f3,// invsqrt(0.6011) = 1.2898 +32'h3f3ed2e6,32'h3f914a95,32'h3f9738bb, 32'h3f8cd7f9,32'h3f9bab57, 32'h3f856e4a,32'h3fa31506,// invsqrt(0.7454) = 1.1583 +32'h3df773f0,32'h40346fc9,32'h403bcd2a, 32'h402ee9c0,32'h40415332, 32'h4025b506,32'h404a87ec,// invsqrt(0.1208) = 2.8769 +32'h404b4db5,32'h3f0cc2eb,32'h3f1281bb, 32'h3f0873cf,32'h3f16d0d7, 32'h3f01454b,32'h3f1dff5b,// invsqrt(3.1766) = 0.5611 +32'h4012d37f,32'h3f25a2c6,32'h3f2c6580, 32'h3f2090bb,32'h3f31778b, 32'h3f181d53,32'h3f39eaf3,// invsqrt(2.2942) = 0.6602 +32'h3f76d2c0,32'h3f7f8051,32'h3f84f706, 32'h3f77ae05,32'h3f88e02b, 32'h3f6aa4dd,32'h3f8f64c0,// invsqrt(0.9642) = 1.0184 +32'h3e1407c2,32'h4024f5f6,32'h402bb1a2, 32'h401fe935,32'h4030be63, 32'h40177e9e,32'h403928fa,// invsqrt(0.1446) = 2.6301 +32'h3f8b4895,32'h3f7080dc,32'h3f7a51e0, 32'h3f692419,32'h3f80d751, 32'h3f5cded5,32'h3f86f9f4,// invsqrt(1.0882) = 0.9586 +32'h3f2121fc,32'h3f9e1c9b,32'h3fa490b7, 32'h3f994587,32'h3fa967cb, 32'h3f913465,32'h3fb178ed,// invsqrt(0.6294) = 1.2605 +32'h4017f853,32'h3f22cefa,32'h3f297428, 32'h3f1dd317,32'h3f2e700b, 32'h3f15849c,32'h3f36be86,// invsqrt(2.3745) = 0.6489 +32'h411abe9d,32'h3ea157a2,32'h3ea7ed7f, 32'h3e9c673d,32'h3eacdde5, 32'h3e942be9,32'h3eb51939,// invsqrt(9.6715) = 0.3216 +32'h3f7c127a,32'h3f7cd3bb,32'h3f8392c2, 32'h3f751665,32'h3f87716e, 32'h3f68302a,32'h3f8de48b,// invsqrt(0.9847) = 1.0078 +32'h3e3a2ad0,32'h401318e2,32'h401919e6, 32'h400e981f,32'h401d9aa9, 32'h400716da,32'h40251bee,// invsqrt(0.1818) = 2.3453 +32'h3f5c4652,32'h3f873aef,32'h3f8cbff3, 32'h3f83172b,32'h3f90e3b7, 32'h3f7861cb,32'h3f97c9fc,// invsqrt(0.8604) = 1.0780 +32'h4039228a,32'h3f1381ba,32'h3f198705, 32'h3f0efdc1,32'h3f1e0afd, 32'h3f077722,32'h3f25919c,// invsqrt(2.8927) = 0.5880 +32'h3f970caa,32'h3f66f24f,32'h3f705f77, 32'h3f5fe071,32'h3f777155, 32'h3f541800,32'h3f819ce3,// invsqrt(1.1801) = 0.9205 +32'h40c7be68,32'h3ec8d52b,32'h3ed107ab, 32'h3ec2af4c,32'h3ed72d8a, 32'h3eb8702d,32'h3ee16ca9,// invsqrt(6.2420) = 0.4003 +32'h3b622c46,32'h4185748c,32'h418ae704, 32'h41815eb1,32'h418efcdf, 32'h41751f35,32'h4195cbf6,// invsqrt(0.0035) = 17.0224 +32'h3e23032d,32'h401d3291,32'h40239d1f, 32'h401862a7,32'h40286d09, 32'h40105d76,32'h4030723a,// invsqrt(0.1592) = 2.5063 +32'h3f54d424,32'h3f899351,32'h3f8f30d7, 32'h3f855d2d,32'h3f9366fb, 32'h3f7cb08a,32'h3f9a6be3,// invsqrt(0.8314) = 1.0967 +32'h3ca16834,32'h40df69e8,32'h40e8885a, 32'h40d89313,32'h40ef5f2f, 32'h40cd2d04,32'h40fac53e,// invsqrt(0.0197) = 7.1242 +32'h3f6a057f,32'h3f8332c3,32'h3f888da7, 32'h3f7e5d32,32'h3f8c91d1, 32'h3f70f9f9,32'h3f93436e,// invsqrt(0.9141) = 1.0459 +32'h3d917b12,32'h406b533a,32'h4074ee23, 32'h40641f0d,32'h407c2251, 32'h40581d6a,32'h408411fa,// invsqrt(0.0710) = 3.7520 +32'h400eab34,32'h3f280827,32'h3f2ee3e9, 32'h3f22e354,32'h3f3408bc, 32'h3f1a50a1,32'h3f3c9b6f,// invsqrt(2.2292) = 0.6698 +32'h3f30a5d4,32'h3f97023a,32'h3f9d2c1c, 32'h3f9262cf,32'h3fa1cb87, 32'h3f8aae74,32'h3fa97fe2,// invsqrt(0.6900) = 1.2038 +32'h409a6fbf,32'h3ee46664,32'h3eedb8f0, 32'h3edd687b,32'h3ef4b6d9, 32'h3ed1c14c,32'h3f002f04,// invsqrt(4.8261) = 0.4552 +32'h3ef562e1,32'h3fb531e4,32'h3fbc9731, 32'h3fafa5ea,32'h3fc2232a, 32'h3fa66749,32'h3fcb61cb,// invsqrt(0.4793) = 1.4445 +32'h3fa17167,32'h3f5f638a,32'h3f6881ba, 32'h3f588ce7,32'h3f6f585d, 32'h3f4d272b,32'h3f7abe19,// invsqrt(1.2613) = 0.8904 +32'h3fded26d,32'h3f3e25eb,32'h3f45e8c5, 32'h3f3853c7,32'h3f4bbae9, 32'h3f2ea036,32'h3f556e7a,// invsqrt(1.7408) = 0.7579 +32'h3f8d096f,32'h3f6f00f6,32'h3f78c24e, 32'h3f67aff4,32'h3f8009a8, 32'h3f5b7e45,32'h3f862280,// invsqrt(1.1019) = 0.9527 +32'h3daffd61,32'h4055f519,32'h405eb0bb, 32'h404f685e,32'h40653d76, 32'h40447dd3,32'h40702801,// invsqrt(0.0859) = 3.4113 +32'h405d82e2,32'h3f06da2c,32'h3f0c5b3d, 32'h3f02b95e,32'h3f107c0a, 32'h3ef7b011,32'h3f175d60,// invsqrt(3.4611) = 0.5375 +32'h3fdc317b,32'h3f3f479e,32'h3f47164b, 32'h3f396c9b,32'h3f4cf14d, 32'h3f2faa42,32'h3f56b3a6,// invsqrt(1.7203) = 0.7624 +32'h3fad9ae7,32'h3f576c00,32'h3f6036f0, 32'h3f50d3cc,32'h3f66cf24, 32'h3f45d61f,32'h3f71ccd1,// invsqrt(1.3563) = 0.8587 +32'h3f766edb,32'h3f7fb414,32'h3f8511f6, 32'h3f77e033,32'h3f88fbe6, 32'h3f6ad466,32'h3f8f81cd,// invsqrt(0.9626) = 1.0192 +32'h40ca7ed1,32'h3ec776a9,32'h3ecf9ad9, 32'h3ec15b84,32'h3ed5b5fe, 32'h3eb72e47,32'h3edfe33b,// invsqrt(6.3280) = 0.3975 +32'h3efac5b5,32'h3fb33d0d,32'h3fba8de9, 32'h3fadc068,32'h3fc00a8e, 32'h3fa49b55,32'h3fc92fa1,// invsqrt(0.4898) = 1.4289 +32'h3f20621d,32'h3f9e7b12,32'h3fa4f309, 32'h3f99a11a,32'h3fa9cd02, 32'h3f918b26,32'h3fb1e2f6,// invsqrt(0.6265) = 1.2634 +32'h3e4178ef,32'h40104b1d,32'h40162ed5, 32'h400be053,32'h401a999f, 32'h400483ad,32'h4021f645,// invsqrt(0.1889) = 2.3006 +32'h3d78f6f0,32'h407e666b,32'h40846452, 32'h40769cc1,32'h40884927, 32'h4069a1fa,32'h408ec68b,// invsqrt(0.0608) = 4.0561 +32'h3fe58ccf,32'h3f3b573e,32'h3f42fcc4, 32'h3f359b1b,32'h3f48b8e7, 32'h3f2c0c34,32'h3f5247ce,// invsqrt(1.7934) = 0.7467 +32'h3f4a6d2e,32'h3f8d10e6,32'h3f92d2e4, 32'h3f88bf66,32'h3f972464, 32'h3f818ce8,32'h3f9e56e2,// invsqrt(0.7907) = 1.1246 +32'h3f8b5c83,32'h3f706fa9,32'h3f7a3ff9, 32'h3f69136d,32'h3f80ce1b, 32'h3f5ccf09,32'h3f86f04d,// invsqrt(1.0888) = 0.9584 +32'h3fef3aa3,32'h3f378307,32'h3f3f008a, 32'h3f31e4e5,32'h3f449ead, 32'h3f288802,32'h3f4dfb90,// invsqrt(1.8690) = 0.7315 +32'h3f876fdf,32'h3f73e50b,32'h3f7dd97f, 32'h3f6c6db5,32'h3f82a86b, 32'h3f5ffc24,32'h3f88e133,// invsqrt(1.0581) = 0.9722 +32'h406af85e,32'h3f02eee4,32'h3f084702, 32'h3efdd99a,32'h3f0c4919, 32'h3ef07d4f,32'h3f12f73e,// invsqrt(3.6714) = 0.5219 +32'h418effd6,32'h3e6d5baa,32'h3e770bd0, 32'h3e66178d,32'h3e7e4fed, 32'h3e59fb5d,32'h3e85360e,// invsqrt(17.8749) = 0.2365 +32'h3c1b6998,32'h4120fec9,32'h41279106, 32'h411c111c,32'h412c7eb4, 32'h4113da51,32'h4134b57f,// invsqrt(0.0095) = 10.2676 +32'h3ed35293,32'h3fc340d0,32'h3fcb3902, 32'h3fbd46aa,32'h3fd13328, 32'h3fb3506b,32'h3fdb2967,// invsqrt(0.4127) = 1.5565 +32'h3fa96ac9,32'h3f5a1176,32'h3f62f80e, 32'h3f536485,32'h3f69a4ff, 32'h3f484448,32'h3f74c53c,// invsqrt(1.3236) = 0.8692 +32'h3e2fa5fc,32'h4017700c,32'h401d9e6a, 32'h4012cd45,32'h40224131, 32'h400b134f,32'h4029fb27,// invsqrt(0.1715) = 2.4145 +32'h405316e6,32'h3f0a241c,32'h3f0fc78b, 32'h3f05e989,32'h3f14021f, 32'h3efdba7e,32'h3f1b0e69,// invsqrt(3.2983) = 0.5506 +32'h3f1f74ac,32'h3f9ef0e5,32'h3fa56dab, 32'h3f9a1351,32'h3faa4b3f, 32'h3f91f75a,32'h3fb26736,// invsqrt(0.6229) = 1.2671 +32'h3f5cc1d2,32'h3f871516,32'h3f8c9890, 32'h3f82f27c,32'h3f90bb2a, 32'h3f781c49,32'h3f979f82,// invsqrt(0.8623) = 1.0769 +32'h41012505,32'h3eb09c6e,32'h3eb7d1d6, 32'h3eab3460,32'h3ebd39e4, 32'h3ea2319f,32'h3ec63ca5,// invsqrt(8.0715) = 0.3520 +32'h3f157e11,32'h3fa426ef,32'h3faada27, 32'h3f9f2084,32'h3fafe092, 32'h3f96c07d,32'h3fb84099,// invsqrt(0.5840) = 1.3086 +32'h3f86bc5f,32'h3f74874c,32'h3f7e825e, 32'h3f6d0afe,32'h3f82ff56, 32'h3f609126,32'h3f893c42,// invsqrt(1.0526) = 0.9747 +32'h3e65b220,32'h40046d8b,32'h4009d547, 32'h40005fbd,32'h400de315, 32'h3ff33c23,32'h4014a4c0,// invsqrt(0.2243) = 2.1114 +32'h3ebefd20,32'h3fcd625d,32'h3fd5c46c, 32'h3fc718d3,32'h3fdc0df7, 32'h3fbc9e41,32'h3fe68889,// invsqrt(0.3730) = 1.6373 +32'h408ee651,32'h3eed70da,32'h3ef721de, 32'h3ee62c17,32'h3efe66a1, 32'h3eda0ed3,32'h3f0541f3,// invsqrt(4.4656) = 0.4732 +32'h3f7ca5fa,32'h3f7c89e3,32'h3f836c54, 32'h3f74cecf,32'h3f8749de, 32'h3f67ec59,32'h3f8dbb1a,// invsqrt(0.9869) = 1.0066 +32'h3e9d7304,32'h3fe23447,32'h3feb6fe1, 32'h3fdb4793,32'h3ff25c95, 32'h3fcfbd12,32'h3ffde716,// invsqrt(0.3075) = 1.8033 +32'h3f947afb,32'h3f68ef99,32'h3f72718a, 32'h3f61ce23,32'h3f7992ff, 32'h3f55ebb6,32'h3f82bab6,// invsqrt(1.1600) = 0.9285 +32'h3ee9e076,32'h3fb9998b,32'h3fc12cdf, 32'h3fb3eb0c,32'h3fc6db5e, 32'h3faa72e3,32'h3fd05387,// invsqrt(0.4568) = 1.4796 +32'h3f962b19,32'h3f679f82,32'h3f7113bc, 32'h3f608857,32'h3f782ae7, 32'h3f54b70f,32'h3f81fe17,// invsqrt(1.1732) = 0.9232 +32'h3fadbe1c,32'h3f57562b,32'h3f602037, 32'h3f50bea2,32'h3f66b7c0, 32'h3f45c212,32'h3f71b450,// invsqrt(1.3574) = 0.8583 +32'h3fd8e25e,32'h3f40bbc1,32'h3f48999f, 32'h3f3ad55a,32'h3f4e8006, 32'h3f310005,32'h3f58555b,// invsqrt(1.6944) = 0.7682 +32'h3f3043fe,32'h3f972c1d,32'h3f9d57b5, 32'h3f928b6a,32'h3fa1f868, 32'h3f8ad4eb,32'h3fa9aee7,// invsqrt(0.6885) = 1.2051 +32'h3f820285,32'h3f78eeea,32'h3f818c01, 32'h3f715016,32'h3f855b6b, 32'h3f649cb7,32'h3f8bb51a,// invsqrt(1.0157) = 0.9922 +32'h4004593a,32'h3f2e75d2,32'h3f3594c0, 32'h3f291e9f,32'h3f3aebf3, 32'h3f2037f5,32'h3f43d29d,// invsqrt(2.0679) = 0.6954 +32'h3efdb2f6,32'h3fb2339c,32'h3fb979a2, 32'h3facbf17,32'h3fbeee27, 32'h3fa3a78f,32'h3fc805af,// invsqrt(0.4955) = 1.4206 +32'h3f80f168,32'h3f79f600,32'h3f8214eb, 32'h3f724f1f,32'h3f85e85b, 32'h3f658e54,32'h3f8c48c1,// invsqrt(1.0074) = 0.9963 +32'h425f3225,32'h3e0657a4,32'h3e0bd362, 32'h3e023ad6,32'h3e0ff030, 32'h3df6c052,32'h3e16cadd,// invsqrt(55.7990) = 0.1339 +32'h3f916194,32'h3f6b67db,32'h3f75039b, 32'h3f64330b,32'h3f7c386b, 32'h3f58305c,32'h3f841d8d,// invsqrt(1.1358) = 0.9383 +32'h3f774a32,32'h3f7f4295,32'h3f84d6e5, 32'h3f77722e,32'h3f88bf19, 32'h3f6a6c2b,32'h3f8f421a,// invsqrt(0.9660) = 1.0175 +32'h3fcd3e75,32'h3f461f99,32'h3f4e35c9, 32'h3f400ef5,32'h3f54466d, 32'h3f35f339,32'h3f5e6229,// invsqrt(1.6035) = 0.7897 +32'h3e6ff461,32'h400190ee,32'h4006dac4, 32'h3ffb331c,32'h400ad224, 32'h3fedfa87,32'h40116e6f,// invsqrt(0.2343) = 2.0658 +32'h3f090e0c,32'h3fab704d,32'h3fb26fa9, 32'h3fa630c8,32'h3fb7af2e, 32'h3f9d7195,32'h3fc06e61,// invsqrt(0.5354) = 1.3667 +32'h3e305379,32'h4017257a,32'h401d50cd, 32'h401284fb,32'h4021f14b, 32'h400aced3,32'h4029a773,// invsqrt(0.1722) = 2.4099 +32'h3e2a8335,32'h4019b390,32'h401ff996, 32'h4014ff0c,32'h4024ae1a, 32'h400d2784,32'h402c85a2,// invsqrt(0.1665) = 2.4506 +32'h3f86ed71,32'h3f745ad1,32'h3f7e5413, 32'h3f6cdfe0,32'h3f82e782, 32'h3f60684d,32'h3f89234c,// invsqrt(1.0541) = 0.9740 +32'h4003f778,32'h3f2eb664,32'h3f35d7f6, 32'h3f295d38,32'h3f3b3122, 32'h3f207342,32'h3f441b18,// invsqrt(2.0620) = 0.6964 +32'h3f9e0b52,32'h3f61c72e,32'h3f6afe54, 32'h3f5addd1,32'h3f71e7b1, 32'h3f4f58e1,32'h3f7d6ca1,// invsqrt(1.2347) = 0.8999 +32'h4162c363,32'h3e85480d,32'h3e8ab8b5, 32'h3e81338f,32'h3e8ecd33, 32'h3e74cd7b,32'h3e959a04,// invsqrt(14.1727) = 0.2656 +32'h4104e885,32'h3eae17ad,32'h3eb532c3, 32'h3ea8c35c,32'h3eba8714, 32'h3e9fe17f,32'h3ec368f1,// invsqrt(8.3068) = 0.3470 +32'h4081cf95,32'h3ef91fbc,32'h3f01a56a, 32'h3ef17f6b,32'h3f057593, 32'h3ee4c98e,32'h3f0bd081,// invsqrt(4.0566) = 0.4965 +32'h3f3c2307,32'h3f925340,32'h3f984c33, 32'h3f8dd88a,32'h3f9cc6ea, 32'h3f86615b,32'h3fa43e19,// invsqrt(0.7349) = 1.1665 +32'h3f52c990,32'h3f8a3d71,32'h3f8fe1e9, 32'h3f860218,32'h3f941d42, 32'h3f7de904,32'h3f9b2ad8,// invsqrt(0.8234) = 1.1020 +32'h3ffa67f8,32'h3f335e96,32'h3f3ab0d0, 32'h3f2de0ea,32'h3f402e7c, 32'h3f24ba21,32'h3f495545,// invsqrt(1.9563) = 0.7150 +32'h402b3e6e,32'h3f195f74,32'h3f1fa20a, 32'h3f14ad82,32'h3f2453fc, 32'h3f0cda46,32'h3f2c2738,// invsqrt(2.6757) = 0.6113 +32'h3f1bd10b,32'h3fa0c94f,32'h3fa7595d, 32'h3f9bdd45,32'h3fac4567, 32'h3f93a934,32'h3fb47978,// invsqrt(0.6087) = 1.2818 +32'h3f69a075,32'h3f834f1f,32'h3f88ab2b, 32'h3f7e942d,32'h3f8cb034, 32'h3f712e0f,32'h3f936342,// invsqrt(0.9126) = 1.0468 +32'h3f04597e,32'h3fae75a5,32'h3fb59491, 32'h3fa91e73,32'h3fbaebc3, 32'h3fa037cc,32'h3fc3d26b,// invsqrt(0.5170) = 1.3908 +32'h3f826fcf,32'h3f78868a,32'h3f8155b1, 32'h3f70eaea,32'h3f852381, 32'h3f643cdd,32'h3f8b7a87,// invsqrt(1.0190) = 0.9906 +32'h3eab59a7,32'h3fd8d5ad,32'h3fe1af60, 32'h3fd23266,32'h3fe852a6, 32'h3fc72245,32'h3ff362c7,// invsqrt(0.3347) = 1.7286 +32'h3f32f651,32'h3f960771,32'h3f9c2717, 32'h3f916fb4,32'h3fa0bed4, 32'h3f89c824,32'h3fa86664,// invsqrt(0.6991) = 1.1960 +32'h3cb1c990,32'h40d4df7d,32'h40dd8fcb, 32'h40ce5b42,32'h40e41406, 32'h40c37ee0,32'h40eef068,// invsqrt(0.0217) = 6.7880 +32'h3f8f93c3,32'h3f6ce144,32'h3f768c6c, 32'h3f65a0e7,32'h3f7dccc9, 32'h3f598af6,32'h3f84f15d,// invsqrt(1.1217) = 0.9442 +32'h3ed805e7,32'h3fc11e01,32'h3fc8ffe2, 32'h3fbb3499,32'h3fcee94b, 32'h3fb15a40,32'h3fd8c3a4,// invsqrt(0.4219) = 1.5395 +32'h3f3d3ff2,32'h3f91e4f1,32'h3f97d963, 32'h3f8d6d9b,32'h3f9c50b9, 32'h3f85fc0c,32'h3fa3c248,// invsqrt(0.7393) = 1.1631 +32'h4107c531,32'h3eac3f70,32'h3eb34740, 32'h3ea6f994,32'h3eb88d1c, 32'h3e9e2fcf,32'h3ec156e1,// invsqrt(8.4856) = 0.3433 +32'h3f9bcd2c,32'h3f6365b4,32'h3f6cadc6, 32'h3f5c6fa7,32'h3f73a3d3, 32'h3f50d590,32'h3f7f3dea,// invsqrt(1.2172) = 0.9064 +32'h3fca7437,32'h3f477be2,32'h3f4fa049, 32'h3f416094,32'h3f55bb96, 32'h3f373313,32'h3f5fe917,// invsqrt(1.5817) = 0.7951 +32'h3de15ac9,32'h403d139c,32'h4044cb44, 32'h403749de,32'h404a9502, 32'h402da44b,32'h40543a95,// invsqrt(0.1100) = 3.0146 +32'h3eb42973,32'h3fd3772b,32'h3fdc18c5, 32'h3fccfdf8,32'h3fe291f8, 32'h3fc233f9,32'h3fed5bf7,// invsqrt(0.3519) = 1.6858 +32'h3f323438,32'h3f96590f,32'h3f9c7c0b, 32'h3f91bed3,32'h3fa11647, 32'h3f8a1318,32'h3fa8c202,// invsqrt(0.6961) = 1.1986 +32'h40806416,32'h3efa7f6b,32'h3f025c6f, 32'h3ef2d456,32'h3f0631fa, 32'h3ee60c88,32'h3f0c95e1,// invsqrt(4.0122) = 0.4992 +32'h406698c6,32'h3f042b40,32'h3f099048, 32'h3f001f7a,32'h3f0d9c0e, 32'h3ef2c261,32'h3f145a58,// invsqrt(3.6031) = 0.5268 +32'h3ec13076,32'h3fcc360f,32'h3fd48bdb, 32'h3fc5f5b6,32'h3fdacc34, 32'h3fbb8a76,32'h3fe53774,// invsqrt(0.3773) = 1.6280 +32'h40adef5f,32'h3ed737ab,32'h3ee00079, 32'h3ed0a111,32'h3ee69713, 32'h3ec5a610,32'h3ef19214,// invsqrt(5.4355) = 0.4289 +32'h3f80bf6f,32'h3f7a267e,32'h3f822e28, 32'h3f727e22,32'h3f860256, 32'h3f65badd,32'h3f8c63f9,// invsqrt(1.0058) = 0.9971 +32'h3eb0aa64,32'h3fd58c3b,32'h3fde4396, 32'h3fcf02b6,32'h3fe4cd1a, 32'h3fc41d84,32'h3fefb24c,// invsqrt(0.3450) = 1.7024 +32'h3f462884,32'h3f8e93c0,32'h3f94658a, 32'h3f8a366a,32'h3f98c2e0, 32'h3f82f02e,32'h3fa0091c,// invsqrt(0.7741) = 1.1366 +32'h4082933b,32'h3ef864d2,32'h3f014424, 32'h3ef0ca39,32'h3f051170, 32'h3ee41de5,32'h3f0b679a,// invsqrt(4.0805) = 0.4950 +32'h3f6dd600,32'h3f822456,32'h3f877430, 32'h3f7c50e6,32'h3f8b7013, 32'h3f6f0945,32'h3f9213e3,// invsqrt(0.9290) = 1.0375 +32'h3f8e8c7a,32'h3f6dbba1,32'h3f776fb3, 32'h3f667495,32'h3f7eb6bf, 32'h3f5a537f,32'h3f856bea,// invsqrt(1.1137) = 0.9476 +32'h3d9179ef,32'h406b5426,32'h4074ef18, 32'h40641ff1,32'h407c234d, 32'h40581e42,32'h4084127e,// invsqrt(0.0710) = 3.7521 +32'h404016c5,32'h3f10cfe6,32'h3f16b909, 32'h3f0c610b,32'h3f1b27e3, 32'h3f04fd9e,32'h3f228b50,// invsqrt(3.0014) = 0.5772 +32'h3f421064,32'h3f9012c4,32'h3f95f430, 32'h3f8ba9b4,32'h3f9a5d40, 32'h3f844fee,32'h3fa1b706,// invsqrt(0.7581) = 1.1485 +32'h3edb602f,32'h3fbfa2c7,32'h3fc7752d, 32'h3fb9c4fa,32'h3fcd52fa, 32'h3faffdfb,32'h3fd719f9,// invsqrt(0.4285) = 1.5277 +32'h3f89809a,32'h3f720e5a,32'h3f7bef98, 32'h3f6aa56d,32'h3f81ac43, 32'h3f5e4be0,32'h3f87d909,// invsqrt(1.0742) = 0.9648 +32'h3f8d1fd1,32'h3f6eee01,32'h3f78ae93, 32'h3f679d93,32'h3f7fff01, 32'h3f5b6cdc,32'h3f8617dc,// invsqrt(1.1025) = 0.9524 +32'h3fe62ca8,32'h3f3b1626,32'h3f42b902, 32'h3f355c00,32'h3f487328, 32'h3f2bd06c,32'h3f51febc,// invsqrt(1.7982) = 0.7457 +32'h3e891dc5,32'h3ff26587,32'h3ffc4a53, 32'h3feaf9ee,32'h4001daf6, 32'h3fde9bef,32'h400809f6,// invsqrt(0.2678) = 1.9324 +32'h3f52647b,32'h3f8a5ea3,32'h3f900475, 32'h3f862245,32'h3f9440d3, 32'h3f7e25fc,32'h3f9b501a,// invsqrt(0.8218) = 1.1031 +32'h3ee1346e,32'h3fbd23b5,32'h3fc4dc06, 32'h3fb75979,32'h3fcaa643, 32'h3fadb315,32'h3fd44ca7,// invsqrt(0.4399) = 1.5078 +32'h3ef61314,32'h3fb4f0f9,32'h3fbc539f, 32'h3faf66fb,32'h3fc1dd9d, 32'h3fa62bab,32'h3fcb18ed,// invsqrt(0.4806) = 1.4425 +32'h3f0bc594,32'h3fa9c3a7,32'h3fb0b184, 32'h3fa49141,32'h3fb5e3e9, 32'h3f9be7ec,32'h3fbe8d3e,// invsqrt(0.5460) = 1.3534 +32'h3fea2a09,32'h3f397c60,32'h3f410e84, 32'h3f33cec6,32'h3f46bc1e, 32'h3f2a581a,32'h3f5032ca,// invsqrt(1.8294) = 0.7393 +32'h3f1fc521,32'h3f9ec8db,32'h3fa543ff, 32'h3f99ec81,32'h3faa2059, 32'h3f91d295,32'h3fb23a45,// invsqrt(0.6241) = 1.2658 +32'h3f322ebb,32'h3f965b60,32'h3f9c7e74, 32'h3f91c112,32'h3fa118c2, 32'h3f8a1539,32'h3fa8c49b,// invsqrt(0.6960) = 1.1986 +32'h3eb77ce4,32'h3fd18a4b,32'h3fda17c7, 32'h3fcb202f,32'h3fe081e3, 32'h3fc06f55,32'h3feb32bd,// invsqrt(0.3584) = 1.6704 +32'h3fb54749,32'h3f52d032,32'h3f5b6afa, 32'h3f4c5c1b,32'h3f61df11, 32'h3f419aa1,32'h3f6ca08b,// invsqrt(1.4162) = 0.8403 +32'h3dd8b60e,32'h4040cf75,32'h4048ae21, 32'h403ae874,32'h404e9522, 32'h4031121d,32'h40586b79,// invsqrt(0.1058) = 3.0741 +32'h3e0374ba,32'h402f0d30,32'h4036324c, 32'h4029b15b,32'h403b8e21, 32'h4020c2f8,32'h40447c84,// invsqrt(0.1284) = 2.7910 +32'h3f722f68,32'h3f80f7d4,32'h3f863b6a, 32'h3f7a0a48,32'h3f8a2e1a, 32'h3f6ce151,32'h3f90c295,// invsqrt(0.9460) = 1.0281 +32'h3de1acd4,32'h403cf13a,32'h4044a77c, 32'h4037288a,32'h404a702c, 32'h402d84b8,32'h405413fe,// invsqrt(0.1102) = 3.0125 +32'h407d43ce,32'h3efc3b26,32'h3f03435b, 32'h3ef4827c,32'h3f071fb0, 32'h3ee7a409,32'h3f0d8ee9,// invsqrt(3.9573) = 0.5027 +32'h3fab808d,32'h3f58bd14,32'h3f6195c6, 32'h3f521a8e,32'h3f68384c, 32'h3f470baf,32'h3f73472b,// invsqrt(1.3399) = 0.8639 +32'h40c156c7,32'h3ecc21d2,32'h3ed476ca, 32'h3ec5e217,32'h3edab685, 32'h3ebb77e0,32'h3ee520bc,// invsqrt(6.0418) = 0.4068 +32'h3f9e798f,32'h3f617899,32'h3f6aac8b, 32'h3f5a91a4,32'h3f719380, 32'h3f4f10b7,32'h3f7d146d,// invsqrt(1.2381) = 0.8987 +32'h40e90daa,32'h3eb9ed68,32'h3ec18428, 32'h3eb43c58,32'h3ec73538, 32'h3eaabfe7,32'h3ed0b1a9,// invsqrt(7.2829) = 0.3706 +32'h3f4cc2ae,32'h3f8c427d,32'h3f91fc0f, 32'h3f87f74f,32'h3f96473d, 32'h3f80cf59,32'h3f9d6f33,// invsqrt(0.7998) = 1.1181 +32'h3fa86011,32'h3f5abdea,32'h3f63ab8c, 32'h3f540bb2,32'h3f6a5dc4, 32'h3f48e2a8,32'h3f7586ce,// invsqrt(1.3154) = 0.8719 +32'h403131a8,32'h3f16c699,32'h3f1cee0d, 32'h3f122902,32'h3f218ba4, 32'h3f0a77b1,32'h3f293cf5,// invsqrt(2.7687) = 0.6010 +32'h3fa016d7,32'h3f6054d4,32'h3f697cdc, 32'h3f5976cd,32'h3f705ae3, 32'h3f4e04c2,32'h3f7bccee,// invsqrt(1.2507) = 0.8942 +32'h3e5256c1,32'h400a6326,32'h40100928, 32'h400626a5,32'h401445a9, 32'h3ffe2e46,32'h401b552b,// invsqrt(0.2054) = 2.2064 +32'h3dc574c0,32'h4049fe25,32'h40523cc3, 32'h4043cf2e,32'h40586bba, 32'h403980e8,32'h4062ba00,// invsqrt(0.0964) = 3.2205 +32'h3ea81a36,32'h3fdaeb58,32'h3fe3dad4, 32'h3fd437bb,32'h3fea8e71, 32'h3fc90c61,32'h3ff5b9cb,// invsqrt(0.3283) = 1.7452 +32'h3f823690,32'h3f78bd26,32'h3f81721b, 32'h3f711fd8,32'h3f8540c2, 32'h3f646f03,32'h3f8b992c,// invsqrt(1.0173) = 0.9915 +32'h3e2f7816,32'h401783d9,32'h401db307, 32'h4012e077,32'h40225669, 32'h400b257e,32'h402a1162,// invsqrt(0.1714) = 2.4157 +32'h3e8578dc,32'h3ff5aef2,32'h3fffb616, 32'h3fee2997,32'h40039db8, 32'h3fe1a0aa,32'h4009e22f,// invsqrt(0.2607) = 1.9586 +32'h3b66bea0,32'h41842068,32'h418984fe, 32'h418014f7,32'h418d906f, 32'h4172ae75,32'h41944e2b,// invsqrt(0.0035) = 16.8529 +32'h4131daf8,32'h3e967ec4,32'h3e9ca349, 32'h3e91e35f,32'h3ea13ead, 32'h3e8a35b9,32'h3ea8ec53,// invsqrt(11.1160) = 0.2999 +32'h3f48da86,32'h3f8d9e05,32'h3f9365c7, 32'h3f894834,32'h3f97bb98, 32'h3f820e82,32'h3f9ef54a,// invsqrt(0.7846) = 1.1290 +32'h3f3ab95a,32'h3f92e0b2,32'h3f98df6b, 32'h3f8e61a8,32'h3f9d5e76, 32'h3f86e341,32'h3fa4dcdd,// invsqrt(0.7294) = 1.1709 +32'h3f05938e,32'h3fada814,32'h3fb4be9c, 32'h3fa8572d,32'h3fba0f83, 32'h3f9f7b02,32'h3fc2ebae,// invsqrt(0.5218) = 1.3844 +32'h3f19776f,32'h3fa20343,32'h3fa8a021, 32'h3f9d0d9c,32'h3fad95c8, 32'h3f94c987,32'h3fb5d9dd,// invsqrt(0.5995) = 1.2916 +32'h3fa2375d,32'h3f5edb12,32'h3f67f3b0, 32'h3f58089c,32'h3f6ec626, 32'h3f4ca9d7,32'h3f7a24eb,// invsqrt(1.2673) = 0.8883 +32'h3ffde846,32'h3f3220e6,32'h3f396628, 32'h3f2cacf3,32'h3f3eda1b, 32'h3f239660,32'h3f47f0ae,// invsqrt(1.9837) = 0.7100 +32'h3fa14fef,32'h3f5f7ab5,32'h3f6899d7, 32'h3f58a35c,32'h3f6f7130, 32'h3f4d3c72,32'h3f7ad81a,// invsqrt(1.2603) = 0.8908 +32'h3f86ecd4,32'h3f745b5f,32'h3f7e54a7, 32'h3f6ce069,32'h3f82e7ce, 32'h3f6068d0,32'h3f89239b,// invsqrt(1.0541) = 0.9740 +32'h3f363a7c,32'h3f94adad,32'h3f9abf37, 32'h3f902086,32'h3f9f4c5e, 32'h3f888a9a,32'h3fa6e24a,// invsqrt(0.7118) = 1.1853 +32'h3eeca5d1,32'h3fb8828e,32'h3fc00a7f, 32'h3fb2dc9a,32'h3fc5b074, 32'h3fa972ad,32'h3fcf1a61,// invsqrt(0.4622) = 1.4709 +32'h3f11c460,32'h3fa63c89,32'h3fad0589, 32'h3fa125c9,32'h3fb21c49, 32'h3f98aa88,32'h3fba978a,// invsqrt(0.5694) = 1.3252 +32'h3de55202,32'h403b6f41,32'h404315c1, 32'h4035b261,32'h4048d2a1, 32'h402c2241,32'h405262c1,// invsqrt(0.1120) = 2.9884 +32'h3fa7ef1e,32'h3f5b076d,32'h3f63f80f, 32'h3f5452f4,32'h3f6aac88, 32'h3f49262b,32'h3f75d951,// invsqrt(1.3120) = 0.8730 +32'h3fea29f8,32'h3f397c67,32'h3f410e8b, 32'h3f33cecd,32'h3f46bc25, 32'h3f2a5820,32'h3f5032d2,// invsqrt(1.8294) = 0.7393 +32'h3fb96dd9,32'h3f5070c4,32'h3f58f2c2, 32'h3f4a0f46,32'h3f5f5440, 32'h3f3f6cc9,32'h3f69f6bd,// invsqrt(1.4487) = 0.8308 +32'h3fbf6265,32'h3f4d2bff,32'h3f558bd6, 32'h3f46e41f,32'h3f5bd3b7, 32'h3f3c6c54,32'h3f664b83,// invsqrt(1.4952) = 0.8178 +32'h41e4a271,32'h3e3bb72a,32'h3e43609a, 32'h3e35f817,32'h3e491fad, 32'h3e2c644b,32'h3e52b379,// invsqrt(28.5793) = 0.1871 +32'h3f3e94db,32'h3f91623a,32'h3f975156, 32'h3f8ceee4,32'h3f9bc4ac, 32'h3f858401,32'h3fa32f8f,// invsqrt(0.7445) = 1.1590 +32'h3f89dc86,32'h3f71bd9a,32'h3f7b9b8c, 32'h3f6a5725,32'h3f818100, 32'h3f5e01b8,32'h3f87abb7,// invsqrt(1.0770) = 0.9636 +32'h41e3a655,32'h3e3c1eff,32'h3e43ccab, 32'h3e365cbe,32'h3e498eec, 32'h3e2cc3a6,32'h3e532804,// invsqrt(28.4562) = 0.1875 +32'h3eb1dcd1,32'h3fd4d3f7,32'h3fdd83cd, 32'h3fce5017,32'h3fe407ad, 32'h3fc3744b,32'h3feee379,// invsqrt(0.3474) = 1.6967 +32'h3f71eeca,32'h3f81090c,32'h3f864d56, 32'h3f7a2baa,32'h3f8a408d, 32'h3f6d00f2,32'h3f90d5e9,// invsqrt(0.9450) = 1.0287 +32'h3f2bb208,32'h3f992bc9,32'h3f9f6c45, 32'h3f947b6d,32'h3fa41ca1, 32'h3f8caad3,32'h3fabed3b,// invsqrt(0.6707) = 1.2211 +32'h3f914288,32'h3f6b8102,32'h3f751dc8, 32'h3f644b6d,32'h3f7c535d, 32'h3f584774,32'h3f842bab,// invsqrt(1.1348) = 0.9387 +32'h3f6ccbce,32'h3f826d68,32'h3f87c03e, 32'h3f7cde91,32'h3f8bbe5e, 32'h3f6f8f7c,32'h3f9265e8,// invsqrt(0.9250) = 1.0398 +32'h4130d1cf,32'h3e96ef71,32'h3e9d188f, 32'h3e92509a,32'h3ea1b766, 32'h3e8a9d33,32'h3ea96acd,// invsqrt(11.0512) = 0.3008 +32'h3eef6363,32'h3fb77368,32'h3fbef048, 32'h3fb1d5c0,32'h3fc48df0, 32'h3fa879a9,32'h3fcdea07,// invsqrt(0.4676) = 1.4625 +32'h3fa0327f,32'h3f604176,32'h3f6968b4, 32'h3f596407,32'h3f704623, 32'h3f4df2f9,32'h3f7bb731,// invsqrt(1.2515) = 0.8939 +32'h3f70d213,32'h3f81553d,32'h3f869ca3, 32'h3f7abf62,32'h3f8a922f, 32'h3f6d8ce3,32'h3f912b6e,// invsqrt(0.9407) = 1.0310 +32'h4003c441,32'h3f2ed855,32'h3f35fb49, 32'h3f297e1e,32'h3f3b5580, 32'h3f20926e,32'h3f444131,// invsqrt(2.0589) = 0.6969 +32'h3fa0830a,32'h3f60092b,32'h3f692e1d, 32'h3f592d75,32'h3f7009d3, 32'h3f4dbf47,32'h3f7b7801,// invsqrt(1.2540) = 0.8930 +32'h3ed8740e,32'h3fc0ecd8,32'h3fc8ccb6, 32'h3fbb04f0,32'h3fceb49e, 32'h3fb12d1a,32'h3fd88c75,// invsqrt(0.4228) = 1.5380 +32'h3e97d3ce,32'h3fe65aa8,32'h3fefc1a0, 32'h3fdf4d6f,32'h3ff6ced9, 32'h3fd38cba,32'h400147c7,// invsqrt(0.2965) = 1.8364 +32'h3f3048bc,32'h3f972a14,32'h3f9d5598, 32'h3f928972,32'h3fa1f63a, 32'h3f8ad30d,32'h3fa9ac9f,// invsqrt(0.6886) = 1.2051 +32'h3ead74ea,32'h3fd78396,32'h3fe04f7c, 32'h3fd0eaa9,32'h3fe6e869, 32'h3fc5ebc8,32'h3ff1e74a,// invsqrt(0.3388) = 1.7181 +32'h3cafce2b,32'h40d611d1,32'h40decea1, 32'h40cf8436,32'h40e55c3c, 32'h40c49833,32'h40f0483f,// invsqrt(0.0215) = 6.8262 +32'h3dcb35db,32'h40471cc0,32'h404f3d45, 32'h4041045c,32'h405555a8, 32'h4036dbb5,32'h405f7e4f,// invsqrt(0.0992) = 3.1746 +32'h3ec9605c,32'h3fc80455,32'h3fd02e4e, 32'h3fc1e4da,32'h3fd64dc8, 32'h3fb7b062,32'h3fe08240,// invsqrt(0.3933) = 1.5945 +32'h4050ae0d,32'h3f0aefb1,32'h3f109b6f, 32'h3f06aee2,32'h3f14dc3e, 32'h3eff306a,32'h3f1bf2eb,// invsqrt(3.2606) = 0.5538 +32'h3ed63f94,32'h3fc1ea57,32'h3fc9d48f, 32'h3fbbfaad,32'h3fcfc439, 32'h3fb215e8,32'h3fd9a8ff,// invsqrt(0.4185) = 1.5459 +32'h40561b73,32'h3f092a01,32'h3f0ec33a, 32'h3f04f715,32'h3f12f625, 32'h3efbef1b,32'h3f19f5ad,// invsqrt(3.3454) = 0.5467 +32'h3f578cbc,32'h3f88b44f,32'h3f8e48bb, 32'h3f8484fe,32'h3f92780c, 32'h3f7b16ef,32'h3f997192,// invsqrt(0.8420) = 1.0898 +32'h3f97c86c,32'h3f66634b,32'h3f6fca9d, 32'h3f5f55ce,32'h3f76d81a, 32'h3f5394a8,32'h3f814ca0,// invsqrt(1.1858) = 0.9183 +32'h3f903878,32'h3f6c59da,32'h3f75ff7a, 32'h3f651da2,32'h3f7d3bb2, 32'h3f590e99,32'h3f84a55e,// invsqrt(1.1267) = 0.9421 +32'h3d5bb317,32'h40876837,32'h408cef15, 32'h40834311,32'h4091143b, 32'h4078b4f8,32'h4097fcd0,// invsqrt(0.0536) = 4.3178 +32'h3e7a7a3b,32'h3ffda171,32'h4003fdd0, 32'h3ff5ddce,32'h4007dfa1, 32'h3fe8ed14,32'h400e57fe,// invsqrt(0.2446) = 2.0219 +32'h3deea37b,32'h4037bd1d,32'h403f3cff, 32'h40321d34,32'h4044dce8, 32'h4028bd59,32'h404e3cc3,// invsqrt(0.1165) = 2.9295 +32'h403d71e9,32'h3f11d1b2,32'h3f17c55c, 32'h3f0d5af3,32'h3f1c3c1b, 32'h3f05ea60,32'h3f23acae,// invsqrt(2.9601) = 0.5812 +32'h3faf0f15,32'h3f568687,32'h3f5f4819, 32'h3f4ff559,32'h3f65d947, 32'h3f450362,32'h3f70cb3e,// invsqrt(1.3676) = 0.8551 +32'h3fb61e98,32'h3f52536f,32'h3f5ae920, 32'h3f4be32b,32'h3f615965, 32'h3f41280e,32'h3f6c1482,// invsqrt(1.4228) = 0.8384 +32'h3d90d751,32'h406bd81c,32'h40757870, 32'h40649fdc,32'h407cb0b0, 32'h40589772,32'h40845c8d,// invsqrt(0.0707) = 3.7603 +32'h4044e2cd,32'h3f0f097f,32'h3f14e017, 32'h3f0aa88e,32'h3f194108, 32'h3f035c50,32'h3f208d46,// invsqrt(3.0763) = 0.5701 +32'h3ed6113d,32'h3fc1ff53,32'h3fc9ea67, 32'h3fbc0f05,32'h3fcfdab5, 32'h3fb2292d,32'h3fd9c08d,// invsqrt(0.4181) = 1.5465 +32'h3f331be8,32'h3f95f7b2,32'h3f9c16b4, 32'h3f916070,32'h3fa0adf6, 32'h3f89b9ae,32'h3fa854b8,// invsqrt(0.6996) = 1.1955 +32'h3f440760,32'h3f8f5977,32'h3f953352, 32'h3f8af612,32'h3f9996b6, 32'h3f83a5c0,32'h3fa0e708,// invsqrt(0.7657) = 1.1428 +32'h3fc781fe,32'h3f48f391,32'h3f51274d, 32'h3f42ccc3,32'h3f574e1b, 32'h3f388c17,32'h3f618ec7,// invsqrt(1.5587) = 0.8010 +32'h3f0473a1,32'h3fae646e,32'h3fb582a6, 32'h3fa90dc3,32'h3fbad951, 32'h3fa027fc,32'h3fc3bf18,// invsqrt(0.5174) = 1.3902 +32'h4317613b,32'h3da32026,32'h3da9c8a4, 32'h3d9e21c6,32'h3daec704, 32'h3d95cf28,32'h3db719a2,// invsqrt(151.3798) = 0.0813 +32'h40009e80,32'h3f30f8b1,32'h3f3831dd, 32'h3f2b8dd0,32'h3f3d9cbe, 32'h3f22865a,32'h3f46a435,// invsqrt(2.0097) = 0.7054 +32'h3fdeca95,32'h3f3e2943,32'h3f45ec41, 32'h3f385705,32'h3f4bbe7f, 32'h3f2ea348,32'h3f55723c,// invsqrt(1.7406) = 0.7580 +32'h3fc6cc18,32'h3f494f6b,32'h3f5186e8, 32'h3f4325ce,32'h3f57b086, 32'h3f38e073,32'h3f61f5e1,// invsqrt(1.5531) = 0.8024 +32'h3faa9780,32'h3f5950ee,32'h3f622fa9, 32'h3f52a9e1,32'h3f68d6b5, 32'h3f479377,32'h3f73ed1f,// invsqrt(1.3327) = 0.8662 +32'h3ecaac35,32'h3fc76052,32'h3fcf8399, 32'h3fc145dc,32'h3fd59e0e, 32'h3fb719c3,32'h3fdfca27,// invsqrt(0.3958) = 1.5894 +32'h3c9cf8f3,32'h40e28c2a,32'h40ebcb5a, 32'h40db9cc5,32'h40f2babf, 32'h40d00dc8,32'h40fe49bc,// invsqrt(0.0192) = 7.2241 +32'h3eee7bac,32'h3fb7cc72,32'h3fbf4cf4, 32'h3fb22c10,32'h3fc4ed56, 32'h3fa8cb6e,32'h3fce4df8,// invsqrt(0.4658) = 1.4652 +32'h3fa3b593,32'h3f5dd653,32'h3f66e44c, 32'h3f570bd9,32'h3f6daec7, 32'h3f4bba62,32'h3f79003e,// invsqrt(1.2790) = 0.8842 +32'h40288660,32'h3f1a9aec,32'h3f20ea63, 32'h3f15df52,32'h3f25a5fc, 32'h3f0dfbfd,32'h3f2d8951,// invsqrt(2.6332) = 0.6163 +32'h3f90f2a9,32'h3f6bc1dc,32'h3f756148, 32'h3f648a4b,32'h3f7c98d9, 32'h3f588303,32'h3f845010,// invsqrt(1.1324) = 0.9397 +32'h3f888780,32'h3f72eac7,32'h3f7cd504, 32'h3f6b7b1a,32'h3f822259, 32'h3f5f164f,32'h3f8854be,// invsqrt(1.0666) = 0.9683 +32'h3ef4b21f,32'h3fb57349,32'h3fbcdb42, 32'h3fafe54f,32'h3fc2693d, 32'h3fa6a359,32'h3fcbab33,// invsqrt(0.4779) = 1.4465 +32'h408eced7,32'h3eed845e,32'h3ef7362e, 32'h3ee63f02,32'h3efe7b8a, 32'h3eda20bf,32'h3f054ce6,// invsqrt(4.4627) = 0.4734 +32'h3f35da97,32'h3f94d4db,32'h3f9ae7fe, 32'h3f904680,32'h3f9f7658, 32'h3f88ae94,32'h3fa70e44,// invsqrt(0.7104) = 1.1865 +32'h4057aef5,32'h3f08a976,32'h3f0e3d70, 32'h3f047a7a,32'h3f126c6c, 32'h3efb0302,32'h3f196565,// invsqrt(3.3701) = 0.5447 +32'h401bbb35,32'h3f20d494,32'h3f276518, 32'h3f1be832,32'h3f2c517a, 32'h3f13b38d,32'h3f34861f,// invsqrt(2.4333) = 0.6411 +32'h3f9d6cb1,32'h3f6238d2,32'h3f6b749c, 32'h3f5b4bfb,32'h3f726173, 32'h3f4fc13e,32'h3f7dec30,// invsqrt(1.2299) = 0.9017 +32'h3f06c9c0,32'h3facdfce,32'h3fb3ee2a, 32'h3fa79509,32'h3fb938ef, 32'h3f9ec316,32'h3fc20ae2,// invsqrt(0.5265) = 1.3781 +32'h3f8a4a43,32'h3f715d9d,32'h3f7b37a3, 32'h3f69fa18,32'h3f814d94, 32'h3f5da990,32'h3f8775d8,// invsqrt(1.0804) = 0.9621 +32'h3eba79b1,32'h3fcfdadc,32'h3fd856bb, 32'h3fc97df4,32'h3fdeb3a2, 32'h3fbee31d,32'h3fe94e79,// invsqrt(0.3642) = 1.6570 +32'h3f35b308,32'h3f94e50e,32'h3f9af8da, 32'h3f905635,32'h3f9f87b3, 32'h3f88bd75,32'h3fa72073,// invsqrt(0.7098) = 1.1870 +32'h3cbdf1bb,32'h40cdf2bb,32'h40d65aae, 32'h40c7a4c6,32'h40dca8a4, 32'h40bd22d6,32'h40e72a94,// invsqrt(0.0232) = 6.5672 +32'h3fb523b2,32'h3f52e4e7,32'h3f5b8087, 32'h3f4c702e,32'h3f61f540, 32'h3f41ada5,32'h3f6cb7c9,// invsqrt(1.4152) = 0.8406 +32'h3f998ab0,32'h3f651083,32'h3f6e6a01, 32'h3f5e0d65,32'h3f756d1f, 32'h3f525d88,32'h3f808e7e,// invsqrt(1.1995) = 0.9130 +32'h4032d1ba,32'h3f1616ca,32'h3f1c3710, 32'h3f117e94,32'h3f20cf46, 32'h3f09d63c,32'h3f28779e,// invsqrt(2.7941) = 0.5983 +32'h3ffd6f69,32'h3f324b5a,32'h3f399258, 32'h3f2cd61b,32'h3f3f0797, 32'h3f23bd5d,32'h3f482055,// invsqrt(1.9800) = 0.7107 +32'h40266215,32'h3f1b98d9,32'h3f21f2ad, 32'h3f16d579,32'h3f26b60d, 32'h3f0ee530,32'h3f2ea656,// invsqrt(2.5997) = 0.6202 +32'h3faaf7e6,32'h3f5913a1,32'h3f61efdb, 32'h3f526e74,32'h3f689508, 32'h3f475b2b,32'h3f73a851,// invsqrt(1.3357) = 0.8653 +32'h3df028f1,32'h403727e5,32'h403ea1af, 32'h40318c8d,32'h40443d07, 32'h4028344f,32'h404d9545,// invsqrt(0.1173) = 2.9202 +32'h3f84b419,32'h3f7664d2,32'h3f8039b1, 32'h3f6ed9e6,32'h3f83ff27, 32'h3f6247b1,32'h3f8a4842,// invsqrt(1.0367) = 0.9821 +32'h3fea74e2,32'h3f395ec3,32'h3f40efb1, 32'h3f33b211,32'h3f469c63, 32'h3f2a3ce7,32'h3f50118d,// invsqrt(1.8317) = 0.7389 +32'h3f80d6d8,32'h3f7a0fc3,32'h3f822253, 32'h3f726819,32'h3f85f629, 32'h3f65a5fc,32'h3f8c5737,// invsqrt(1.0066) = 0.9967 +32'h3ef09e80,32'h3fb6fb21,32'h3fbe7318, 32'h3fb16128,32'h3fc40d12, 32'h3fa80b34,32'h3fcd6306,// invsqrt(0.4700) = 1.4587 +32'h3fc7c93e,32'h3f48cfb9,32'h3f5101ff, 32'h3f42aa04,32'h3f5727b4, 32'h3f386b2c,32'h3f61668c,// invsqrt(1.5608) = 0.8004 +32'h3f9fb8db,32'h3f6096ca,32'h3f69c184, 32'h3f59b6bf,32'h3f70a18f, 32'h3f4e4156,32'h3f7c16f8,// invsqrt(1.2478) = 0.8952 +32'h3f5f79f3,32'h3f86420d,32'h3f8bbce9, 32'h3f8225e8,32'h3f8fd90e, 32'h3f7698aa,32'h3f96b2a1,// invsqrt(0.8730) = 1.0703 +32'h3fe28ba8,32'h3f3c9438,32'h3f4446ad, 32'h3f36ce60,32'h3f4a0c84, 32'h3f2d2f4d,32'h3f53ab97,// invsqrt(1.7699) = 0.7517 +32'h3e511f1b,32'h400aca1e,32'h40107454, 32'h40068a76,32'h4014b3fc, 32'h3ffeeb67,32'h401bc8bf,// invsqrt(0.2042) = 2.2128 +32'h3be37bd7,32'h413c3090,32'h4143def4, 32'h41366dc5,32'h4149a1bf, 32'h412cd3c8,32'h41533bbc,// invsqrt(0.0069) = 12.0019 +32'h3f2c8d03,32'h3f98ca79,32'h3f9f06fb, 32'h3f941d17,32'h3fa3b45d, 32'h3f8c5174,32'h3fab8000,// invsqrt(0.6740) = 1.2180 +32'h4097455a,32'h3ee6c705,32'h3ef03269, 32'h3edfb67b,32'h3ef742f3, 32'h3ed3f03e,32'h3f018498,// invsqrt(4.7272) = 0.4599 +32'h3f696a79,32'h3f835e4d,32'h3f88baf7, 32'h3f7eb19a,32'h3f8cc077, 32'h3f7149f0,32'h3f93744c,// invsqrt(0.9118) = 1.0473 +32'h3fa39dd2,32'h3f5de66d,32'h3f66f50e, 32'h3f571b74,32'h3f6dc006, 32'h3f4bc92a,32'h3f791250,// invsqrt(1.2783) = 0.8845 +32'h3f7efbf0,32'h3f7b6117,32'h3f82d1e0, 32'h3f73af1a,32'h3f86aadf, 32'h3f66dbc8,32'h3f8d1488,// invsqrt(0.9960) = 1.0020 +32'h4078f705,32'h3efe6660,32'h3f04644c, 32'h3ef69cb6,32'h3f084921, 32'h3ee9a1f0,32'h3f0ec684,// invsqrt(3.8901) = 0.5070 +32'h416c9cc5,32'h3e827a5e,32'h3e87cdbb, 32'h3e7cf7b2,32'h3e8bcc41, 32'h3e6fa74b,32'h3e927475,// invsqrt(14.7883) = 0.2600 +32'h3f4bb99a,32'h3f8c9d9f,32'h3f925aea, 32'h3f884fa8,32'h3f96a8e2, 32'h3f81230b,32'h3f9dd57f,// invsqrt(0.7958) = 1.1210 +32'h404f35b8,32'h3f0b6da3,32'h3f111e85, 32'h3f0728f9,32'h3f15632f, 32'h3f000bdf,32'h3f1c8049,// invsqrt(3.2377) = 0.5558 +32'h3f4fb2c1,32'h3f8b43a5,32'h3f90f2d1, 32'h3f870045,32'h3f953631, 32'h3f7fca9d,32'h3f9c5127,// invsqrt(0.8113) = 1.1102 +32'h3f62b04d,32'h3f854da9,32'h3f8abe8c, 32'h3f813900,32'h3f8ed336, 32'h3f74d7ca,32'h3f95a051,// invsqrt(0.8855) = 1.0627 +32'h42c8570a,32'h3dc8889b,32'h3dd0b7fb, 32'h3dc26514,32'h3dd6db82, 32'h3db829dd,32'h3de116b9,// invsqrt(100.1700) = 0.0999 +32'h3f9cac73,32'h3f62c372,32'h3f6c04e4, 32'h3f5bd25c,32'h3f72f5fa, 32'h3f50408d,32'h3f7e87c9,// invsqrt(1.2240) = 0.9039 +32'h40cee84d,32'h3ec5534d,32'h3ecd6127, 32'h3ebf48ea,32'h3ed36b8a, 32'h3eb5379b,32'h3edd7cd9,// invsqrt(6.4659) = 0.3933 +32'h3f8d3771,32'h3f6eda04,32'h3f7899c6, 32'h3f678a33,32'h3f7fe997, 32'h3f5b5a81,32'h3f860ca4,// invsqrt(1.1033) = 0.9521 +32'h3faa6dcc,32'h3f596b83,32'h3f624b54, 32'h3f52c3a6,32'h3f68f330, 32'h3f47abe0,32'h3f740af6,// invsqrt(1.3315) = 0.8666 +32'h3fbbfad9,32'h3f4f057e,32'h3f5778a8, 32'h3f48af1f,32'h3f5dcf07, 32'h3f3e1f2b,32'h3f685efb,// invsqrt(1.4686) = 0.8252 +32'h3f1348ed,32'h3fa560b1,32'h3fac20b9, 32'h3fa050ac,32'h3fb130be, 32'h3f97e0a3,32'h3fb9a0c7,// invsqrt(0.5753) = 1.3184 +32'h3f2a37d9,32'h3f99d593,32'h3fa01cfc, 32'h3f952003,32'h3fa4d28b, 32'h3f8d46c0,32'h3facabce,// invsqrt(0.6649) = 1.2264 +32'h3e90be72,32'h3febec5e,32'h3ff58d86, 32'h3fe4b380,32'h3ffcc664, 32'h3fd8aa0d,32'h400467ec,// invsqrt(0.2827) = 1.8808 +32'h3f35e0d0,32'h3f94d24f,32'h3f9ae557, 32'h3f904408,32'h3f9f739e, 32'h3f88ac3e,32'h3fa70b68,// invsqrt(0.7105) = 1.1864 +32'h3ed6e0d5,32'h3fc1a187,32'h3fc988c5, 32'h3fbbb417,32'h3fcf7635, 32'h3fb1d309,32'h3fd95743,// invsqrt(0.4197) = 1.5436 +32'h3e1bac75,32'h4020dc33,32'h40276d06, 32'h401bef94,32'h402c59a4, 32'h4013ba8c,32'h40348eac,// invsqrt(0.1520) = 2.5647 +32'h40a1b08b,32'h3edf37e8,32'h3ee85450, 32'h3ed8629b,32'h3eef299d, 32'h3eccff19,32'h3efa8d1f,// invsqrt(5.0528) = 0.4449 +32'h3f0dcf70,32'h3fa88a28,32'h3faf6b39, 32'h3fa3615a,32'h3fb49406, 32'h3f9ac805,32'h3fbd2d5b,// invsqrt(0.5539) = 1.3436 +32'h3ed22a9a,32'h3fc3ca1c,32'h3fcbc7e8, 32'h3fbdcbc2,32'h3fd1c642, 32'h3fb3ce82,32'h3fdbc382,// invsqrt(0.4105) = 1.5608 +32'h3fa40390,32'h3f5da18f,32'h3f66ad61, 32'h3f56d8b2,32'h3f6d763e, 32'h3f4b89ec,32'h3f78c504,// invsqrt(1.2814) = 0.8834 +32'h3f56c9b5,32'h3f88f251,32'h3f8e8945, 32'h3f84c11a,32'h3f92ba7c, 32'h3f7b88d4,32'h3f99b72c,// invsqrt(0.8390) = 1.0917 +32'h3ea2f3fd,32'h3fde59f1,32'h3fe76d49, 32'h3fd78b6f,32'h3fee3bcb, 32'h3fcc3340,32'h3ff993fa,// invsqrt(0.3183) = 1.7726 +32'h404458e0,32'h3f0f3bb3,32'h3f151457, 32'h3f0ad938,32'h3f1976d2, 32'h3f038a6b,32'h3f20c59f,// invsqrt(3.0679) = 0.5709 +32'h3fa7a7c0,32'h3f5b3607,32'h3f64288f, 32'h3f548021,32'h3f6ade75, 32'h3f4950f7,32'h3f760d9f,// invsqrt(1.3098) = 0.8738 +32'h3e633eb7,32'h400523de,32'h400a930b, 32'h4001107b,32'h400ea66d, 32'h3ff48b04,32'h40157166,// invsqrt(0.2219) = 2.1228 +32'h408b53a3,32'h3ef07752,32'h3efa47f2, 32'h3ee91ada,32'h3f00d235, 32'h3edcd612,32'h3f06f499,// invsqrt(4.3540) = 0.4792 +32'h3f6339db,32'h3f85254a,32'h3f8a9486, 32'h3f8111dc,32'h3f8ea7f4, 32'h3f748da2,32'h3f9572ff,// invsqrt(0.8876) = 1.0614 +32'h3fd96c5c,32'h3f407e8e,32'h3f4859ed, 32'h3f3a9a08,32'h3f4e3e74, 32'h3f30c7d1,32'h3f5810ab,// invsqrt(1.6986) = 0.7673 +32'h3e311ded,32'h4016ceff,32'h401cf6ca, 32'h40123125,32'h402194a3, 32'h400a7f67,32'h40294661,// invsqrt(0.1730) = 2.4045 +32'h3f5b4723,32'h3f878988,32'h3f8d11c2, 32'h3f83635d,32'h3f9137ed, 32'h3f78f229,32'h3f982236,// invsqrt(0.8566) = 1.0805 +32'h3dc1942d,32'h404c0170,32'h40545516, 32'h4045c2b3,32'h405a93d3, 32'h403b5a23,32'h4064fc63,// invsqrt(0.0945) = 3.2526 +32'h3f444172,32'h3f8f4440,32'h3f951d3d, 32'h3f8ae181,32'h3f997ffb, 32'h3f839245,32'h3fa0cf37,// invsqrt(0.7666) = 1.1421 +32'h3ffb4c77,32'h3f330cf8,32'h3f3a5bdd, 32'h3f2d91cb,32'h3f3fd709, 32'h3f246f2c,32'h3f48f9a8,// invsqrt(1.9633) = 0.7137 +32'h3f2a98b3,32'h3f99a9e1,32'h3f9fef82, 32'h3f94f5a9,32'h3fa4a3bb, 32'h3f8d1ea0,32'h3fac7ac4,// invsqrt(0.6664) = 1.2250 +32'h3f320056,32'h3f966ef7,32'h3f9c92d7, 32'h3f91d40f,32'h3fa12dbf, 32'h3f8a2736,32'h3fa8da98,// invsqrt(0.6953) = 1.1992 +32'h40bdcdce,32'h3ece0638,32'h3ed66ef6, 32'h3ec7b7a9,32'h3edcbd85, 32'h3ebd34bb,32'h3ee74073,// invsqrt(5.9314) = 0.4106 +32'h3f8ea816,32'h3f6da49f,32'h3f7757bf, 32'h3f665e46,32'h3f7e9e18, 32'h3f5a3e5e,32'h3f855f00,// invsqrt(1.1145) = 0.9472 +32'h41654aaf,32'h3e848b67,32'h3e89f45b, 32'h3e807caf,32'h3e8e0313, 32'h3e7372fb,32'h3e94c644,// invsqrt(14.3307) = 0.2642 +32'h3f957a8a,32'h3f682824,32'h3f71a1f2, 32'h3f610cca,32'h3f78bd4c, 32'h3f55348a,32'h3f824ac6,// invsqrt(1.1678) = 0.9254 +32'h3f8810a5,32'h3f7354c9,32'h3f7d4359, 32'h3f6be1dd,32'h3f825b23, 32'h3f5f77a9,32'h3f88903d,// invsqrt(1.0630) = 0.9699 +32'h41184eb8,32'h3ea2a0c6,32'h3ea94412, 32'h3e9da64d,32'h3eae3e8b, 32'h3e955a2e,32'h3eb68aaa,// invsqrt(9.5192) = 0.3241 +32'h3fe5fc24,32'h3f3b29e0,32'h3f42cd8c, 32'h3f356f20,32'h3f48884c, 32'h3f2be28a,32'h3f5214e2,// invsqrt(1.7968) = 0.7460 +32'h3f29c9f4,32'h3f9a0753,32'h3fa050c5, 32'h3f95503f,32'h3fa507d9, 32'h3f8d7471,32'h3face3a7,// invsqrt(0.6632) = 1.2279 +32'h3d49d450,32'h408d4648,32'h40930a74, 32'h4088f326,32'h40975d96, 32'h4081bdee,32'h409e92ce,// invsqrt(0.0493) = 4.5049 +32'h3e840a09,32'h3ff7034c,32'h40008c2a, 32'h3fef7386,32'h4004540d, 32'h3fe2d93b,32'h400aa132,// invsqrt(0.2579) = 1.9692 +32'h3fa204b1,32'h3f5efde9,32'h3f6817f2, 32'h3f582a61,32'h3f6eeb79, 32'h3f4cc9d5,32'h3f7a4c05,// invsqrt(1.2658) = 0.8888 +32'h3e8a69e6,32'h3ff14206,32'h3ffb1aec, 32'h3fe9df59,32'h40013ecc, 32'h3fdd903a,32'h4007665c,// invsqrt(0.2703) = 1.9233 +32'h3f0b8392,32'h3fa9ebcb,32'h3fb0db4b, 32'h3fa4b82a,32'h3fb60eec, 32'h3f9c0cca,32'h3fbeba4c,// invsqrt(0.5450) = 1.3546 +32'h4065c33b,32'h3f04689d,32'h3f09d026, 32'h3f005af6,32'h3f0dddcc, 32'h3ef33315,32'h3f149f38,// invsqrt(3.5900) = 0.5278 +32'h3f2673ad,32'h3f9b909f,32'h3fa1ea1e, 32'h3f96cd81,32'h3fa6ad3d, 32'h3f8edda2,32'h3fae9d1c,// invsqrt(0.6502) = 1.2402 +32'h404d2312,32'h3f0c2185,32'h3f11d9bf, 32'h3f07d75a,32'h3f1623ea, 32'h3f00b112,32'h3f1d4a32,// invsqrt(3.2053) = 0.5586 +32'h403b2d6c,32'h3f12b321,32'h3f18affd, 32'h3f0e357b,32'h3f1d2da3, 32'h3f06b967,32'h3f24a9b7,// invsqrt(2.9246) = 0.5847 +32'h3e9bc8bd,32'h3fe368f1,32'h3fecb125, 32'h3fdc72ca,32'h3ff3a74c, 32'h3fd0d88a,32'h3fff418c,// invsqrt(0.3043) = 1.8129 +32'h3f89fdb3,32'h3f71a089,32'h3f7b7d4b, 32'h3f6a3af8,32'h3f81716e, 32'h3f5de706,32'h3f879b67,// invsqrt(1.0781) = 0.9631 +32'h3fb213bb,32'h3f54b324,32'h3f5d61a3, 32'h3f4e3045,32'h3f63e483, 32'h3f435627,32'h3f6ebea1,// invsqrt(1.3912) = 0.8478 +32'h3e888bef,32'h3ff2e6d6,32'h3ffcd0e9, 32'h3feb7747,32'h4002203b, 32'h3fdf12af,32'h40085287,// invsqrt(0.2667) = 1.9364 +32'h3f87875a,32'h3f73cfea,32'h3f7dc380, 32'h3f6c5939,32'h3f829d19, 32'h3f5fe8bc,32'h3f88d557,// invsqrt(1.0588) = 0.9718 +32'h404e4420,32'h3f0bbf33,32'h3f117369, 32'h3f07780a,32'h3f15ba92, 32'h3f0056c6,32'h3f1cdbd6,// invsqrt(3.2229) = 0.5570 +32'h3ed8347f,32'h3fc10931,32'h3fc8ea39, 32'h3fbb206c,32'h3fced2fe, 32'h3fb14723,32'h3fd8ac47,// invsqrt(0.4223) = 1.5389 +32'h4011734d,32'h3f266ad7,32'h3f2d35bb, 32'h3f2152ac,32'h3f324de6, 32'h3f18d50e,32'h3f3acb84,// invsqrt(2.2727) = 0.6633 +32'h408fbce0,32'h3eecbf61,32'h3ef66927, 32'h3ee5800d,32'h3efda87b, 32'h3ed96bd7,32'h3f04de59,// invsqrt(4.4918) = 0.4718 +32'h3f970a46,32'h3f66f423,32'h3f70615e, 32'h3f5fe237,32'h3f77734b, 32'h3f5419ae,32'h3f819dea,// invsqrt(1.1800) = 0.9206 +32'h3ee06ba1,32'h3fbd7840,32'h3fc53404, 32'h3fb7ab6d,32'h3fcb00d7, 32'h3fae00b8,32'h3fd4ab8c,// invsqrt(0.4383) = 1.5104 +32'h3faedfb6,32'h3f56a393,32'h3f5f6655, 32'h3f501181,32'h3f65f867, 32'h3f451e0f,32'h3f70ebd9,// invsqrt(1.3662) = 0.8555 +32'h3fbd646c,32'h3f4e3f82,32'h3f56aa96, 32'h3f47ef32,32'h3f5cfae6, 32'h3f3d6958,32'h3f6780c0,// invsqrt(1.4796) = 0.8221 +32'h3ffbc685,32'h3f32e18c,32'h3f3a2eac, 32'h3f2d67b4,32'h3f3fa884, 32'h3f24474c,32'h3f48c8ec,// invsqrt(1.9670) = 0.7130 +32'h3ff564d7,32'h3f35312a,32'h3f3c9670, 32'h3f2fa536,32'h3f422264, 32'h3f26669f,32'h3f4b60fb,// invsqrt(1.9171) = 0.7222 +32'h3f2e25db,32'h3f9816b5,32'h3f9e4be1, 32'h3f936ed4,32'h3fa2f3c2, 32'h3f8bac5d,32'h3faab639,// invsqrt(0.6803) = 1.2124 +32'h3fffb037,32'h3f3181cd,32'h3f38c091, 32'h3f2c12b9,32'h3f3e2fa5, 32'h3f230444,32'h3f473e1a,// invsqrt(1.9976) = 0.7075 +32'h3e6e5e43,32'h4001ff1f,32'h40074d73, 32'h3ffc08be,32'h400b4833, 32'h3feec4ea,32'h4011ea1d,// invsqrt(0.2328) = 2.0726 +32'h3fab3162,32'h3f58ef2c,32'h3f61c9ea, 32'h3f524b1e,32'h3f686df8, 32'h3f4739b0,32'h3f737f66,// invsqrt(1.3374) = 0.8647 +32'h3fdfc392,32'h3f3dbf59,32'h3f457e04, 32'h3f37f059,32'h3f4b4d05, 32'h3f2e4204,32'h3f54fb5a,// invsqrt(1.7482) = 0.7563 +32'h3f92d124,32'h3f6a4075,32'h3f73d027, 32'h3f6314b0,32'h3f7afbec, 32'h3f572113,32'h3f8377c4,// invsqrt(1.1470) = 0.9337 +32'h3f82979e,32'h3f7860a6,32'h3f8141f9, 32'h3f70c62f,32'h3f850f35, 32'h3f641a11,32'h3f8b6543,// invsqrt(1.0203) = 0.9900 +32'h3e02414c,32'h402fdb4b,32'h403708d1, 32'h402a7927,32'h403c6af5, 32'h40218040,32'h404563dc,// invsqrt(0.1272) = 2.8038 +32'h421bb9c2,32'h3e20d554,32'h3e2765df, 32'h3e1be8eb,32'h3e2c5247, 32'h3e13b43d,32'h3e3486f5,// invsqrt(38.9314) = 0.1603 +32'h3f040f49,32'h3faea6a2,32'h3fb5c78f, 32'h3fa94df1,32'h3fbb2041, 32'h3fa064ca,32'h3fc40968,// invsqrt(0.5159) = 1.3923 +32'h3f54935d,32'h3f89a846,32'h3f8f46a6, 32'h3f85717d,32'h3f937d6f, 32'h3f7cd708,32'h3f9a8368,// invsqrt(0.8304) = 1.0974 +32'h3d860c1d,32'h407527db,32'h407f297b, 32'h406da6a2,32'h4083555a, 32'h4061249a,32'h4089965e,// invsqrt(0.0655) = 3.9087 +32'h3f669f3c,32'h3f842966,32'h3f898e5a, 32'h3f801dae,32'h3f8d9a12, 32'h3f72befa,32'h3f945843,// invsqrt(0.9009) = 1.0536 +32'h3f5fba5d,32'h3f862eb8,32'h3f8ba8ca, 32'h3f82132b,32'h3f8fc457, 32'h3f767528,32'h3f969cee,// invsqrt(0.8739) = 1.0697 +32'h3f2eff83,32'h3f97b803,32'h3f9de951, 32'h3f931308,32'h3fa28e4c, 32'h3f8b5566,32'h3faa4bee,// invsqrt(0.6836) = 1.2095 +32'h3fb912dd,32'h3f50a3fa,32'h3f59280f, 32'h3f4a40eb,32'h3f5f8b1f, 32'h3f3f9bd1,32'h3f6a3039,// invsqrt(1.4459) = 0.8316 +32'h3f37dcd3,32'h3f940428,32'h3f9a0ec6, 32'h3f8f7c31,32'h3f9e96bd, 32'h3f87eeeb,32'h3fa62403,// invsqrt(0.7182) = 1.1800 +32'h3f5ff637,32'h3f861cc9,32'h3f8b961f, 32'h3f8201c8,32'h3f8fb120, 32'h3f765437,32'h3f9688cc,// invsqrt(0.8749) = 1.0691 +32'h3ffd9122,32'h3f323f7e,32'h3f398601, 32'h3f2cca9d,32'h3f3efae3, 32'h3f23b27a,32'h3f481306,// invsqrt(1.9810) = 0.7105 +32'h3f956acb,32'h3f683460,32'h3f71aead, 32'h3f6118a6,32'h3f78ca66, 32'h3f553fc5,32'h3f8251a3,// invsqrt(1.1673) = 0.9256 +32'h3ece7c50,32'h3fc586e0,32'h3fcd96d4, 32'h3fbf7ae9,32'h3fd3a2cb, 32'h3fb566f7,32'h3fddb6bd,// invsqrt(0.4033) = 1.5747 +32'h42988d7d,32'h3de5ce4d,32'h3def2f89, 32'h3ddec55f,32'h3df63877, 32'h3dd30bd4,32'h3e00f901,// invsqrt(76.2763) = 0.1145 +32'h3f0ae536,32'h3faa4c8d,32'h3fb14001, 32'h3fa515f7,32'h3fb67697, 32'h3f9c65a6,32'h3fbf26e8,// invsqrt(0.5426) = 1.3576 +32'h3f3c2005,32'h3f92546c,32'h3f984d6b, 32'h3f8dd9ac,32'h3f9cc82a, 32'h3f86626d,32'h3fa43f69,// invsqrt(0.7349) = 1.1665 +32'h3df14f85,32'h4036b7f7,32'h403e2d31, 32'h4031200d,32'h4043c51b, 32'h4027cd85,32'h404d17a3,// invsqrt(0.1178) = 2.9132 +32'h3f53dac4,32'h3f89e432,32'h3f8f8504, 32'h3f85ab93,32'h3f93bda3, 32'h3f7d4517,32'h3f9ac6aa,// invsqrt(0.8276) = 1.0993 +32'h3f9e731d,32'h3f617d2f,32'h3f6ab151, 32'h3f5a9616,32'h3f71986a, 32'h3f4f14ed,32'h3f7d1993,// invsqrt(1.2379) = 0.8988 +32'h3f8079ce,32'h3f7a6a3e,32'h3f82516a, 32'h3f72bfcf,32'h3f8626a2, 32'h3f65f915,32'h3f8c89ff,// invsqrt(1.0037) = 0.9981 +32'h416ada87,32'h3e82f735,32'h3e884faa, 32'h3e7de9b9,32'h3e8c5201, 32'h3e708c95,32'h3e930094,// invsqrt(14.6784) = 0.2610 +32'h3e4f0a58,32'h400b7c3d,32'h40112db8, 32'h40073722,32'h401572d4, 32'h40001948,32'h401c90ae,// invsqrt(0.2022) = 2.2239 +32'h4029bc8a,32'h3f1a0d69,32'h3f20571a, 32'h3f155625,32'h3f250e5f, 32'h3f0d7a08,32'h3f2cea7c,// invsqrt(2.6521) = 0.6140 +32'h3f72c21a,32'h3f80d0d7,32'h3f8612d5, 32'h3f79beb0,32'h3f8a0454, 32'h3f6c99b4,32'h3f9096d2,// invsqrt(0.9483) = 1.0269 +32'h404a1fbb,32'h3f0d2bea,32'h3f12ef03, 32'h3f08d996,32'h3f174156, 32'h3f01a5b7,32'h3f1e7535,// invsqrt(3.1582) = 0.5627 +32'h3fedad25,32'h3f381c3b,32'h3f3f9fff, 32'h3f327968,32'h3f4542d2, 32'h3f2914b4,32'h3f4ea786,// invsqrt(1.8568) = 0.7339 +32'h3fc54df4,32'h3f4a1200,32'h3f52516e, 32'h3f43e26e,32'h3f588100, 32'h3f399325,32'h3f62d049,// invsqrt(1.5414) = 0.8054 +32'h410fd1bb,32'h3ea75bc0,32'h3eae307a, 32'h3ea23c35,32'h3eb35005, 32'h3e99b24d,32'h3ebbd9ed,// invsqrt(8.9887) = 0.3335 +32'h3e277d1d,32'h401b1529,32'h4021699e, 32'h401655d2,32'h402628f6, 32'h400e6c40,32'h402e1288,// invsqrt(0.1636) = 2.4726 +32'h40b5b4b0,32'h3ed290b2,32'h3edb28e3, 32'h3ecc1e8d,32'h3ee19b07, 32'h3ec1604f,32'h3eec5945,// invsqrt(5.6783) = 0.4197 +32'h3e9a55c8,32'h3fe4799a,32'h3fedccee, 32'h3fdd7b1a,32'h3ff4cb6e, 32'h3fd1d2f0,32'h400039cc,// invsqrt(0.3014) = 1.8214 +32'h3f7f1b70,32'h3f7b5192,32'h3f82c9cb, 32'h3f73a00d,32'h3f86a28e, 32'h3f66cd85,32'h3f8d0bd1,// invsqrt(0.9965) = 1.0017 +32'h3f8691c1,32'h3f74ae01,32'h3f7eaaa9, 32'h3f6d3084,32'h3f831413, 32'h3f60b4b3,32'h3f8951fc,// invsqrt(1.0513) = 0.9753 +32'h3f8c9ec6,32'h3f6f5b89,32'h3f792094, 32'h3f6807c1,32'h3f803a2e, 32'h3f5bd174,32'h3f865555,// invsqrt(1.0986) = 0.9541 +32'h4018fb47,32'h3f2244f4,32'h3f28e480, 32'h3f1d4d4a,32'h3f2ddc2a, 32'h3f1505db,32'h3f362399,// invsqrt(2.3903) = 0.6468 +32'h3e7842aa,32'h3ffec2b8,32'h4004945a, 32'h3ff6f63a,32'h40087a99, 32'h3fe9f6bd,32'h400efa57,// invsqrt(0.2424) = 2.0309 +32'h3e878f6a,32'h3ff3c8aa,32'h3ffdbbf4, 32'h3fec5231,32'h40029936, 32'h3fdfe214,32'h4008d145,// invsqrt(0.2648) = 1.9434 +32'h3f0e5bc0,32'h3fa83704,32'h3faf14b0, 32'h3fa310c2,32'h3fb43af2, 32'h3f9a7bab,32'h3fbcd009,// invsqrt(0.5561) = 1.3410 +32'h3fa38742,32'h3f5df5bb,32'h3f6704fd, 32'h3f572a4b,32'h3f6dd06d, 32'h3f4bd739,32'h3f79237f,// invsqrt(1.2776) = 0.8847 +32'h3f4288e4,32'h3f8fe61e,32'h3f95c5b6, 32'h3f8b7e6b,32'h3f9a2d69, 32'h3f8426ec,32'h3fa184e8,// invsqrt(0.7599) = 1.1472 +32'h4017154e,32'h3f23491e,32'h3f29f348, 32'h3f1e497d,32'h3f2ef2e9, 32'h3f15f4c8,32'h3f37479f,// invsqrt(2.3607) = 0.6509 +32'h3fa8a7c1,32'h3f5a8f68,32'h3f637b24, 32'h3f53de9c,32'h3f6a2bf0, 32'h3f48b7f2,32'h3f75529a,// invsqrt(1.3176) = 0.8712 +32'h3fbcab01,32'h3f4ea4c2,32'h3f5713f8, 32'h3f485158,32'h3f5d6762, 32'h3f3dc654,32'h3f67f266,// invsqrt(1.4740) = 0.8237 +32'h3efb5f52,32'h3fb30640,32'h3fba54e0, 32'h3fad8b49,32'h3fbfcfd7, 32'h3fa46902,32'h3fc8f21e,// invsqrt(0.4910) = 1.4272 +32'h40071fef,32'h3f2ca8a4,32'h3f33b4c0, 32'h3f275f90,32'h3f38fdd4, 32'h3f1e906d,32'h3f41ccf7,// invsqrt(2.1113) = 0.6882 +32'h40479115,32'h3f0e12b9,32'h3f13df3d, 32'h3f09b955,32'h3f1838a1, 32'h3f0279af,32'h3f1f7847,// invsqrt(3.1182) = 0.5663 +32'h3ef09b0a,32'h3fb6fc72,32'h3fbe7476, 32'h3fb1626e,32'h3fc40e7a, 32'h3fa80c68,32'h3fcd6480,// invsqrt(0.4699) = 1.4588 +32'h3fea3baa,32'h3f397565,32'h3f410740, 32'h3f33c802,32'h3f46b4a4, 32'h3f2a51b1,32'h3f502af5,// invsqrt(1.8299) = 0.7392 +32'h3e35da34,32'h4014d503,32'h401ae828, 32'h401046a8,32'h401f7684, 32'h4008aeba,32'h40270e72,// invsqrt(0.1776) = 2.3730 +32'h4011bd55,32'h3f26408d,32'h3f2d09b7, 32'h3f2129ad,32'h3f322097, 32'h3f18ae38,32'h3f3a9c0c,// invsqrt(2.2772) = 0.6627 +32'h3f1910f4,32'h3fa23976,32'h3fa8d88b, 32'h3f9d4227,32'h3fadcfdb, 32'h3f94fb4e,32'h3fb616b4,// invsqrt(0.5979) = 1.2932 +32'h3f17a285,32'h3fa2fd04,32'h3fa9a414, 32'h3f9dffb8,32'h3faea160, 32'h3f95aee4,32'h3fb6f234,// invsqrt(0.5923) = 1.2993 +32'h3f635733,32'h3f851cb2,32'h3f8a8b94, 32'h3f810988,32'h3f8e9ebe, 32'h3f747dd9,32'h3f95695a,// invsqrt(0.8880) = 1.0612 +32'h40959cac,32'h3ee80da7,32'h3ef18660, 32'h3ee0f31d,32'h3ef8a0eb, 32'h3ed51c37,32'h3f023be9,// invsqrt(4.6754) = 0.4625 +32'h3dabef91,32'h40587710,32'h40614ce6, 32'h4051d6ae,32'h4067ed48, 32'h4046cb62,32'h4072f894,// invsqrt(0.0840) = 3.4513 +32'h3f49619a,32'h3f8d6e7e,32'h3f93344f, 32'h3f891a22,32'h3f9788ac, 32'h3f81e2dd,32'h3f9ebff1,// invsqrt(0.7866) = 1.1275 +32'h3f8f3808,32'h3f6d2d14,32'h3f76db54, 32'h3f65ea65,32'h3f7e1e03, 32'h3f59d095,32'h3f851be9,// invsqrt(1.1189) = 0.9454 +32'h42160645,32'h3e23dc5a,32'h3e2a8c88, 32'h3e1ed838,32'h3e2f90aa, 32'h3e167bff,32'h3e37ece3,// invsqrt(37.5061) = 0.1633 +32'h3f7cfdde,32'h3f7c5e01,32'h3f83557e, 32'h3f74a444,32'h3f87325c, 32'h3f67c40b,32'h3f8da278,// invsqrt(0.9882) = 1.0059 +32'h3e17e64a,32'h4022d8a4,32'h40297e38, 32'h401ddc75,32'h402e7a67, 32'h40158d7d,32'h4036c95f,// invsqrt(0.1483) = 2.5964 +32'h3ec1bb88,32'h3fcbecb6,32'h3fd43f84, 32'h3fc5ae9c,32'h3fda7d9e, 32'h3fbb471a,32'h3fe4e520,// invsqrt(0.3784) = 1.6257 +32'h3f8e71a2,32'h3f6dd207,32'h3f778702, 32'h3f668a4a,32'h3f7ecebe, 32'h3f5a6810,32'h3f85787c,// invsqrt(1.1128) = 0.9479 +32'h3da7b20e,32'h405b2f4a,32'h4064218c, 32'h40547999,32'h406ad73d, 32'h40494ac7,32'h4076060f,// invsqrt(0.0819) = 3.4947 +32'h3f7eea90,32'h3f7b69a8,32'h3f82d655, 32'h3f73b768,32'h3f86af76, 32'h3f66e3a6,32'h3f8d1957,// invsqrt(0.9958) = 1.0021 +32'h3f8798ac,32'h3f73c057,32'h3f7db34b, 32'h3f6c4a20,32'h3f8294c1, 32'h3f5fda6f,32'h3f88cc99,// invsqrt(1.0593) = 0.9716 +32'h3f02d920,32'h3faf7527,32'h3fb69e81, 32'h3faa1623,32'h3fbbfd85, 32'h3fa12272,32'h3fc4f136,// invsqrt(0.5111) = 1.3987 +32'h40a02904,32'h3ee04819,32'h3ee96f9d, 32'h3ed96a76,32'h3ef04d40, 32'h3ecdf912,32'h3efbbea4,// invsqrt(5.0050) = 0.4470 +32'h3f562d1e,32'h3f892458,32'h3f8ebd56, 32'h3f84f199,32'h3f92f015, 32'h3f7be4b6,32'h3f99ef53,// invsqrt(0.8366) = 1.0933 +32'h4048e4cc,32'h3f0d9a66,32'h3f136202, 32'h3f0944b1,32'h3f17b7b7, 32'h3f020b2f,32'h3f1ef139,// invsqrt(3.1390) = 0.5644 +32'h41120b3d,32'h3ea6142f,32'h3eacdb8a, 32'h3ea0feac,32'h3eb1f10e, 32'h3e98857a,32'h3eba6a40,// invsqrt(9.1277) = 0.3310 +32'h3fd4781a,32'h3f42b9c2,32'h3f4aac72, 32'h3f3cc3bf,32'h3f50a275, 32'h3f32d464,32'h3f5a91d0,// invsqrt(1.6599) = 0.7762 +32'h40a00881,32'h3ee05ee0,32'h3ee98752, 32'h3ed9808b,32'h3ef065a7, 32'h3ece0dfd,32'h3efbd835,// invsqrt(5.0010) = 0.4472 +32'h3e9b2efa,32'h3fe3d97f,32'h3fed264b, 32'h3fdcdfe6,32'h3ff41fe4, 32'h3fd13fe8,32'h3fffbfe2,// invsqrt(0.3031) = 1.8164 +32'h3f891533,32'h3f726d1a,32'h3f7c5236, 32'h3f6b0146,32'h3f81df05, 32'h3f5ea2e4,32'h3f880e36,// invsqrt(1.0710) = 0.9663 +32'h3e856d49,32'h3ff5b999,32'h3fffc12d, 32'h3fee33eb,32'h4003a36e, 32'h3fe1aa73,32'h4009e82a,// invsqrt(0.2606) = 1.9589 +32'h3fffa6d8,32'h3f31850e,32'h3f38c3f4, 32'h3f2c15e1,32'h3f3e3321, 32'h3f230741,32'h3f4741c1,// invsqrt(1.9973) = 0.7076 +32'h40127618,32'h3f25d78f,32'h3f2c9c70, 32'h3f20c3e5,32'h3f31b019, 32'h3f184dcc,32'h3f3a2632,// invsqrt(2.2885) = 0.6610 +32'h3f89eb12,32'h3f71b0da,32'h3f7b8e46, 32'h3f6a4ac9,32'h3f817a2c, 32'h3f5df602,32'h3f87a48f,// invsqrt(1.0775) = 0.9634 +32'h3f557e16,32'h3f895c84,32'h3f8ef7ce, 32'h3f85280d,32'h3f932c45, 32'h3f7c4be3,32'h3f9a2e60,// invsqrt(0.8340) = 1.0950 +32'h3f9bcb46,32'h3f636717,32'h3f6caf37, 32'h3f5c70ff,32'h3f73a54f, 32'h3f50d6d6,32'h3f7f3f78,// invsqrt(1.2171) = 0.9064 +32'h3e6cfee2,32'h40025f59,32'h4007b19c, 32'h3ffcc350,32'h400baf4e, 32'h3fef75aa,32'h40125621,// invsqrt(0.2314) = 2.0786 +32'h3f04d605,32'h3fae23cc,32'h3fb53f61, 32'h3fa8cf1b,32'h3fba9411, 32'h3f9feca1,32'h3fc3768b,// invsqrt(0.5189) = 1.3882 +32'h3da4a2a7,32'h405d3660,32'h40663dd2, 32'h405670cb,32'h406d0367, 32'h404b277d,32'h40784cb5,// invsqrt(0.0804) = 3.5270 +32'h3f827e61,32'h3f7878aa,32'h3f814e78, 32'h3f70dd76,32'h3f851c12, 32'h3f64301f,32'h3f8b72be,// invsqrt(1.0195) = 0.9904 +32'h3f635ac7,32'h3f851ba6,32'h3f8a8a7e, 32'h3f810884,32'h3f8e9da0, 32'h3f747bed,32'h3f95682d,// invsqrt(0.8881) = 1.0611 +32'h3ec6e53d,32'h3fc942b1,32'h3fd179a9, 32'h3fc31978,32'h3fd7a2e2, 32'h3fb8d4c2,32'h3fe1e798,// invsqrt(0.3885) = 1.6044 +32'h3f559cc0,32'h3f8952a8,32'h3f8eed8a, 32'h3f851e7e,32'h3f9321b4, 32'h3f7c39c7,32'h3f9a234f,// invsqrt(0.8344) = 1.0947 +32'h3effe782,32'h3fb16e9f,32'h3fb8ac9b, 32'h3fac0022,32'h3fbe1b18, 32'h3fa2f2a7,32'h3fc72893,// invsqrt(0.4998) = 1.4145 +32'h40aef0bc,32'h3ed69921,32'h3edf5b76, 32'h3ed00762,32'h3ee5ed36, 32'h3ec51478,32'h3ef0e020,// invsqrt(5.4669) = 0.4277 +32'h4202988f,32'h3e2fa081,32'h3e36cba1, 32'h3e2a402a,32'h3e3c2bf8, 32'h3e214a42,32'h3e4521e0,// invsqrt(32.6490) = 0.1750 +32'h3f96bd62,32'h3f672f03,32'h3f709ea5, 32'h3f601b49,32'h3f77b25f, 32'h3f544fbf,32'h3f81bef5,// invsqrt(1.1777) = 0.9215 +32'h41401f93,32'h3e90cc94,32'h3e96b595, 32'h3e8c5dd4,32'h3e9b2456, 32'h3e84fa93,32'h3ea28797,// invsqrt(12.0077) = 0.2886 +32'h4013bf77,32'h3f251e4d,32'h3f2bdb9e, 32'h3f20104f,32'h3f30e99b, 32'h3f17a3a9,32'h3f395641,// invsqrt(2.3086) = 0.6582 +32'h3e9b862b,32'h3fe39997,32'h3fece3c7, 32'h3fdca1f3,32'h3ff3db6b, 32'h3fd10537,32'h3fff7827,// invsqrt(0.3038) = 1.8144 +32'h3f547fe3,32'h3f89ae94,32'h3f8f4d37, 32'h3f85779a,32'h3f938432, 32'h3f7ce29e,32'h3f9a8a7d,// invsqrt(0.8301) = 1.0976 +32'h3e8b0e3c,32'h3ff0b34c,32'h3ffa865f, 32'h3fe954fe,32'h4000f257, 32'h3fdd0d27,32'h40071642,// invsqrt(0.2716) = 1.9188 +32'h3f34219c,32'h3f958a99,32'h3f9ba527, 32'h3f90f6ae,32'h3fa03912, 32'h3f89557d,32'h3fa7da43,// invsqrt(0.7036) = 1.1921 +32'h3fadc21a,32'h3f5753b2,32'h3f601da4, 32'h3f50bc3c,32'h3f66b51a, 32'h3f45bfcd,32'h3f71b189,// invsqrt(1.3575) = 0.8583 +32'h4075e6db,32'h3efffac1,32'h3f0536bd, 32'h3ef824b6,32'h3f0921c3, 32'h3eeb154e,32'h3f0fa977,// invsqrt(3.8422) = 0.5102 +32'h4014bc67,32'h3f2491ab,32'h3f2b493f, 32'h3f1f87fc,32'h3f3052ee, 32'h3f172283,32'h3f38b867,// invsqrt(2.3240) = 0.6560 +32'h3ddbb9c0,32'h403f7bb4,32'h40474c82, 32'h40399f1a,32'h404d291c, 32'h402fda18,32'h4056ee1e,// invsqrt(0.1073) = 3.0530 +32'h403b9a2f,32'h3f128895,32'h3f1883b5, 32'h3f0e0c3d,32'h3f1d000d, 32'h3f069254,32'h3f2479f6,// invsqrt(2.9313) = 0.5841 +32'h3f9fb85f,32'h3f609722,32'h3f69c1df, 32'h3f59b713,32'h3f70a1ed, 32'h3f4e41a6,32'h3f7c175a,// invsqrt(1.2478) = 0.8952 +32'h3e4d0dcd,32'h400c28ca,32'h4011e14f, 32'h4007de65,32'h40162bb3, 32'h4000b7be,32'h401d525a,// invsqrt(0.2002) = 2.2347 +32'h3ea99b35,32'h3fd9f253,32'h3fe2d7a5, 32'h3fd34656,32'h3fe983a2, 32'h3fc827b0,32'h3ff4a248,// invsqrt(0.3313) = 1.7375 +32'h426e9084,32'h3e01f16d,32'h3e073f33, 32'h3dfbee32,32'h3e0b3987, 32'h3deeabc3,32'h3e11dabe,// invsqrt(59.6411) = 0.1295 +32'h3f9653d5,32'h3f67801e,32'h3f70f310, 32'h3f6069e9,32'h3f780945, 32'h3f549a3b,32'h3f81ec79,// invsqrt(1.1744) = 0.9228 +32'h3fb1202b,32'h3f55452f,32'h3f5df9a4, 32'h3f4ebdd8,32'h3f6480fc, 32'h3f43dc46,32'h3f6f628e,// invsqrt(1.3838) = 0.8501 +32'h3f0290be,32'h3fafa5c3,32'h3fb6d119, 32'h3faa4542,32'h3fbc319a, 32'h3fa14f16,32'h3fc527c6,// invsqrt(0.5100) = 1.4003 +32'h40843644,32'h3ef6d9f7,32'h3f0076a8, 32'h3eef4b74,32'h3f043de9, 32'h3ee2b346,32'h3f0a8a00,// invsqrt(4.1316) = 0.4920 +32'h40ad0b50,32'h3ed7c54e,32'h3ee093e4, 32'h3ed12a5e,32'h3ee72ed4, 32'h3ec62823,32'h3ef2310f,// invsqrt(5.4076) = 0.4300 +32'h404263fb,32'h3f0ff3c7,32'h3f15d3ee, 32'h3f0b8ba9,32'h3f1a3c0b, 32'h3f043377,32'h3f21943d,// invsqrt(3.0374) = 0.5738 +32'h3e802444,32'h3ffabdc5,32'h40027ce1, 32'h3ff310c7,32'h40065360, 32'h3fe645ca,32'h400cb8df,// invsqrt(0.2503) = 1.9989 +32'h3e87aca8,32'h3ff3ae63,32'h3ffda09b, 32'h3fec38b9,32'h40028b23, 32'h3fdfc9f2,32'h4008c286,// invsqrt(0.2650) = 1.9426 +32'h3cd39e41,32'h40c31de3,32'h40cb14a9, 32'h40bd24cf,32'h40d10dbd, 32'h40b33059,32'h40db0233,// invsqrt(0.0258) = 6.2218 +32'h3f5c164a,32'h3f8749b0,32'h3f8ccf4e, 32'h3f832579,32'h3f90f385, 32'h3f787ce5,32'h3f97da8c,// invsqrt(0.8597) = 1.0785 +32'h3f89b910,32'h3f71dcb7,32'h3f7bbbed, 32'h3f6a754e,32'h3f8191ab, 32'h3f5e1e4a,32'h3f87bd2d,// invsqrt(1.0760) = 0.9641 +32'h40ee70e7,32'h3eb7d098,32'h3ebf5146, 32'h3eb23016,32'h3ec4f1c8, 32'h3ea8cf3e,32'h3ece52a1,// invsqrt(7.4513) = 0.3663 +32'h3d1c4e1f,32'h40a088ed,32'h40a71659, 32'h409b9edb,32'h40ac006b, 32'h40936e13,32'h40b43133,// invsqrt(0.0382) = 5.1191 +32'h404b5ea9,32'h3f0cbd0d,32'h3f127b9f, 32'h3f086e1e,32'h3f16ca8e, 32'h3f013fe7,32'h3f1df8c5,// invsqrt(3.1777) = 0.5610 +32'h4011d908,32'h3f2630c3,32'h3f2cf948, 32'h3f211a5e,32'h3f320fac, 32'h3f189fb8,32'h3f3a8a52,// invsqrt(2.2789) = 0.6624 +32'h3e57f320,32'h400893e3,32'h400e26fb, 32'h40046590,32'h4012554e, 32'h3ffadb61,32'h40194d2d,// invsqrt(0.2109) = 2.1776 +32'h3fde4a15,32'h3f3e6032,32'h3f46256e, 32'h3f388c46,32'h3f4bf95a, 32'h3f2ed5bb,32'h3f55afe5,// invsqrt(1.7366) = 0.7588 +32'h3f73d720,32'h3f808796,32'h3f85c696, 32'h3f7930aa,32'h3f89b5d7, 32'h3f6c1328,32'h3f904498,// invsqrt(0.9525) = 1.0246 +32'h3ec52490,32'h3fca2736,32'h3fd26781, 32'h3fc3f6fd,32'h3fd897b9, 32'h3fb9a69f,32'h3fe2e817,// invsqrt(0.3850) = 1.6116 +32'h40831617,32'h3ef7e8b8,32'h3f01038f, 32'h3ef051ec,32'h3f04cef5, 32'h3ee3abed,32'h3f0b21f4,// invsqrt(4.0964) = 0.4941 +32'h3feb7db3,32'h3f38f66b,32'h3f408317, 32'h3f334ceb,32'h3f462c97, 32'h3f29dd14,32'h3f4f9c6e,// invsqrt(1.8398) = 0.7373 +32'h3fbf401a,32'h3f4d3e64,32'h3f559efa, 32'h3f46f5f3,32'h3f5be76b, 32'h3f3c7d37,32'h3f666027,// invsqrt(1.4941) = 0.8181 +32'h42b95000,32'h3dd0818d,32'h3dd90439, 32'h3dca1f8b,32'h3ddf663b, 32'h3dbf7c33,32'h3dea0993,// invsqrt(92.6563) = 0.1039 +32'h3f950db3,32'h3f687cd8,32'h3f71fa1a, 32'h3f615ee6,32'h3f79180c, 32'h3f558253,32'h3f827a4f,// invsqrt(1.1645) = 0.9267 +32'h3f98d741,32'h3f6596d1,32'h3f6ef5ca, 32'h3f5e8f97,32'h3f75fd05, 32'h3f52d8e0,32'h3f80d9de,// invsqrt(1.1941) = 0.9151 +32'h401f4920,32'h3f1f069e,32'h3f258446, 32'h3f1a2860,32'h3f2a6284, 32'h3f120b4d,32'h3f327f97,// invsqrt(2.4888) = 0.6339 +32'h407864b4,32'h3efeb143,32'h3f048b45, 32'h3ef6e54e,32'h3f08713f, 32'h3ee9e6b5,32'h3f0ef08b,// invsqrt(3.8811) = 0.5076 +32'h40064b27,32'h3f2d3137,32'h3f3442e5, 32'h3f27e3f4,32'h3f399028, 32'h3f1f0dd9,32'h3f426643,// invsqrt(2.0983) = 0.6903 +32'h3d1d0741,32'h40a02a2f,32'h40a6b3bd, 32'h409b4303,32'h40ab9ae9, 32'h40931711,32'h40b3c6db,// invsqrt(0.0383) = 5.1073 +32'h3f426fa1,32'h3f8fef77,32'h3f95cf71, 32'h3f8b877b,32'h3f9a376d, 32'h3f842f82,32'h3fa18f66,// invsqrt(0.7595) = 1.1474 +32'h3f4dfa8a,32'h3f8bd827,32'h3f918d62, 32'h3f87903a,32'h3f95d54e, 32'h3f806db0,32'h3f9cf7d8,// invsqrt(0.8046) = 1.1148 +32'h40ced891,32'h3ec55ace,32'h3ecd68f6, 32'h3ebf5030,32'h3ed37394, 32'h3eb53e7f,32'h3edd8545,// invsqrt(6.4639) = 0.3933 +32'h3dfc7af0,32'h4032a197,32'h4039ec1b, 32'h402d29b5,32'h403f63fd, 32'h40240c90,32'h40488122,// invsqrt(0.1233) = 2.8481 +32'h3fec3cd3,32'h3f38ab8a,32'h3f403527, 32'h3f330454,32'h3f45dc5c, 32'h3f29984f,32'h3f4f4861,// invsqrt(1.8456) = 0.7361 +32'h3f9223c5,32'h3f6acb40,32'h3f74609b, 32'h3f639b3b,32'h3f7b909f, 32'h3f57a088,32'h3f83c5a9,// invsqrt(1.1417) = 0.9359 +32'h3ee32fda,32'h3fbc5006,32'h3fc3ffb2, 32'h3fb68c44,32'h3fc9c374, 32'h3facf0ac,32'h3fd35f0c,// invsqrt(0.4437) = 1.5012 +32'h3f7ecdb2,32'h3f7b77e6,32'h3f82ddbe, 32'h3f73c534,32'h3f86b716, 32'h3f66f0b8,32'h3f8d2154,// invsqrt(0.9953) = 1.0023 +32'h3ee56feb,32'h3fbb6309,32'h3fc30909, 32'h3fb5a689,32'h3fc8c589, 32'h3fac1708,32'h3fd2550a,// invsqrt(0.4481) = 1.4938 +32'h3f6baf94,32'h3f82bbf6,32'h3f881200, 32'h3f7d76dd,32'h3f8c1288, 32'h3f701fc4,32'h3f92be14,// invsqrt(0.9206) = 1.0422 +32'h4038df53,32'h3f139c88,32'h3f19a2ec, 32'h3f0f17be,32'h3f1e27b6, 32'h3f078fc1,32'h3f25afb3,// invsqrt(2.8886) = 0.5884 +32'h401e7064,32'h3f1f733d,32'h3f25f555, 32'h3f1a91ac,32'h3f2ad6e6, 32'h3f126f0e,32'h3f32f984,// invsqrt(2.4756) = 0.6356 +32'h40495065,32'h3f0d748a,32'h3f133a9a, 32'h3f091ffe,32'h3f178f26, 32'h3f01e86a,32'h3f1ec6ba,// invsqrt(3.1455) = 0.5638 +32'h3e793c97,32'h3ffe42dc,32'h400451d0, 32'h3ff67a48,32'h4008361a, 32'h3fe98151,32'h400eb295,// invsqrt(0.2434) = 2.0270 +32'h3fc0652b,32'h3f4ca1d6,32'h3f54fc09, 32'h3f465e31,32'h3f5b3faf, 32'h3f3bed72,32'h3f65b06e,// invsqrt(1.5031) = 0.8157 +32'h3f0a711f,32'h3faa93e5,32'h3fb18a41, 32'h3fa55b1f,32'h3fb6c307, 32'h3f9ca72b,32'h3fbf76fb,// invsqrt(0.5408) = 1.3598 +32'h410f933b,32'h3ea78029,32'h3eae565f, 32'h3ea25f81,32'h3eb37707, 32'h3e99d3bd,32'h3ebc02cb,// invsqrt(8.9734) = 0.3338 +32'h3f83f661,32'h3f7715b0,32'h3f8095bd, 32'h3f6f855a,32'h3f845de8, 32'h3f62ea20,32'h3f8aab85,// invsqrt(1.0310) = 0.9849 +32'h3f07ca39,32'h3fac3c3f,32'h3fb343ed, 32'h3fa6f67b,32'h3fb889b1, 32'h3f9e2ce1,32'h3fc1534b,// invsqrt(0.5304) = 1.3730 +32'h3f825349,32'h3f78a1bb,32'h3f8163d7, 32'h3f710545,32'h3f853212, 32'h3f6455d5,32'h3f8b89c9,// invsqrt(1.0182) = 0.9910 +32'h3f6a2a02,32'h3f832888,32'h3f888301, 32'h3f7e495d,32'h3f8c86dc, 32'h3f70e72f,32'h3f9337f2,// invsqrt(0.9147) = 1.0456 +32'h3f91a2c5,32'h3f6b3325,32'h3f74ccbf, 32'h3f63fff3,32'h3f7bfff1, 32'h3f57fff3,32'h3f83fff8,// invsqrt(1.1378) = 0.9375 +32'h3faf9f9f,32'h3f562e2d,32'h3f5eec25, 32'h3f4f9fb4,32'h3f657a9e, 32'h3f44b23e,32'h3f706814,// invsqrt(1.3721) = 0.8537 +32'h3f259d23,32'h3f9bf542,32'h3fa252dc, 32'h3f972f0e,32'h3fa71910, 32'h3f8f3a0e,32'h3faf0e10,// invsqrt(0.6469) = 1.2433 +32'h3f614bf7,32'h3f85b6eb,32'h3f8b2c19, 32'h3f819f08,32'h3f8f43fc, 32'h3f75991d,32'h3f961675,// invsqrt(0.8801) = 1.0660 +32'h3fa5a041,32'h3f5c8cc3,32'h3f658d49, 32'h3f55cc5f,32'h3f6c4dad, 32'h3f4a8bb9,32'h3f778e53,// invsqrt(1.2940) = 0.8791 +32'h3f528369,32'h3f8a5478,32'h3f8ff9e0, 32'h3f86186a,32'h3f9435ee, 32'h3f7e134f,32'h3f9b44b0,// invsqrt(0.8223) = 1.1028 +32'h3f7d0488,32'h3f7c5aae,32'h3f8353c3, 32'h3f74a10c,32'h3f873094, 32'h3f67c0fe,32'h3f8da09b,// invsqrt(0.9884) = 1.0059 +32'h3f61273f,32'h3f85c1d2,32'h3f8b3772, 32'h3f81a99a,32'h3f8f4faa, 32'h3f75ad24,32'h3f9622b2,// invsqrt(0.8795) = 1.0663 +32'h3fa83c1d,32'h3f5ad548,32'h3f63c3de, 32'h3f542258,32'h3f6a76ce, 32'h3f48f81e,32'h3f75a108,// invsqrt(1.3143) = 0.8723 +32'h3f996c60,32'h3f652723,32'h3f6e818d, 32'h3f5e2354,32'h3f75855c, 32'h3f52724f,32'h3f809b30,// invsqrt(1.1986) = 0.9134 +32'h3e07c92b,32'h402c3cea,32'h403344a0, 32'h4026f722,32'h40388a68, 32'h401e2d7e,32'h4041540c,// invsqrt(0.1326) = 2.7461 +32'h3f7ecb12,32'h3f7b7931,32'h3f82de6b, 32'h3f73c677,32'h3f86b7c8, 32'h3f66f1ea,32'h3f8d220f,// invsqrt(0.9953) = 1.0024 +32'h400c41ee,32'h3f297854,32'h3f30631e, 32'h3f24483d,32'h3f359335, 32'h3f1ba2c0,32'h3f3e38b2,// invsqrt(2.1915) = 0.6755 +32'h3fac9a31,32'h3f580bf7,32'h3f60dd6f, 32'h3f516edd,32'h3f677a89, 32'h3f466908,32'h3f72805f,// invsqrt(1.3485) = 0.8612 +32'h3f983014,32'h3f6614c8,32'h3f6f78e6, 32'h3f5f09b3,32'h3f7683fb, 32'h3f534c8e,32'h3f812090,// invsqrt(1.1890) = 0.9171 +32'h3f4f8157,32'h3f8b5439,32'h3f910411, 32'h3f871056,32'h3f9547f4, 32'h3f7fe90f,32'h3f9c63c2,// invsqrt(0.8106) = 1.1107 +32'h4038a6b1,32'h3f13b329,32'h3f19ba79, 32'h3f0f2dad,32'h3f1e3ff5, 32'h3f07a489,32'h3f25c919,// invsqrt(2.8852) = 0.5887 +32'h3ecca6ab,32'h3fc66904,32'h3fce8234, 32'h3fc05621,32'h3fd49517, 32'h3fb636a6,32'h3fdeb492,// invsqrt(0.3997) = 1.5817 +32'h3e906a96,32'h3fec30d3,32'h3ff5d4c7, 32'h3fe4f5dc,32'h3ffd0fbe, 32'h3fd8e8ec,32'h40048e57,// invsqrt(0.2821) = 1.8829 +32'h3fb21b51,32'h3f54ae9d,32'h3f5d5cec, 32'h3f4e2be1,32'h3f63dfa7, 32'h3f4351fd,32'h3f6eb98b,// invsqrt(1.3915) = 0.8477 +32'h3ed4a47c,32'h3fc2a56f,32'h3fca9749, 32'h3fbcb00b,32'h3fd08cad, 32'h3fb2c1b9,32'h3fda7aff,// invsqrt(0.4153) = 1.5517 +32'h3f3e947a,32'h3f91625f,32'h3f97517d, 32'h3f8cef08,32'h3f9bc4d4, 32'h3f858423,32'h3fa32fb9,// invsqrt(0.7445) = 1.1590 +32'h40c977c0,32'h3ec7f8b8,32'h3ed02238, 32'h3ec1d998,32'h3ed64158, 32'h3eb7a5b9,32'h3ee07537,// invsqrt(6.2959) = 0.3985 +32'h3fddeeaa,32'h3f3e8764,32'h3f464e39, 32'h3f38b244,32'h3f4c2358, 32'h3f2ef9b9,32'h3f55dbe3,// invsqrt(1.7338) = 0.7594 +32'h3f4c4a8a,32'h3f8c6bb5,32'h3f9226f6, 32'h3f881f45,32'h3f967367, 32'h3f80f534,32'h3f9d9d78,// invsqrt(0.7980) = 1.1194 +32'h3f70c9c8,32'h3f815777,32'h3f869ef4, 32'h3f7ac3b2,32'h3f8a9491, 32'h3f6d90f9,32'h3f912dee,// invsqrt(0.9406) = 1.0311 +32'h3f949f8b,32'h3f68d2f0,32'h3f7253b6, 32'h3f61b25c,32'h3f79744a, 32'h3f55d164,32'h3f82aaa1,// invsqrt(1.1611) = 0.9280 +32'h3f5c7e99,32'h3f8729ac,32'h3f8cadfc, 32'h3f830670,32'h3f90d138, 32'h3f784217,32'h3f97b69c,// invsqrt(0.8613) = 1.0775 +32'h3de661ef,32'h403b0083,32'h4042a27d, 32'h40354706,32'h40485bfa, 32'h402bbc8d,32'h4051e673,// invsqrt(0.1125) = 2.9815 +32'h4016d67c,32'h3f236b1b,32'h3f2a16a9, 32'h3f1e6a70,32'h3f2f1754, 32'h3f1613ff,32'h3f376dc5,// invsqrt(2.3568) = 0.6514 +32'h3fb9ec8a,32'h3f5029b4,32'h3f58a8ca, 32'h3f49ca62,32'h3f5f081c, 32'h3f3f2b86,32'h3f69a6f9,// invsqrt(1.4525) = 0.8297 +32'h3f9c1f73,32'h3f6329c1,32'h3f6c6f61, 32'h3f5c358a,32'h3f736398, 32'h3f509e82,32'h3f7efaa0,// invsqrt(1.2197) = 0.9055 +32'h3f6068e7,32'h3f85fa80,32'h3f8b7270, 32'h3f81e08c,32'h3f8f8c64, 32'h3f76153e,32'h3f966251,// invsqrt(0.8766) = 1.0681 +32'h3ec0421f,32'h3fccb47c,32'h3fd50f72, 32'h3fc67044,32'h3fdb53aa, 32'h3fbbfe92,32'h3fe5c55d,// invsqrt(0.3755) = 1.6319 +32'h3eff9c69,32'h3fb188ad,32'h3fb8c7b9, 32'h3fac1964,32'h3fbe3702, 32'h3fa30a94,32'h3fc745d2,// invsqrt(0.4992) = 1.4153 +32'h3f4d3f45,32'h3f8c17e5,32'h3f91cfba, 32'h3f87ce05,32'h3f961999, 32'h3f80a83a,32'h3f9d3f64,// invsqrt(0.8017) = 1.1168 +32'h401b5a2e,32'h3f2106c6,32'h3f279956, 32'h3f1c18da,32'h3f2c8742, 32'h3f13e1a6,32'h3f34be76,// invsqrt(2.4274) = 0.6418 +32'h40861528,32'h3ef51f96,32'h3eff20e0, 32'h3eed9e9e,32'h3f0350ec, 32'h3ee11d02,32'h3f0991ba,// invsqrt(4.1901) = 0.4885 +32'h3e900e38,32'h3fec7c80,32'h3ff6238a, 32'h3fe53f38,32'h3ffd60d2, 32'h3fd92e6b,32'h4004b8d0,// invsqrt(0.2814) = 1.8853 +32'h3ed946fe,32'h3fc08f1b,32'h3fc86b27, 32'h3fbaaa13,32'h3fce502f, 32'h3fb0d704,32'h3fd8233e,// invsqrt(0.4244) = 1.5351 +32'h3f643078,32'h3f84dd44,32'h3f8a4990, 32'h3f80cc0b,32'h3f8e5ac9, 32'h3f740958,32'h3f952228,// invsqrt(0.8914) = 1.0592 +32'h401d5761,32'h3f200162,32'h3f268946, 32'h3f1b1b76,32'h3f2b6f32, 32'h3f12f198,32'h3f339910,// invsqrt(2.4585) = 0.6378 +32'h3f582c7c,32'h3f8881c3,32'h3f8e141e, 32'h3f8453fe,32'h3f9241e2, 32'h3f7aba16,32'h3f9938d5,// invsqrt(0.8444) = 1.0882 +32'h3f69f0da,32'h3f83388d,32'h3f8893ad, 32'h3f7e686a,32'h3f8c9805, 32'h3f71049b,32'h3f9349ed,// invsqrt(0.9138) = 1.0461 +32'h40edf64a,32'h3eb7ffee,32'h3ebf828a, 32'h3eb25df9,32'h3ec5247f, 32'h3ea8fab6,32'h3ece87c2,// invsqrt(7.4363) = 0.3667 +32'h3fd4eb86,32'h3f4284f3,32'h3f4a757b, 32'h3f3c908e,32'h3f5069e0, 32'h3f32a3e5,32'h3f5a5689,// invsqrt(1.6634) = 0.7753 +32'h43170b90,32'h3da34e62,32'h3da9f8c4, 32'h3d9e4e98,32'h3daef88e, 32'h3d95f99e,32'h3db74d88,// invsqrt(151.0452) = 0.0814 +32'h3d92dc37,32'h406a37a0,32'h4073c6f5, 32'h40630c21,32'h407af275, 32'h405718f7,32'h408372d0,// invsqrt(0.0717) = 3.7343 +32'h3fddf470,32'h3f3e84ea,32'h3f464ba5, 32'h3f38afdd,32'h3f4c20b1, 32'h3f2ef773,32'h3f55d91b,// invsqrt(1.7340) = 0.7594 +32'h3f8cc9f3,32'h3f6f36d3,32'h3f78fa5e, 32'h3f67e42a,32'h3f802683, 32'h3f5bafbc,32'h3f8640ba,// invsqrt(1.0999) = 0.9535 +32'h402b63f3,32'h3f194ea9,32'h3f1f9091, 32'h3f149d3c,32'h3f2441fe, 32'h3f0ccada,32'h3f2c1460,// invsqrt(2.6780) = 0.6111 +32'h3f30f861,32'h3f96defd,32'h3f9d0770, 32'h3f9240a7,32'h3fa1a5c7, 32'h3f8a8e18,32'h3fa95856,// invsqrt(0.6913) = 1.2027 +32'h3f03bfbb,32'h3faedb55,32'h3fb5fe69, 32'h3fa98107,32'h3fbb58b7, 32'h3fa0952f,32'h3fc4448f,// invsqrt(0.5146) = 1.3939 +32'h3f6baffd,32'h3f82bbd9,32'h3f8811e1, 32'h3f7d76a4,32'h3f8c1268, 32'h3f701f8e,32'h3f92bdf3,// invsqrt(0.9207) = 1.0422 +32'h3e9c1866,32'h3fe32ee2,32'h3fec74b8, 32'h3fdc3a83,32'h3ff36917, 32'h3fd0a338,32'h3fff0062,// invsqrt(0.3049) = 1.8111 +32'h3f7c8671,32'h3f7c99a7,32'h3f837489, 32'h3f74de17,32'h3f875250, 32'h3f67fad3,32'h3f8dc3f3,// invsqrt(0.9864) = 1.0069 +32'h3fac2302,32'h3f5856b5,32'h3f612b3a, 32'h3f51b752,32'h3f67ca9e, 32'h3f46adac,32'h3f72d444,// invsqrt(1.3448) = 0.8623 +32'h403a76ff,32'h3f12fad2,32'h3f18fa9c, 32'h3f0e7afb,32'h3f1d7a73, 32'h3f06fb3e,32'h3f24fa30,// invsqrt(2.9135) = 0.5859 +32'h3f1be771,32'h3fa0bdc2,32'h3fa74d56, 32'h3f9bd212,32'h3fac3906, 32'h3f939e98,32'h3fb46c80,// invsqrt(0.6090) = 1.2814 +32'h401dca1a,32'h3f1fc72c,32'h3f264cb1, 32'h3f1ae309,32'h3f2b30d5, 32'h3f12bc24,32'h3f3357ba,// invsqrt(2.4655) = 0.6369 +32'h3f283c5a,32'h3f9abceb,32'h3fa10dc6, 32'h3f960048,32'h3fa5ca6a, 32'h3f8e1b36,32'h3fadaf7c,// invsqrt(0.6572) = 1.2336 +32'h3f252c70,32'h3f9c2a6d,32'h3fa28a33, 32'h3f976299,32'h3fa75207, 32'h3f8f6ae2,32'h3faf49be,// invsqrt(0.6452) = 1.2449 +32'h3e607bf6,32'h4005f4d0,32'h400b6c84, 32'h4001db08,32'h400f864c, 32'h3ff60acc,32'h40165bee,// invsqrt(0.2192) = 2.1358 +32'h3e7b6b3a,32'h3ffd27c5,32'h4003be7e, 32'h3ff567db,32'h40079e72, 32'h3fe87d56,32'h400e13b5,// invsqrt(0.2455) = 2.0181 +32'h3f997625,32'h3f651fd7,32'h3f6e79f5, 32'h3f5e1c41,32'h3f757d8b, 32'h3f526b9c,32'h3f809718,// invsqrt(1.1989) = 0.9133 +32'h411070c4,32'h3ea6ff84,32'h3eadd07a, 32'h3ea1e2cc,32'h3eb2ed32, 32'h3e995d99,32'h3ebb7265,// invsqrt(9.0275) = 0.3328 +32'h3fe19546,32'h3f3cfb18,32'h3f44b1c0, 32'h3f37321a,32'h3f4a7abe, 32'h3f2d8dc8,32'h3f541f10,// invsqrt(1.7624) = 0.7533 +32'h3f30e31d,32'h3f96e80f,32'h3f9d10e0, 32'h3f924971,32'h3fa1af7d, 32'h3f8a966b,32'h3fa96283,// invsqrt(0.6910) = 1.2030 +32'h4049ccb0,32'h3f0d48f3,32'h3f130d3b, 32'h3f08f5bc,32'h3f176072, 32'h3f01c062,32'h3f1e95cc,// invsqrt(3.1531) = 0.5632 +32'h3fa935aa,32'h3f5a33af,32'h3f631bab, 32'h3f5385b1,32'h3f69c9a9, 32'h3f4863b5,32'h3f74eba5,// invsqrt(1.3220) = 0.8697 +32'h3ec85dab,32'h3fc8854a,32'h3fd0b486, 32'h3fc261dc,32'h3fd6d7f4, 32'h3fb826d1,32'h3fe112ff,// invsqrt(0.3913) = 1.5985 +32'h40220606,32'h3f1dad2f,32'h3f241cbe, 32'h3f18d984,32'h3f28f06a, 32'h3f10ce12,32'h3f30fbdd,// invsqrt(2.5316) = 0.6285 +32'h3d032718,32'h40af40f8,32'h40b66831, 32'h40a9e38d,32'h40bbc59b, 32'h40a0f285,32'h40c4b6a3,// invsqrt(0.0320) = 5.5884 +32'h3e6e6161,32'h4001fe45,32'h40074c91, 32'h3ffc0718,32'h400b474a, 32'h3feec35a,32'h4011e929,// invsqrt(0.2328) = 2.0726 +32'h3f13893f,32'h3fa53ca1,32'h3fabfb2f, 32'h3fa02db6,32'h3fb10a1a, 32'h3f97bf84,32'h3fb9784c,// invsqrt(0.5763) = 1.3173 +32'h3f98132c,32'h3f662aa5,32'h3f6f8fa7, 32'h3f5f1ee4,32'h3f769b68, 32'h3f5360a2,32'h3f812cd5,// invsqrt(1.1881) = 0.9174 +32'h3f0bb6d2,32'h3fa9cc9e,32'h3fb0bad8, 32'h3fa499f2,32'h3fb5ed84, 32'h3f9bf028,32'h3fbe974e,// invsqrt(0.5458) = 1.3536 +32'h3e20bee6,32'h401e4d4f,32'h4024c367, 32'h401974bd,32'h40299bf9, 32'h4011611f,32'h4031af97,// invsqrt(0.1570) = 2.5239 +32'h3f8ef1af,32'h3f6d6769,32'h3f77180b, 32'h3f6622f1,32'h3f7e5c83, 32'h3f5a0627,32'h3f853ca6,// invsqrt(1.1168) = 0.9463 +32'h3f88739f,32'h3f72fc79,32'h3f7ce76e, 32'h3f6b8c40,32'h3f822bd3, 32'h3f5f268e,32'h3f885eac,// invsqrt(1.0660) = 0.9685 +32'h3f2d0bed,32'h3f989267,32'h3f9ecc9f, 32'h3f93e6bc,32'h3fa3784a, 32'h3f8c1df6,32'h3fab4110,// invsqrt(0.6760) = 1.2163 +32'h3f616d8d,32'h3f85acf5,32'h3f8b21bb, 32'h3f819560,32'h3f8f3950, 32'h3f7586d2,32'h3f960b47,// invsqrt(0.8806) = 1.0657 +32'h3fb6e4fd,32'h3f51e13d,32'h3f5a7245, 32'h3f4b7477,32'h3f60df0b, 32'h3f40bf2e,32'h3f6b9454,// invsqrt(1.4289) = 0.8366 +32'h3e04a06e,32'h402e46f7,32'h403563fb, 32'h4028f133,32'h403ab9bf, 32'h40200ced,32'h40439e05,// invsqrt(0.1295) = 2.7787 +32'h3fc1b332,32'h3f4bf119,32'h3f544415, 32'h3f45b2dc,32'h3f5a8252, 32'h3f3b4b22,32'h3f64ea0d,// invsqrt(1.5133) = 0.8129 +32'h3e122035,32'h40260844,32'h402ccf22, 32'h4020f31d,32'h4031e449, 32'h40187a88,32'h403a5cdf,// invsqrt(0.1427) = 2.6472 +32'h3eab3164,32'h3fd8ef2b,32'h3fe1c9e8, 32'h3fd24b1c,32'h3fe86df6, 32'h3fc739ae,32'h3ff37f64,// invsqrt(0.3344) = 1.7294 +32'h3f44e55d,32'h3f8f0891,32'h3f94df1f, 32'h3f8aa7a7,32'h3f994009, 32'h3f835b76,32'h3fa08c3a,// invsqrt(0.7691) = 1.1403 +32'h3f9eefb4,32'h3f6124bd,32'h3f6a5542, 32'h3f5a4059,32'h3f7139a5, 32'h3f4ec3b2,32'h3f7cb64c,// invsqrt(1.2417) = 0.8974 +32'h3ed56fc0,32'h3fc248a9,32'h3fca36bb, 32'h3fbc561c,32'h3fd02948, 32'h3fb26c87,32'h3fda12dd,// invsqrt(0.4169) = 1.5488 +32'h3e7f379f,32'h3ffb43b1,32'h4002c293, 32'h3ff3929a,32'h40069b1f, 32'h3fe6c0c8,32'h400d0408,// invsqrt(0.2492) = 2.0031 +32'h400e4730,32'h3f28432c,32'h3f2f2157, 32'h3f231c8b,32'h3f3447f7, 32'h3f1a86d4,32'h3f3cddae,// invsqrt(2.2231) = 0.6707 +32'h3f83d631,32'h3f7733d8,32'h3f80a56e, 32'h3f6fa296,32'h3f846e0f, 32'h3f6305d1,32'h3f8abc72,// invsqrt(1.0300) = 0.9853 +32'h4317a0d6,32'h3da2fdeb,32'h3da9a505, 32'h3d9e0098,32'h3daea258, 32'h3d95afb9,32'h3db6f337,// invsqrt(151.6283) = 0.0812 +32'h3ef8168c,32'h3fb4349d,32'h3fbb8f93, 32'h3faeb064,32'h3fc113cc, 32'h3fa57eaf,32'h3fca4581,// invsqrt(0.4845) = 1.4366 +32'h3f67236a,32'h3f840396,32'h3f896700, 32'h3f7ff20e,32'h3f8d718f, 32'h3f727987,32'h3f942dd2,// invsqrt(0.9029) = 1.0524 +32'h3f78f583,32'h3f7e6725,32'h3f8464b3, 32'h3f769d76,32'h3f88498b, 32'h3f69a2a5,32'h3f8ec6f3,// invsqrt(0.9725) = 1.0140 +32'h3f5d2131,32'h3f86f7f2,32'h3f8c7a3a, 32'h3f82d63b,32'h3f909bf1, 32'h3f77e6c1,32'h3f977ecb,// invsqrt(0.8638) = 1.0760 +32'h4002fa8e,32'h3f2f5ec1,32'h3f368732, 32'h3f2a006e,32'h3f3be586, 32'h3f210de1,32'h3f44d813,// invsqrt(2.0465) = 0.6990 +32'h3fefa1db,32'h3f375b7d,32'h3f3ed763, 32'h3f31be91,32'h3f44744f, 32'h3f2863b2,32'h3f4dcf2e,// invsqrt(1.8721) = 0.7309 +32'h3f623487,32'h3f85721d,32'h3f8ae47c, 32'h3f815c55,32'h3f8efa43, 32'h3f751abc,32'h3f95c93a,// invsqrt(0.8836) = 1.0638 +32'h4178dff7,32'h3e7e7228,32'h3e846a6e, 32'h3e76a822,32'h3e884f71, 32'h3e69acc2,32'h3e8ecd21,// invsqrt(15.5547) = 0.2536 +32'h4090b058,32'h3eebf7dd,32'h3ef5997d, 32'h3ee4bea4,32'h3efcd2b6, 32'h3ed8b49c,32'h3f046e5f,// invsqrt(4.5215) = 0.4703 +32'h3f98bab7,32'h3f65ac44,32'h3f6f0c1c, 32'h3f5ea461,32'h3f7613ff, 32'h3f52ec92,32'h3f80e5e7,// invsqrt(1.1932) = 0.9155 +32'h3f6dba5c,32'h3f822be7,32'h3f877c0f, 32'h3f7c5f90,32'h3f8b782e, 32'h3f6f172a,32'h3f921c61,// invsqrt(0.9286) = 1.0377 +32'h3e811fef,32'h3ff9c8f4,32'h4001fd79, 32'h3ff22374,32'h4005d039, 32'h3fe564f4,32'h400c2f79,// invsqrt(0.2522) = 1.9913 +32'h3fdcc4fb,32'h3f3f07ad,32'h3f46d3bf, 32'h3f392ea0,32'h3f4caccc, 32'h3f2f6f8a,32'h3f566be2,// invsqrt(1.7248) = 0.7614 +32'h3f0c6932,32'h3fa960a0,32'h3fb04a73, 32'h3fa43143,32'h3fb579d1, 32'h3f9b8cfc,32'h3fbe1e18,// invsqrt(0.5485) = 1.3503 +32'h403caf9f,32'h3f121cb2,32'h3f18136b, 32'h3f0da3a8,32'h3f1c8c76, 32'h3f062f41,32'h3f2400dd,// invsqrt(2.9482) = 0.5824 +32'h404d53df,32'h3f0c10dd,32'h3f11c869, 32'h3f07c734,32'h3f161212, 32'h3f00a1c6,32'h3f1d3780,// invsqrt(3.2082) = 0.5583 +32'h4164f5f0,32'h3e84a3ec,32'h3e8a0de0, 32'h3e809474,32'h3e8e1d58, 32'h3e73a004,32'h3e94e1ca,// invsqrt(14.3100) = 0.2644 +32'h3f6f6774,32'h3f81b70b,32'h3f87026f, 32'h3f7b7d01,32'h3f8afafa, 32'h3f6e4087,32'h3f919936,// invsqrt(0.9352) = 1.0341 +32'h3f7a8ca3,32'h3f7d9820,32'h3f83f8f6, 32'h3f75d4c6,32'h3f87daa3, 32'h3f68e485,32'h3f8e52c3,// invsqrt(0.9787) = 1.0108 +32'h3ffd8f9a,32'h3f324008,32'h3f398690, 32'h3f2ccb22,32'h3f3efb76, 32'h3f23b2f8,32'h3f4813a0,// invsqrt(1.9809) = 0.7105 +32'h3eedaa67,32'h3fb81d4b,32'h3fbfa11a, 32'h3fb27a70,32'h3fc543f6, 32'h3fa915ae,32'h3fcea8b8,// invsqrt(0.4642) = 1.4677 +32'h3e745bd1,32'h400064ab,32'h4005a23f, 32'h3ff8ecf8,32'h4009906e, 32'h3febd306,32'h40101d67,// invsqrt(0.2386) = 2.0471 +32'h3f2e592a,32'h3f980052,32'h3f9e3494, 32'h3f935920,32'h3fa2dbc6, 32'h3f8b97ce,32'h3faa9d18,// invsqrt(0.6810) = 1.2117 +32'h41a216fe,32'h3e5ef152,32'h3e680ad8, 32'h3e581e2e,32'h3e6eddfc, 32'h3e4cbe46,32'h3e7a3de4,// invsqrt(20.2612) = 0.2222 +32'h3f31e1f7,32'h3f967bce,32'h3f9ca034, 32'h3f91e081,32'h3fa13b81, 32'h3f8a3301,32'h3fa8e901,// invsqrt(0.6949) = 1.1996 +32'h3f9e71e2,32'h3f617e10,32'h3f6ab23a, 32'h3f5a96f0,32'h3f71995a, 32'h3f4f15bb,32'h3f7d1a8f,// invsqrt(1.2379) = 0.8988 +32'h3fc8fde2,32'h3f48354f,32'h3f506147, 32'h3f421454,32'h3f568242, 32'h3f37dd5d,32'h3f60b939,// invsqrt(1.5702) = 0.7980 +32'h4013afdd,32'h3f252705,32'h3f2be4b1, 32'h3f2018c3,32'h3f30f2f3, 32'h3f17abac,32'h3f39600b,// invsqrt(2.3076) = 0.6583 +32'h417cd0ed,32'h3e7c746e,32'h3e836129, 32'h3e74ba02,32'h3e873e5f, 32'h3e67d8a3,32'h3e8daf0e,// invsqrt(15.8010) = 0.2516 +32'h41026687,32'h3eafc22f,32'h3eb6eeae, 32'h3eaa60cf,32'h3ebc500d, 32'h3ea16930,32'h3ec547ac,// invsqrt(8.1500) = 0.3503 +32'h3e81d026,32'h3ff91f31,32'h4001a521, 32'h3ff17ee4,32'h40057548, 32'h3fe4c90e,32'h400bd033,// invsqrt(0.2535) = 1.9860 +32'h4396d870,32'h3d671a47,32'h3d708910, 32'h3d60072f,32'h3d779c27, 32'h3d543cb4,32'h3d81b351,// invsqrt(301.6909) = 0.0576 +32'h3ee9e858,32'h3fb9966a,32'h3fc1299e, 32'h3fb3e804,32'h3fc6d804, 32'h3faa7004,32'h3fd05004,// invsqrt(0.4569) = 1.4795 +32'h3e2079a7,32'h401e6f72,32'h4024e6ef, 32'h401995d5,32'h4029c08d, 32'h40118079,32'h4031d5e9,// invsqrt(0.1567) = 2.5261 +32'h40b43c67,32'h3ed36c0d,32'h3edc0d32, 32'h3eccf331,32'h3ee2860d, 32'h3ec229c2,32'h3eed4f7c,// invsqrt(5.6324) = 0.4214 +32'h3f27178a,32'h3f9b4445,32'h3fa19aa6, 32'h3f96837d,32'h3fa65b6f, 32'h3f8e9784,32'h3fae4768,// invsqrt(0.6527) = 1.2378 +32'h3f15d188,32'h3fa3f92f,32'h3faaaa89, 32'h3f9ef42b,32'h3fafaf8d, 32'h3f969679,32'h3fb80d3f,// invsqrt(0.5852) = 1.3072 +32'h40c8acae,32'h3ec85dcc,32'h3ed08b6c, 32'h3ec23b94,32'h3ed6ada4, 32'h3eb8028c,32'h3ee0e6ac,// invsqrt(6.2711) = 0.3993 +32'h3ecc0bd2,32'h3fc6b43f,32'h3fced081, 32'h3fc09f0e,32'h3fd4e5b2, 32'h3fb67bbd,32'h3fdf0903,// invsqrt(0.3985) = 1.5841 +32'h3e2fc170,32'h40176438,32'h401d921a, 32'h4012c1cd,32'h40223485, 32'h400b0872,32'h4029ede0,// invsqrt(0.1716) = 2.4138 +32'h3ec52391,32'h3fca27b8,32'h3fd26809, 32'h3fc3f77c,32'h3fd89846, 32'h3fb9a717,32'h3fe2e8ab,// invsqrt(0.3850) = 1.6116 +32'h3c9d01bd,32'h40e285d2,32'h40ebc4c1, 32'h40db96a0,32'h40f2b3f4, 32'h40d007f6,32'h40fe429e,// invsqrt(0.0192) = 7.2233 +32'h405e3218,32'h3f06a4f6,32'h3f0c23dc, 32'h3f0285ca,32'h3f104308, 32'h3ef74e57,32'h3f1721a7,// invsqrt(3.4718) = 0.5367 +32'h3e805b42,32'h3ffa8809,32'h400260eb, 32'h3ff2dcb0,32'h40063697, 32'h3fe61471,32'h400c9ab7,// invsqrt(0.2507) = 1.9972 +32'h43acbc3c,32'h3d57f6ac,32'h3d60c746, 32'h3d515a39,32'h3d6763b9, 32'h3d46557a,32'h3d726878,// invsqrt(345.4706) = 0.0538 +32'h41e97412,32'h3e39c49c,32'h3e4159b2, 32'h3e3414cb,32'h3e470983, 32'h3e2a9a70,32'h3e5083de,// invsqrt(29.1817) = 0.1851 +32'h40ad3be1,32'h3ed7a70d,32'h3ee07467, 32'h3ed10d0a,32'h3ee70e6a, 32'h3ec60c5b,32'h3ef20f19,// invsqrt(5.4136) = 0.4298 +32'h4191fd4d,32'h3e6aea2d,32'h3e7480cb, 32'h3e63b936,32'h3e7bb1c2, 32'h3e57bcf0,32'h3e83d704,// invsqrt(18.2487) = 0.2341 +32'h400098ac,32'h3f30fcb4,32'h3f38360a, 32'h3f2b91b4,32'h3f3da10a, 32'h3f228a09,32'h3f46a8b5,// invsqrt(2.0093) = 0.7055 +32'h42300f95,32'h3e17429b,32'h3e1d6f1f, 32'h3e12a138,32'h3e221082, 32'h3e0ae994,32'h3e29c826,// invsqrt(44.0152) = 0.1507 +32'h3f71233d,32'h3f813f77,32'h3f8685f9, 32'h3f7a952a,32'h3f8a7adb, 32'h3f6d64e5,32'h3f9112fe,// invsqrt(0.9419) = 1.0304 +32'h3f95f3e3,32'h3f67ca22,32'h3f714018, 32'h3f60b1a8,32'h3f785892, 32'h3f54de34,32'h3f821603,// invsqrt(1.1715) = 0.9239 +32'h3f276204,32'h3f9b21b6,32'h3fa176ae, 32'h3f9661fc,32'h3fa63668, 32'h3f8e77c7,32'h3fae209d,// invsqrt(0.6538) = 1.2367 +32'h3e6ea6b8,32'h4001eb61,32'h400738e7, 32'h3ffbe278,32'h400b330c, 32'h3feea0a7,32'h4011d3f4,// invsqrt(0.2331) = 2.0714 +32'h3de7b03a,32'h403a7969,32'h404215e1, 32'h4034c410,32'h4047cb3a, 32'h402b407b,32'h40514ecf,// invsqrt(0.1131) = 2.9731 +32'h411051c6,32'h3ea71171,32'h3eade323, 32'h3ea1f42d,32'h3eb30067, 32'h3e996e0f,32'h3ebb8685,// invsqrt(9.0200) = 0.3330 +32'h3fedaf70,32'h3f381b58,32'h3f3f9f12, 32'h3f32788c,32'h3f4541de, 32'h3f2913e3,32'h3f4ea687,// invsqrt(1.8569) = 0.7338 +32'h40f46dc6,32'h3eb58ca6,32'h3ebcf5a8, 32'h3eaffde5,32'h3ec28469, 32'h3ea6baa3,32'h3ecbc7ab,// invsqrt(7.6384) = 0.3618 +32'h3fef1ce5,32'h3f378e71,32'h3f3f0c6b, 32'h3f31eff5,32'h3f44aae7, 32'h3f28927d,32'h3f4e085f,// invsqrt(1.8681) = 0.7317 +32'h3f976daf,32'h3f66a848,32'h3f70126a, 32'h3f5f98ae,32'h3f772204, 32'h3f53d403,32'h3f817357,// invsqrt(1.1830) = 0.9194 +32'h3fce138c,32'h3f45b90f,32'h3f4dcb0f, 32'h3f3fab8e,32'h3f53d890, 32'h3f35950e,32'h3f5def11,// invsqrt(1.6100) = 0.7881 +32'h3fd240b1,32'h3f43bfd3,32'h3f4bbd34, 32'h3f3dc1c9,32'h3f51bb3d, 32'h3f33c510,32'h3f5bb7f7,// invsqrt(1.6426) = 0.7803 +32'h3fa889ae,32'h3f5aa2e7,32'h3f638f6e, 32'h3f53f182,32'h3f6a40d4, 32'h3f48c9da,32'h3f75687c,// invsqrt(1.3167) = 0.8715 +32'h3f186be9,32'h3fa29133,32'h3fa933dc, 32'h3f9d9733,32'h3fae2ddb, 32'h3f954be0,32'h3fb6792e,// invsqrt(0.5954) = 1.2960 +32'h411cc68e,32'h3ea04b38,32'h3ea6d620, 32'h3e9b630a,32'h3eabbe4e, 32'h3e933568,32'h3eb3ebf0,// invsqrt(9.7985) = 0.3195 +32'h400f7d86,32'h3f278cd4,32'h3f2e638e, 32'h3f226bc8,32'h3f33849a, 32'h3f19df5f,32'h3f3c1103,// invsqrt(2.2420) = 0.6678 +32'h3fcc3734,32'h3f469f23,32'h3f4eba87, 32'h3f408a97,32'h3f54cf13, 32'h3f366859,32'h3f5ef151,// invsqrt(1.5954) = 0.7917 +32'h3ea5247c,32'h3fdcdf5a,32'h3fe5e33e, 32'h3fd61c6f,32'h3feca629, 32'h3fcad791,32'h3ff7eb07,// invsqrt(0.3225) = 1.7608 +32'h3f28a011,32'h3f9a8f24,32'h3fa0de20, 32'h3f95d3e7,32'h3fa5995d, 32'h3f8df12b,32'h3fad7c19,// invsqrt(0.6587) = 1.2321 +32'h3de34566,32'h403c4719,32'h4043f668, 32'h4036839d,32'h4049b9e3, 32'h402ce87a,32'h40535506,// invsqrt(0.1110) = 3.0019 +32'h3f16c9a9,32'h3fa3720e,32'h3faa1de4, 32'h3f9e712d,32'h3faf1ec5, 32'h3f961a60,32'h3fb77592,// invsqrt(0.5890) = 1.3030 +32'h3face95c,32'h3f57da7c,32'h3f60a9ef, 32'h3f513ee6,32'h3f674586, 32'h3f463b97,32'h3f7248d5,// invsqrt(1.3509) = 0.8604 +32'h3f16c8b2,32'h3fa37293,32'h3faa1e70, 32'h3f9e71af,32'h3faf1f55, 32'h3f961adb,32'h3fb77629,// invsqrt(0.5890) = 1.3030 +32'h3d45c3fe,32'h408eb7f8,32'h40948b3c, 32'h408a5986,32'h4098e9ae, 32'h40831171,32'h40a031c3,// invsqrt(0.0483) = 4.5510 +32'h40223850,32'h3f1d94bd,32'h3f24034d, 32'h3f18c1d2,32'h3f28d638, 32'h3f10b79e,32'h3f30e06c,// invsqrt(2.5347) = 0.6281 +32'h3fa9932f,32'h3f59f77b,32'h3f62dd03, 32'h3f534b55,32'h3f698929, 32'h3f482c6c,32'h3f74a812,// invsqrt(1.3248) = 0.8688 +32'h3f1f8a77,32'h3f9ee60a,32'h3fa5625e, 32'h3f9a08cb,32'h3faa3f9d, 32'h3f91ed62,32'h3fb25b06,// invsqrt(0.6232) = 1.2667 +32'h3ee2a998,32'h3fbc87c3,32'h3fc439b7, 32'h3fb6c24d,32'h3fc9ff2d, 32'h3fad23dd,32'h3fd39d9d,// invsqrt(0.4427) = 1.5030 +32'h403a1a80,32'h3f131f54,32'h3f19209c, 32'h3f0e9e5f,32'h3f1da191, 32'h3f071cc6,32'h3f25232a,// invsqrt(2.9079) = 0.5864 +32'h4051e9e1,32'h3f0a8705,32'h3f102e7d, 32'h3f06496b,32'h3f146c17, 32'h3efe7028,32'h3f1b7d6e,// invsqrt(3.2799) = 0.5522 +32'h3fde3f19,32'h3f3e64e7,32'h3f462a53, 32'h3f3890d5,32'h3f4bfe65, 32'h3f2eda0d,32'h3f55b52d,// invsqrt(1.7363) = 0.7589 +32'h402f19ef,32'h3f17ac90,32'h3f1ddd66, 32'h3f1307ee,32'h3f228208, 32'h3f0b4ae2,32'h3f2a3f14,// invsqrt(2.7360) = 0.6046 +32'h3fdf73a2,32'h3f3de147,32'h3f45a155, 32'h3f38113d,32'h3f4b715f, 32'h3f2e612d,32'h3f55216f,// invsqrt(1.7457) = 0.7569 +32'h3f88fa1a,32'h3f728514,32'h3f7c6b2a, 32'h3f6b1884,32'h3f81ebdd, 32'h3f5eb8e9,32'h3f881bab,// invsqrt(1.0701) = 0.9667 +32'h3ee7d22a,32'h3fba6bc2,32'h3fc207ab, 32'h3fb4b6d4,32'h3fc7bc9a, 32'h3fab33f2,32'h3fd13f7d,// invsqrt(0.4528) = 1.4861 +32'h3ff0e014,32'h3f36e237,32'h3f3e5929, 32'h3f314901,32'h3f43f25f, 32'h3f27f452,32'h3f4d470e,// invsqrt(1.8818) = 0.7290 +32'h3f63affd,32'h3f8502bb,32'h3f8a708f, 32'h3f80f05d,32'h3f8e82ed, 32'h3f744e29,32'h3f954c36,// invsqrt(0.8894) = 1.0604 +32'h3e09d3f4,32'h402af50b,32'h4031ef5f, 32'h4025b94c,32'h40372b1e, 32'h401d0063,32'h403fe407,// invsqrt(0.1346) = 2.7257 +32'h401dec0d,32'h3f1fb5ff,32'h3f263acf, 32'h3f1ad262,32'h3f2b1e6c, 32'h3f12ac5d,32'h3f334471,// invsqrt(2.4675) = 0.6366 +32'h3f829b43,32'h3f785d2f,32'h3f81402b, 32'h3f70c2d2,32'h3f850d59, 32'h3f6416e2,32'h3f8b6351,// invsqrt(1.0204) = 0.9900 +32'h40d4546d,32'h3ec2ca1d,32'h3ecabd77, 32'h3ebcd39a,32'h3ed0b3fa, 32'h3eb2e369,32'h3edaa42b,// invsqrt(6.6353) = 0.3882 +32'h3e9a2556,32'h3fe49d7e,32'h3fedf24a, 32'h3fdd9de5,32'h3ff4f1e3, 32'h3fd1f3e7,32'h40004df1,// invsqrt(0.3011) = 1.8225 +32'h3fa789ae,32'h3f5b49b2,32'h3f643d08, 32'h3f549332,32'h3f6af388, 32'h3f496307,32'h3f7623b3,// invsqrt(1.3089) = 0.8741 +32'h3e7383da,32'h40009d8e,32'h4005dd74, 32'h3ff95b42,32'h4009cd61, 32'h3fec3b82,32'h40105d41,// invsqrt(0.2378) = 2.0506 +32'h3f8f3634,32'h3f6d2e98,32'h3f76dce7, 32'h3f65ebdc,32'h3f7e1fa2, 32'h3f59d1f9,32'h3f851cc3,// invsqrt(1.1188) = 0.9454 +32'h3eb1759c,32'h3fd511d2,32'h3fddc42e, 32'h3fce8c0d,32'h3fe449f3, 32'h3fc3ad1a,32'h3fef28e6,// invsqrt(0.3466) = 1.6986 +32'h3f8f8e36,32'h3f6ce5d8,32'h3f769130, 32'h3f65a557,32'h3f7dd1b1, 32'h3f598f2a,32'h3f84f3ef,// invsqrt(1.1215) = 0.9443 +32'h40a18c07,32'h3edf5121,32'h3ee86e90, 32'h3ed87b0d,32'h3eef44a3, 32'h3ecd1642,32'h3efaa96e,// invsqrt(5.0483) = 0.4451 +32'h3fa1998b,32'h3f5f47ca,32'h3f6864d8, 32'h3f587200,32'h3f6f3aa2, 32'h3f4d0daf,32'h3f7a9ef3,// invsqrt(1.2625) = 0.8900 +32'h3f2e04d6,32'h3f982522,32'h3f9e5ae4, 32'h3f937cd0,32'h3fa30336, 32'h3f8bb99c,32'h3faac66a,// invsqrt(0.6798) = 1.2129 +32'h3f853748,32'h3f75eb63,32'h3f7ff4ff, 32'h3f6e642f,32'h3f83be1a, 32'h3f61d82c,32'h3f8a041b,// invsqrt(1.0407) = 0.9802 +32'h3f962a64,32'h3f67a00d,32'h3f71144d, 32'h3f6088de,32'h3f782b7c, 32'h3f54b78f,32'h3f81fe66,// invsqrt(1.1732) = 0.9233 +32'h3fbbd406,32'h3f4f1ae2,32'h3f578eec, 32'h3f48c3db,32'h3f5de5f3, 32'h3f3e32d0,32'h3f6876fe,// invsqrt(1.4674) = 0.8255 +32'h3d889ac8,32'h4072d9a2,32'h407cc32c, 32'h406b6a7b,32'h40821929, 32'h405f0690,32'h40884b1f,// invsqrt(0.0667) = 3.8720 +32'h400be8d7,32'h3f29ae41,32'h3f309b3e, 32'h3f247c82,32'h3f35ccfc, 32'h3f1bd446,32'h3f3e7539,// invsqrt(2.1861) = 0.6763 +32'h3fb7990d,32'h3f517a38,32'h3f5a070c, 32'h3f4b109a,32'h3f6070aa, 32'h3f406092,32'h3f6b20b2,// invsqrt(1.4344) = 0.8350 +32'h3fc31d90,32'h3f4b3360,32'h3f537e9e, 32'h3f44faf2,32'h3f59b70c, 32'h3f3a9ce6,32'h3f641519,// invsqrt(1.5243) = 0.8100 +32'h4165d3d5,32'h3e8463d5,32'h3e89cb2c, 32'h3e805653,32'h3e8dd8ad, 32'h3e732a4d,32'h3e9499da,// invsqrt(14.3642) = 0.2639 +32'h3f2b1e2b,32'h3f996de8,32'h3f9fb116, 32'h3f94bb85,32'h3fa46379, 32'h3f8ce78c,32'h3fac3772,// invsqrt(0.6684) = 1.2231 +32'h4041b9f9,32'h3f1032e3,32'h3f16159d, 32'h3f0bc8d6,32'h3f1a7faa, 32'h3f046d6d,32'h3f21db13,// invsqrt(3.0270) = 0.5748 +32'h4017aeb6,32'h3f22f677,32'h3f299d42, 32'h3f1df95e,32'h3f2e9a5a, 32'h3f15a8e0,32'h3f36ead8,// invsqrt(2.3700) = 0.6496 +32'h3f9540c8,32'h3f68550b,32'h3f71d0ae, 32'h3f613852,32'h3f78ed68, 32'h3f555dc7,32'h3f8263fa,// invsqrt(1.1660) = 0.9261 +32'h3ff72ff4,32'h3f348897,32'h3f3be6fb, 32'h3f2f01cc,32'h3f416dc6, 32'h3f25cbce,32'h3f4aa3c4,// invsqrt(1.9312) = 0.7196 +32'h40463f1d,32'h3f0e8ba0,32'h3f145d14, 32'h3f0a2e89,32'h3f18ba2b, 32'h3f02e8b7,32'h3f1ffffd,// invsqrt(3.0976) = 0.5682 +32'h3f253819,32'h3f9c24ea,32'h3fa28476, 32'h3f975d41,32'h3fa74c1f, 32'h3f8f65d2,32'h3faf438e,// invsqrt(0.6454) = 1.2448 +32'h3fa9faea,32'h3f59b4ef,32'h3f6297bf, 32'h3f530ad3,32'h3f6941db, 32'h3f47ef4e,32'h3f745d60,// invsqrt(1.3280) = 0.8678 +32'h40287a02,32'h3f1aa098,32'h3f20f04b, 32'h3f15e4d3,32'h3f25ac11, 32'h3f0e0133,32'h3f2d8fb1,// invsqrt(2.6324) = 0.6163 +32'h40227c3b,32'h3f1d73ca,32'h3f23e102, 32'h3f18a1e1,32'h3f28b2eb, 32'h3f10995c,32'h3f30bb70,// invsqrt(2.5388) = 0.6276 +32'h401705dd,32'h3f235176,32'h3f29fbf9, 32'h3f1e5195,32'h3f2efbdb, 32'h3f15fc72,32'h3f3750fe,// invsqrt(2.3597) = 0.6510 +32'h41a68be0,32'h3e5bf089,32'h3e64eaae, 32'h3e5534ee,32'h3e6ba64a, 32'h3e49fc40,32'h3e76def8,// invsqrt(20.8183) = 0.2192 +32'h3fd06636,32'h3f449e2b,32'h3f4ca4a0, 32'h3f3e9954,32'h3f52a978, 32'h3f349142,32'h3f5cb18a,// invsqrt(1.6281) = 0.7837 +32'h3f7eaee8,32'h3f7b8719,32'h3f82e5a7, 32'h3f73d3f1,32'h3f86bf3b, 32'h3f66feaf,32'h3f8d29dd,// invsqrt(0.9949) = 1.0026 +32'h3f1d3eb9,32'h3fa00ded,32'h3fa69654, 32'h3f9b279e,32'h3fab7ca2, 32'h3f92fd1d,32'h3fb3a723,// invsqrt(0.6142) = 1.2759 +32'h3eddde75,32'h3fbe8e59,32'h3fc65577, 32'h3fb8b903,32'h3fcc2acd, 32'h3faf001e,32'h3fd5e3b2,// invsqrt(0.4333) = 1.5191 +32'h41972f56,32'h3e66d7d2,32'h3e7043e6, 32'h3e5fc6c4,32'h3e7754f4, 32'h3e53ffac,32'h3e818e06,// invsqrt(18.8981) = 0.2300 +32'h3e5fdd5d,32'h4006243b,32'h400b9ddf, 32'h40020900,32'h400fb91a, 32'h3ff661e4,32'h40169128,// invsqrt(0.2186) = 2.1387 +32'h3f04ca87,32'h3fae2b55,32'h3fb54739, 32'h3fa8d66a,32'h3fba9c24, 32'h3f9ff38d,32'h3fc37f01,// invsqrt(0.5187) = 1.3885 +32'h40414d08,32'h3f105b7f,32'h3f163fe3, 32'h3f0bf035,32'h3f1aab2d, 32'h3f0492b9,32'h3f2208a9,// invsqrt(3.0203) = 0.5754 +32'h3f958b20,32'h3f681b44,32'h3f71948a, 32'h3f61004f,32'h3f78af7f, 32'h3f5528b6,32'h3f82438c,// invsqrt(1.1683) = 0.9252 +32'h3df0d6a5,32'h4036e5cc,32'h403e5ce4, 32'h40314c7a,32'h4043f636, 32'h4027f79c,32'h404d4b14,// invsqrt(0.1176) = 2.9161 +32'h3ee0abce,32'h3fbd5d2f,32'h3fc517d8, 32'h3fb79130,32'h3fcae3d6, 32'h3fade7dc,32'h3fd48d2a,// invsqrt(0.4388) = 1.5096 +32'h409e1d64,32'h3ee1ba47,32'h3eeaf0e7, 32'h3edad14f,32'h3ef1d9df, 32'h3ecf4d08,32'h3efd5e26,// invsqrt(4.9411) = 0.4499 +32'h40b5e4b0,32'h3ed274e7,32'h3edb0bf6, 32'h3ecc039d,32'h3ee17d41, 32'h3ec146ca,32'h3eec3a14,// invsqrt(5.6842) = 0.4194 +32'h3f67f498,32'h3f83c802,32'h3f8928fd, 32'h3f7f7e8d,32'h3f8d31ba, 32'h3f720c1a,32'h3f93eaf3,// invsqrt(0.9061) = 1.0506 +32'h3f3542df,32'h3f951317,32'h3f9b28c5, 32'h3f9082d5,32'h3f9fb907, 32'h3f88e7bd,32'h3fa7541f,// invsqrt(0.7081) = 1.1884 +32'h3fe1cfa5,32'h3f3ce2a9,32'h3f449851, 32'h3f371a6a,32'h3f4a6090, 32'h3f2d7757,32'h3f5403a3,// invsqrt(1.7641) = 0.7529 +32'h40393ec2,32'h3f13767d,32'h3f197b53, 32'h3f0ef2dc,32'h3f1dfef4, 32'h3f076cd1,32'h3f2584ff,// invsqrt(2.8945) = 0.5878 +32'h3f76c3b4,32'h3f7f881b,32'h3f84fb13, 32'h3f77b592,32'h3f88e457, 32'h3f6aac03,32'h3f8f691e,// invsqrt(0.9639) = 1.0185 +32'h3fdc5ef5,32'h3f3f33e0,32'h3f4701c0, 32'h3f395979,32'h3f4cdc27, 32'h3f2f9822,32'h3f569d7e,// invsqrt(1.7216) = 0.7621 +32'h3f35763a,32'h3f94fdfe,32'h3f9b12ce, 32'h3f906e61,32'h3f9fa26b, 32'h3f88d45c,32'h3fa73c70,// invsqrt(0.7088) = 1.1878 +32'h405259d6,32'h3f0a6223,32'h3f100819, 32'h3f0625a9,32'h3f144493, 32'h3efe2c69,32'h3f1b5407,// invsqrt(3.2867) = 0.5516 +32'h402a5c9b,32'h3f19c4f9,32'h3f200bb5, 32'h3f150fec,32'h3f24c0c2, 32'h3f0d3782,32'h3f2c992c,// invsqrt(2.6619) = 0.6129 +32'h408de84e,32'h3eee44fe,32'h3ef7feaa, 32'h3ee6f9bd,32'h3eff49eb, 32'h3edad1a5,32'h3f05b901,// invsqrt(4.4346) = 0.4749 +32'h40976aff,32'h3ee6aa54,32'h3ef0148b, 32'h3edf9aa9,32'h3ef72435, 32'h3ed3d5e4,32'h3f01747d,// invsqrt(4.7318) = 0.4597 +32'h3eeb0dea,32'h3fb92261,32'h3fc0b0d8, 32'h3fb37788,32'h3fc65bb2, 32'h3faa0574,32'h3fcfcdc6,// invsqrt(0.4591) = 1.4759 +32'h3e575838,32'h4008c4f9,32'h400e5a13, 32'h40049526,32'h401289e6, 32'h3ffb358b,32'h40198447,// invsqrt(0.2103) = 2.1806 +32'h3f332aa5,32'h3f95f187,32'h3f9c1048, 32'h3f915a75,32'h3fa0a759, 32'h3f89b403,32'h3fa84dcb,// invsqrt(0.6999) = 1.1953 +32'h3f2261cc,32'h3f9d809b,32'h3fa3ee58, 32'h3f98ae4d,32'h3fa8c0a5, 32'h3f90a520,32'h3fb0c9d2,// invsqrt(0.6343) = 1.2556 +32'h411a8426,32'h3ea17626,32'h3ea80d41, 32'h3e9c84d0,32'h3eacfe96, 32'h3e9447ee,32'h3eb53b78,// invsqrt(9.6573) = 0.3218 +32'h3feb0742,32'h3f392500,32'h3f40b392, 32'h3f337a12,32'h3f465e80, 32'h3f2a07db,32'h3f4fd0b7,// invsqrt(1.8362) = 0.7380 +32'h3fc0f15a,32'h3f4c5772,32'h3f54ae9c, 32'h3f461613,32'h3f5aeffb, 32'h3f3ba920,32'h3f655cee,// invsqrt(1.5074) = 0.8145 +32'h3fa4730f,32'h3f5d5660,32'h3f665f20, 32'h3f568fd0,32'h3f6d25b0, 32'h3f4b44e0,32'h3f7870a0,// invsqrt(1.2848) = 0.8822 +32'h3f8bb549,32'h3f70233a,32'h3f79f06b, 32'h3f68c954,32'h3f80a528, 32'h3f5c88d7,32'h3f86c567,// invsqrt(1.0915) = 0.9572 +32'h3f3d3b75,32'h3f91e6ac,32'h3f97db30, 32'h3f8d6f48,32'h3f9c5294, 32'h3f85fda3,32'h3fa3c439,// invsqrt(0.7392) = 1.1631 +32'h40860a95,32'h3ef52941,32'h3eff2af1, 32'h3eeda7fe,32'h3f03561a, 32'h3ee125e3,32'h3f099727,// invsqrt(4.1888) = 0.4886 +32'h3f85d185,32'h3f755d81,32'h3f7f6152, 32'h3f6ddaa4,32'h3f837217, 32'h3f6155de,32'h3f89b47a,// invsqrt(1.0455) = 0.9780 +32'h412622de,32'h3e9bb670,32'h3ea2117a, 32'h3e96f229,32'h3ea6d5c1, 32'h3e8f005c,32'h3eaec78e,// invsqrt(10.3835) = 0.3103 +32'h3ea4e37b,32'h3fdd0adf,32'h3fe6108b, 32'h3fd6469f,32'h3fecd4cb, 32'h3fcaff89,32'h3ff81be1,// invsqrt(0.3220) = 1.7621 +32'h3eb687ad,32'h3fd216dc,32'h3fdaaa14, 32'h3fcba872,32'h3fe1187e, 32'h3fc0f06c,32'h3febd084,// invsqrt(0.3565) = 1.6748 +32'h3ea21299,32'h3fdef457,32'h3fe80dfd, 32'h3fd8211b,32'h3feee139, 32'h3fccc10c,32'h3ffa4148,// invsqrt(0.3165) = 1.7774 +32'h3f80fddb,32'h3f79e9f0,32'h3f820ea4, 32'h3f72436e,32'h3f85e1e5, 32'h3f658340,32'h3f8c41fc,// invsqrt(1.0077) = 0.9961 +32'h3de01b75,32'h403d9a21,32'h40455747, 32'h4037cc45,32'h404b2523, 32'h402e1fd5,32'h4054d193,// invsqrt(0.1094) = 3.0230 +32'h3ec703e0,32'h3fc93333,32'h3fd16989, 32'h3fc30a73,32'h3fd79249, 32'h3fb8c688,32'h3fe1d634,// invsqrt(0.3887) = 1.6040 +32'h4042adcf,32'h3f0fd878,32'h3f15b782, 32'h3f0b7130,32'h3f1a1eca, 32'h3f041a64,32'h3f217596,// invsqrt(3.0419) = 0.5734 +32'h3f8c699e,32'h3f6f88d4,32'h3f794fb8, 32'h3f6833a9,32'h3f805272, 32'h3f5bfb0c,32'h3f866ec0,// invsqrt(1.0970) = 0.9548 +32'h3f5a9405,32'h3f87c106,32'h3f8d4b83, 32'h3f839927,32'h3f917361, 32'h3f795814,32'h3f98607e,// invsqrt(0.8538) = 1.0822 +32'h3edc46ab,32'h3fbf3e6a,32'h3fc70cb8, 32'h3fb963b0,32'h3fcce772, 32'h3fafa1cf,32'h3fd6a953,// invsqrt(0.4302) = 1.5246 +32'h41b3e7d4,32'h3e539db9,32'h3e5c40e5, 32'h3e4d2358,32'h3e62bb46, 32'h3e425761,32'h3e6d873d,// invsqrt(22.4882) = 0.2109 +32'h407e1c52,32'h3efbcf9a,32'h3f030b63, 32'h3ef41a3a,32'h3f06e613, 32'h3ee74145,32'h3f0d528e,// invsqrt(3.9705) = 0.5019 +32'h3f84ab45,32'h3f766d04,32'h3f803df6, 32'h3f6ee1d8,32'h3f84038c, 32'h3f624f39,32'h3f8a4cdc,// invsqrt(1.0365) = 0.9822 +32'h3d1f3d00,32'h409f0cac,32'h40a58a93, 32'h409a2e3d,32'h40aa6901, 32'h409210dc,32'h40b28662,// invsqrt(0.0389) = 5.0717 +32'h3fcc9105,32'h3f467384,32'h3f4e8d20, 32'h3f40604e,32'h3f54a056, 32'h3f36404a,32'h3f5ec05a,// invsqrt(1.5982) = 0.7910 +32'h3ffcd645,32'h3f328151,32'h3f39ca83, 32'h3f2d0a6b,32'h3f3f4169, 32'h3f23eeec,32'h3f485ce8,// invsqrt(1.9753) = 0.7115 +32'h3f7515a3,32'h3f8033f6,32'h3f856f8d, 32'h3f788e88,32'h3f895c3e, 32'h3f6b798f,32'h3f8fe6bb,// invsqrt(0.9574) = 1.0220 +32'h3f7ce3c9,32'h3f7c6b04,32'h3f835c44, 32'h3f74b0e2,32'h3f873955, 32'h3f67cfff,32'h3f8da9c6,// invsqrt(0.9879) = 1.0061 +32'h3fb54918,32'h3f52cf25,32'h3f5b69e2, 32'h3f4c5b16,32'h3f61ddf0, 32'h3f4199a9,32'h3f6c9f5d,// invsqrt(1.4163) = 0.8403 +32'h3f7f6d3d,32'h3f7b2950,32'h3f82b4d9, 32'h3f737908,32'h3f868cfe, 32'h3f66a88f,32'h3f8cf53b,// invsqrt(0.9978) = 1.0011 +32'h3faead88,32'h3f56c265,32'h3f5f8669, 32'h3f502f62,32'h3f66196c, 32'h3f453a5d,32'h3f710e71,// invsqrt(1.3647) = 0.8560 +32'h3eca0c41,32'h3fc7af2d,32'h3fcfd5ad, 32'h3fc1924e,32'h3fd5f28c, 32'h3fb7622f,32'h3fe022ab,// invsqrt(0.3946) = 1.5919 +32'h40485ca0,32'h3f0dca7c,32'h3f13940f, 32'h3f09734f,32'h3f17eb3d, 32'h3f023759,32'h3f1f2733,// invsqrt(3.1307) = 0.5652 +32'h3e832676,32'h3ff7d93e,32'h4000fb81, 32'h3ff042eb,32'h4004c6aa, 32'h3fe39db6,32'h400b1945,// invsqrt(0.2562) = 1.9758 +32'h4014ac19,32'h3f249ab1,32'h3f2b52a3, 32'h3f1f90bb,32'h3f305c99, 32'h3f172acc,32'h3f38c288,// invsqrt(2.3230) = 0.6561 +32'h3e4beb57,32'h400c8c78,32'h40124910, 32'h40083f07,32'h40169681, 32'h4001134a,32'h401dc23e,// invsqrt(0.1991) = 2.2409 +32'h3f4566cd,32'h3f8ed9a4,32'h3f94ae48, 32'h3f8a7a2a,32'h3f990dc2, 32'h3f83305d,32'h3fa0578f,// invsqrt(0.7711) = 1.1388 +32'h40037584,32'h3f2f0caa,32'h3f3631c0, 32'h3f29b0d9,32'h3f3b8d91, 32'h3f20c27c,32'h3f447bee,// invsqrt(2.0540) = 0.6977 +32'h3e3f8428,32'h40110749,32'h4016f2af, 32'h400c96bc,32'h401b633c, 32'h4005307c,32'h4022c97c,// invsqrt(0.1870) = 2.3123 +32'h3fca3b54,32'h3f4797ee,32'h3f4fbd7b, 32'h3f417bc6,32'h3f55d9a4, 32'h3f374cd6,32'h3f600894,// invsqrt(1.5799) = 0.7956 +32'h40155555,32'h3f243d51,32'h3f2af173, 32'h3f1f3637,32'h3f2ff88d, 32'h3f16d50b,32'h3f3859b9,// invsqrt(2.3333) = 0.6547 +32'h3f3b975f,32'h3f9289ae,32'h3f9884da, 32'h3f8e0d4d,32'h3f9d013b, 32'h3f869357,32'h3fa47b31,// invsqrt(0.7328) = 1.1682 +32'h4128d8c9,32'h3e9a752c,32'h3ea0c319, 32'h3e95babb,32'h3ea57d8b, 32'h3e8dd953,32'h3ead5ef3,// invsqrt(10.5529) = 0.3078 +32'h3fa952ba,32'h3f5a20f4,32'h3f63082c, 32'h3f537389,32'h3f69b597, 32'h3f485282,32'h3f74d69e,// invsqrt(1.3228) = 0.8695 +32'h3fb7f614,32'h3f51453b,32'h3f59cfe4, 32'h3f4add3b,32'h3f6037e3, 32'h3f402fe7,32'h3f6ae537,// invsqrt(1.4372) = 0.8341 +32'h3f24740f,32'h3f9c81e0,32'h3fa2e538, 32'h3f97b75f,32'h3fa7afb9, 32'h3f8fbb31,32'h3fafabe7,// invsqrt(0.6424) = 1.2477 +32'h3d600b98,32'h40861663,32'h408b8f77, 32'h4081fb94,32'h408faa46, 32'h40764877,32'h4096819e,// invsqrt(0.0547) = 4.2757 +32'h40780849,32'h3efee0b1,32'h3f04a3f4, 32'h3ef71348,32'h3f088aa8, 32'h3eea1244,32'h3f0f0b2a,// invsqrt(3.8755) = 0.5080 +32'h3fe9e423,32'h3f399816,32'h3f412b5a, 32'h3f33e9a2,32'h3f46d9ce, 32'h3f2a718c,32'h3f5051e4,// invsqrt(1.8273) = 0.7398 +32'h3ecaa54b,32'h3fc763b8,32'h3fcf8723, 32'h3fc14928,32'h3fd5a1b4, 32'h3fb71ce3,32'h3fdfcdf9,// invsqrt(0.3958) = 1.5895 +32'h3fe2e4a6,32'h3f3c6f39,32'h3f44202b, 32'h3f36aa83,32'h3f49e4e1, 32'h3f2d0d53,32'h3f538211,// invsqrt(1.7726) = 0.7511 +32'h3fdb26a2,32'h3f3fbbef,32'h3f478f5c, 32'h3f39dd5d,32'h3f4d6ded, 32'h3f301514,32'h3f573636,// invsqrt(1.7121) = 0.7642 +32'h3ec5ed92,32'h3fc9c075,32'h3fd1fc8f, 32'h3fc39362,32'h3fd829a2, 32'h3fb94842,32'h3fe274c2,// invsqrt(0.3866) = 1.6084 +32'h40245003,32'h3f1c930a,32'h3f22f714, 32'h3f17c801,32'h3f27c21d, 32'h3f0fcaf4,32'h3f2fbf2a,// invsqrt(2.5674) = 0.6241 +32'h3d05a9f1,32'h40ad9989,32'h40b4af79, 32'h40a84914,32'h40b9ffee, 32'h409f6da7,32'h40c2db5b,// invsqrt(0.0326) = 5.5357 +32'h3f76b8d9,32'h3f7f8dba,32'h3f84fe00, 32'h3f77bb04,32'h3f88e75a, 32'h3f6ab12c,32'h3f8f6c46,// invsqrt(0.9638) = 1.0186 +32'h3fc99575,32'h3f47e9fb,32'h3f5012e1, 32'h3f41cb4f,32'h3f56318d, 32'h3f379830,32'h3f6064ac,// invsqrt(1.5749) = 0.7969 +32'h3f141559,32'h3fa4ee64,32'h3faba9c0, 32'h3f9fe1de,32'h3fb0b646, 32'h3f9777aa,32'h3fb9207a,// invsqrt(0.5785) = 1.3148 +32'h411723d9,32'h3ea34142,32'h3ea9eb1b, 32'h3e9e41e0,32'h3eaeea7e, 32'h3e95ed91,32'h3eb73ecd,// invsqrt(9.4463) = 0.3254 +32'h3f41a729,32'h3f9039e4,32'h3f961ce8, 32'h3f8bcfa1,32'h3f9a872b, 32'h3f8473dc,32'h3fa1e2f0,// invsqrt(0.7565) = 1.1498 +32'h40501744,32'h3f0b21ff,32'h3f10cfcb, 32'h3f06dfa6,32'h3f151224, 32'h3eff8ccf,32'h3f1c2b62,// invsqrt(3.2514) = 0.5546 +32'h3ee5d984,32'h3fbb37f9,32'h3fc2dc37, 32'h3fb57cca,32'h3fc89766, 32'h3fabef7c,32'h3fd224b4,// invsqrt(0.4489) = 1.4925 +32'h3f8b3cab,32'h3f708b26,32'h3f7a5c96, 32'h3f692e13,32'h3f80dcd5, 32'h3f5ce848,32'h3f86ffba,// invsqrt(1.0878) = 0.9588 +32'h4015d672,32'h3f23f67f,32'h3f2aa7bd, 32'h3f1ef190,32'h3f2facac, 32'h3f169401,32'h3f380a3b,// invsqrt(2.3412) = 0.6536 +32'h403b1bc5,32'h3f12ba0c,32'h3f18b732, 32'h3f0e3c31,32'h3f1d350d, 32'h3f06bfc2,32'h3f24b17c,// invsqrt(2.9236) = 0.5848 +32'h40be0e31,32'h3ecde34f,32'h3ed64aa1, 32'h3ec795d2,32'h3edc981e, 32'h3ebd14ac,32'h3ee71944,// invsqrt(5.9392) = 0.4103 +32'h3f17342e,32'h3fa33871,32'h3fa9e1ed, 32'h3f9e3953,32'h3faee10b, 32'h3f95e577,32'h3fb734e7,// invsqrt(0.5906) = 1.3012 +32'h3e1ae6e4,32'h402142a7,32'h4027d7a9, 32'h401c52e6,32'h402cc76a, 32'h401418a4,32'h403501ac,// invsqrt(0.1513) = 2.5711 +32'h3f0632de,32'h3fad40e2,32'h3fb45334, 32'h3fa7f324,32'h3fb9a0f2, 32'h3f9f1c3d,32'h3fc277d9,// invsqrt(0.5242) = 1.3812 +32'h3fa3203c,32'h3f5e3bc7,32'h3f674de5, 32'h3f576e32,32'h3f6e1b7a, 32'h3f4c178d,32'h3f79721f,// invsqrt(1.2744) = 0.8858 +32'h3f06591b,32'h3fad2838,32'h3fb43988, 32'h3fa7db3b,32'h3fb98685, 32'h3f9f0596,32'h3fc25c2a,// invsqrt(0.5248) = 1.3804 +32'h4045bf5b,32'h3f0eb9a4,32'h3f148cfa, 32'h3f0a5b25,32'h3f18eb79, 32'h3f0312fa,32'h3f2033a4,// invsqrt(3.0898) = 0.5689 +32'h3f7341fe,32'h3f80aef6,32'h3f85ef92, 32'h3f797d01,32'h3f89e007, 32'h3f6c5b7a,32'h3f9070cb,// invsqrt(0.9502) = 1.0259 +32'h4108cbc4,32'h3eab99d0,32'h3eb29ade, 32'h3ea65906,32'h3eb7dba8, 32'h3e9d97b5,32'h3ec09cf9,// invsqrt(8.5497) = 0.3420 +32'h3f9f8094,32'h3f60be66,32'h3f69eabe, 32'h3f59dd24,32'h3f70cc00, 32'h3f4e65b7,32'h3f7c436d,// invsqrt(1.2461) = 0.8958 +32'h3f4590f1,32'h3f8eca67,32'h3f949e6b, 32'h3f8a6b64,32'h3f98fd6e, 32'h3f83225e,32'h3fa04674,// invsqrt(0.7717) = 1.1383 +32'h4094aab9,32'h3ee8ca2f,32'h3ef24a99, 32'h3ee1a9df,32'h3ef96ae9, 32'h3ed5c95a,32'h3f02a5b7,// invsqrt(4.6458) = 0.4639 +32'h3f579cb4,32'h3f88af3f,32'h3f8e4375, 32'h3f848016,32'h3f92729e, 32'h3f7b0da2,32'h3f996be3,// invsqrt(0.8422) = 1.0896 +32'h410a2b33,32'h3eaabf09,32'h3eb1b729, 32'h3ea584f1,32'h3eb6f141, 32'h3e9cceca,32'h3ebfa768,// invsqrt(8.6355) = 0.3403 +32'h3f5d9b84,32'h3f86d2ad,32'h3f8c5370, 32'h3f82b21a,32'h3f907402, 32'h3f77a24c,32'h3f9754f6,// invsqrt(0.8657) = 1.0748 +32'h3e35467f,32'h4015119a,32'h401b2738, 32'h40108164,32'h401fb76e, 32'h4008e65e,32'h40275274,// invsqrt(0.1770) = 2.3767 +32'h3fdc3d1d,32'h3f3f4290,32'h3f471109, 32'h3f3967b6,32'h3f4cebe4, 32'h3f2fa59f,32'h3f56adfb,// invsqrt(1.7206) = 0.7624 +32'h3fef2ca1,32'h3f378867,32'h3f3f0623, 32'h3f31ea1b,32'h3f44a46f, 32'h3f288cf1,32'h3f4e0199,// invsqrt(1.8685) = 0.7316 +32'h3f9996cb,32'h3f65077c,32'h3f6e609b, 32'h3f5e04a4,32'h3f756372, 32'h3f52553d,32'h3f80896c,// invsqrt(1.1999) = 0.9129 +32'h3e1fe6e4,32'h401eb817,32'h4025328b, 32'h4019dc40,32'h402a0e62, 32'h4011c32f,32'h40322773,// invsqrt(0.1562) = 2.5306 +32'h3fc44e2e,32'h3f4a957a,32'h3f52da46, 32'h3f4461e2,32'h3f590dde, 32'h3f3a0be3,32'h3f6363dd,// invsqrt(1.5336) = 0.8075 +32'h40013003,32'h3f3094eb,32'h3f37ca04, 32'h3f2b2d17,32'h3f3d31d7, 32'h3f222ab8,32'h3f463436,// invsqrt(2.0186) = 0.7038 +32'h3ec3b5ff,32'h3fcae42e,32'h3fd32c30, 32'h3fc4ae2d,32'h3fd96231, 32'h3fba542a,32'h3fe3bc34,// invsqrt(0.3822) = 1.6174 +32'h3f5ac412,32'h3f87b21c,32'h3f8d3bfe, 32'h3f838ab3,32'h3f916367, 32'h3f793cb1,32'h3f984fc2,// invsqrt(0.8546) = 1.0818 +32'h3f1be851,32'h3fa0bd4e,32'h3fa74cde, 32'h3f9bd1a2,32'h3fac388a, 32'h3f939e2d,32'h3fb46bff,// invsqrt(0.6090) = 1.2814 +32'h3f9c74c3,32'h3f62ebca,32'h3f6c2ee2, 32'h3f5bf978,32'h3f732134, 32'h3f50659a,32'h3f7eb512,// invsqrt(1.2223) = 0.9045 +32'h3fdf28c6,32'h3f3e011e,32'h3f45c278, 32'h3f38301a,32'h3f4b937c, 32'h3f2e7e6a,32'h3f55452c,// invsqrt(1.7434) = 0.7574 +32'h3f6fc446,32'h3f819ded,32'h3f86e84a, 32'h3f7b4c4d,32'h3f8ae010, 32'h3f6e1264,32'h3f917d04,// invsqrt(0.9366) = 1.0333 +32'h3ec094df,32'h3fcc887d,32'h3fd4e1a7, 32'h3fc6459e,32'h3fdb2486, 32'h3fbbd62a,32'h3fe593fa,// invsqrt(0.3761) = 1.6305 +32'h407cb7fc,32'h3efc80e3,32'h3f0367a5, 32'h3ef4c615,32'h3f07450c, 32'h3ee7e414,32'h3f0db60c,// invsqrt(3.9487) = 0.5032 +32'h3f74a66c,32'h3f805116,32'h3f858dde, 32'h3f78c701,32'h3f897b73, 32'h3f6baf0f,32'h3f90076d,// invsqrt(0.9557) = 1.0229 +32'h3f905290,32'h3f6c447b,32'h3f75e93d, 32'h3f6508eb,32'h3f7d24cd, 32'h3f58faf9,32'h3f84995f,// invsqrt(1.1275) = 0.9418 +32'h3f9e558e,32'h3f61923b,32'h3f6ac738, 32'h3f5aaa7d,32'h3f71aef5, 32'h3f4f2840,32'h3f7d3132,// invsqrt(1.2370) = 0.8991 +32'h3fdf81e4,32'h3f3ddb39,32'h3f459b07, 32'h3f380b5e,32'h3f4b6ae2, 32'h3f2e5b9d,32'h3f551aa3,// invsqrt(1.7462) = 0.7568 +32'h3f30cc5d,32'h3f96f1c4,32'h3f9d1afa, 32'h3f9252da,32'h3fa1b9e4, 32'h3f8a9f56,32'h3fa96d68,// invsqrt(0.6906) = 1.2033 +32'h40ea5ab7,32'h3eb9691c,32'h3ec0fa76, 32'h3eb3bc19,32'h3ec6a779, 32'h3eaa4668,32'h3ed01d2a,// invsqrt(7.3236) = 0.3695 +32'h3e9a951f,32'h3fe44ac6,32'h3fed9c32, 32'h3fdd4db6,32'h3ff49942, 32'h3fd1a7f0,32'h40001f84,// invsqrt(0.3019) = 1.8199 +32'h3f211fb7,32'h3f9e1db8,32'h3fa491de, 32'h3f99469a,32'h3fa968fc, 32'h3f91356a,32'h3fb17a2c,// invsqrt(0.6294) = 1.2605 +32'h3e7a40c9,32'h3ffdbe8b,32'h40040cf5, 32'h3ff5fa04,32'h4007ef38, 32'h3fe907ce,32'h400e6853,// invsqrt(0.2444) = 2.0228 +32'h3f2c1dfa,32'h3f98fbba,32'h3f9f3a3e, 32'h3f944cd6,32'h3fa3e922, 32'h3f8c7eb0,32'h3fabb748,// invsqrt(0.6723) = 1.2196 +32'h3eaebbad,32'h3fd6b9b4,32'h3fdf7d5e, 32'h3fd026f5,32'h3fe6101d, 32'h3fc53262,32'h3ff104b0,// invsqrt(0.3413) = 1.7118 +32'h3ec615c5,32'h3fc9abfc,32'h3fd1e73f, 32'h3fc37f88,32'h3fd813b2, 32'h3fb93574,32'h3fe25dc6,// invsqrt(0.3869) = 1.6077 +32'h3fb36c7e,32'h3f53e668,32'h3f5c8c8c, 32'h3f4d69ce,32'h3f630926, 32'h3f429a21,32'h3f6dd8d3,// invsqrt(1.4017) = 0.8446 +32'h3f3603a8,32'h3f94c410,32'h3f9ad684, 32'h3f90363a,32'h3f9f645a, 32'h3f889f29,32'h3fa6fb6b,// invsqrt(0.7110) = 1.1860 +32'h42230d1c,32'h3e1d2dc7,32'h3e239823, 32'h3e185e02,32'h3e2867e8, 32'h3e105910,32'h3e306cda,// invsqrt(40.7628) = 0.1566 +32'h400713d8,32'h3f2cb05e,32'h3f33bcca, 32'h3f27670d,32'h3f39061b, 32'h3f1e9785,32'h3f41d5a3,// invsqrt(2.1106) = 0.6883 +32'h3f84eef1,32'h3f762e43,32'h3f801d4d, 32'h3f6ea502,32'h3f83e1ed, 32'h3f621596,32'h3f8a29a3,// invsqrt(1.0385) = 0.9813 +32'h3fdabb8b,32'h3f3fead9,32'h3f47c030, 32'h3f3a0ad7,32'h3f4da031, 32'h3f30402a,32'h3f576ade,// invsqrt(1.7088) = 0.7650 +32'h3f689cc0,32'h3f839858,32'h3f88f760, 32'h3f7f2222,32'h3f8cfea7, 32'h3f71b48c,32'h3f93b572,// invsqrt(0.9086) = 1.0491 +32'h3fbe0a0e,32'h3f4de58d,32'h3f564cf6, 32'h3f4797fe,32'h3f5c9a84, 32'h3f3d16ba,32'h3f671bc8,// invsqrt(1.4847) = 0.8207 +32'h3f91ebde,32'h3f6af835,32'h3f748f66, 32'h3f63c6d0,32'h3f7bc0ca, 32'h3f57c9d2,32'h3f83dee4,// invsqrt(1.1400) = 0.9366 +32'h3d20b19c,32'h409e53da,32'h40a4ca36, 32'h40997b14,32'h40a9a2fc, 32'h40916721,32'h40b1b6ef,// invsqrt(0.0392) = 5.0487 +32'h40ed563e,32'h3eb83ded,32'h3ebfc311, 32'h3eb29a12,32'h3ec566ec, 32'h3ea933a6,32'h3ececd59,// invsqrt(7.4168) = 0.3672 +32'h3e882346,32'h3ff34422,32'h3ffd3204, 32'h3febd1b8,32'h40025237, 32'h3fdf685e,32'h400886e4,// invsqrt(0.2659) = 1.9393 +32'h3ef2efca,32'h3fb61b29,32'h3fbd89fb, 32'h3fb0880b,32'h3fc31d19, 32'h3fa73d83,32'h3fcc67a1,// invsqrt(0.4745) = 1.4517 +32'h4085117e,32'h3ef60e4b,32'h3f000caa, 32'h3eee8606,32'h3f03d0cd, 32'h3ee1f83b,32'h3f0a17b2,// invsqrt(4.1584) = 0.4904 +32'h4111c988,32'h3ea63998,32'h3ead027a, 32'h3ea122ef,32'h3eb21923, 32'h3e98a7d5,32'h3eba943d,// invsqrt(9.1117) = 0.3313 +32'h3bfdeda5,32'h41321f03,32'h41396433, 32'h412cab20,32'h413ed816, 32'h412394a5,32'h4147ee91,// invsqrt(0.0077) = 11.3598 +32'h3ef463f9,32'h3fb5904a,32'h3fbcf972, 32'h3fb0016c,32'h3fc28850, 32'h3fa6bdfb,32'h3fcbcbc1,// invsqrt(0.4773) = 1.4474 +32'h3fc91a71,32'h3f482717,32'h3f50527b, 32'h3f42068c,32'h3f567306, 32'h3f37d04e,32'h3f60a944,// invsqrt(1.5711) = 0.7978 +32'h3f88a258,32'h3f72d2ea,32'h3f7cbc2c, 32'h3f6b63f7,32'h3f82158f, 32'h3f5f0064,32'h3f884759,// invsqrt(1.0675) = 0.9679 +32'h3f55004b,32'h3f89850e,32'h3f8f21fe, 32'h3f854f59,32'h3f9357b3, 32'h3f7c9658,32'h3f9a5be0,// invsqrt(0.8320) = 1.0963 +32'h3f9f1ebd,32'h3f610374,32'h3f6a329d, 32'h3f5a2014,32'h3f7115fc, 32'h3f4ea521,32'h3f7c90ef,// invsqrt(1.2431) = 0.8969 +32'h3fcfd662,32'h3f44e228,32'h3f4ceb63, 32'h3f3edb3b,32'h3f52f24f, 32'h3f34cfb1,32'h3f5cfdd9,// invsqrt(1.6237) = 0.7848 +32'h3f1d8fdc,32'h3f9fe4b1,32'h3fa66b6a, 32'h3f9affa7,32'h3fab5075, 32'h3f92d740,32'h3fb378dc,// invsqrt(0.6155) = 1.2747 +32'h41270484,32'h3e9b4d1d,32'h3ea1a3da, 32'h3e968c0e,32'h3ea664e8, 32'h3e8e9fa2,32'h3eae5154,// invsqrt(10.4386) = 0.3095 +32'h3e7d408b,32'h3ffc3cc6,32'h40034433, 32'h3ff4840e,32'h4007208f, 32'h3fe7a587,32'h400d8fd2,// invsqrt(0.2473) = 2.0108 +32'h3f6c94c2,32'h3f827c94,32'h3f87d008, 32'h3f7cfbfb,32'h3f8bce9f, 32'h3f6fab59,32'h3f9276ef,// invsqrt(0.9241) = 1.0402 +32'h3f251942,32'h3f9c337f,32'h3fa293a3, 32'h3f976b63,32'h3fa75bbf, 32'h3f8f7336,32'h3faf53ec,// invsqrt(0.6449) = 1.2452 +32'h3dedbb81,32'h403816ac,32'h403f9a36, 32'h40327405,32'h40453cdd, 32'h40290f99,32'h404ea149,// invsqrt(0.1161) = 2.9351 +32'h3fa8e79b,32'h3f5a6614,32'h3f635020, 32'h3f53b68c,32'h3f69ffa8, 32'h3f4891fe,32'h3f752436,// invsqrt(1.3196) = 0.8705 +32'h3ea3fe9f,32'h3fdda4e6,32'h3fe6b0da, 32'h3fd6dbee,32'h3fed79d2, 32'h3fcb8cfd,32'h3ff8c8c3,// invsqrt(0.3203) = 1.7669 +32'h3f92afbe,32'h3f6a5b1f,32'h3f73ebe7, 32'h3f632e89,32'h3f7b187d, 32'h3f573990,32'h3f8386bb,// invsqrt(1.1460) = 0.9341 +32'h3e8c8e5f,32'h3fef6980,32'h3ff92f1d, 32'h3fe8154b,32'h400041a9, 32'h3fdbde47,32'h40065d2b,// invsqrt(0.2745) = 1.9086 +32'h40053ec7,32'h3f2ddf4a,32'h3f34f814, 32'h3f288cb3,32'h3f3a4aab, 32'h3f1fadb7,32'h3f4329a7,// invsqrt(2.0820) = 0.6930 +32'h409826b1,32'h3ee61be1,32'h3eef8049, 32'h3edf1094,32'h3ef68b96, 32'h3ed35313,32'h3f01248c,// invsqrt(4.7547) = 0.4586 +32'h404bdaa4,32'h3f0c923a,32'h3f124f0e, 32'h3f08449c,32'h3f169cac, 32'h3f011894,32'h3f1dc8b4,// invsqrt(3.1852) = 0.5603 +32'h3e0392a2,32'h402ef94a,32'h40361d96, 32'h40299e11,32'h403b78cf, 32'h4020b0b2,32'h4044662e,// invsqrt(0.1285) = 2.7898 +32'h410308d4,32'h3eaf5534,32'h3eb67d40, 32'h3ea9f72a,32'h3ebbdb4a, 32'h3ea1051b,32'h3ec4cd59,// invsqrt(8.1897) = 0.3494 +32'h3f451ee1,32'h3f8ef3b1,32'h3f94c965, 32'h3f8a936a,32'h3f9929ac, 32'h3f83484a,32'h3fa074cc,// invsqrt(0.7700) = 1.1396 +32'h3cf6f2f3,32'h40b49ee2,32'h40bbfe30, 32'h40af1769,32'h40c185a9, 32'h40a5e048,32'h40cabcca,// invsqrt(0.0301) = 5.7596 +32'h3f333282,32'h3f95ee3c,32'h3f9c0cdc, 32'h3f915745,32'h3fa0a3d3, 32'h3f89b0fe,32'h3fa84a1a,// invsqrt(0.7000) = 1.1952 +32'h410f2bd4,32'h3ea7bc9b,32'h3eae9549, 32'h3ea29a19,32'h3eb3b7cb, 32'h3e9a0b40,32'h3ebc46a4,// invsqrt(8.9482) = 0.3343 +32'h3f004d13,32'h3fb130d1,32'h3fb86c47, 32'h3fabc438,32'h3fbdd8e0, 32'h3fa2b9e4,32'h3fc6e334,// invsqrt(0.5012) = 1.4126 +32'h422948db,32'h3e1a4203,32'h3e208dda, 32'h3e158923,32'h3e2546bb, 32'h3e0daa57,32'h3e2d2587,// invsqrt(42.3211) = 0.1537 +32'h40a089b8,32'h3ee00482,32'h3ee92943, 32'h3ed928f0,32'h3ef004d4, 32'h3ecdbaff,32'h3efb72c5,// invsqrt(5.0168) = 0.4465 +32'h3f109f79,32'h3fa6e48a,32'h3fadb466, 32'h3fa1c8a5,32'h3fb2d04b, 32'h3f9944d2,32'h3fbb541e,// invsqrt(0.5649) = 1.3305 +32'h40a089a4,32'h3ee00490,32'h3ee92952, 32'h3ed928ff,32'h3ef004e3, 32'h3ecdbb0c,32'h3efb72d6,// invsqrt(5.0168) = 0.4465 +32'h3eb570f4,32'h3fd2b7fc,32'h3fdb51c7, 32'h3fcc44a3,32'h3fe1c51f, 32'h3fc18464,32'h3fec855e,// invsqrt(0.3544) = 1.6798 +32'h3e8a3aa3,32'h3ff16b41,32'h3ffb45d5, 32'h3fea0751,32'h400154e3, 32'h3fddb617,32'h40077d80,// invsqrt(0.2700) = 1.9246 +32'h43e4d800,32'h3d3ba132,32'h3d4349bc, 32'h3d35e2cb,32'h3d490823, 32'h3d2c501e,32'h3d529ad0,// invsqrt(457.6875) = 0.0467 +32'h40212d3c,32'h3f1e1716,32'h3f248af8, 32'h3f19402d,32'h3f2961e1, 32'h3f112f53,32'h3f3172bb,// invsqrt(2.5184) = 0.6301 +32'h3f5bf54e,32'h3f8753d4,32'h3f8cd9dd, 32'h3f832f4e,32'h3f90fe64, 32'h3f788f87,32'h3f97e5ef,// invsqrt(0.8592) = 1.0788 +32'h408430af,32'h3ef6df2d,32'h3f00795e, 32'h3eef5081,32'h3f0440b3, 32'h3ee2b80f,32'h3f0a8ced,// invsqrt(4.1309) = 0.4920 +32'h3f041e12,32'h3fae9cdc,32'h3fb5bd62, 32'h3fa94477,32'h3fbb15c7, 32'h3fa05bcf,32'h3fc3fe6f,// invsqrt(0.5161) = 1.3920 +32'h3f854730,32'h3f75dcb6,32'h3f7fe5b8, 32'h3f6e55f4,32'h3f83b63d, 32'h3f61cab2,32'h3f89fbde,// invsqrt(1.0412) = 0.9800 +32'h40460ff3,32'h3f0e9c98,32'h3f146ebd, 32'h3f0a3efb,32'h3f18cc59, 32'h3f02f84c,32'h3f201308,// invsqrt(3.0947) = 0.5684 +32'h401852ed,32'h3f229e87,32'h3f2941bc, 32'h3f1da420,32'h3f2e3c24, 32'h3f15581f,32'h3f368825,// invsqrt(2.3801) = 0.6482 +32'h3f276af8,32'h3f9b1d90,32'h3fa1725d, 32'h3f965df7,32'h3fa631f7, 32'h3f8e73f8,32'h3fae1bf6,// invsqrt(0.6540) = 1.2366 +32'h4099cdc9,32'h3ee4de86,32'h3eee35fa, 32'h3edddcf0,32'h3ef53790, 32'h3ed22fa0,32'h3f007270,// invsqrt(4.8064) = 0.4561 +32'h401b9ac2,32'h3f20e558,32'h3f27768b, 32'h3f1bf873,32'h3f2c6371, 32'h3f13c2f3,32'h3f3498f1,// invsqrt(2.4313) = 0.6413 +32'h3ee42a22,32'h3fbbe8a1,32'h3fc39415, 32'h3fb6280a,32'h3fc954ac, 32'h3fac91b8,32'h3fd2eafe,// invsqrt(0.4456) = 1.4980 +32'h4240c416,32'h3e108ebd,32'h3e167538, 32'h3e0c21e2,32'h3e1ae214, 32'h3e04c1c8,32'h3e22422e,// invsqrt(48.1915) = 0.1441 +32'h3ee6a4ae,32'h3fbae572,32'h3fc28652, 32'h3fb52cca,32'h3fc83efa, 32'h3faba3b2,32'h3fd1c812,// invsqrt(0.4505) = 1.4899 +32'h3fcd8f3a,32'h3f45f8a8,32'h3f4e0d42, 32'h3f3fe936,32'h3f541cb4, 32'h3f35cf76,32'h3f5e3674,// invsqrt(1.6059) = 0.7891 +32'h40555efb,32'h3f096687,32'h3f0f0239, 32'h3f0531c2,32'h3f1336fe, 32'h3efc5e46,32'h3f1a399d,// invsqrt(3.3339) = 0.5477 +32'h400ad101,32'h3f2a58f2,32'h3f314ce6, 32'h3f2521fa,32'h3f3683de, 32'h3f1c7108,32'h3f3f34d0,// invsqrt(2.1690) = 0.6790 +32'h3fcbf6bc,32'h3f46be84,32'h3f4edb30, 32'h3f40a902,32'h3f54f0b2, 32'h3f36852b,32'h3f5f1489,// invsqrt(1.5935) = 0.7922 +32'h4041962a,32'h3f104038,32'h3f16237e, 32'h3f0bd5c3,32'h3f1a8df3, 32'h3f0479ac,32'h3f21ea0a,// invsqrt(3.0248) = 0.5750 +32'h3fb48729,32'h3f534042,32'h3f5bdf9d, 32'h3f4cc8bd,32'h3f625721, 32'h3f42018a,32'h3f6d1e54,// invsqrt(1.4104) = 0.8420 +32'h3fda41e9,32'h3f40204b,32'h3f47f7d1, 32'h3f3a3ea7,32'h3f4dd975, 32'h3f307140,32'h3f57a6dc,// invsqrt(1.7051) = 0.7658 +32'h3f26e5cf,32'h3f9b5b65,32'h3fa1b2b8, 32'h3f9699e8,32'h3fa67436, 32'h3f8eacc1,32'h3fae615d,// invsqrt(0.6519) = 1.2385 +32'h3dfb8e87,32'h4032f573,32'h403a4363, 32'h402d7aff,32'h403fbdd7, 32'h40245994,32'h4048df43,// invsqrt(0.1228) = 2.8533 +32'h3fdbb43f,32'h3f3f7e1a,32'h3f474f00, 32'h3f39a16c,32'h3f4d2bae, 32'h3f2fdc4c,32'h3f56f0ce,// invsqrt(1.7164) = 0.7633 +32'h3e5d6fd5,32'h4006dff8,32'h400c6146, 32'h4002befd,32'h40108241, 32'h3ff7bab8,32'h401763e2,// invsqrt(0.2162) = 2.1504 +32'h3fd1715b,32'h3f44209f,32'h3f4c21f3, 32'h3f3e1f9f,32'h3f5222f3, 32'h3f341df5,32'h3f5c249d,// invsqrt(1.6363) = 0.7818 +32'h3f5ea578,32'h3f86820f,32'h3f8bff87, 32'h3f8263f4,32'h3f901da2, 32'h3f770e3a,32'h3f96fa79,// invsqrt(0.8697) = 1.0723 +32'h3ef4afbc,32'h3fb5742c,32'h3fbcdc2e, 32'h3fafe62b,32'h3fc26a2f, 32'h3fa6a428,32'h3fcbac32,// invsqrt(0.4779) = 1.4465 +32'h3e8b4648,32'h3ff082d9,32'h3ffa53f1, 32'h3fe92606,32'h4000d862, 32'h3fdce0a8,32'h4006fb11,// invsqrt(0.2720) = 1.9173 +32'h3fc2f629,32'h3f4b47e8,32'h3f5393fc, 32'h3f450ed9,32'h3f59cd0b, 32'h3f3aafc0,32'h3f642c24,// invsqrt(1.5231) = 0.8103 +32'h3f87e377,32'h3f737d39,32'h3f7d6d6f, 32'h3f6c0910,32'h3f8270cc, 32'h3f5f9ccc,32'h3f88a6ee,// invsqrt(1.0616) = 0.9705 +32'h3edd5f93,32'h3fbec4ee,32'h3fc68e46, 32'h3fb8edec,32'h3fcc6548, 32'h3faf323e,32'h3fd620f6,// invsqrt(0.4324) = 1.5208 +32'h3efc1138,32'h3fb2c709,32'h3fba1313, 32'h3fad4e00,32'h3fbf8c1c, 32'h3fa42ef3,32'h3fc8ab29,// invsqrt(0.4923) = 1.4252 +32'h3e225d6d,32'h401d82b9,32'h4023f08d, 32'h4018b05b,32'h4028c2eb, 32'h4010a713,32'h4030cc33,// invsqrt(0.1586) = 2.5113 +32'h40054f41,32'h3f2dd48b,32'h3f34ece4, 32'h3f288247,32'h3f3a3f27, 32'h3f1fa3d8,32'h3f431d96,// invsqrt(2.0830) = 0.6929 +32'h3f7c19c1,32'h3f7cd015,32'h3f8390dc, 32'h3f7512da,32'h3f876f79, 32'h3f682ccf,32'h3f8de27e,// invsqrt(0.9848) = 1.0077 +32'h3f8345b7,32'h3f77bbbb,32'h3f80ec25, 32'h3f702650,32'h3f84b6db, 32'h3f63829c,32'h3f8b08b5,// invsqrt(1.0256) = 0.9875 +32'h41979a97,32'h3e66861c,32'h3e6feed9, 32'h3e5f778e,32'h3e76fd66, 32'h3e53b4a1,32'h3e81602a,// invsqrt(18.9505) = 0.2297 +32'h3fc3325c,32'h3f4b288d,32'h3f537359, 32'h3f44f074,32'h3f59ab72, 32'h3f3a92f4,32'h3f6408f2,// invsqrt(1.5250) = 0.8098 +32'h3f589a12,32'h3f885f36,32'h3f8df029, 32'h3f843281,32'h3f921cdf, 32'h3f7a7aa3,32'h3f99120e,// invsqrt(0.8461) = 1.0871 +32'h3f2e0a04,32'h3f9822de,32'h3f9e588a, 32'h3f937a9e,32'h3fa300ca, 32'h3f8bb788,32'h3faac3e0,// invsqrt(0.6798) = 1.2128 +32'h3fb45a1a,32'h3f535aa4,32'h3f5bfb13, 32'h3f4ce250,32'h3f627366, 32'h3f4219c5,32'h3f6d3bf1,// invsqrt(1.4090) = 0.8425 +32'h3e817aa1,32'h3ff97169,32'h4001cfeb, 32'h3ff1ce97,32'h4005a153, 32'h3fe5148f,32'h400bfe57,// invsqrt(0.2529) = 1.9885 +32'h3fc447b6,32'h3f4a98d1,32'h3f52ddbf, 32'h3f44651e,32'h3f591172, 32'h3f3a0ef4,32'h3f63679c,// invsqrt(1.5334) = 0.8075 +32'h3dc1a1c1,32'h404bfa49,32'h40544da4, 32'h4045bbc3,32'h405a8c29, 32'h403b5391,32'h4064f45b,// invsqrt(0.0945) = 3.2522 +32'h3eae3096,32'h3fd70f5d,32'h3fdfd686, 32'h3fd07a00,32'h3fe66be4, 32'h3fc5810d,32'h3ff164d7,// invsqrt(0.3402) = 1.7144 +32'h3fc0abd4,32'h3f4c7c4d,32'h3f54d4f7, 32'h3f4639cd,32'h3f5b1777, 32'h3f3bcaf8,32'h3f65864c,// invsqrt(1.5052) = 0.8151 +32'h4000801e,32'h3f310d9c,32'h3f3847a2, 32'h3f2ba217,32'h3f3db327, 32'h3f22998f,32'h3f46bbaf,// invsqrt(2.0078) = 0.7057 +32'h410ec6ea,32'h3ea7f7d7,32'h3eaed2f0, 32'h3ea2d385,32'h3eb3f743, 32'h3e9a41a7,32'h3ebc8921,// invsqrt(8.9236) = 0.3348 +32'h3ed67510,32'h3fc1d227,32'h3fc9bb63, 32'h3fbbe33b,32'h3fcfaa4f, 32'h3fb1ffb1,32'h3fd98dd9,// invsqrt(0.4189) = 1.5451 +32'h3ffda5eb,32'h3f323831,32'h3f397e67, 32'h3f2cc388,32'h3f3ef310, 32'h3f23abc4,32'h3f480ad4,// invsqrt(1.9816) = 0.7104 +32'h3e6cfdbc,32'h40025faa,32'h4007b1f0, 32'h3ffcc3ec,32'h400bafa4, 32'h3fef763e,32'h4012567b,// invsqrt(0.2314) = 2.0787 +32'h3f4544f8,32'h3f8ee5e3,32'h3f94bb07, 32'h3f8a8609,32'h3f991ae1, 32'h3f833b9c,32'h3fa0654e,// invsqrt(0.7706) = 1.1392 +32'h3f78a801,32'h3f7e8ec9,32'h3f847954, 32'h3f76c3e2,32'h3f885ec7, 32'h3f69c70c,32'h3f8edd32,// invsqrt(0.9713) = 1.0147 +32'h3f82f2f3,32'h3f7809f9,32'h3f8114dd, 32'h3f707229,32'h3f84e0c6, 32'h3f63ca77,32'h3f8b349e,// invsqrt(1.0230) = 0.9887 +32'h3fb516e2,32'h3f52ec5c,32'h3f5b884b, 32'h3f4c7769,32'h3f61fd3f, 32'h3f41b47f,32'h3f6cc029,// invsqrt(1.4148) = 0.8407 +32'h3f8f1071,32'h3f6d4de3,32'h3f76fd79, 32'h3f660a32,32'h3f7e412a, 32'h3f59eeb6,32'h3f852e53,// invsqrt(1.1177) = 0.9459 +32'h3fca7c62,32'h3f4777dc,32'h3f4f9c19, 32'h3f415cae,32'h3f55b746, 32'h3f372f61,32'h3f5fe493,// invsqrt(1.5819) = 0.7951 +32'h3f8d7b4b,32'h3f6ea0b7,32'h3f785e21, 32'h3f6752a7,32'h3f7fac31, 32'h3f5b25e1,32'h3f85ec7b,// invsqrt(1.1053) = 0.9512 +32'h41644c22,32'h3e84d537,32'h3e8a412f, 32'h3e80c43d,32'h3e8e5229, 32'h3e73fa8f,32'h3e95191e,// invsqrt(14.2686) = 0.2647 +32'h3fa483f3,32'h3f5d4b03,32'h3f66534d, 32'h3f5684cc,32'h3f6d1984, 32'h3f4b3a71,32'h3f7863df,// invsqrt(1.2853) = 0.8821 +32'h3e5ff8ba,32'h40061c09,32'h400b9557, 32'h4002010e,32'h400fb052, 32'h3ff652d6,32'h401687f5,// invsqrt(0.2187) = 2.1382 +32'h3fc6918e,32'h3f496d16,32'h3f51a5c8, 32'h3f434290,32'h3f57d04e, 32'h3f38fbb1,32'h3f62172d,// invsqrt(1.5513) = 0.8029 +32'h3d3334ac,32'h4095ed55,32'h409c0bea, 32'h40915664,32'h40a0a2da, 32'h4089b029,32'h40a84915,// invsqrt(0.0438) = 4.7808 +32'h3f47479c,32'h3f8e2ce7,32'h3f93fa7e, 32'h3f89d2b7,32'h3f9854af, 32'h3f8291bb,32'h3f9f95ab,// invsqrt(0.7784) = 1.1334 +32'h40d0ab55,32'h3ec47d98,32'h3ecc82b8, 32'h3ebe79c0,32'h3ed28690, 32'h3eb47357,32'h3edc8cf9,// invsqrt(6.5209) = 0.3916 +32'h4001e5fb,32'h3f301910,32'h3f37491c, 32'h3f2ab508,32'h3f3cad24, 32'h3f21b8fa,32'h3f45a932,// invsqrt(2.0297) = 0.7019 +32'h3f2ea02d,32'h3f97e167,32'h3f9e1466, 32'h3f933b28,32'h3fa2baa6, 32'h3f8b7b6a,32'h3faa7a64,// invsqrt(0.6821) = 1.2108 +32'h3f8c3ed6,32'h3f6fad5a,32'h3f7975bc, 32'h3f685711,32'h3f806603, 32'h3f5c1c97,32'h3f868340,// invsqrt(1.0957) = 0.9553 +32'h3f8a294b,32'h3f717a67,32'h3f7b559b, 32'h3f6a1601,32'h3f815d01, 32'h3f5dc401,32'h3f878601,// invsqrt(1.0794) = 0.9625 +32'h406ec8f2,32'h3f01e211,32'h3f072f37, 32'h3efbd06b,32'h3f0b2913, 32'h3eee8f8e,32'h3f11c981,// invsqrt(3.7310) = 0.5177 +32'h4048a356,32'h3f0db17e,32'h3f137a0a, 32'h3f095b14,32'h3f17d074, 32'h3f022064,32'h3f1f0b24,// invsqrt(3.1350) = 0.5648 +32'h3e5350bd,32'h400a1133,32'h400fb3dd, 32'h4005d734,32'h4013eddc, 32'h3ffd97c2,32'h401af92f,// invsqrt(0.2064) = 2.2013 +32'h3ffcfd4b,32'h3f32738c,32'h3f39bc2e, 32'h3f2cfd12,32'h3f3f32a8, 32'h3f23e247,32'h3f484d73,// invsqrt(1.9765) = 0.7113 +32'h40941573,32'h3ee93f66,32'h3ef2c499, 32'h3ee21b7f,32'h3ef9e87f, 32'h3ed634ff,32'h3f02e77f,// invsqrt(4.6276) = 0.4649 +32'h3f33c912,32'h3f95af67,32'h3f9bcb76, 32'h3f911a5d,32'h3fa06081, 32'h3f89774a,32'h3fa80394,// invsqrt(0.7023) = 1.1933 +32'h4073ee56,32'h3f008178,32'h3f05c03a, 32'h3ef924d0,32'h3f09af4a, 32'h3eec07ed,32'h3f103dbc,// invsqrt(3.8114) = 0.5122 +32'h40cc67ee,32'h3ec68775,32'h3ecea1e2, 32'h3ec073a2,32'h3ed4b5b4, 32'h3eb6529a,32'h3eded6bc,// invsqrt(6.3877) = 0.3957 +32'h3ec711be,32'h3fc92c31,32'h3fd1623d, 32'h3fc303a7,32'h3fd78ac7, 32'h3fb8c018,32'h3fe1ce56,// invsqrt(0.3888) = 1.6037 +32'h3fa00c68,32'h3f605c24,32'h3f698479, 32'h3f597de4,32'h3f7062b8, 32'h3f4e0b79,32'h3f7bd523,// invsqrt(1.2504) = 0.8943 +32'h40d3a5ac,32'h3ec31a78,32'h3ecb1119, 32'h3ebd217e,32'h3ed10a12, 32'h3eb32d34,32'h3edafe5c,// invsqrt(6.6140) = 0.3888 +32'h3ec76802,32'h3fc900a8,32'h3fd134ee, 32'h3fc2d974,32'h3fd75c22, 32'h3fb8981d,32'h3fe19d79,// invsqrt(0.3895) = 1.6024 +32'h3f12161d,32'h3fa60e01,32'h3facd51b, 32'h3fa0f8ad,32'h3fb1ea6f, 32'h3f987fcd,32'h3fba634f,// invsqrt(0.5706) = 1.3238 +32'h3f927442,32'h3f6a8ab2,32'h3f741d6a, 32'h3f635ca7,32'h3f7b4b75, 32'h3f576540,32'h3f83a16e,// invsqrt(1.1442) = 0.9349 +32'h40b57fcc,32'h3ed2af5e,32'h3edb48cf, 32'h3ecc3c48,32'h3ee1bbe4, 32'h3ec17c7a,32'h3eec7bb2,// invsqrt(5.6719) = 0.4199 +32'h3f5efdd9,32'h3f866764,32'h3f8be3c6, 32'h3f824a1a,32'h3f900110, 32'h3f76dd3f,32'h3f96dc8a,// invsqrt(0.8711) = 1.0715 +32'h40e7dcf8,32'h3eba676a,32'h3ec20326, 32'h3eb4b29e,32'h3ec7b7f2, 32'h3eab2ff4,32'h3ed13a9c,// invsqrt(7.2457) = 0.3715 +32'h40972ddf,32'h3ee6d8f1,32'h3ef0450f, 32'h3edfc7da,32'h3ef75626, 32'h3ed400b3,32'h3f018ea6,// invsqrt(4.7243) = 0.4601 +32'h40d2a9ef,32'h3ec38ee7,32'h3ecb8a49, 32'h3ebd925d,32'h3ed186d3, 32'h3eb39822,32'h3edb810e,// invsqrt(6.5832) = 0.3897 +32'h3e94b724,32'h3fe8c077,32'h3ff2407b, 32'h3fe1a073,32'h3ff9607f, 32'h3fd5c06d,32'h4002a043,// invsqrt(0.2905) = 1.8555 +32'h3e1d036a,32'h40202c24,32'h4026b5c8, 32'h401b44ea,32'h402b9d02, 32'h401318dd,32'h4033c90f,// invsqrt(0.1533) = 2.5538 +32'h3d6fe0e3,32'h40819631,32'h4086e03e, 32'h407b3d51,32'h408ad7c8, 32'h406e0432,32'h40917457,// invsqrt(0.0586) = 4.1322 +32'h3f149f0a,32'h3fa4a1ec,32'h3fab5a2a, 32'h3f9f97be,32'h3fb06458, 32'h3f973170,32'h3fb8caa6,// invsqrt(0.5806) = 1.3124 +32'h3f5a767d,32'h3f87ca32,32'h3f8d5510, 32'h3f83a20c,32'h3f917d36, 32'h3f7968ef,32'h3f986acb,// invsqrt(0.8534) = 1.0825 +32'h400ef4b7,32'h3f27dced,32'h3f2eb6ed, 32'h3f22b96e,32'h3f33da6c, 32'h3f1a28ef,32'h3f3c6aeb,// invsqrt(2.2337) = 0.6691 +32'h3fefbf97,32'h3f37501e,32'h3f3ecb8d, 32'h3f31b38b,32'h3f446821, 32'h3f285941,32'h3f4dc26b,// invsqrt(1.8730) = 0.7307 +32'h415ce97b,32'h3e8708f6,32'h3e8c8bf0, 32'h3e82e6ba,32'h3e90ae2c, 32'h3e780602,32'h3e9791e5,// invsqrt(13.8070) = 0.2691 +32'h3eead51a,32'h3fb938c5,32'h3fc0c825, 32'h3fb38d3c,32'h3fc673ae, 32'h3faa1a03,32'h3fcfe6e7,// invsqrt(0.4587) = 1.4766 +32'h3f874652,32'h3f740a7d,32'h3f7e0078, 32'h3f6c9202,32'h3f82bc7a, 32'h3f601e88,32'h3f88f637,// invsqrt(1.0568) = 0.9727 +32'h40408356,32'h3f10a70b,32'h3f168e83, 32'h3f0c3970,32'h3f1afc1e, 32'h3f04d81a,32'h3f225d74,// invsqrt(3.0080) = 0.5766 +32'h40c96fe4,32'h3ec7fc9f,32'h3ed02647, 32'h3ec1dd60,32'h3ed64586, 32'h3eb7a94e,32'h3ee07998,// invsqrt(6.2949) = 0.3986 +32'h42026344,32'h3e2fc462,32'h3e36f0f8, 32'h3e2a62f1,32'h3e3c5269, 32'h3e216b35,32'h3e454a25,// invsqrt(32.5969) = 0.1752 +32'h3d584a5a,32'h40887856,32'h408e0a4f, 32'h40844adb,32'h409237c9, 32'h407aa8c7,32'h40992e41,// invsqrt(0.0528) = 4.3517 +32'h40ce2d5e,32'h3ec5acad,32'h3ecdbe2d, 32'h3ebf9f8e,32'h3ed3cb4c, 32'h3eb589af,32'h3edde12b,// invsqrt(6.4430) = 0.3940 +32'h3fe8cecd,32'h3f3a0681,32'h3f419e47, 32'h3f3454ac,32'h3f47501c, 32'h3f2ad6f4,32'h3f50cdd4,// invsqrt(1.8188) = 0.7415 +32'h4088b177,32'h3ef2c57b,32'h3efcae31, 32'h3eeb56f2,32'h3f020e5d, 32'h3edef40d,32'h3f083fcf,// invsqrt(4.2717) = 0.4838 +32'h3cf81fdc,32'h40b4313b,32'h40bb8c0f, 32'h40aead1d,32'h40c1102d, 32'h40a57b94,32'h40ca41b6,// invsqrt(0.0303) = 5.7459 +32'h400361c6,32'h3f2f19d0,32'h3f363f70, 32'h3f29bd98,32'h3f3b9ba8, 32'h3f20ce90,32'h3f448ab0,// invsqrt(2.0528) = 0.6979 +32'h3dd88cfb,32'h4040e1bd,32'h4048c127, 32'h403afa2c,32'h404ea8b8, 32'h403122e7,32'h40587ffd,// invsqrt(0.1057) = 3.0753 +32'h3f6ad7a4,32'h3f82f803,32'h3f885081, 32'h3f7deb4a,32'h3f8c52df, 32'h3f708e10,32'h3f93017c,// invsqrt(0.9174) = 1.0441 +32'h401f8de2,32'h3f1ee456,32'h3f256098, 32'h3f1a0724,32'h3f2a3dca, 32'h3f11ebd2,32'h3f32591d,// invsqrt(2.4930) = 0.6333 +32'h3f2b6f3e,32'h3f99499c,32'h3f9f8b4f, 32'h3f949856,32'h3fa43c96, 32'h3f8cc637,32'h3fac0eb5,// invsqrt(0.6697) = 1.2220 +32'h3eebef71,32'h3fb8c9d0,32'h3fc054aa, 32'h3fb321ad,32'h3fc5fccd, 32'h3fa9b41d,32'h3fcf6a5d,// invsqrt(0.4608) = 1.4731 +32'h3f9839af,32'h3f660d86,32'h3f6f7157, 32'h3f5f02a8,32'h3f767c34, 32'h3f5345e3,32'h3f811c7c,// invsqrt(1.1893) = 0.9170 +32'h3e565575,32'h40091770,32'h400eafe7, 32'h4004e516,32'h4012e240, 32'h3ffbcd01,32'h4019e0d6,// invsqrt(0.2093) = 2.1858 +32'h3e635970,32'h40051c0a,32'h400a8ae6, 32'h400108e5,32'h400e9e0b, 32'h3ff47ca5,32'h4015689e,// invsqrt(0.2220) = 2.1223 +32'h3f0872fd,32'h3fabd19a,32'h3fb2d4ee, 32'h3fa68f1a,32'h3fb8176e, 32'h3f9dcaf0,32'h3fc0db98,// invsqrt(0.5330) = 1.3697 +32'h431f4a71,32'h3d9f05f5,32'h3da58397, 32'h3d9a27bc,32'h3daa61d0, 32'h3d920ab2,32'h3db27eda,// invsqrt(159.2908) = 0.0792 +32'h40044f0f,32'h3f2e7c86,32'h3f359bba, 32'h3f29251e,32'h3f3af322, 32'h3f203e1d,32'h3f43da23,// invsqrt(2.0673) = 0.6955 +32'h3e2de5e3,32'h401832ab,32'h401e68fb, 32'h401389ef,32'h402311b7, 32'h400bc60b,32'h402ad59b,// invsqrt(0.1698) = 2.4266 +32'h3fc93998,32'h3f481798,32'h3f50425a, 32'h3f41f786,32'h3f56626c, 32'h3f37c213,32'h3f6097df,// invsqrt(1.5721) = 0.7976 +32'h3e8d39f6,32'h3feed7e3,32'h3ff8978e, 32'h3fe78822,32'h3fffe74e, 32'h3fdb588c,32'h40060b72,// invsqrt(0.2758) = 1.9040 +32'h3f8eb9b7,32'h3f6d95f1,32'h3f774879, 32'h3f66500c,32'h3f7e8e5e, 32'h3f5a30e3,32'h3f8556c4,// invsqrt(1.1150) = 0.9470 +32'h4220ba01,32'h3e1e4fb8,32'h3e24c5e9, 32'h3e197712,32'h3e299e8e, 32'h3e116355,32'h3e31b24b,// invsqrt(40.1816) = 0.1578 +32'h400b61cb,32'h3f2a0060,32'h3f30f0b8, 32'h3f24cc1f,32'h3f3624f9, 32'h3f1c1fb1,32'h3f3ed167,// invsqrt(2.1778) = 0.6776 +32'h3ebff5bb,32'h3fccdd33,32'h3fd539d2, 32'h3fc697bc,32'h3fdb7f4a, 32'h3fbc23f6,32'h3fe5f310,// invsqrt(0.3749) = 1.6332 +32'h3e6d8c62,32'h4002387f,32'h4007892b, 32'h3ffc77fb,32'h400b85ac, 32'h3fef2e4c,32'h40122a84,// invsqrt(0.2320) = 2.0762 +32'h4008c3e2,32'h3f2b9ec2,32'h3f32a004, 32'h3f265dd1,32'h3f37e0f5, 32'h3f1d9c40,32'h3f40a287,// invsqrt(2.1370) = 0.6841 +32'h4009efff,32'h3f2ae3a9,32'h3f31dd48, 32'h3f25a873,32'h3f37187f, 32'h3f1cf06d,32'h3f3fd085,// invsqrt(2.1553) = 0.6812 +32'h3f27d1a5,32'h3f9aee15,32'h3fa140f2, 32'h3f962ff1,32'h3fa5ff17, 32'h3f8e485d,32'h3fade6ab,// invsqrt(0.6555) = 1.2351 +32'h3ee565fa,32'h3fbb6719,32'h3fc30d43, 32'h3fb5aa78,32'h3fc8c9e4, 32'h3fac1ac3,32'h3fd25999,// invsqrt(0.4480) = 1.4940 +32'h40e106e7,32'h3ebd36d6,32'h3ec4efef, 32'h3eb76c04,32'h3ecabac2, 32'h3eadc4a6,32'h3ed46220,// invsqrt(7.0321) = 0.3771 +32'h3ec6e484,32'h3fc9430f,32'h3fd17a0b, 32'h3fc319d3,32'h3fd7a347, 32'h3fb8d518,32'h3fe1e802,// invsqrt(0.3885) = 1.6044 +32'h3feb33c2,32'h3f39137c,32'h3f40a157, 32'h3f336917,32'h3f464bbb, 32'h3f29f7c5,32'h3f4fbd0d,// invsqrt(1.8375) = 0.7377 +32'h3ed78f1a,32'h3fc15331,32'h3fc9373e, 32'h3fbb6828,32'h3fcf2248, 32'h3fb18b19,32'h3fd8ff57,// invsqrt(0.4210) = 1.5412 +32'h4000e903,32'h3f30c585,32'h3f37fc9a, 32'h3f2b5c35,32'h3f3d65e9, 32'h3f22575a,32'h3f466ac4,// invsqrt(2.0142) = 0.7046 +32'h40dc51c4,32'h3ebf3999,32'h3ec707b5, 32'h3eb95f05,32'h3ecce249, 32'h3eaf9d63,32'h3ed6a3eb,// invsqrt(6.8850) = 0.3811 +32'h3ec59939,32'h3fc9eb80,32'h3fd2295c, 32'h3fc3bd1c,32'h3fd857c0, 32'h3fb96fc9,32'h3fe2a513,// invsqrt(0.3859) = 1.6097 +32'h3f2afd9b,32'h3f997c84,32'h3f9fc04a, 32'h3f94c9af,32'h3fa4731f, 32'h3f8cf4f6,32'h3fac47d8,// invsqrt(0.6679) = 1.2236 +32'h3db12a89,32'h40553ef2,32'h405df326, 32'h404eb7cb,32'h40647a4d, 32'h4043d68b,32'h406f5b8d,// invsqrt(0.0865) = 3.4000 +32'h3f7b7d2e,32'h3f7d1ebb,32'h3f83b9ca, 32'h3f755f19,32'h3f87999b, 32'h3f68750a,32'h3f8e0ea3,// invsqrt(0.9824) = 1.0089 +32'h40487bbe,32'h3f0dbf7b,32'h3f13889b, 32'h3f0968a4,32'h3f17df72, 32'h3f022d3d,32'h3f1f1ad9,// invsqrt(3.1326) = 0.5650 +32'h3e8946b4,32'h3ff24160,32'h3ffc24b3, 32'h3fead6e3,32'h4001c798, 32'h3fde7abc,32'h4007f5ac,// invsqrt(0.2681) = 1.9312 +32'h3fbf6852,32'h3f4d28d2,32'h3f558888, 32'h3f46e10b,32'h3f5bd04f, 32'h3f3c6968,32'h3f6647f2,// invsqrt(1.4954) = 0.8178 +32'h3efeac26,32'h3fb1dc58,32'h3fb91ece, 32'h3fac6a7f,32'h3fbe90a7, 32'h3fa3576b,32'h3fc7a3bb,// invsqrt(0.4974) = 1.4179 +32'h404f505b,32'h3f0b64ae,32'h3f111532, 32'h3f07204a,32'h3f155996, 32'h3f0003a5,32'h3f1c763b,// invsqrt(3.2393) = 0.5556 +32'h3f06be9c,32'h3face6f3,32'h3fb3f59a, 32'h3fa79bf7,32'h3fb94097, 32'h3f9ec9a6,32'h3fc212e8,// invsqrt(0.5263) = 1.3784 +32'h3f3aa5cc,32'h3f92e864,32'h3f98e76d, 32'h3f8e691c,32'h3f9d66b4, 32'h3f86ea51,32'h3fa4e57f,// invsqrt(0.7291) = 1.1711 +32'h3f77e9e7,32'h3f7ef04f,32'h3f84ac14, 32'h3f77226c,32'h3f889306, 32'h3f6a209c,32'h3f8f13ee,// invsqrt(0.9684) = 1.0162 +32'h3eba24f2,32'h3fd00a27,32'h3fd887f5, 32'h3fc9abcd,32'h3fdee64f, 32'h3fbf0e8d,32'h3fe9838f,// invsqrt(0.3636) = 1.6585 +32'h3f6f0e4c,32'h3f81cf39,32'h3f871b99, 32'h3f7babe1,32'h3f8b14e1, 32'h3f6e6cf0,32'h3f91b45a,// invsqrt(0.9338) = 1.0348 +32'h3fc1df2d,32'h3f4bd9f6,32'h3f542c00, 32'h3f459c6e,32'h3f5a6988, 32'h3f3b35e2,32'h3f64d014,// invsqrt(1.5146) = 0.8125 +32'h3f7d5a88,32'h3f7c2fd6,32'h3f833d77, 32'h3f747784,32'h3f8719a0, 32'h3f6799a5,32'h3f8d8890,// invsqrt(0.9897) = 1.0052 +32'h4015652e,32'h3f24349b,32'h3f2ae862, 32'h3f1f2dc4,32'h3f2fef38, 32'h3f16cd0b,32'h3f384ff1,// invsqrt(2.3343) = 0.6545 +32'h3f8ed640,32'h3f6d7e35,32'h3f772fc4, 32'h3f663909,32'h3f7e74ef, 32'h3f5a1b16,32'h3f854971,// invsqrt(1.1159) = 0.9466 +32'h403344ea,32'h3f15e68a,32'h3f1c04d8, 32'h3f114fcf,32'h3f209b93, 32'h3f09a9ec,32'h3f284176,// invsqrt(2.8011) = 0.5975 +32'h3f039fc6,32'h3faef08e,32'h3fb6147e, 32'h3fa99599,32'h3fbb6f73, 32'h3fa0a8ac,32'h3fc45c60,// invsqrt(0.5142) = 1.3946 +32'h3e2f141b,32'h4017af16,32'h401de008, 32'h40130a61,32'h402284bd, 32'h400b4d34,32'h402a41ea,// invsqrt(0.1710) = 2.4184 +32'h41763771,32'h3e7fd0d9,32'h3e8520ee, 32'h3e77fc16,32'h3e890b4f, 32'h3e6aeed1,32'h3e8f91f2,// invsqrt(15.3885) = 0.2549 +32'h3ecf6c4d,32'h3fc5147a,32'h3fcd1fc2, 32'h3fbf0c03,32'h3fd32839, 32'h3fb4fde8,32'h3fdd3654,// invsqrt(0.4051) = 1.5711 +32'h3fd93d3b,32'h3f40936e,32'h3f486fa7, 32'h3f3aae44,32'h3f4e54d2, 32'h3f30dafd,32'h3f582819,// invsqrt(1.6972) = 0.7676 +32'h3ec28945,32'h3fcb80c4,32'h3fd3cf2a, 32'h3fc545f7,32'h3fda09f7, 32'h3fbae3f8,32'h3fe46bf6,// invsqrt(0.3800) = 1.6223 +32'h423aa572,32'h3e12e887,32'h3e18e792, 32'h3e0e6940,32'h3e1d66da, 32'h3e06ea72,32'h3e24e5a8,// invsqrt(46.6616) = 0.1464 +32'h3f4b7847,32'h3f8cb430,32'h3f927266, 32'h3f886587,32'h3f96c10f, 32'h3f8137c4,32'h3f9deed2,// invsqrt(0.7948) = 1.1217 +32'h3f4691e9,32'h3f8e6de5,32'h3f943e23, 32'h3f8a11b7,32'h3f989a51, 32'h3f82cd6a,32'h3f9fde9e,// invsqrt(0.7757) = 1.1354 +32'h3f2aef1c,32'h3f998306,32'h3f9fc710, 32'h3f94cffe,32'h3fa47a18, 32'h3f8cfaf0,32'h3fac4f26,// invsqrt(0.6677) = 1.2238 +32'h4011154f,32'h3f26a0b6,32'h3f2d6dce, 32'h3f2186e5,32'h3f32879f, 32'h3f190688,32'h3f3b07fc,// invsqrt(2.2669) = 0.6642 +32'h3e597f87,32'h40081734,32'h400da536, 32'h4003ecb2,32'h4011cfb8, 32'h3ff9f65f,32'h4018c13a,// invsqrt(0.2124) = 2.1698 +32'h4049052a,32'h3f0d8eff,32'h3f135624, 32'h3f0939a4,32'h3f17ab80, 32'h3f0200b7,32'h3f1ee46d,// invsqrt(3.1409) = 0.5642 +32'h3faf8463,32'h3f563ecb,32'h3f5efd70, 32'h3f4fafcf,32'h3f658c6b, 32'h3f44c180,32'h3f707aba,// invsqrt(1.3712) = 0.8540 +32'h3f6fd274,32'h3f819a18,32'h3f86e44d, 32'h3f7b44df,32'h3f8adbf4, 32'h3f6e0b5a,32'h3f9178b7,// invsqrt(0.9368) = 1.0332 +32'h4027f9be,32'h3f1adb96,32'h3f212db2, 32'h3f161e02,32'h3f25eb46, 32'h3f0e3760,32'h3f2dd1e8,// invsqrt(2.6246) = 0.6173 +32'h3fc76739,32'h3f49010e,32'h3f513558, 32'h3f42d9d7,32'h3f575c8f, 32'h3f38987b,32'h3f619deb,// invsqrt(1.5578) = 0.8012 +32'h3fec931e,32'h3f3889d9,32'h3f401216, 32'h3f32e3ab,32'h3f45b843, 32'h3f29795e,32'h3f4f2290,// invsqrt(1.8482) = 0.7356 +32'h3f3166d6,32'h3f96affe,32'h3f9cd686, 32'h3f921318,32'h3fa1736c, 32'h3f8a62ef,32'h3fa92395,// invsqrt(0.6930) = 1.2013 +32'h400c714c,32'h3f295bbe,32'h3f30455d, 32'h3f242c86,32'h3f357494, 32'h3f1b887f,32'h3f3e189b,// invsqrt(2.1944) = 0.6751 +32'h3fcd0850,32'h3f4639c0,32'h3f4e5101, 32'h3f40284e,32'h3f546272, 32'h3f360b3d,32'h3f5e7f83,// invsqrt(1.6018) = 0.7901 +32'h4020fea0,32'h3f1e2df7,32'h3f24a2c7, 32'h3f19565a,32'h3f297a64, 32'h3f114456,32'h3f318c69,// invsqrt(2.5155) = 0.6305 +32'h3d5ec1c7,32'h40867982,32'h408bf6a2, 32'h40825bab,32'h40901479, 32'h4076fe87,32'h4096f0e1,// invsqrt(0.0544) = 4.2881 +32'h40c6abbb,32'h3ec95fd0,32'h3ed197f8, 32'h3ec335b2,32'h3ed7c216, 32'h3eb8ef80,32'h3ee20848,// invsqrt(6.2085) = 0.4013 +32'h3f30ccba,32'h3f96f19c,32'h3f9d1ad2, 32'h3f9252b4,32'h3fa1b9ba, 32'h3f8a9f32,32'h3fa96d3c,// invsqrt(0.6906) = 1.2033 +32'h3f8b146a,32'h3f70adf3,32'h3f7a80ce, 32'h3f694fcf,32'h3f80ef79, 32'h3f5d083e,32'h3f871342,// invsqrt(1.0866) = 0.9593 +32'h3fd4384a,32'h3f42d707,32'h3f4acae7, 32'h3f3ce01e,32'h3f50c1d0, 32'h3f32ef45,32'h3f5ab2a9,// invsqrt(1.6580) = 0.7766 +32'h3f74d98d,32'h3f8043b0,32'h3f857fec, 32'h3f78ad07,32'h3f896d18, 32'h3f6b9673,32'h3f8ff863,// invsqrt(0.9564) = 1.0225 +32'h3fdffa6e,32'h3f3da81b,32'h3f4565d3, 32'h3f37d9d1,32'h3f4b341d, 32'h3f2e2cab,32'h3f54e143,// invsqrt(1.7498) = 0.7560 +32'h3fe7c571,32'h3f3a70e0,32'h3f420cfe, 32'h3f34bbc9,32'h3f47c215, 32'h3f2b38a4,32'h3f51453a,// invsqrt(1.8107) = 0.7431 +32'h405f6bc9,32'h3f06464f,32'h3f0bc157, 32'h3f022a08,32'h3f0fdd9e, 32'h3ef6a07c,32'h3f16b768,// invsqrt(3.4910) = 0.5352 +32'h3ff25779,32'h3f36545b,32'h3f3dc583, 32'h3f30bf7d,32'h3f435a61, 32'h3f27720a,32'h3f4ca7d4,// invsqrt(1.8933) = 0.7268 +32'h3d5e558b,32'h40869a3a,32'h408c18af, 32'h40827b61,32'h40903787, 32'h40773a9e,32'h40971599,// invsqrt(0.0543) = 4.2922 +32'h40209125,32'h3f1e63db,32'h3f24dadf, 32'h3f198a98,32'h3f29b422, 32'h3f1175d4,32'h3f31c8e6,// invsqrt(2.5089) = 0.6313 +32'h3f53fa51,32'h3f89d9ee,32'h3f8f7a56, 32'h3f85a1a0,32'h3f93b2a4, 32'h3f7d323d,32'h3f9abb25,// invsqrt(0.8280) = 1.0989 +32'h3ebeb0f5,32'h3fcd8b5e,32'h3fd5ef18, 32'h3fc74092,32'h3fdc39e4, 32'h3fbcc3e8,32'h3fe6b68e,// invsqrt(0.3724) = 1.6386 +32'h401194ab,32'h3f2657c3,32'h3f2d21e0, 32'h3f21402e,32'h3f323976, 32'h3f18c38a,32'h3f3ab61a,// invsqrt(2.2747) = 0.6630 +32'h3e8e6e4b,32'h3fedd4d0,32'h3ff789e9, 32'h3fe68cff,32'h3ffed1bb, 32'h3fda6aa0,32'h40057a0d,// invsqrt(0.2782) = 1.8960 +32'h3f1d29fe,32'h3fa0187a,32'h3fa6a150, 32'h3f9b31da,32'h3fab87f0, 32'h3f9306ce,32'h3fb3b2fc,// invsqrt(0.6139) = 1.2763 +32'h3fe2ad79,32'h3f3c8626,32'h3f443808, 32'h3f36c0bc,32'h3f49fd72, 32'h3f2d2262,32'h3f539bcd,// invsqrt(1.7709) = 0.7515 +32'h40089bfd,32'h3f2bb7d0,32'h3f32ba17, 32'h3f26761a,32'h3f37fbcc, 32'h3f1db341,32'h3f40bea5,// invsqrt(2.1345) = 0.6845 +32'h3ee65168,32'h3fbb0738,32'h3fc2a97a, 32'h3fb54d88,32'h3fc8632a, 32'h3fabc2b6,32'h3fd1edfc,// invsqrt(0.4498) = 1.4910 +32'h3ffc70e6,32'h3f32a524,32'h3f39efcd, 32'h3f2d2d26,32'h3f3f67cc, 32'h3f240fd3,32'h3f48851f,// invsqrt(1.9722) = 0.7121 +32'h40868ca2,32'h3ef4b2a9,32'h3efeaf81, 32'h3eed3507,32'h3f031691, 32'h3ee0b8f9,32'h3f095498,// invsqrt(4.2047) = 0.4877 +32'h3f4cb9a4,32'h3f8c4596,32'h3f91ff48, 32'h3f87fa50,32'h3f964a8e, 32'h3f80d231,32'h3f9d72ad,// invsqrt(0.7997) = 1.1182 +32'h3e833e23,32'h3ff7c2e2,32'h4000efde, 32'h3ff02d3e,32'h4004bab0, 32'h3fe3892e,32'h400b0cb8,// invsqrt(0.2563) = 1.9751 +32'h3fa02875,32'h3f60487d,32'h3f697005, 32'h3f596ad7,32'h3f704dab, 32'h3f4df96e,32'h3f7bbf14,// invsqrt(1.2512) = 0.8940 +32'h3e08c93a,32'h402b9b68,32'h40329c86, 32'h40265a91,32'h4037dd5d, 32'h401d992b,32'h40409ec3,// invsqrt(0.1336) = 2.7361 +32'h3f8bbdf7,32'h3f701bc4,32'h3f79e8a8, 32'h3f68c21a,32'h3f80a129, 32'h3f5c81fd,32'h3f86c137,// invsqrt(1.0917) = 0.9571 +32'h4044120a,32'h3f0f5591,32'h3f152f43, 32'h3f0af24b,32'h3f199289, 32'h3f03a22c,32'h3f20e2a8,// invsqrt(3.0636) = 0.5713 +32'h3e9c3744,32'h3fe3186f,32'h3fec5d5a, 32'h3fdc24c0,32'h3ff3510a, 32'h3fd08e9b,32'h3ffee72f,// invsqrt(0.3051) = 1.8104 +32'h3fcaa7c5,32'h3f476281,32'h3f4f85df, 32'h3f4147fa,32'h3f55a066, 32'h3f371bc5,32'h3f5fcc9b,// invsqrt(1.5832) = 0.7947 +32'h3ff22608,32'h3f3666f7,32'h3f3dd8e1, 32'h3f30d187,32'h3f436e51, 32'h3f278321,32'h3f4cbcb7,// invsqrt(1.8918) = 0.7270 +32'h418567a3,32'h3e75becd,32'h3e7fc697, 32'h3e6e38f6,32'h3e83a637, 32'h3e61af3a,32'h3e89eb15,// invsqrt(16.6756) = 0.2449 +32'h3f3fa203,32'h3f90fbfc,32'h3f96e6ed, 32'h3f8c8bc9,32'h3f9b5721, 32'h3f85261c,32'h3fa2bcce,// invsqrt(0.7486) = 1.1558 +32'h3f2c6224,32'h3f98dd77,32'h3f9f1ac0, 32'h3f942f81,32'h3fa3c8b7, 32'h3f8c62e6,32'h3fab9552,// invsqrt(0.6734) = 1.2186 +32'h3e6f6fdc,32'h4001b4c4,32'h40070010, 32'h3ffb7896,32'h400af889, 32'h3fee3c58,32'h401196a8,// invsqrt(0.2338) = 2.0680 +32'h3f9b8397,32'h3f639b7a,32'h3f6ce5be, 32'h3f5ca3c7,32'h3f73dd71, 32'h3f5106f3,32'h3f7f7a45,// invsqrt(1.2150) = 0.9072 +32'h3f9583c1,32'h3f6820fd,32'h3f719a7f, 32'h3f6105db,32'h3f78b5a1, 32'h3f552df8,32'h3f8246c2,// invsqrt(1.1681) = 0.9253 +32'h40df0f3a,32'h3ebe0bff,32'h3ec5cdca, 32'h3eb83aa5,32'h3ecb9f23, 32'h3eae8867,32'h3ed55161,// invsqrt(6.9706) = 0.3788 +32'h3fb88178,32'h3f50f61f,32'h3f597d8d, 32'h3f4a908b,32'h3f5fe321, 32'h3f3fe741,32'h3f6a8c6b,// invsqrt(1.4415) = 0.8329 +32'h43484fbd,32'h3d8dcf0c,32'h3d9398ce, 32'h3d8977bb,32'h3d97f01f, 32'h3d823b88,32'h3d9f2c52,// invsqrt(200.3115) = 0.0707 +32'h45311e8c,32'h3c96cebb,32'h3c9cf683, 32'h3c9230e4,32'h3ca1945a, 32'h3c8a7f29,32'h3ca94615,// invsqrt(2833.9092) = 0.0188 +32'h3f7ae75c,32'h3f7d6a42,32'h3f83e118, 32'h3f75a851,32'h3f87c212, 32'h3f68ba67,32'h3f8e3906,// invsqrt(0.9801) = 1.0101 +32'h404bbf7f,32'h3f0c9b97,32'h3f1258cc, 32'h3f084daf,32'h3f16a6b3, 32'h3f01212c,32'h3f1dd336,// invsqrt(3.1836) = 0.5605 +32'h3f2ed43d,32'h3f97cac8,32'h3f9dfcdb, 32'h3f93253b,32'h3fa2a269, 32'h3f8b66a3,32'h3faa6101,// invsqrt(0.6829) = 1.2101 +32'h400e62f1,32'h3f2832c5,32'h3f2f1045, 32'h3f230ca5,32'h3f343665, 32'h3f1a77c4,32'h3f3ccb46,// invsqrt(2.2248) = 0.6704 +32'h3f4e5fe2,32'h3f8bb5cd,32'h3f9169a1, 32'h3f876eee,32'h3f95b080, 32'h3f804e25,32'h3f9cd149,// invsqrt(0.8062) = 1.1138 +32'h4008cd32,32'h3f2b98eb,32'h3f3299ef, 32'h3f265828,32'h3f37dab2, 32'h3f1d96e2,32'h3f409bf8,// invsqrt(2.1375) = 0.6840 +32'h403f4a9f,32'h3f111d17,32'h3f170961, 32'h3f0cabdf,32'h3f1b7a99, 32'h3f054483,32'h3f22e1f5,// invsqrt(2.9889) = 0.5784 +32'h3f882229,32'h3f734521,32'h3f7d330d, 32'h3f6bd2af,32'h3f8252bf, 32'h3f5f6948,32'h3f888773,// invsqrt(1.0635) = 0.9697 +32'h3f6cb78b,32'h3f8272fd,32'h3f87c60d, 32'h3f7ce963,32'h3f8bc458, 32'h3f6f99bc,32'h3f926c2c,// invsqrt(0.9247) = 1.0399 +32'h3ca41745,32'h40dd943f,32'h40e69f86, 32'h40d6cbcb,32'h40ed67fb, 32'h40cb7db3,32'h40f8b613,// invsqrt(0.0200) = 7.0657 +32'h3f90c967,32'h3f6be370,32'h3f75843c, 32'h3f64aad8,32'h3f7cbcd4, 32'h3f58a1da,32'h3f8462e9,// invsqrt(1.1311) = 0.9402 +32'h3ff73dcb,32'h3f348389,32'h3f3be1b9, 32'h3f2efce6,32'h3f41685c, 32'h3f25c72a,32'h3f4a9e18,// invsqrt(1.9316) = 0.7195 +32'h419daeac,32'h3e620979,32'h3e6b4354, 32'h3e5b1e14,32'h3e722eb8, 32'h3e4f95c2,32'h3e7db70a,// invsqrt(19.7103) = 0.2252 +32'h3ba49cb1,32'h415d3a61,32'h416641fd, 32'h415674ad,32'h416d07b1, 32'h414b2b2a,32'h41785134,// invsqrt(0.0050) = 14.1089 +32'h3f16448b,32'h3fa3ba63,32'h3faa692d, 32'h3f9eb74b,32'h3faf6c45, 32'h3f965cce,32'h3fb7c6c2,// invsqrt(0.5870) = 1.3052 +32'h3ee57356,32'h3fbb61a4,32'h3fc30796, 32'h3fb5a52f,32'h3fc8c40b, 32'h3fac15c0,32'h3fd2537a,// invsqrt(0.4481) = 1.4938 +32'h3f4fed47,32'h3f8b300a,32'h3f90de69, 32'h3f86ed44,32'h3f952130, 32'h3f7fa69c,32'h3f9c3b26,// invsqrt(0.8122) = 1.1096 +32'h3da8bff6,32'h405a7fba,32'h40636ad2, 32'h4053cf69,32'h406a1b23, 32'h4048a98c,32'h40754100,// invsqrt(0.0824) = 3.4837 +32'h3fd4c284,32'h3f4297b1,32'h3f4a88fd, 32'h3f3ca2b9,32'h3f507df5, 32'h3f32b51b,32'h3f5a6b93,// invsqrt(1.6622) = 0.7756 +32'h3f9e9721,32'h3f616393,32'h3f6a96a9, 32'h3f5a7d43,32'h3f717cf9, 32'h3f4efd68,32'h3f7cfcd4,// invsqrt(1.2390) = 0.8984 +32'h3f7e07ee,32'h3f7bd9b5,32'h3f8310a5, 32'h3f742406,32'h3f86eb7d, 32'h3f674a8d,32'h3f8d583a,// invsqrt(0.9923) = 1.0039 +32'h41b0fea1,32'h3e555963,32'h3e5e0eab, 32'h3e4ed16d,32'h3e6496a1, 32'h3e43eed3,32'h3e6f793b,// invsqrt(22.1243) = 0.2126 +32'h3f6dc244,32'h3f8229bd,32'h3f8779cf, 32'h3f7c5b5f,32'h3f8b75dd, 32'h3f6f1331,32'h3f9219f3,// invsqrt(0.9287) = 1.0377 +32'h3fb34cca,32'h3f53f923,32'h3f5ca00b, 32'h3f4d7bf6,32'h3f631d38, 32'h3f42ab55,32'h3f6dedd9,// invsqrt(1.4008) = 0.8449 +32'h405efdbf,32'h3f06676c,32'h3f0be3ce, 32'h3f024a22,32'h3f100118, 32'h3ef6dd4e,32'h3f16dc93,// invsqrt(3.4842) = 0.5357 +32'h3eabae2f,32'h3fd8a044,32'h3fe177ca, 32'h3fd1fea0,32'h3fe8196e, 32'h3fc6f13a,32'h3ff326d5,// invsqrt(0.3353) = 1.7269 +32'h3fa917ea,32'h3f5a46e0,32'h3f632fa5, 32'h3f53984c,32'h3f69de38, 32'h3f487555,32'h3f75012f,// invsqrt(1.3210) = 0.8700 +32'h4015d8cf,32'h3f23f534,32'h3f2aa665, 32'h3f1ef04f,32'h3f2fab49, 32'h3f1692d1,32'h3f3808c7,// invsqrt(2.3414) = 0.6535 +32'h41b21f2a,32'h3e54ac50,32'h3e5d5a88, 32'h3e4e29a7,32'h3e63dd31, 32'h3e434fe1,32'h3e6eb6f7,// invsqrt(22.2652) = 0.2119 +32'h3ed3be10,32'h3fc30f3b,32'h3fcb0567, 32'h3fbd169a,32'h3fd0fe08, 32'h3fb322e2,32'h3fdaf1c0,// invsqrt(0.4136) = 1.5550 +32'h3e526eb1,32'h400a5b47,32'h401000f7, 32'h40061f04,32'h40143d3a, 32'h3ffe1fd1,32'h401b4c55,// invsqrt(0.2055) = 2.2059 +32'h3f79b939,32'h3f7e0361,32'h3f8430c7, 32'h3f763cbf,32'h3f881419, 32'h3f694706,32'h3f8e8ef5,// invsqrt(0.9755) = 1.0125 +32'h4091ee5d,32'h3eeaf632,32'h3ef48d4e, 32'h3ee3c4dd,32'h3efbbea3, 32'h3ed7c7fa,32'h3f03ddc3,// invsqrt(4.5603) = 0.4683 +32'h3f69a142,32'h3f834ee5,32'h3f88aaef, 32'h3f7e93bd,32'h3f8caff6, 32'h3f712da5,32'h3f936301,// invsqrt(0.9126) = 1.0468 +32'h3f696705,32'h3f835f46,32'h3f88bbfa, 32'h3f7eb37d,32'h3f8cc182, 32'h3f714bba,32'h3f937563,// invsqrt(0.9117) = 1.0473 +32'h3f47a47a,32'h3f8e0bd2,32'h3f93d80f, 32'h3f89b2a5,32'h3f98313d, 32'h3f827359,32'h3f9f7089,// invsqrt(0.7799) = 1.1324 +32'h3e3851ec,32'h4013d51c,32'h4019ddce, 32'h400f4e96,32'h401e6454, 32'h4007c3b6,32'h4025ef34,// invsqrt(0.1800) = 2.3570 +32'h3fa2cbde,32'h3f5e7556,32'h3f6789cc, 32'h3f57a5fd,32'h3f6e5925, 32'h3f4c4c69,32'h3f79b2b9,// invsqrt(1.2718) = 0.8867 +32'h3f03c3fc,32'h3faed883,32'h3fb5fb79, 32'h3fa97e4b,32'h3fbb55b1, 32'h3fa09298,32'h3fc44164,// invsqrt(0.5147) = 1.3939 +32'h3f9b741f,32'h3f63a6cd,32'h3f6cf187, 32'h3f5caec2,32'h3f73e992, 32'h3f511159,32'h3f7f86fb,// invsqrt(1.2145) = 0.9074 +32'h40ca2e8c,32'h3ec79e3d,32'h3ecfc40c, 32'h3ec181e3,32'h3ed5e067, 32'h3eb752a1,32'h3ee00fa9,// invsqrt(6.3182) = 0.3978 +32'h4044dec2,32'h3f0f0af7,32'h3f14e19d, 32'h3f0aa9fa,32'h3f19429a, 32'h3f035da9,32'h3f208eeb,// invsqrt(3.0761) = 0.5702 +32'h3fe3dddd,32'h3f3c0811,32'h3f43b4ce, 32'h3f364684,32'h3f49765c, 32'h3f2cae98,32'h3f530e48,// invsqrt(1.7802) = 0.7495 +32'h3f8859ea,32'h3f73135f,32'h3f7cff44, 32'h3f6ba274,32'h3f823818, 32'h3f5f3b97,32'h3f886b87,// invsqrt(1.0652) = 0.9689 +32'h4187a580,32'h3e73b4d0,32'h3e7da74c, 32'h3e6c3ef4,32'h3e828e94, 32'h3e5fcfd9,32'h3e88c621,// invsqrt(16.9558) = 0.2429 +32'h3f80506e,32'h3f7a929b,32'h3f82666b, 32'h3f72e6ef,32'h3f863c41, 32'h3f661e26,32'h3f8ca0a5,// invsqrt(1.0025) = 0.9988 +32'h3f19fb26,32'h3fa1bdea,32'h3fa857f3, 32'h3f9cca62,32'h3fad4b7a, 32'h3f9489d6,32'h3fb58c06,// invsqrt(0.6015) = 1.2894 +32'h40394f24,32'h3f136ff8,32'h3f19748a, 32'h3f0eec8b,32'h3f1df7f7, 32'h3f0766d4,32'h3f257dae,// invsqrt(2.8955) = 0.5877 +32'h3e12de19,32'h40259ccc,32'h402c5f47, 32'h40208aef,32'h40317123, 32'h401817d5,32'h4039e43d,// invsqrt(0.1434) = 2.6405 +32'h3f0cef41,32'h3fa90fff,32'h3faff687, 32'h3fa3e319,32'h3fb5236d, 32'h3f9b42f0,32'h3fbdc397,// invsqrt(0.5505) = 1.3478 +32'h3fc14fb5,32'h3f4c258d,32'h3f547aad, 32'h3f45e5b5,32'h3f5aba85, 32'h3f3b7b4d,32'h3f6524ed,// invsqrt(1.5102) = 0.8137 +32'h3fbc0b0d,32'h3f4efc92,32'h3f576f5f, 32'h3f48a679,32'h3f5dc579, 32'h3f3e16fa,32'h3f6854f8,// invsqrt(1.4691) = 0.8250 +32'h3ea4a0a8,32'h3fdd37b7,32'h3fe63f37, 32'h3fd67217,32'h3fed04d7, 32'h3fcb28b8,32'h3ff84e36,// invsqrt(0.3215) = 1.7635 +32'h3f84faa0,32'h3f762372,32'h3f8017ac, 32'h3f6e9a86,32'h3f83dc22, 32'h3f620ba8,32'h3f8a2391,// invsqrt(1.0389) = 0.9811 +32'h3fcf2358,32'h3f45372c,32'h3f4d43e0, 32'h3f3f2da6,32'h3f534d66, 32'h3f351dc5,32'h3f5d5d47,// invsqrt(1.6183) = 0.7861 +32'h3fc43b70,32'h3f4a9f26,32'h3f52e457, 32'h3f446b42,32'h3f59183c, 32'h3f3a14c6,32'h3f636eb9,// invsqrt(1.5331) = 0.8076 +32'h3f68dec9,32'h3f8385ae,32'h3f88e3f4, 32'h3f7efdf4,32'h3f8ceaa8, 32'h3f719245,32'h3f93a080,// invsqrt(0.9096) = 1.0485 +32'h4088fb7d,32'h3ef283da,32'h3efc69e2, 32'h3eeb1753,32'h3f01eb35, 32'h3edeb7c8,32'h3f081afa,// invsqrt(4.2807) = 0.4833 +32'h4021bbe9,32'h3f1dd14c,32'h3f244254, 32'h3f18fc86,32'h3f29171a, 32'h3f10ef3b,32'h3f312465,// invsqrt(2.5271) = 0.6291 +32'h40149051,32'h3f24aa14,32'h3f2b62a7, 32'h3f1f9fa5,32'h3f306d15, 32'h3f1738ed,32'h3f38d3cd,// invsqrt(2.3213) = 0.6563 +32'h3f431327,32'h3f8fb316,32'h3f95909a, 32'h3f8b4cf4,32'h3f99f6bc, 32'h3f83f80f,32'h3fa14ba1,// invsqrt(0.7620) = 1.1456 +32'h3fab19be,32'h3f58fe28,32'h3f61d982, 32'h3f5259a4,32'h3f687e06, 32'h3f474773,32'h3f739037,// invsqrt(1.3367) = 0.8649 +32'h3b8a2f42,32'h41717531,32'h417b502d, 32'h416a10f3,32'h41815a35, 32'h415dbf37,32'h41878313,// invsqrt(0.0042) = 15.3991 +32'h3faf0db0,32'h3f568762,32'h3f5f48fd, 32'h3f4ff62d,32'h3f65da31, 32'h3f45042a,32'h3f70cc34,// invsqrt(1.3676) = 0.8551 +32'h4198fda0,32'h3e657a05,32'h3e6ed7d1, 32'h3e5e73ac,32'h3e75de2a, 32'h3e52be6d,32'h3e80c9b4,// invsqrt(19.1238) = 0.2287 +32'h3fe8e5d0,32'h3f39fd50,32'h3f4194b6, 32'h3f344bc3,32'h3f474643, 32'h3f2ace83,32'h3f50c383,// invsqrt(1.8195) = 0.7413 +32'h3f88fa60,32'h3f7284d6,32'h3f7c6aea, 32'h3f6b1848,32'h3f81ebbc, 32'h3f5eb8b0,32'h3f881b88,// invsqrt(1.0701) = 0.9667 +32'h3f012f02,32'h3fb0959a,32'h3fb7caba, 32'h3fab2dc2,32'h3fbd3292, 32'h3fa22b59,32'h3fc634fb,// invsqrt(0.5046) = 1.4077 +32'h4012224a,32'h3f260716,32'h3f2ccde7, 32'h3f20f1f8,32'h3f31e304, 32'h3f187971,32'h3f3a5b8b,// invsqrt(2.2833) = 0.6618 +32'h3f12b78e,32'h3fa5b28b,32'h3fac75ea, 32'h3fa0a005,32'h3fb18871, 32'h3f982bcf,32'h3fb9fca7,// invsqrt(0.5731) = 1.3209 +32'h3edf76da,32'h3fbddfe9,32'h3fc59fe9, 32'h3fb80fea,32'h3fcb6fe8, 32'h3fae5feb,32'h3fd51fe7,// invsqrt(0.4365) = 1.5137 +32'h3ffbe9b7,32'h3f32d50d,32'h3f3a21aa, 32'h3f2d5b96,32'h3f3f9b20, 32'h3f243bd2,32'h3f48bae4,// invsqrt(1.9681) = 0.7128 +32'h40912aad,32'h3eeb945b,32'h3ef531eb, 32'h3ee45e2e,32'h3efc6818, 32'h3ed85939,32'h3f043686,// invsqrt(4.5365) = 0.4695 +32'h4025601d,32'h3f1c1205,32'h3f2270cc, 32'h3f174af1,32'h3f2737e1, 32'h3f0f5478,32'h3f2f2e5a,// invsqrt(2.5840) = 0.6221 +32'h3ee73a2e,32'h3fbaa8fd,32'h3fc24765, 32'h3fb4f22f,32'h3fc7fe33, 32'h3fab6c2c,32'h3fd18436,// invsqrt(0.4516) = 1.4880 +32'h3ff026cc,32'h3f3728b6,32'h3f3ea28a, 32'h3f318d58,32'h3f443de8, 32'h3f283510,32'h3f4d9630,// invsqrt(1.8762) = 0.7301 +32'h3ec6335b,32'h3fc99cee,32'h3fd1d794, 32'h3fc370f1,32'h3fd80391, 32'h3fb927a1,32'h3fe24ce1,// invsqrt(0.3871) = 1.6072 +32'h3f557947,32'h3f895e10,32'h3f8ef96a, 32'h3f85298d,32'h3f932ded, 32'h3f7c4ebb,32'h3f9a301d,// invsqrt(0.8339) = 1.0951 +32'h3f41c069,32'h3f90307d,32'h3f96131f, 32'h3f8bc684,32'h3f9a7d18, 32'h3f846b39,32'h3fa1d863,// invsqrt(0.7568) = 1.1495 +32'h3f86a94d,32'h3f74989c,32'h3f7e9464, 32'h3f6d1bc6,32'h3f83089d, 32'h3f60a10d,32'h3f8945fa,// invsqrt(1.0520) = 0.9750 +32'h3f1c778d,32'h3fa073ab,32'h3fa70039, 32'h3f9b8a40,32'h3fabe9a4, 32'h3f935a8d,32'h3fb41957,// invsqrt(0.6112) = 1.2791 +32'h3ff4c645,32'h3f356bd1,32'h3f3cd37c, 32'h3f2fde12,32'h3f42613c, 32'h3f269c7d,32'h3f4ba2d1,// invsqrt(1.9123) = 0.7231 +32'h3fa0950f,32'h3f5ffc99,32'h3f692107, 32'h3f592146,32'h3f6ffc5a, 32'h3f4db3bb,32'h3f7b69e5,// invsqrt(1.2545) = 0.8928 +32'h3f9b4598,32'h3f63c8e6,32'h3f6d1504, 32'h3f5ccfcf,32'h3f740e1b, 32'h3f5130a9,32'h3f7fad41,// invsqrt(1.2131) = 0.9079 +32'h3f541cae,32'h3f89cec3,32'h3f8f6eb7, 32'h3f8596cd,32'h3f93a6ad, 32'h3f7d1dbb,32'h3f9aae9d,// invsqrt(0.8286) = 1.0986 +32'h3f580e2a,32'h3f888b56,32'h3f8e1e16, 32'h3f845d46,32'h3f924c26, 32'h3f7acbae,32'h3f994395,// invsqrt(0.8440) = 1.0885 +32'h40774f96,32'h3eff3fcc,32'h3f04d572, 32'h3ef76f7a,32'h3f08bd9b, 32'h3eea699c,32'h3f0f408a,// invsqrt(3.8642) = 0.5087 +32'h41b216c1,32'h3e54b156,32'h3e5d5fc2, 32'h3e4e2e85,32'h3e63e293, 32'h3e43547e,32'h3e6ebc9a,// invsqrt(22.2611) = 0.2119 +32'h3f8858ed,32'h3f731441,32'h3f7d002f, 32'h3f6ba34f,32'h3f823891, 32'h3f5f3c66,32'h3f886c05,// invsqrt(1.0652) = 0.9689 +32'h3e74af5b,32'h40004ebf,32'h40058b6d, 32'h3ff8c277,32'h400978f1, 32'h3febaac1,32'h401004cb,// invsqrt(0.2390) = 2.0457 +32'h3e27a71c,32'h401b01bc,32'h40215565, 32'h401642fc,32'h40261424, 32'h400e5a68,32'h402dfcb8,// invsqrt(0.1637) = 2.4714 +32'h3f6bc14e,32'h3f82b70b,32'h3f880ce2, 32'h3f7d6d55,32'h3f8c0d43, 32'h3f7016bd,32'h3f92b890,// invsqrt(0.9209) = 1.0421 +32'h3e4138ce,32'h4010630d,32'h401647bf, 32'h400bf787,32'h401ab345, 32'h400499a9,32'h40221123,// invsqrt(0.1887) = 2.3021 +32'h3e05a218,32'h402d9ea1,32'h4034b4c7, 32'h40284e05,32'h403a0563, 32'h401f7255,32'h4042e113,// invsqrt(0.1305) = 2.7682 +32'h4039aef0,32'h3f1349eb,32'h3f194cef, 32'h3f0ec7a8,32'h3f1dcf32, 32'h3f0743e2,32'h3f2552f8,// invsqrt(2.9013) = 0.5871 +32'h3fa28815,32'h3f5ea3b4,32'h3f67ba0f, 32'h3f57d2f0,32'h3f6e8ad4, 32'h3f4c76ff,32'h3f79e6c5,// invsqrt(1.2698) = 0.8874 +32'h3fad77a1,32'h3f5781e6,32'h3f604dbb, 32'h3f50e906,32'h3f66e69a, 32'h3f45ea3b,32'h3f71e565,// invsqrt(1.3552) = 0.8590 +32'h411ea574,32'h3e9f5891,32'h3ea5d991, 32'h3e9a77d0,32'h3eaaba52, 32'h3e92568f,32'h3eb2db93,// invsqrt(9.9154) = 0.3176 +32'h3e6fa777,32'h4001a5b7,32'h4006f065, 32'h3ffb5b67,32'h400ae868, 32'h3fee20b3,32'h401185c3,// invsqrt(0.2340) = 2.0671 +32'h3f80673a,32'h3f7a7c5b,32'h3f825ad7, 32'h3f72d15d,32'h3f863055, 32'h3f6609b7,32'h3f8c9429,// invsqrt(1.0032) = 0.9984 +32'h3e13425f,32'h40256460,32'h402c248d, 32'h4020543d,32'h403134af, 32'h4017e404,32'h4039a4e8,// invsqrt(0.1438) = 2.6370 +32'h3f4e00c9,32'h3f8bd608,32'h3f918b2d, 32'h3f878e2d,32'h3f95d309, 32'h3f806bbf,32'h3f9cf577,// invsqrt(0.8047) = 1.1148 +32'h4080dec5,32'h3efa0813,32'h3f021e53, 32'h3ef260a4,32'h3f05f20a, 32'h3ee59eec,32'h3f0c52e6,// invsqrt(4.0272) = 0.4983 +32'h410bbe42,32'h3ea9c819,32'h3eb0b625, 32'h3ea49591,32'h3eb5e8ad, 32'h3e9bec02,32'h3ebe923c,// invsqrt(8.7339) = 0.3384 +32'h40868bea,32'h3ef4b350,32'h3efeb02f, 32'h3eed35aa,32'h3f0316eb, 32'h3ee0b993,32'h3f0954f6,// invsqrt(4.2046) = 0.4877 +32'h3edb1283,32'h3fbfc4bd,32'h3fc79886, 32'h3fb9e5e6,32'h3fcd775c, 32'h3fb01d2a,32'h3fd74018,// invsqrt(0.4279) = 1.5288 +32'h3e451609,32'h400ef6e6,32'h4014ccbc, 32'h400a9687,32'h40192d1b, 32'h40034b3c,32'h40207866,// invsqrt(0.1925) = 2.2794 +32'h3f72c98e,32'h3f80cedd,32'h3f8610c7, 32'h3f79badc,32'h3f8a0236, 32'h3f6c9614,32'h3f90949a,// invsqrt(0.9484) = 1.0268 +32'h3fd06d94,32'h3f449ab2,32'h3f4ca102, 32'h3f3e95f6,32'h3f52a5be, 32'h3f348e11,32'h3f5cada3,// invsqrt(1.6283) = 0.7837 +32'h3caea570,32'h40d6c75f,32'h40df8b97, 32'h40d03435,32'h40e61ec1, 32'h40c53eef,32'h40f11407,// invsqrt(0.0213) = 6.8488 +32'h3fecab70,32'h3f38805d,32'h3f400837, 32'h3f32da7a,32'h3f45ae1a, 32'h3f2970a9,32'h3f4f17eb,// invsqrt(1.8490) = 0.7354 +32'h3f2a9cbb,32'h3f99a811,32'h3f9fed9f, 32'h3f94f3e7,32'h3fa4a1c9, 32'h3f8d1cf6,32'h3fac78ba,// invsqrt(0.6665) = 1.2249 +32'h3db2be4e,32'h40544d8f,32'h405cf7e8, 32'h404dcdcb,32'h406377ab, 32'h4042f8dc,32'h406e4c9b,// invsqrt(0.0873) = 3.3849 +32'h3f7fc1ad,32'h3f7affd7,32'h3f829f44, 32'h3f7350d4,32'h3f8676c6, 32'h3f668278,32'h3f8cddf4,// invsqrt(0.9990) = 1.0005 +32'h407dc65d,32'h3efbfa3c,32'h3f032192, 32'h3ef4438e,32'h3f06fce9, 32'h3ee7686b,32'h3f0d6a7a,// invsqrt(3.9652) = 0.5022 +32'h3eda7ed4,32'h3fc00581,32'h3fc7dbef, 32'h3fba24af,32'h3fcdbcc1, 32'h3fb058a6,32'h3fd788ca,// invsqrt(0.4267) = 1.5308 +32'h4052d1cd,32'h3f0a3abe,32'h3f0fdf19, 32'h3f05ff79,32'h3f141a5d, 32'h3efde40d,32'h3f1b27cf,// invsqrt(3.2941) = 0.5510 +32'h3da74ad3,32'h405b72e0,32'h406467e4, 32'h4054bb1d,32'h406b1fa7, 32'h404988d8,32'h407651ec,// invsqrt(0.0817) = 3.4989 +32'h3fcbd305,32'h3f46cfed,32'h3f4eed4f, 32'h3f40b9e3,32'h3f550359, 32'h3f369528,32'h3f5f2814,// invsqrt(1.5924) = 0.7925 +32'h3fe5681e,32'h3f3b6639,32'h3f430c5b, 32'h3f35a9a0,32'h3f48c8f4, 32'h3f2c19f6,32'h3f52589e,// invsqrt(1.7922) = 0.7470 +32'h417f967f,32'h3e7b150a,32'h3e82aa4b, 32'h3e73655f,32'h3e868220, 32'h3e6695ee,32'h3e8ce9d9,// invsqrt(15.9742) = 0.2502 +32'h3e1c5b12,32'h40208247,32'h40270f6f, 32'h401b986a,32'h402bf94c, 32'h401367f8,32'h403429be,// invsqrt(0.1527) = 2.5591 +32'h3e441bc3,32'h400f5203,32'h40152b90, 32'h400aeed9,32'h40198eb9, 32'h40039ee8,32'h4020deaa,// invsqrt(0.1915) = 2.2851 +32'h3d4a49d2,32'h408d1d39,32'h4092dfb9, 32'h4088cb59,32'h40973199, 32'h4081983a,32'h409e64b8,// invsqrt(0.0494) = 4.4998 +32'h3d3c636d,32'h40923a3c,32'h40983229, 32'h408dc049,32'h409cac1b, 32'h40864a60,32'h40a42204,// invsqrt(0.0460) = 4.6629 +32'h3f1a7883,32'h3fa17c3a,32'h3fa81396, 32'h3f9c8ab6,32'h3fad051a, 32'h3f944d84,32'h3fb5424c,// invsqrt(0.6034) = 1.2874 +32'h3f84a7bf,32'h3f76704a,32'h3f803fa9, 32'h3f6ee504,32'h3f84054c, 32'h3f625239,32'h3f8a4eb1,// invsqrt(1.0364) = 0.9823 +32'h3fde2245,32'h3f3e7141,32'h3f46372f, 32'h3f389ccf,32'h3f4c0ba1, 32'h3f2ee566,32'h3f55c30a,// invsqrt(1.7354) = 0.7591 +32'h3f477efd,32'h3f8e192a,32'h3f93e5f2, 32'h3f89bf94,32'h3f983f88, 32'h3f827f99,32'h3f9f7f83,// invsqrt(0.7793) = 1.1328 +32'h3da6edcf,32'h405baffb,32'h4064a77e, 32'h4054f65a,32'h406b6120, 32'h4049c0f7,32'h40769683,// invsqrt(0.0815) = 3.5027 +32'h3da0f782,32'h405fb80f,32'h4068d9b1, 32'h4058ded5,32'h406fb2eb, 32'h404d74ca,32'h407b1cf6,// invsqrt(0.0786) = 3.5669 +32'h4014b1b2,32'h3f249797,32'h3f2b4f69, 32'h3f1f8dba,32'h3f305946, 32'h3f1727f3,32'h3f38bf0d,// invsqrt(2.3233) = 0.6561 +32'h3eec5e69,32'h3fb89e6b,32'h3fc0277f, 32'h3fb2f79c,32'h3fc5ce4e, 32'h3fa98c43,32'h3fcf39a7,// invsqrt(0.4617) = 1.4718 +32'h3efa2dc0,32'h3fb37374,32'h3fbac688, 32'h3fadf524,32'h3fc044d8, 32'h3fa4cd4b,32'h3fc96cb1,// invsqrt(0.4886) = 1.4306 +32'h403f44b7,32'h3f111f54,32'h3f170bb6, 32'h3f0cae0b,32'h3f1b7cff, 32'h3f054691,32'h3f22e479,// invsqrt(2.9886) = 0.5785 +32'h404f056f,32'h3f0b7de5,32'h3f112f71, 32'h3f0738bc,32'h3f15749a, 32'h3f001acd,32'h3f1c9289,// invsqrt(3.2347) = 0.5560 +32'h40257c67,32'h3f1c04ae,32'h3f2262e9, 32'h3f173e01,32'h3f272995, 32'h3f0f4837,32'h3f2f1f5f,// invsqrt(2.5857) = 0.6219 +32'h40a0fc5d,32'h3edfb4af,32'h3ee8d62f, 32'h3ed8db90,32'h3eefaf4e, 32'h3ecd71b1,32'h3efb192d,// invsqrt(5.0308) = 0.4458 +32'h439bea4e,32'h3d635075,32'h3d6c97a9, 32'h3d5c5b0e,32'h3d738d10, 32'h3d50c20e,32'h3d7f2611,// invsqrt(311.8305) = 0.0566 +32'h3f565140,32'h3f8918c8,32'h3f8eb14e, 32'h3f84e664,32'h3f92e3b2, 32'h3f7bcf7a,32'h3f99e259,// invsqrt(0.8372) = 1.0929 +32'h402ce3a0,32'h3f18a42e,32'h3f1edf20, 32'h3f13f7f8,32'h3f238b56, 32'h3f0c2e4a,32'h3f2b5504,// invsqrt(2.7014) = 0.6084 +32'h3e4f6c3b,32'h400b5b50,32'h40110b72, 32'h40071736,32'h40154f8c, 32'h3ffff615,32'h401c6bb8,// invsqrt(0.2026) = 2.2219 +32'h3f8528b7,32'h3f75f8d6,32'h3f80017f, 32'h3f6e7138,32'h3f83c54e, 32'h3f61e486,32'h3f8a0ba7,// invsqrt(1.0403) = 0.9804 +32'h3e57a411,32'h4008acea,32'h400e4108, 32'h40047dd3,32'h4012701f, 32'h3ffb095a,32'h40196945,// invsqrt(0.2106) = 2.1791 +32'h3f4bf66c,32'h3f8c88a7,32'h3f924516, 32'h3f883b53,32'h3f969269, 32'h3f810fc8,32'h3f9dbdf4,// invsqrt(0.7967) = 1.1203 +32'h3e79fe00,32'h3ffde06e,32'h40041e97, 32'h3ff61add,32'h4008015f, 32'h3fe926ed,32'h400e7b58,// invsqrt(0.2441) = 2.0239 +32'h3f54b937,32'h3f899c06,32'h3f8f39e6, 32'h3f85659d,32'h3f93704f, 32'h3f7cc088,32'h3f9a75a8,// invsqrt(0.8310) = 1.0970 +32'h3e0bea95,32'h4029ad32,32'h40309a24, 32'h40247b7c,32'h4035cbda, 32'h401bd34d,32'h403e7409,// invsqrt(0.1366) = 2.7053 +32'h3f8f97a2,32'h3f6cde13,32'h3f768919, 32'h3f659dce,32'h3f7dc95e, 32'h3f598807,32'h3f84ef92,// invsqrt(1.1218) = 0.9441 +32'h3f6c06da,32'h3f82a3c8,32'h3f87f8d6, 32'h3f7d47fc,32'h3f8bf8a0, 32'h3f6ff35b,32'h3f92a2f0,// invsqrt(0.9220) = 1.0415 +32'h3f4181af,32'h3f9047da,32'h3f962b70, 32'h3f8bdd2a,32'h3f9a9620, 32'h3f8480ae,32'h3fa1f29c,// invsqrt(0.7559) = 1.1502 +32'h3f923787,32'h3f6abb62,32'h3f745018, 32'h3f638bda,32'h3f7b7fa0, 32'h3f5791f7,32'h3f83bcc2,// invsqrt(1.1423) = 0.9356 +32'h3eafabc0,32'h3fd626c9,32'h3fdee473, 32'h3fcf9889,32'h3fe572b3, 32'h3fc4ab75,32'h3ff05fc7,// invsqrt(0.3431) = 1.7072 +32'h3ea98eb1,32'h3fd9fa5e,32'h3fe2e004, 32'h3fd34e22,32'h3fe98c40, 32'h3fc82f12,32'h3ff4ab50,// invsqrt(0.3312) = 1.7377 +32'h3fba9c23,32'h3f4fc7ac,32'h3f5842c2, 32'h3f496b5b,32'h3f5e9f13, 32'h3f3ed17e,32'h3f6938f0,// invsqrt(1.4579) = 0.8282 +32'h3f18d8c6,32'h3fa25744,32'h3fa8f790, 32'h3f9d5f0b,32'h3fadefc9, 32'h3f9516ac,32'h3fb63828,// invsqrt(0.5971) = 1.2942 +32'h3f727421,32'h3f80e58c,32'h3f862862, 32'h3f79e6d5,32'h3f8a1a83, 32'h3f6cbfbd,32'h3f90ae10,// invsqrt(0.9471) = 1.0276 +32'h3f22e889,32'h3f9d3f6b,32'h3fa3aa7f, 32'h3f986f1c,32'h3fa87ace, 32'h3f906943,32'h3fb080a7,// invsqrt(0.6364) = 1.2536 +32'h3f4591d3,32'h3f8eca15,32'h3f949e16, 32'h3f8a6b15,32'h3f98fd17, 32'h3f832214,32'h3fa04618,// invsqrt(0.7718) = 1.1383 +32'h3fc2fb73,32'h3f4b4526,32'h3f53911d, 32'h3f450c2c,32'h3f59ca16, 32'h3f3aad37,32'h3f64290b,// invsqrt(1.5233) = 0.8102 +32'h40c420d8,32'h3ecaace3,32'h3ed2f2a3, 32'h3ec47893,32'h3ed926f3, 32'h3eba2163,32'h3ee37e23,// invsqrt(6.1290) = 0.4039 +32'h3e0e6760,32'h40283026,32'h402f0d8b, 32'h40230a1b,32'h40343397, 32'h401a755d,32'h403cc855,// invsqrt(0.1391) = 2.6816 +32'h3f5653fa,32'h3f8917e9,32'h3f8eb065, 32'h3f84e58b,32'h3f92e2c3, 32'h3f7bcde0,32'h3f99e15e,// invsqrt(0.8372) = 1.0929 +32'h3f51087f,32'h3f8ad19f,32'h3f907c23, 32'h3f8691bc,32'h3f94bc06, 32'h3f7ef92f,32'h3f9bd12b,// invsqrt(0.8165) = 1.1067 +32'h3fe5d73c,32'h3f3b38e7,32'h3f42dd2f, 32'h3f357db1,32'h3f489865, 32'h3f2bf057,32'h3f5225bf,// invsqrt(1.7956) = 0.7463 +32'h3f9e5cd9,32'h3f618d09,32'h3f6ac1cf, 32'h3f5aa573,32'h3f71a965, 32'h3f4f237b,32'h3f7d2b5d,// invsqrt(1.2372) = 0.8990 +32'h40b2d011,32'h3ed44303,32'h3edcecef, 32'h3ecdc393,32'h3ee36c5f, 32'h3ec2ef2d,32'h3eee40c5,// invsqrt(5.5879) = 0.4230 +32'h3ed5e931,32'h3fc2117b,32'h3fc9fd4b, 32'h3fbc209e,32'h3fcfee28, 32'h3fb239d9,32'h3fd9d4ed,// invsqrt(0.4178) = 1.5471 +32'h3f15c34a,32'h3fa400fb,32'h3faab2a7, 32'h3f9efbba,32'h3fafb7e8, 32'h3f969da2,32'h3fb81600,// invsqrt(0.5850) = 1.3074 +32'h3e1158ac,32'h40267a15,32'h402d4598, 32'h40216172,32'h40325e3a, 32'h4018e30d,32'h403adc9f,// invsqrt(0.1419) = 2.6543 +32'h3efb0ec7,32'h3fb322f6,32'h3fba72c1, 32'h3fada71d,32'h3fbfee99, 32'h3fa4835f,32'h3fc91257,// invsqrt(0.4903) = 1.4281 +32'h4120b216,32'h3e9e539e,32'h3ea4c9f8, 32'h3e997ada,32'h3ea9a2bc, 32'h3e9166ea,32'h3eb1b6ac,// invsqrt(10.0435) = 0.3155 +32'h3ee02da1,32'h3fbd9272,32'h3fc54f47, 32'h3fb7c4d1,32'h3fcb1ce7, 32'h3fae18c6,32'h3fd4c8f2,// invsqrt(0.4378) = 1.5113 +32'h3f50c7b2,32'h3f8ae729,32'h3f90928d, 32'h3f86a69d,32'h3f94d319, 32'h3f7f20bd,32'h3f9be957,// invsqrt(0.8155) = 1.1073 +32'h3fe095e8,32'h3f3d666a,32'h3f452174, 32'h3f379a23,32'h3f4aedbb, 32'h3f2df057,32'h3f549787,// invsqrt(1.7546) = 0.7549 +32'h3ff8f9cd,32'h3f33e24c,32'h3f3b39e6, 32'h3f2e6098,32'h3f40bb9a, 32'h3f253316,32'h3f49e91c,// invsqrt(1.9451) = 0.7170 +32'h41477b70,32'h3e8e1a6e,32'h3e93e744, 32'h3e89c0ce,32'h3e9840e4, 32'h3e8280c3,32'h3e9f80ef,// invsqrt(12.4676) = 0.2832 +32'h3f6e8307,32'h3f81f519,32'h3f874305, 32'h3f7bf550,32'h3f8b3d76, 32'h3f6eb281,32'h3f91dedd,// invsqrt(0.9317) = 1.0360 +32'h3ff910d8,32'h3f33d9fa,32'h3f3b313d, 32'h3f2e5886,32'h3f40b2b0, 32'h3f252b72,32'h3f49dfc4,// invsqrt(1.9458) = 0.7169 +32'h3c1ed998,32'h411f3e68,32'h4125be57, 32'h411a5e74,32'h412a9e4a, 32'h41123e89,32'h4132be35,// invsqrt(0.0097) = 10.1559 +32'h3d1965b6,32'h40a20c9f,32'h40a8a9df, 32'h409d16af,32'h40ad9fcf, 32'h4094d21f,32'h40b5e45f,// invsqrt(0.0375) = 5.1674 +32'h3f496326,32'h3f8d6df3,32'h3f9333bf, 32'h3f89199b,32'h3f978817, 32'h3f81e25d,32'h3f9ebf55,// invsqrt(0.7867) = 1.1275 +32'h3fa88882,32'h3f5aa3aa,32'h3f639038, 32'h3f53f23f,32'h3f6a41a3, 32'h3f48ca8c,32'h3f756956,// invsqrt(1.3167) = 0.8715 +32'h3d8eef02,32'h406d69a2,32'h40771a5a, 32'h40662518,32'h407e5ee4, 32'h405a0831,32'h40853de5,// invsqrt(0.0698) = 3.7853 +32'h3f750ab2,32'h3f8036d3,32'h3f857288, 32'h3f789416,32'h3f895f4f, 32'h3f6b7ed1,32'h3f8fe9f2,// invsqrt(0.9572) = 1.0221 +32'h3f8ee43c,32'h3f6d7295,32'h3f7723ab, 32'h3f662dc5,32'h3f7e687b, 32'h3f5a106a,32'h3f8542eb,// invsqrt(1.1163) = 0.9465 +32'h3f11697f,32'h3fa67073,32'h3fad3b91, 32'h3fa1581c,32'h3fb253e8, 32'h3f98da35,32'h3fbad1cf,// invsqrt(0.5680) = 1.3268 +32'h3f077aa5,32'h3fac6ecd,32'h3fb3788c, 32'h3fa7277d,32'h3fb8bfdb, 32'h3f9e5b4e,32'h3fc18c0a,// invsqrt(0.5292) = 1.3746 +32'h3f81a289,32'h3f794b01,32'h3f81bbee, 32'h3f71a95d,32'h3f858cc1, 32'h3f64f14a,32'h3f8be8ca,// invsqrt(1.0128) = 0.9937 +32'h3ef6310e,32'h3fb4e5f4,32'h3fbc4828, 32'h3faf5c4d,32'h3fc1d1cf, 32'h3fa6218d,32'h3fcb0c8f,// invsqrt(0.4808) = 1.4421 +32'h3fad3042,32'h3f57ae49,32'h3f607bee, 32'h3f51140e,32'h3f67162a, 32'h3f461300,32'h3f721738,// invsqrt(1.3530) = 0.8597 +32'h3e3a0860,32'h4013267f,32'h40192811, 32'h400ea551,32'h401da93f, 32'h4007235a,32'h40252b36,// invsqrt(0.1817) = 2.3461 +32'h3f54412f,32'h3f89c2e9,32'h3f8f6261, 32'h3f858b50,32'h3f9399fa, 32'h3f7d07f6,32'h3f9aa14f,// invsqrt(0.8291) = 1.0982 +32'h3f240019,32'h3f9cb92b,32'h3fa31ec5, 32'h3f97ecf8,32'h3fa7eaf8, 32'h3f8fedf9,32'h3fafe9f7,// invsqrt(0.6406) = 1.2494 +32'h411127c0,32'h3ea69620,32'h3ead62c8, 32'h3ea17ca1,32'h3eb27c47, 32'h3e98fccf,32'h3ebafc19,// invsqrt(9.0722) = 0.3320 +32'h3e2fdf59,32'h40175758,32'h401d84b4, 32'h4012b552,32'h402226ba, 32'h400afc9f,32'h4029df6d,// invsqrt(0.1718) = 2.4130 +32'h3fb30b25,32'h3f541ffb,32'h3f5cc879, 32'h3f4da19e,32'h3f6346d6, 32'h3f42cf01,32'h3f6e1973,// invsqrt(1.3988) = 0.8455 +32'h3eb38f2c,32'h3fd3d1f0,32'h3fdc773e, 32'h3fcd55f6,32'h3fe2f338, 32'h3fc28755,32'h3fedc1d9,// invsqrt(0.3507) = 1.6886 +32'h40397635,32'h3f136070,32'h3f196460, 32'h3f0edd7c,32'h3f1de754, 32'h3f075891,32'h3f256c3f,// invsqrt(2.8978) = 0.5874 +32'h3f15cfcf,32'h3fa3fa20,32'h3faaab85, 32'h3f9ef515,32'h3fafb091, 32'h3f969758,32'h3fb80e4f,// invsqrt(0.5852) = 1.3072 +32'h3e162a94,32'h4023c88a,32'h402a77e8, 32'h401ec503,32'h402f7b6f, 32'h401669cd,32'h4037d6a5,// invsqrt(0.1466) = 2.6113 +32'h3f953acb,32'h3f6859b5,32'h3f71d588, 32'h3f613cd6,32'h3f78f266, 32'h3f55620e,32'h3f826697,// invsqrt(1.1659) = 0.9261 +32'h3f678b23,32'h3f83e602,32'h3f894836, 32'h3f7fb8b5,32'h3f8d51dd, 32'h3f724332,32'h3f940c9f,// invsqrt(0.9045) = 1.0515 +32'h401c6ba5,32'h3f2079c6,32'h3f270694, 32'h3f1b902b,32'h3f2bf02f, 32'h3f136028,32'h3f342032,// invsqrt(2.4441) = 0.6397 +32'h3f3810b3,32'h3f93ef4b,32'h3f99f90f, 32'h3f8f67f8,32'h3f9e8062, 32'h3f87dbc2,32'h3fa60c98,// invsqrt(0.7190) = 1.1793 +32'h3f58219b,32'h3f888532,32'h3f8e17b2, 32'h3f845753,32'h3f924591, 32'h3f7ac067,32'h3f993cb1,// invsqrt(0.8443) = 1.0883 +32'h3e8fd8b7,32'h3feca877,32'h3ff6514d, 32'h3fe569d7,32'h3ffd8fed, 32'h3fd956cb,32'h4004d17c,// invsqrt(0.2810) = 1.8866 +32'h3fac27b5,32'h3f5853c2,32'h3f612827, 32'h3f51b475,32'h3f67c773, 32'h3f46aaf5,32'h3f72d0f3,// invsqrt(1.3450) = 0.8623 +32'h4084d990,32'h3ef64211,32'h3f00279b, 32'h3eeeb835,32'h3f03ec89, 32'h3ee227c7,32'h3f0a34c1,// invsqrt(4.1516) = 0.4908 +32'h3ef48c08,32'h3fb5816a,32'h3fbce9f6, 32'h3faff301,32'h3fc2785f, 32'h3fa6b052,32'h3fcbbb0e,// invsqrt(0.4776) = 1.4470 +32'h40572b0a,32'h3f08d354,32'h3f0e6904, 32'h3f04a310,32'h3f129948, 32'h3efb4fe9,32'h3f199464,// invsqrt(3.3620) = 0.5454 +32'h3f7dca9c,32'h3f7bf821,32'h3f83207a, 32'h3f744183,32'h3f86fbc8, 32'h3f67667c,32'h3f8d694c,// invsqrt(0.9914) = 1.0043 +32'h3ec43c96,32'h3fca9e8f,32'h3fd2e3b9, 32'h3fc46aaf,32'h3fd91799, 32'h3fba143a,32'h3fe36e0e,// invsqrt(0.3833) = 1.6153 +32'h3fd33979,32'h3f434c6a,32'h3f4b4515, 32'h3f3d51e9,32'h3f513f95, 32'h3f335b12,32'h3f5b366c,// invsqrt(1.6502) = 0.7785 +32'h3ea04c03,32'h3fe02f9c,32'h3fe95620, 32'h3fd952b9,32'h3ff03303, 32'h3fcde295,32'h3ffba327,// invsqrt(0.3131) = 1.7872 +32'h400d1bc2,32'h3f28f554,32'h3f2fdac5, 32'h3f23c93f,32'h3f3506d9, 32'h3f1b2a71,32'h3f3da5a7,// invsqrt(2.2048) = 0.6735 +32'h3f1c92bd,32'h3fa065bc,32'h3fa6f1b9, 32'h3f9b7cbe,32'h3fabdab6, 32'h3f934dc1,32'h3fb409b3,// invsqrt(0.6116) = 1.2787 +32'h402da00b,32'h3f185145,32'h3f1e88d5, 32'h3f13a799,32'h3f233281, 32'h3f0be225,32'h3f2af7f5,// invsqrt(2.7129) = 0.6071 +32'h3f386beb,32'h3f93cab0,32'h3f99d2f6, 32'h3f8f447c,32'h3f9e592a, 32'h3f87ba24,32'h3fa5e382,// invsqrt(0.7204) = 1.1782 +32'h3fc6108e,32'h3f49aea3,32'h3f51ea03, 32'h3f43821c,32'h3f58168a, 32'h3f3937e4,32'h3f6260c2,// invsqrt(1.5474) = 0.8039 +32'h3f9c3434,32'h3f631aa9,32'h3f6c5fab, 32'h3f5c26e8,32'h3f73536c, 32'h3f5090a6,32'h3f7ee9ae,// invsqrt(1.2203) = 0.9052 +32'h3f56b637,32'h3f88f888,32'h3f8e8fbd, 32'h3f84c721,32'h3f92c125, 32'h3f7b943f,32'h3f99be26,// invsqrt(0.8387) = 1.0919 +32'h40bb28e0,32'h3ecf797e,32'h3ed7f164, 32'h3ec91f92,32'h3ede4b50, 32'h3ebe89b2,32'h3ee8e130,// invsqrt(5.8487) = 0.4135 +32'h4008a898,32'h3f2bafe4,32'h3f32b1d8, 32'h3f266e6c,32'h3f37f350, 32'h3f1dabfb,32'h3f40b5c1,// invsqrt(2.1353) = 0.6843 +32'h3f3abfc6,32'h3f92de2c,32'h3f98dcca, 32'h3f8e5f35,32'h3f9d5bc1, 32'h3f86e0ef,32'h3fa4da07,// invsqrt(0.7295) = 1.1708 +32'h3f309504,32'h3f97096a,32'h3f9d3398, 32'h3f9269c7,32'h3fa1d33b, 32'h3f8ab50e,32'h3fa987f4,// invsqrt(0.6898) = 1.2041 +32'h400e8a61,32'h3f281b7e,32'h3f2ef80c, 32'h3f22f615,32'h3f341d75, 32'h3f1a6264,32'h3f3cb126,// invsqrt(2.2272) = 0.6701 +32'h3f9a720b,32'h3f6464b2,32'h3f6db72c, 32'h3f5d66d6,32'h3f74b508, 32'h3f51bfbe,32'h3f802e10,// invsqrt(1.2066) = 0.9104 +32'h41071d0b,32'h3eacaa7d,32'h3eb3b6ab, 32'h3ea76159,32'h3eb8ffcf, 32'h3e9e921f,32'h3ec1cf09,// invsqrt(8.4446) = 0.3441 +32'h4053fa74,32'h3f09d9e3,32'h3f0f7a4b, 32'h3f05a196,32'h3f13b298, 32'h3efd3229,32'h3f1abb19,// invsqrt(3.3122) = 0.5495 +32'h3eed54b5,32'h3fb83e86,32'h3fbfc3b0, 32'h3fb29aa6,32'h3fc56790, 32'h3fa93432,32'h3fcece04,// invsqrt(0.4635) = 1.4688 +32'h3ecd5c5d,32'h3fc6112b,32'h3fce26c5, 32'h3fc000f8,32'h3fd436f8, 32'h3fb5e5f9,32'h3fde51f7,// invsqrt(0.4011) = 1.5790 +32'h3f267b0a,32'h3f9b8d2f,32'h3fa1e689, 32'h3f96ca2b,32'h3fa6a98d, 32'h3f8eda79,32'h3fae993f,// invsqrt(0.6503) = 1.2400 +32'h3f6adef3,32'h3f82f5f9,32'h3f884e61, 32'h3f7de756,32'h3f8c50af, 32'h3f708a51,32'h3f92ff32,// invsqrt(0.9175) = 1.0440 +32'h41323c5b,32'h3e9655a1,32'h3e9c7878, 32'h3e91bb7f,32'h3ea11299, 32'h3e8a0ff1,32'h3ea8be27,// invsqrt(11.1397) = 0.2996 +32'h3ec307fc,32'h3fcb3e9d,32'h3fd38a50, 32'h3fc505d7,32'h3fd9c317, 32'h3fbaa738,32'h3fe421b6,// invsqrt(0.3809) = 1.6203 +32'h40691427,32'h3f03769f,32'h3f08d447, 32'h3efee0c1,32'h3f0cda86, 32'h3ef1769c,32'h3f138f98,// invsqrt(3.6419) = 0.5240 +32'h3f2a05ee,32'h3f99ec26,32'h3fa0347c, 32'h3f9535e7,32'h3fa4eabb, 32'h3f8d5b7c,32'h3facc526,// invsqrt(0.6642) = 1.2271 +32'h40388981,32'h3f13bed7,32'h3f19c6a1, 32'h3f0f38ff,32'h3f1e4c79, 32'h3f07af43,32'h3f25d635,// invsqrt(2.8834) = 0.5889 +32'h3e689243,32'h40039b4f,32'h4008fa77, 32'h3fff27e2,32'h400d01d5, 32'h3ff1b9ff,32'h4013b8c6,// invsqrt(0.2271) = 2.0983 +32'h3f30fd46,32'h3f96dce7,32'h3f9d0545, 32'h3f923ea2,32'h3fa1a38a, 32'h3f8a8c2d,32'h3fa955ff,// invsqrt(0.6914) = 1.2027 +32'h3e99abfc,32'h3fe4f7b1,32'h3fee502b, 32'h3fddf555,32'h3ff55287, 32'h3fd246bd,32'h40008090,// invsqrt(0.3001) = 1.8253 +32'h3ec310ea,32'h3fcb39f6,32'h3fd38579, 32'h3fc50155,32'h3fd9be1b, 32'h3fbaa2f2,32'h3fe41c7e,// invsqrt(0.3810) = 1.6201 +32'h3f1bcf13,32'h3fa0ca53,32'h3fa75a6b, 32'h3f9bde41,32'h3fac467d, 32'h3f93aa22,32'h3fb47a9c,// invsqrt(0.6086) = 1.2818 +32'h3e8f8db5,32'h3fece643,32'h3ff6919f, 32'h3fe5a5bf,32'h3ffdd223, 32'h3fd98f8c,32'h4004f42b,// invsqrt(0.2804) = 1.8885 +32'h3f838e1b,32'h3f777789,32'h3f80c8a8, 32'h3f6fe434,32'h3f849253, 32'h3f6343fc,32'h3f8ae26f,// invsqrt(1.0278) = 0.9864 +32'h3feb9a7a,32'h3f38eb1f,32'h3f407755, 32'h3f3341f7,32'h3f46207d, 32'h3f29d2b4,32'h3f4f8fc0,// invsqrt(1.8407) = 0.7371 +32'h3e5e8014,32'h40068d5b,32'h400c0b4a, 32'h40026ee8,32'h401029be, 32'h3ff722fc,32'h40170728,// invsqrt(0.2173) = 2.1453 +32'h3f78b08d,32'h3f7e8a69,32'h3f84770d, 32'h3f76bfa5,32'h3f885c6f, 32'h3f69c308,32'h3f8edabe,// invsqrt(0.9714) = 1.0146 +32'h3fba44fb,32'h3f4ff843,32'h3f587555, 32'h3f499a75,32'h3f5ed323, 32'h3f3efe1e,32'h3f696f7a,// invsqrt(1.4552) = 0.8290 +32'h3ef63338,32'h3fb4e529,32'h3fbc4755, 32'h3faf5b89,32'h3fc1d0f5, 32'h3fa620d2,32'h3fcb0bac,// invsqrt(0.4809) = 1.4421 +32'h3f04d561,32'h3fae2437,32'h3fb53fd1, 32'h3fa8cf84,32'h3fba9484, 32'h3f9fed04,32'h3fc37704,// invsqrt(0.5189) = 1.3882 +32'h3e84bb34,32'h3ff65e3a,32'h40003642, 32'h3feed381,32'h4003fb9f, 32'h3fe241a2,32'h400a448e,// invsqrt(0.2592) = 1.9640 +32'h3daa9dde,32'h40594ce0,32'h40622b70, 32'h4052a5f3,32'h4068d25d, 32'h40478fbe,32'h4073e892,// invsqrt(0.0833) = 3.4646 +32'h3d0ad183,32'h40aa58a2,32'h40b14c94, 32'h40a521ad,32'h40b68389, 32'h409c70bf,32'h40bf3477,// invsqrt(0.0339) = 5.4320 +32'h3dfa1aa8,32'h40337a4d,32'h403acda9, 32'h402dfbc8,32'h40404c2e, 32'h4024d395,32'h40497461,// invsqrt(0.1221) = 2.8616 +32'h3ff84818,32'h3f3422a1,32'h3f3b7cdb, 32'h3f2e9ef4,32'h3f410088, 32'h3f256e2b,32'h3f4a3151,// invsqrt(1.9397) = 0.7180 +32'h3d0a175f,32'h40aacb4b,32'h40b1c3ea, 32'h40a590d2,32'h40b6fe62, 32'h409cda0b,32'h40bfb529,// invsqrt(0.0337) = 5.4462 +32'h3d225f1c,32'h409d81e8,32'h40a3efb3, 32'h4098af90,32'h40a8c20c, 32'h4090a653,32'h40b0cb49,// invsqrt(0.0396) = 5.0226 +32'h3dd90a2e,32'h4040aa13,32'h40488739, 32'h403ac437,32'h404e6d15, 32'h4030efc9,32'h40584183,// invsqrt(0.1060) = 3.0718 +32'h4099d527,32'h3ee4d90b,32'h3eee3045, 32'h3eddd7a0,32'h3ef531b0, 32'h3ed22a97,32'h3f006f5c,// invsqrt(4.8073) = 0.4561 +32'h3f92ab84,32'h3f6a5e7f,32'h3f73ef6b, 32'h3f6331cf,32'h3f7b1c1b, 32'h3f573ca9,32'h3f8388a0,// invsqrt(1.1459) = 0.9342 +32'h3f3efdbb,32'h3f913a4a,32'h3f9727c5, 32'h3f8cc82d,32'h3f9b99e1, 32'h3f855f53,32'h3fa302bb,// invsqrt(0.7461) = 1.1577 +32'h3f8f71f2,32'h3f6cfd2e,32'h3f76a97a, 32'h3f65bbf6,32'h3f7deab2, 32'h3f59a498,32'h3f850108,// invsqrt(1.1207) = 0.9446 +32'h3f8a3f62,32'h3f71671c,32'h3f7b4186, 32'h3f6a034d,32'h3f8152ab, 32'h3f5db249,32'h3f877b2d,// invsqrt(1.0801) = 0.9622 +32'h409f0777,32'h3ee113ea,32'h3eea43c0, 32'h3eda300a,32'h3ef127a0, 32'h3eceb440,32'h3efca36a,// invsqrt(4.9697) = 0.4486 +32'h3f0cb96c,32'h3fa93052,32'h3fb0182b, 32'h3fa4026e,32'h3fb5460e, 32'h3f9b609e,32'h3fbde7de,// invsqrt(0.5497) = 1.3488 +32'h3f0c05d7,32'h3fa99cad,32'h3fb088f3, 32'h3fa46b79,32'h3fb5ba27, 32'h3f9bc422,32'h3fbe617e,// invsqrt(0.5470) = 1.3521 +32'h3e565e5d,32'h40091497,32'h400eacf0, 32'h4004e253,32'h4012df33, 32'h3ffbc7c5,32'h4019dda3,// invsqrt(0.2093) = 2.1856 +32'h3fdf3ecc,32'h3f3df7be,32'h3f45b8b6, 32'h3f382704,32'h3f4b8970, 32'h3f2e75ce,32'h3f553aa6,// invsqrt(1.7441) = 0.7572 +32'h3ed78368,32'h3fc15870,32'h3fc93cb4, 32'h3fbb6d3e,32'h3fcf27e6, 32'h3fb18fea,32'h3fd9053a,// invsqrt(0.4209) = 1.5413 +32'h40bc669c,32'h3ececa41,32'h3ed73aff, 32'h3ec875b2,32'h3edd8f8e, 32'h3ebde8c3,32'h3ee81c7d,// invsqrt(5.8875) = 0.4121 +32'h3e145c56,32'h4024c6ea,32'h402b80aa, 32'h401fbb9a,32'h40308bfa, 32'h40175369,32'h4038f42b,// invsqrt(0.1449) = 2.6272 +32'h3f52c966,32'h3f8a3d7f,32'h3f8fe1f7, 32'h3f860225,32'h3f941d51, 32'h3f7de91d,32'h3f9b2ae7,// invsqrt(0.8234) = 1.1020 +32'h3f999e6c,32'h3f6501cc,32'h3f6e5ab0, 32'h3f5dff21,32'h3f755d5b, 32'h3f525005,32'h3f80863c,// invsqrt(1.2001) = 0.9128 +32'h3f80220a,32'h3f7abff3,32'h3f827e04, 32'h3f7312e4,32'h3f86548b, 32'h3f6647ca,32'h3f8cba18,// invsqrt(1.0010) = 0.9995 +32'h3d8b0ce1,32'h4070b479,32'h407a8798, 32'h40695621,32'h4080f2f7, 32'h405d0e3a,32'h408716eb,// invsqrt(0.0679) = 3.8378 +32'h3fa77fb4,32'h3f5b503a,32'h3f6443d3, 32'h3f549986,32'h3f6afa86, 32'h3f496905,32'h3f762b07,// invsqrt(1.3086) = 0.8742 +32'h3fce63cd,32'h3f45929a,32'h3f4da309, 32'h3f3f8648,32'h3f53af5c, 32'h3f3571bd,32'h3f5dc3e7,// invsqrt(1.6124) = 0.7875 +32'h405c2433,32'h3f07456a,32'h3f0ccadc, 32'h3f032154,32'h3f10eef2, 32'h3ef8750c,32'h3f17d5c0,// invsqrt(3.4397) = 0.5392 +32'h3f28d9fa,32'h3f9a74a1,32'h3fa0c289, 32'h3f95ba34,32'h3fa57cf6, 32'h3f8dd8d3,32'h3fad5e57,// invsqrt(0.6596) = 1.2313 +32'h3ff3148c,32'h3f360d64,32'h3f3d7ba6, 32'h3f307ab2,32'h3f430e58, 32'h3f2730de,32'h3f4c582c,// invsqrt(1.8991) = 0.7257 +32'h3f109e87,32'h3fa6e516,32'h3fadb4f8, 32'h3fa1c92d,32'h3fb2d0e1, 32'h3f994553,32'h3fbb54bb,// invsqrt(0.5649) = 1.3305 +32'h3fc3c37b,32'h3f4add31,32'h3f5324ea, 32'h3f44a767,32'h3f595ab5, 32'h3f3a4dc0,32'h3f63b45c,// invsqrt(1.5294) = 0.8086 +32'h40055dd1,32'h3f2dcb0d,32'h3f34e303, 32'h3f287914,32'h3f3a34fc, 32'h3f1f9b21,32'h3f4312ef,// invsqrt(2.0839) = 0.6927 +32'h40b107ac,32'h3ed553f0,32'h3ede0900, 32'h3ececc25,32'h3ee490cb, 32'h3ec3e9d2,32'h3eef731e,// invsqrt(5.5322) = 0.4252 +32'h3f676468,32'h3f83f10b,32'h3f8953b3, 32'h3f7fce1b,32'h3f8d5db1, 32'h3f725778,32'h3f941902,// invsqrt(0.9039) = 1.0518 +32'h3eb06e2d,32'h3fd5b0a9,32'h3fde6981, 32'h3fcf2607,32'h3fe4f423, 32'h3fc43ef9,32'h3fefdb31,// invsqrt(0.3446) = 1.7035 +32'h3f11eebf,32'h3fa62465,32'h3facec69, 32'h3fa10e62,32'h3fb2026c, 32'h3f98945d,32'h3fba7c71,// invsqrt(0.5700) = 1.3245 +32'h3f957bc4,32'h3f682730,32'h3f71a0f4, 32'h3f610bde,32'h3f78bc46, 32'h3f5533aa,32'h3f824a3d,// invsqrt(1.1678) = 0.9254 +32'h4053c9b8,32'h3f09e9be,32'h3f0f8acc, 32'h3f05b0f5,32'h3f13c395, 32'h3efd4f49,32'h3f1acce6,// invsqrt(3.3092) = 0.5497 +32'h3e187c94,32'h40228850,32'h40292a9c, 32'h401d8e96,32'h402e2456, 32'h401543b7,32'h40366f35,// invsqrt(0.1489) = 2.5914 +32'h3f383851,32'h3f93df62,32'h3f99e880, 32'h3f8f588b,32'h3f9e6f57, 32'h3f87cd26,32'h3fa5fabc,// invsqrt(0.7196) = 1.1788 +32'h3fda4218,32'h3f402037,32'h3f47f7bb, 32'h3f3a3e93,32'h3f4dd95f, 32'h3f30712d,32'h3f57a6c5,// invsqrt(1.7051) = 0.7658 +32'h3f74d4fe,32'h3f8044e2,32'h3f85812a, 32'h3f78af58,32'h3f896e60, 32'h3f6b98a4,32'h3f8ff9ba,// invsqrt(0.9564) = 1.0226 +32'h3f8dc141,32'h3f6e65cd,32'h3f7820d1, 32'h3f67198b,32'h3f7f6d13, 32'h3f5aefc7,32'h3f85cb6b,// invsqrt(1.1075) = 0.9502 +32'h40e141a8,32'h3ebd1e28,32'h3ec4d63e, 32'h3eb75417,32'h3ecaa04f, 32'h3eadadfb,32'h3ed4466b,// invsqrt(7.0393) = 0.3769 +32'h40521400,32'h3f0a7921,32'h3f102009, 32'h3f063bf4,32'h3f145d36, 32'h3efe56a6,32'h3f1b6dd7,// invsqrt(3.2825) = 0.5519 +32'h3f5d1a22,32'h3f86fa19,32'h3f8c7c79, 32'h3f82d852,32'h3f909e40, 32'h3f77eab7,32'h3f978137,// invsqrt(0.8637) = 1.0760 +32'h3f50a126,32'h3f8af3fd,32'h3f909fe8, 32'h3f86b30d,32'h3f94e0d9, 32'h3f7f384f,32'h3f9bf7be,// invsqrt(0.8150) = 1.1077 +32'h40690e7e,32'h3f037837,32'h3f08d5f1, 32'h3efee3d9,32'h3f0cdc3b, 32'h3ef1798a,32'h3f139163,// invsqrt(3.6415) = 0.5240 +32'h3f69e7d2,32'h3f833b16,32'h3f889650, 32'h3f7e6d54,32'h3f8c9abc, 32'h3f710942,32'h3f934cc5,// invsqrt(0.9137) = 1.0462 +32'h403c6897,32'h3f12383b,32'h3f183013, 32'h3f0dbe58,32'h3f1ca9f6, 32'h3f06488a,32'h3f241fc4,// invsqrt(2.9439) = 0.5828 +32'h40e79dac,32'h3eba80e1,32'h3ec21da7, 32'h3eb4cb4d,32'h3ec7d33b, 32'h3eab4757,32'h3ed15731,// invsqrt(7.2380) = 0.3717 +32'h3f7f66f6,32'h3f7b2c67,32'h3f82b674, 32'h3f737c06,32'h3f868ea5, 32'h3f66ab64,32'h3f8cf6f6,// invsqrt(0.9977) = 1.0012 +32'h41035070,32'h3eaf255f,32'h3eb64b77, 32'h3ea9c8cc,32'h3ebba80a, 32'h3ea0d92d,32'h3ec497a9,// invsqrt(8.2071) = 0.3491 +32'h3f832ade,32'h3f77d515,32'h3f80f957, 32'h3f703ee3,32'h3f84c470, 32'h3f6399e4,32'h3f8b16ef,// invsqrt(1.0247) = 0.9879 +32'h3f29dec7,32'h3f99fde2,32'h3fa046f0, 32'h3f954717,32'h3fa4fdbb, 32'h3f8d6bc5,32'h3facd90d,// invsqrt(0.6636) = 1.2276 +32'h40530819,32'h3f0a28f4,32'h3f0fcc96, 32'h3f05ee3b,32'h3f14074f, 32'h3efdc363,32'h3f1b13d9,// invsqrt(3.2974) = 0.5507 +32'h3f344431,32'h3f957c41,32'h3f9b9639, 32'h3f90e8c7,32'h3fa029b3, 32'h3f894850,32'h3fa7ca2a,// invsqrt(0.7042) = 1.1917 +32'h3f383973,32'h3f93deed,32'h3f99e807, 32'h3f8f581a,32'h3f9e6eda, 32'h3f87ccbb,32'h3fa5fa39,// invsqrt(0.7196) = 1.1788 +32'h3f270f05,32'h3f9b483b,32'h3fa19ec5, 32'h3f968753,32'h3fa65fad, 32'h3f8e9b26,32'h3fae4bda,// invsqrt(0.6526) = 1.2379 +32'h3ff3e88f,32'h3f35be33,32'h3f3d293b, 32'h3f302dee,32'h3f42b980, 32'h3f26e825,32'h3f4bff49,// invsqrt(1.9055) = 0.7244 +32'h3ee2c1bc,32'h3fbc7dba,32'h3fc42f44, 32'h3fb6b892,32'h3fc9f46c, 32'h3fad1aa6,32'h3fd39259,// invsqrt(0.4429) = 1.5026 +32'h3ed9b5d8,32'h3fc05e0f,32'h3fc8381a, 32'h3fba7a86,32'h3fce1ba2, 32'h3fb0a9f8,32'h3fd7ec30,// invsqrt(0.4252) = 1.5335 +32'h3d463a88,32'h408e8d45,32'h40945ecb, 32'h408a3021,32'h4098bbef, 32'h4082ea3a,32'h40a001d6,// invsqrt(0.0484) = 4.5457 +32'h3fc3f4e2,32'h3f4ac39d,32'h3f530a4b, 32'h3f448e9b,32'h3f593f4d, 32'h3f3a3642,32'h3f6397a6,// invsqrt(1.5309) = 0.8082 +32'h3f3cf9ee,32'h3f91fff5,32'h3f97f582, 32'h3f8d87cc,32'h3f9c6dac, 32'h3f8614dc,32'h3fa3e09c,// invsqrt(0.7382) = 1.1639 +32'h416a532a,32'h3e831d03,32'h3e887703, 32'h3e7e3306,32'h3e8c7a83, 32'h3e70d205,32'h3e932b03,// invsqrt(14.6453) = 0.2613 +32'h3fe77cc1,32'h3f3a8e23,32'h3f422b73, 32'h3f34d827,32'h3f47e16f, 32'h3f2b5384,32'h3f516613,// invsqrt(1.8085) = 0.7436 +32'h3f9274f6,32'h3f6a8a22,32'h3f741cd4, 32'h3f635c1b,32'h3f7b4adb, 32'h3f5764bc,32'h3f83a11d,// invsqrt(1.1442) = 0.9349 +32'h3f4224bc,32'h3f900b37,32'h3f95ec53, 32'h3f8ba262,32'h3f9a5528, 32'h3f8448fe,32'h3fa1ae8c,// invsqrt(0.7584) = 1.1483 +32'h40652fd0,32'h3f04932c,32'h3f09fc71, 32'h3f008437,32'h3f0e0b65, 32'h3ef38140,32'h3f14cefc,// invsqrt(3.5810) = 0.5284 +32'h3f7be124,32'h3f7cec7c,32'h3f839fa4, 32'h3f752e64,32'h3f877eb0, 32'h3f6846e5,32'h3f8df270,// invsqrt(0.9839) = 1.0081 +32'h3eaee51b,32'h3fd6a044,32'h3fdf62e3, 32'h3fd00e4c,32'h3fe5f4da, 32'h3fc51b04,32'h3ff0e822,// invsqrt(0.3416) = 1.7110 +32'h3f07b028,32'h3fac4cc9,32'h3fb35525, 32'h3fa70684,32'h3fb89b6a, 32'h3f9e3c12,32'h3fc165dd,// invsqrt(0.5300) = 1.3736 +32'h3fe54055,32'h3f3b767b,32'h3f431d47, 32'h3f35b963,32'h3f48da5f, 32'h3f2c28e4,32'h3f526ade,// invsqrt(1.7910) = 0.7472 +32'h3f25211c,32'h3f9c2fc8,32'h3fa28fc6, 32'h3f9767ca,32'h3fa757c4, 32'h3f8f6fcd,32'h3faf4fc1,// invsqrt(0.6450) = 1.2451 +32'h3f695bfb,32'h3f836262,32'h3f88bf36, 32'h3f7eb984,32'h3f8cc4d6, 32'h3f71516f,32'h3f9378e0,// invsqrt(0.9116) = 1.0474 +32'h3eb58d01,32'h3fd2a7b4,32'h3fdb40d5, 32'h3fcc34da,32'h3fe1b3ae, 32'h3fc17570,32'h3fec7318,// invsqrt(0.3546) = 1.6793 +32'h3faba08f,32'h3f58a8dd,32'h3f6180bd, 32'h3f5206f6,32'h3f6822a4, 32'h3f46f91f,32'h3f73307b,// invsqrt(1.3408) = 0.8636 +32'h3fbcf18d,32'h3f4e7e2a,32'h3f56ebce, 32'h3f482bef,32'h3f5d3e09, 32'h3f3da2e3,32'h3f67c715,// invsqrt(1.4761) = 0.8231 +32'h3e7d802c,32'h3ffc1d1c,32'h400333b8, 32'h3ff4655c,32'h40070f98, 32'h3fe78872,32'h400d7e0d,// invsqrt(0.2476) = 2.0098 +32'h3fc0d77c,32'h3f4c6526,32'h3f54bcde, 32'h3f46235c,32'h3f5afea8, 32'h3f3bb5b5,32'h3f656c4f,// invsqrt(1.5066) = 0.8147 +32'h3e63f7f6,32'h4004edba,32'h400a5ab2, 32'h4000dc00,32'h400e6c6c, 32'h3ff42794,32'h401534a2,// invsqrt(0.2226) = 2.1194 +32'h3dc0ce67,32'h404c69f7,32'h4054c1e1, 32'h40462807,32'h405b03d1, 32'h403bba21,32'h406571b7,// invsqrt(0.0941) = 3.2592 +32'h3fc56e38,32'h3f4a017d,32'h3f52403e, 32'h3f43d26c,32'h3f586f4e, 32'h3f3983fa,32'h3f62bdc0,// invsqrt(1.5424) = 0.8052 +32'h3ec4fa08,32'h3fca3d08,32'h3fd27e37, 32'h3fc40c24,32'h3fd8af1a, 32'h3fb9baa9,32'h3fe30095,// invsqrt(0.3847) = 1.6122 +32'h41134f54,32'h3ea55d19,32'h3eac1cfb, 32'h3ea04d30,32'h3eb12ce4, 32'h3e97dd56,32'h3eb99cbe,// invsqrt(9.2069) = 0.3296 +32'h425446b1,32'h3e09c120,32'h3e0f6084, 32'h3e058994,32'h3e139810, 32'h3dfd04ad,32'h3e1a9f4d,// invsqrt(53.0690) = 0.1373 +32'h3eff8a1d,32'h3fb18f08,32'h3fb8ce57, 32'h3fac1f8e,32'h3fbe3dd2, 32'h3fa3106b,32'h3fc74cf5,// invsqrt(0.4991) = 1.4155 +32'h3fd6dcdb,32'h3f41a351,32'h3f498aa3, 32'h3f3bb5d4,32'h3f4f7820, 32'h3f31d4ae,32'h3f595946,// invsqrt(1.6786) = 0.7718 +32'h401d8a12,32'h3f1fe7a1,32'h3f266e79, 32'h3f1b0280,32'h3f2b539a, 32'h3f12d9f2,32'h3f337c28,// invsqrt(2.4616) = 0.6374 +32'h406211c8,32'h3f057c5e,32'h3f0aef28, 32'h3f016646,32'h3f0f0540, 32'h3ef52d92,32'h3f15d4bd,// invsqrt(3.5323) = 0.5321 +32'h3f22c2d9,32'h3f9d519e,32'h3fa3bd70, 32'h3f9880c0,32'h3fa88e4e, 32'h3f9079fa,32'h3fb09515,// invsqrt(0.6358) = 1.2541 +32'h40df9da0,32'h3ebdcf72,32'h3ec58ec6, 32'h3eb7fff4,32'h3ecb5e44, 32'h3eae50cc,32'h3ed50d6c,// invsqrt(6.9880) = 0.3783 +32'h4028d2bc,32'h3f1a77f1,32'h3f20c5fb, 32'h3f15bd6a,32'h3f258082, 32'h3f0ddbdd,32'h3f2d620f,// invsqrt(2.6379) = 0.6157 +32'h3f4ade5d,32'h3f8ce986,32'h3f92a9ea, 32'h3f88993c,32'h3f96fa34, 32'h3f8168bf,32'h3f9e2ab1,// invsqrt(0.7925) = 1.1233 +32'h3f0ffb5f,32'h3fa7438b,32'h3fae1747, 32'h3fa224bd,32'h3fb33615, 32'h3f999c12,32'h3fbbbec0,// invsqrt(0.5624) = 1.3334 +32'h3fa08c65,32'h3f6002a4,32'h3f692752, 32'h3f592722,32'h3f7002d4, 32'h3f4db948,32'h3f7b70ae,// invsqrt(1.2543) = 0.8929 +32'h3f303357,32'h3f973341,32'h3f9d5f25, 32'h3f929257,32'h3fa2000f, 32'h3f8adb7b,32'h3fa9b6eb,// invsqrt(0.6883) = 1.2054 +32'h3ee9051c,32'h3fb9f0d2,32'h3fc187b6, 32'h3fb43fa7,32'h3fc738e1, 32'h3faac30a,32'h3fd0b57e,// invsqrt(0.4551) = 1.4823 +32'h40c90bb9,32'h3ec82e6a,32'h3ed05a1b, 32'h3ec20da6,32'h3ed67ae0, 32'h3eb7d709,32'h3ee0b17d,// invsqrt(6.2827) = 0.3990 +32'h3fc76789,32'h3f4900e5,32'h3f51352d, 32'h3f42d9af,32'h3f575c63, 32'h3f389855,32'h3f619dbd,// invsqrt(1.5578) = 0.8012 +32'h3e4ff109,32'h400b2ec8,32'h4010dd1a, 32'h4006ec0b,32'h40151fd7, 32'h3fffa44b,32'h401c39bc,// invsqrt(0.2031) = 2.2191 +32'h3f30e4e5,32'h3f96e74c,32'h3f9d1016, 32'h3f9248b5,32'h3fa1aead, 32'h3f8a95b9,32'h3fa961a9,// invsqrt(0.6910) = 1.2030 +32'h3fa634d8,32'h3f5c2a17,32'h3f652695, 32'h3f556cb8,32'h3f6be3f4, 32'h3f4a311a,32'h3f771f92,// invsqrt(1.2985) = 0.8776 +32'h3e6600c5,32'h400456e5,32'h4009bdb5, 32'h400049c9,32'h400dcad1, 32'h3ff3128b,32'h40148b55,// invsqrt(0.2246) = 2.1100 +32'h3efe08ea,32'h3fb21574,32'h3fb95a3f, 32'h3faca1db,32'h3fbecdd7, 32'h3fa38bdd,32'h3fc7e3d5,// invsqrt(0.4962) = 1.4197 +32'h3f85a9bd,32'h3f758202,32'h3f7f8750, 32'h3f6dfe07,32'h3f8385a6, 32'h3f617765,32'h3f89c8f7,// invsqrt(1.0442) = 0.9786 +32'h3f4af99b,32'h3f8ce011,32'h3f92a011, 32'h3f889010,32'h3f96f012, 32'h3f81600f,32'h3f9e2013,// invsqrt(0.7929) = 1.1230 +32'h3e027093,32'h402fbb6a,32'h4036e7a2, 32'h402a5a3f,32'h403c48cd, 32'h402162f9,32'h40454013,// invsqrt(0.1274) = 2.8019 +32'h3f5856e1,32'h3f887462,32'h3f8e0632, 32'h3f844706,32'h3f92338e, 32'h3f7aa185,32'h3f9929d1,// invsqrt(0.8451) = 1.0878 +32'h4020b3f4,32'h3f1e52b3,32'h3f24c903, 32'h3f1979f6,32'h3f29a1c0, 32'h3f116612,32'h3f31b5a4,// invsqrt(2.5110) = 0.6311 +32'h3f890a86,32'h3f72768c,32'h3f7c5c0a, 32'h3f6b0a6e,32'h3f81e414, 32'h3f5eab90,32'h3f881383,// invsqrt(1.0706) = 0.9665 +32'h3f8eaea4,32'h3f6d9f29,32'h3f775211, 32'h3f6658fc,32'h3f7e983e, 32'h3f5a395a,32'h3f855bf0,// invsqrt(1.1147) = 0.9472 +32'h3f3455ce,32'h3f9574f4,32'h3f9b8ea0, 32'h3f90e1b3,32'h3fa021e1, 32'h3f89419c,32'h3fa7c1f8,// invsqrt(0.7044) = 1.1915 +32'h3fa1faef,32'h3f5f04a0,32'h3f681ef0, 32'h3f5830e4,32'h3f6ef2ac, 32'h3f4cd001,32'h3f7a538f,// invsqrt(1.2655) = 0.8889 +32'h3fe1c1e7,32'h3f3ce869,32'h3f449e4d, 32'h3f371ffd,32'h3f4a66b9, 32'h3f2d7c9f,32'h3f540a17,// invsqrt(1.7637) = 0.7530 +32'h3ebe57c7,32'h3fcdbb7f,32'h3fd62131, 32'h3fc76f3a,32'h3fdc6d76, 32'h3fbcf01c,32'h3fe6ec94,// invsqrt(0.3718) = 1.6401 +32'h4074d97a,32'h3f0043b5,32'h3f057ff1, 32'h3ef8ad11,32'h3f096d1e, 32'h3eeb967c,32'h3f0ff868,// invsqrt(3.8258) = 0.5113 +32'h3f6463c0,32'h3f84ce58,32'h3f8a3a09, 32'h3f80bd95,32'h3f8e4acd, 32'h3f73edf2,32'h3f951169,// invsqrt(0.8921) = 1.0587 +32'h3f01822c,32'h3fb05cdf,32'h3fb78fae, 32'h3faaf6c2,32'h3fbcf5ca, 32'h3fa1f73f,32'h3fc5f54d,// invsqrt(0.5059) = 1.4060 +32'h3ee60855,32'h3fbb24eb,32'h3fc2c862, 32'h3fb56a51,32'h3fc882fb, 32'h3fabddfc,32'h3fd20f50,// invsqrt(0.4493) = 1.4919 +32'h40930740,32'h3eea1557,32'h3ef3a345, 32'h3ee2eae4,32'h3efacdb8, 32'h3ed6f979,32'h3f035f91,// invsqrt(4.5946) = 0.4665 +32'h3edcc22c,32'h3fbf08e4,32'h3fc6d502, 32'h3fb92fcd,32'h3fccae19, 32'h3faf70a8,32'h3fd66d3f,// invsqrt(0.4312) = 1.5229 +32'h3e7e3c2a,32'h3ffbbfd5,32'h4003032e, 32'h3ff40af0,32'h4006dda0, 32'h3fe732c9,32'h400d49b4,// invsqrt(0.2483) = 2.0069 +32'h40480987,32'h3f0de7ed,32'h3f13b2b3, 32'h3f098fd9,32'h3f180ac7, 32'h3f025262,32'h3f1f483e,// invsqrt(3.1256) = 0.5656 +32'h3f7d098d,32'h3f7c582d,32'h3f835275, 32'h3f749e9f,32'h3f872f3d, 32'h3f67beb1,32'h3f8d9f33,// invsqrt(0.9884) = 1.0058 +32'h40b7ea17,32'h3ed14c0d,32'h3ed9d6fd, 32'h3ecae3d8,32'h3ee03f32, 32'h3ec0362b,32'h3eeaecdf,// invsqrt(5.7473) = 0.4171 +32'h3f290cea,32'h3f9a5d5a,32'h3fa0aa4e, 32'h3f95a3a3,32'h3fa56405, 32'h3f8dc372,32'h3fad4436,// invsqrt(0.6604) = 1.2306 +32'h3e2a92ba,32'h4019ac92,32'h401ff24f, 32'h4014f845,32'h4024a69d, 32'h400d2119,32'h402c7dc9,// invsqrt(0.1666) = 2.4502 +32'h3d3dc0dc,32'h4091b35a,32'h4097a5c6, 32'h408d3d89,32'h409c1b97, 32'h4085ce82,32'h40a38a9e,// invsqrt(0.0463) = 4.6461 +32'h3f16a23b,32'h3fa38770,32'h3faa3427, 32'h3f9e85e8,32'h3faf35b0, 32'h3f962e04,32'h3fb78d94,// invsqrt(0.5884) = 1.3036 +32'h3f9e6231,32'h3f61893b,32'h3f6abdda, 32'h3f5aa1c3,32'h3f71a551, 32'h3f4f1ffc,32'h3f7d2718,// invsqrt(1.2374) = 0.8990 +32'h3f753cbd,32'h3f8029bd,32'h3f8564e9, 32'h3f787ab7,32'h3f89514a, 32'h3f6b66c9,32'h3f8fdb42,// invsqrt(0.9580) = 1.0217 +32'h3fdc0d50,32'h3f3f5755,32'h3f4726a7, 32'h3f397bd8,32'h3f4d0224, 32'h3f2fb8b1,32'h3f56c54b,// invsqrt(1.7192) = 0.7627 +32'h3fa7255c,32'h3f5b8b77,32'h3f64817b, 32'h3f54d2f3,32'h3f6b39ff, 32'h3f499f6d,32'h3f766d85,// invsqrt(1.3058) = 0.8751 +32'h400a20d5,32'h3f2ac571,32'h3f31bdd3, 32'h3f258b27,32'h3f36f81d, 32'h3f1cd4ab,32'h3f3fae99,// invsqrt(2.1583) = 0.6807 +32'h3fd97a94,32'h3f407843,32'h3f485360, 32'h3f3a93ee,32'h3f4e37b6, 32'h3f30c20a,32'h3f58099a,// invsqrt(1.6991) = 0.7672 +32'h3f817552,32'h3f797686,32'h3f81d294, 32'h3f71d38c,32'h3f85a411, 32'h3f651942,32'h3f8c0136,// invsqrt(1.0114) = 0.9944 +32'h3f9fdb14,32'h3f607ebf,32'h3f69a87d, 32'h3f599f70,32'h3f7087cc, 32'h3f4e2b41,32'h3f7bfbfb,// invsqrt(1.2489) = 0.8948 +32'h4090d2dc,32'h3eebdbbd,32'h3ef57c37, 32'h3ee4a361,32'h3efcb493, 32'h3ed89ac7,32'h3f045e96,// invsqrt(4.5257) = 0.4701 +32'h3fd228e9,32'h3f43cae6,32'h3f4bc8bb, 32'h3f3dcc86,32'h3f51c71a, 32'h3f33cf3b,32'h3f5bc465,// invsqrt(1.6419) = 0.7804 +32'h3fbbc0e6,32'h3f4f256e,32'h3f5799e6, 32'h3f48ce15,32'h3f5df13f, 32'h3f3e3c7f,32'h3f6882d5,// invsqrt(1.4668) = 0.8257 +32'h40a9f2e2,32'h3ed9ba14,32'h3ee29d1a, 32'h3ed30fcf,32'h3ee9475f, 32'h3ec7f408,32'h3ef46326,// invsqrt(5.3109) = 0.4339 +32'h3ecfaf7b,32'h3fc4f497,32'h3fccfe93, 32'h3fbeed1a,32'h3fd30610, 32'h3fb4e0a0,32'h3fdd128a,// invsqrt(0.4056) = 1.5701 +32'h4011b890,32'h3f264345,32'h3f2d0c8c, 32'h3f212c51,32'h3f322381, 32'h3f18b0b8,32'h3f3a9f1a,// invsqrt(2.2769) = 0.6627 +32'h3e369c18,32'h401485eb,32'h401a95d5, 32'h400ff9fb,32'h401f21c5, 32'h40086616,32'h4026b5aa,// invsqrt(0.1783) = 2.3680 +32'h3f12bf45,32'h3fa5ae30,32'h3fac7162, 32'h3fa09bcc,32'h3fb183c6, 32'h3f9827ce,32'h3fb9f7c4,// invsqrt(0.5732) = 1.3208 +32'h42049b86,32'h3e2e4a30,32'h3e356756, 32'h3e28f453,32'h3e3abd33, 32'h3e200fe3,32'h3e43a1a3,// invsqrt(33.1519) = 0.1737 +32'h3fbc6d8b,32'h3f4ec673,32'h3f573709, 32'h3f487201,32'h3f5d8b7b, 32'h3f3de545,32'h3f681837,// invsqrt(1.4721) = 0.8242 +32'h3f0b5a19,32'h3faa0512,32'h3fb0f59a, 32'h3fa4d0ab,32'h3fb62a01, 32'h3f9c2401,32'h3fbed6ab,// invsqrt(0.5443) = 1.3554 +32'h3f43348d,32'h3f8fa6cb,32'h3f9583ce, 32'h3f8b4108,32'h3f99e990, 32'h3f83ecc4,32'h3fa13dd4,// invsqrt(0.7625) = 1.1452 +32'h3efdf381,32'h3fb21cf5,32'h3fb9620f, 32'h3faca922,32'h3fbed5e2, 32'h3fa392c2,32'h3fc7ec42,// invsqrt(0.4960) = 1.4199 +32'h401943b1,32'h3f221e9a,32'h3f28bc96, 32'h3f1d281d,32'h3f2db313, 32'h3f14e2a2,32'h3f35f88e,// invsqrt(2.3948) = 0.6462 +32'h403e70f2,32'h3f116fee,32'h3f175f9a, 32'h3f0cfc2d,32'h3f1bd35b, 32'h3f059097,32'h3f233ef1,// invsqrt(2.9756) = 0.5797 +32'h3faf48e1,32'h3f566326,32'h3f5f2346, 32'h3f4fd30d,32'h3f65b35f, 32'h3f44e2e4,32'h3f70a388,// invsqrt(1.3694) = 0.8545 +32'h3f3fd3ce,32'h3f90e92a,32'h3f96d356, 32'h3f8c798a,32'h3f9b42f6, 32'h3f8514d3,32'h3fa2a7ad,// invsqrt(0.7493) = 1.1552 +32'h3f12e92a,32'h3fa5968f,32'h3fac58c9, 32'h3fa084e3,32'h3fb16a75, 32'h3f98121b,32'h3fb9dd3d,// invsqrt(0.5739) = 1.3201 +32'h3c86ab27,32'h40f496ed,32'h40fe92a3, 32'h40ed1a24,32'h410307b6, 32'h40e09f81,32'h41094508,// invsqrt(0.0164) = 7.7994 +32'h3f6f1fee,32'h3f81ca6f,32'h3f87169e, 32'h3f7ba29a,32'h3f8b0fc1, 32'h3f6e6426,32'h3f91aefb,// invsqrt(0.9341) = 1.0347 +32'h3f8fcace,32'h3f6cb3e9,32'h3f765d37, 32'h3f6574ef,32'h3f7d9c31, 32'h3f59614e,32'h3f84d7e9,// invsqrt(1.1234) = 0.9435 +32'h40b54ccb,32'h3ed2ccfe,32'h3edb67a6, 32'h3ecc5901,32'h3ee1dba3, 32'h3ec197b0,32'h3eec9cf4,// invsqrt(5.6656) = 0.4201 +32'h3fa29441,32'h3f5e9b5f,32'h3f67b163, 32'h3f57cadc,32'h3f6e81e6, 32'h3f4c6f57,32'h3f79dd6b,// invsqrt(1.2701) = 0.8873 +32'h3f89b448,32'h3f71e0ea,32'h3f7bc04c, 32'h3f6a7960,32'h3f8193eb, 32'h3f5e2225,32'h3f87bf88,// invsqrt(1.0758) = 0.9641 +32'h3fc3a19a,32'h3f4aeec1,32'h3f533732, 32'h3f44b86e,32'h3f596d86, 32'h3f3a5de1,32'h3f63c813,// invsqrt(1.5284) = 0.8089 +32'h3f581efb,32'h3f888606,32'h3f8e188e, 32'h3f845820,32'h3f924674, 32'h3f7ac1ec,32'h3f993d9e,// invsqrt(0.8442) = 1.0884 +32'h425c1bc2,32'h3e074802,32'h3e0ccd8f, 32'h3e0323d8,32'h3e10f1b8, 32'h3df879ce,32'h3e17d8a9,// invsqrt(55.0271) = 0.1348 +32'h3fd224c1,32'h3f43ccd5,32'h3f4bcabf, 32'h3f3dce66,32'h3f51c92e, 32'h3f33d103,32'h3f5bc691,// invsqrt(1.6417) = 0.7805 +32'h3f380309,32'h3f93f4c9,32'h3f99fec6, 32'h3f8f6d4a,32'h3f9e8644, 32'h3f87e0cd,32'h3fa612c1,// invsqrt(0.7188) = 1.1795 +32'h3edb63e8,32'h3fbfa127,32'h3fc7737c, 32'h3fb9c367,32'h3fcd513b, 32'h3faffc7c,32'h3fd71826,// invsqrt(0.4285) = 1.5277 +32'h404b02ef,32'h3f0cdcd4,32'h3f129cb3, 32'h3f088ced,32'h3f16ec9b, 32'h3f015d17,32'h3f1e1c71,// invsqrt(3.1721) = 0.5615 +32'h3b4eb485,32'h418b992f,32'h41914bd9, 32'h41875331,32'h419591d7, 32'h418033dd,32'h419cb12b,// invsqrt(0.0032) = 17.8059 +32'h409c0abe,32'h3ee338d3,32'h3eec7f10, 32'h3edc4426,32'h3ef373be, 32'h3ed0ac5a,32'h3eff0b8a,// invsqrt(4.8763) = 0.4528 +32'h3f06123a,32'h3fad55f7,32'h3fb46926, 32'h3fa80795,32'h3fb9b789, 32'h3f9f2f9a,32'h3fc28f84,// invsqrt(0.5237) = 1.3818 +32'h411a76d9,32'h3ea17d19,32'h3ea8147d, 32'h3e9c8b8e,32'h3ead0608, 32'h3e944e50,32'h3eb54346,// invsqrt(9.6540) = 0.3218 +32'h3fc37289,32'h3f4b072f,32'h3f53509f, 32'h3f44d01b,32'h3f5987b3, 32'h3f3a7450,32'h3f63e37e,// invsqrt(1.5269) = 0.8093 +32'h3e77305d,32'h3fff4feb,32'h4004ddd5, 32'h3ff77f1a,32'h4008c63d, 32'h3fea7869,32'h400f4996,// invsqrt(0.2414) = 2.0353 +32'h40355095,32'h3f150d74,32'h3f1b22e7, 32'h3f107d5f,32'h3f1fb2fd, 32'h3f08e290,32'h3f274dcc,// invsqrt(2.8330) = 0.5941 +32'h3eb39d10,32'h3fd3c9bf,32'h3fdc6eb7, 32'h3fcd4e05,32'h3fe2ea71, 32'h3fc27fcf,32'h3fedb8a7,// invsqrt(0.3508) = 1.6884 +32'h40180a90,32'h3f22c536,32'h3f2969fe, 32'h3f1dc99f,32'h3f2e6595, 32'h3f157ba4,32'h3f36b390,// invsqrt(2.3756) = 0.6488 +32'h3f6795b2,32'h3f83e300,32'h3f894514, 32'h3f7fb2e0,32'h3f8d4ea4, 32'h3f723dac,32'h3f94093e,// invsqrt(0.9046) = 1.0514 +32'h3f9e7531,32'h3f617bb5,32'h3f6aafc7, 32'h3f5a94a8,32'h3f7196d4, 32'h3f4f1391,32'h3f7d17eb,// invsqrt(1.2380) = 0.8988 +32'h404979e4,32'h3f0d65f8,32'h3f132b70, 32'h3f0911de,32'h3f177f8a, 32'h3f01db08,32'h3f1eb660,// invsqrt(3.1481) = 0.5636 +32'h3f1b71ff,32'h3fa0fa6f,32'h3fa78c7e, 32'h3f9c0ce4,32'h3fac7a0a, 32'h3f93d652,32'h3fb4b09d,// invsqrt(0.6072) = 1.2833 +32'h3eed1fbe,32'h3fb85318,32'h3fbfd91a, 32'h3fb2ae98,32'h3fc57d9a, 32'h3fa94716,32'h3fcee51c,// invsqrt(0.4631) = 1.4694 +32'h3eb2b78c,32'h3fd45192,32'h3fdcfc16, 32'h3fcdd1b0,32'h3fe37bf8, 32'h3fc2fc8c,32'h3fee511c,// invsqrt(0.3491) = 1.6926 +32'h3f0227e7,32'h3fafec72,32'h3fb71aab, 32'h3faa89c8,32'h3fbc7d56, 32'h3fa19001,32'h3fc5771d,// invsqrt(0.5084) = 1.4025 +32'h3d3ed321,32'h40914a7f,32'h409738a3, 32'h408cd7e3,32'h409bab3f, 32'h40856e36,32'h40a314ec,// invsqrt(0.0466) = 4.6330 +32'h3f32293a,32'h3f965db2,32'h3f9c80de, 32'h3f91c351,32'h3fa11b3f, 32'h3f8a175a,32'h3fa8c736,// invsqrt(0.6959) = 1.1987 +32'h3ee5a974,32'h3fbb4b8f,32'h3fc2f09a, 32'h3fb58fc6,32'h3fc8ac62, 32'h3fac0178,32'h3fd23ab0,// invsqrt(0.4486) = 1.4931 +32'h3e28a799,32'h401a8bb1,32'h4020da89, 32'h4015d08f,32'h402595ab, 32'h400dee00,32'h402d783a,// invsqrt(0.1647) = 2.4641 +32'h3f7ecf61,32'h3f7b7711,32'h3f82dd4f, 32'h3f73c467,32'h3f86b6a5, 32'h3f66eff6,32'h3f8d20dd,// invsqrt(0.9954) = 1.0023 +32'h3f2b55e3,32'h3f9954f4,32'h3f9f971d, 32'h3f94a354,32'h3fa448bc, 32'h3f8cd0a1,32'h3fac1b6f,// invsqrt(0.6693) = 1.2224 +32'h3e4e6a9b,32'h400bb22c,32'h401165da, 32'h40076b69,32'h4015ac9d, 32'h40004ad0,32'h401ccd36,// invsqrt(0.2016) = 2.2273 +32'h40724ec7,32'h3f00ef7b,32'h3f0632b9, 32'h3ef9fa18,32'h3f0a2528, 32'h3eecd1fc,32'h3f10b936,// invsqrt(3.7861) = 0.5139 +32'h3eaef9fa,32'h3fd69376,32'h3fdf5590, 32'h3fd001e3,32'h3fe5e723, 32'h3fc50f43,32'h3ff0d9c3,// invsqrt(0.3418) = 1.7106 +32'h4100d727,32'h3eb0d1c5,32'h3eb8095a, 32'h3eab6815,32'h3ebd7309, 32'h3ea2629a,32'h3ec67884,// invsqrt(8.0525) = 0.3524 +32'h3e85d3ae,32'h3ff55b86,32'h3fff5f42, 32'h3fedd8b9,32'h40037108, 32'h3fe1540d,32'h4009b35d,// invsqrt(0.2614) = 1.9560 +32'h3f129336,32'h3fa5c715,32'h3fac8b4a, 32'h3fa0b3ed,32'h3fb19e71, 32'h3f983eaa,32'h3fba13b4,// invsqrt(0.5726) = 1.3216 +32'h3ea07f50,32'h3fe00bc5,32'h3fe930d2, 32'h3fd92ffa,32'h3ff00c9c, 32'h3fcdc1aa,32'h3ffb7aec,// invsqrt(0.3135) = 1.7861 +32'h409a159c,32'h3ee4a929,32'h3eedfe6e, 32'h3edda934,32'h3ef4fe62, 32'h3ed1fe9d,32'h3f00547c,// invsqrt(4.8151) = 0.4557 +32'h417ef696,32'h3e7b63bb,32'h3e82d33f, 32'h3e73b1a7,32'h3e86ac48, 32'h3e66de33,32'h3e8d1603,// invsqrt(15.9352) = 0.2505 +32'h3f9f761e,32'h3f60c5c5,32'h3f69f269, 32'h3f59e449,32'h3f70d3e5, 32'h3f4e6c7b,32'h3f7c4bb3,// invsqrt(1.2458) = 0.8959 +32'h401497dc,32'h3f24a5e6,32'h3f2b5e4e, 32'h3f1f9b99,32'h3f30689b, 32'h3f173517,32'h3f38cf1d,// invsqrt(2.3218) = 0.6563 +32'h3f744d28,32'h3f806886,32'h3f85a642, 32'h3f78f471,32'h3f89948f, 32'h3f6bda1a,32'h3f9021bb,// invsqrt(0.9543) = 1.0237 +32'h3d9e6efe,32'h4061801e,32'h406ab45e, 32'h405a98ee,32'h40719b8e, 32'h404f179e,32'h407d1cde,// invsqrt(0.0774) = 3.5954 +32'h3f60b5ab,32'h3f85e39b,32'h3f8b5a9d, 32'h3f81ca5b,32'h3f8f73dd, 32'h3f75eb32,32'h3f96489f,// invsqrt(0.8778) = 1.0674 +32'h3e692f95,32'h40036ee3,32'h4008cc3b, 32'h3ffed1c3,32'h400cd23d, 32'h3ff16868,32'h401386ea,// invsqrt(0.2277) = 2.0956 +32'h41856e9e,32'h3e75b85f,32'h3e7fbfe6, 32'h3e6e32bb,32'h3e83a2c6, 32'h3e61a953,32'h3e89e77a,// invsqrt(16.6790) = 0.2449 +32'h3fd17d93,32'h3f441ae6,32'h3f4c1c00, 32'h3f3e1a14,32'h3f521cd2, 32'h3f3418b4,32'h3f5c1e32,// invsqrt(1.6366) = 0.7817 +32'h3c79496d,32'h40fe3c50,32'h41044e68, 32'h40f673ef,32'h41083298, 32'h40e97b4e,32'h410eaee9,// invsqrt(0.0152) = 8.1070 +32'h3e3a2ff6,32'h401316d9,32'h401917c8, 32'h400e9627,32'h401d987b, 32'h400714fc,32'h402519a6,// invsqrt(0.1818) = 2.3452 +32'h3e536568,32'h400a0a73,32'h400facd5, 32'h4005d0a9,32'h4013e69f, 32'h3ffd8b5a,32'h401af19b,// invsqrt(0.2064) = 2.2009 +32'h400576cd,32'h3f2dbac8,32'h3f34d214, 32'h3f28694f,32'h3f3a238d, 32'h3f1f8c30,32'h3f4300ac,// invsqrt(2.0854) = 0.6925 +32'h3f2cb32d,32'h3f98b996,32'h3f9ef568, 32'h3f940cb9,32'h3fa3a245, 32'h3f8c41f2,32'h3fab6d0c,// invsqrt(0.6746) = 1.2175 +32'h3f89f064,32'h3f71ac31,32'h3f7b896c, 32'h3f6a4644,32'h3f8177ac, 32'h3f5df1b9,32'h3f87a1f1,// invsqrt(1.0776) = 0.9633 +32'h3e2bc18d,32'h401924dd,32'h401f6510, 32'h401474b7,32'h40241537, 32'h400ca478,32'h402be576,// invsqrt(0.1677) = 2.4417 +32'h4020bce6,32'h3f1e4e4b,32'h3f24c46d, 32'h3f1975b1,32'h3f299d07, 32'h3f116206,32'h3f31b0b2,// invsqrt(2.5115) = 0.6310 +32'h3d888703,32'h4072eb37,32'h407cd577, 32'h406b7b86,32'h40822294, 32'h405f16b5,32'h408854fd,// invsqrt(0.0667) = 3.8731 +32'h40d22983,32'h3ec3ca9e,32'h3ecbc870, 32'h3ebdcc40,32'h3ed1c6ce, 32'h3eb3cefa,32'h3edbc415,// invsqrt(6.5676) = 0.3902 +32'h3f87f17e,32'h3f7370a9,32'h3f7d605c, 32'h3f6bfce2,32'h3f826a11, 32'h3f5f9142,32'h3f889fe1,// invsqrt(1.0621) = 0.9703 +32'h3f7c12b5,32'h3f7cd39d,32'h3f8392b3, 32'h3f751648,32'h3f87715e, 32'h3f68300f,32'h3f8de47b,// invsqrt(0.9847) = 1.0078 +32'h3f254b82,32'h3f9c1bbf,32'h3fa27aeb, 32'h3f97545e,32'h3fa7424c, 32'h3f8f5d66,32'h3faf3944,// invsqrt(0.6457) = 1.2445 +32'h3fa55481,32'h3f5cbf44,32'h3f65c1da, 32'h3f55fd55,32'h3f6c83c9, 32'h3f4aba1a,32'h3f77c704,// invsqrt(1.2916) = 0.8799 +32'h41c0e055,32'h3e4c6076,32'h3e54b7fe, 32'h3e461ed1,32'h3e5af9a3, 32'h3e3bb167,32'h3e65670d,// invsqrt(24.1095) = 0.2037 +32'h3f4da907,32'h3f8bf3db,32'h3f91aa37, 32'h3f87ab15,32'h3f95f2fd, 32'h3f808722,32'h3f9d16f0,// invsqrt(0.8034) = 1.1157 +32'h4000143a,32'h3f31581f,32'h3f38952f, 32'h3f2bea52,32'h3f3e02fc, 32'h3f22ddfd,32'h3f470f51,// invsqrt(2.0012) = 0.7069 +32'h3d737e45,32'h40809f07,32'h4085defd, 32'h40795e1d,32'h4089cef5, 32'h406c3e37,32'h40905ee9,// invsqrt(0.0594) = 4.1014 +32'h3eec9951,32'h3fb8876e,32'h3fc00f92, 32'h3fb2e153,32'h3fc5b5ad, 32'h3fa97726,32'h3fcf1fda,// invsqrt(0.4621) = 1.4711 +32'h4033eaa7,32'h3f15a16f,32'h3f1bbceb, 32'h3f110cd1,32'h3f205189, 32'h3f096a75,32'h3f27f3e5,// invsqrt(2.8112) = 0.5964 +32'h3ef0be13,32'h3fb6ef21,32'h3fbe669b, 32'h3fb15586,32'h3fc40036, 32'h3fa8002e,32'h3fcd558e,// invsqrt(0.4702) = 1.4583 +32'h3fcaeaad,32'h3f47419f,32'h3f4f63a5, 32'h3f41281a,32'h3f557d2a, 32'h3f36fd92,32'h3f5fa7b2,// invsqrt(1.5853) = 0.7942 +32'h3f966f2d,32'h3f676b13,32'h3f70dd29, 32'h3f605583,32'h3f77f2b9, 32'h3f5486e8,32'h3f81e0aa,// invsqrt(1.1753) = 0.9224 +32'h3f34ea30,32'h3f95379d,32'h3f9b4ec7, 32'h3f90a63c,32'h3f9fe028, 32'h3f890947,32'h3fa77d1d,// invsqrt(0.7067) = 1.1896 +32'h40f8ff53,32'h3eb3e04d,32'h3ebb37d3, 32'h3eae5ea9,32'h3ec0b977, 32'h3ea53142,32'h3ec9e6de,// invsqrt(7.7812) = 0.3585 +32'h3e4ad6bd,32'h400cec2c,32'h4012acac, 32'h40089bcd,32'h4016fd0b, 32'h40016b2e,32'h401e2daa,// invsqrt(0.1981) = 2.2469 +32'h3eea7c84,32'h3fb95bbe,32'h3fc0ec8c, 32'h3fb3af23,32'h3fc69927, 32'h3faa3a21,32'h3fd00e29,// invsqrt(0.4580) = 1.4777 +32'h3f1fe787,32'h3f9eb7c6,32'h3fa53236, 32'h3f99dbf1,32'h3faa0e0b, 32'h3f91c2e5,32'h3fb22717,// invsqrt(0.6246) = 1.2653 +32'h3f3edb35,32'h3f91476c,32'h3f973570, 32'h3f8cd4e8,32'h3f9ba7f4, 32'h3f856b63,32'h3fa31179,// invsqrt(0.7455) = 1.1582 +32'h3fec01e5,32'h3f38c297,32'h3f404d25, 32'h3f331aac,32'h3f45f510, 32'h3f29ad7b,32'h3f4f6241,// invsqrt(1.8438) = 0.7364 +32'h3fb56138,32'h3f52c11f,32'h3f5b5b4b, 32'h3f4c4d7f,32'h3f61ceeb, 32'h3f418cc9,32'h3f6c8fa1,// invsqrt(1.4170) = 0.8401 +32'h3ffbb518,32'h3f32e7bd,32'h3f3a351d, 32'h3f2d6db4,32'h3f3faf26, 32'h3f244cfc,32'h3f48cfde,// invsqrt(1.9665) = 0.7131 +32'h40fed031,32'h3eb1cfc3,32'h3eb911b7, 32'h3eac5e4d,32'h3ebe832d, 32'h3ea34bdd,32'h3ec7959d,// invsqrt(7.9629) = 0.3544 +32'h3d052e02,32'h40adea3c,32'h40b50378, 32'h40a8974f,32'h40ba5665, 32'h409fb7c4,32'h40c335f0,// invsqrt(0.0325) = 5.5458 +32'h407dbc41,32'h3efbff41,32'h3f03242f, 32'h3ef4486c,32'h3f06ff9a, 32'h3ee76d08,32'h3f0d6d4c,// invsqrt(3.9646) = 0.5022 +32'h40847681,32'h3ef69e14,32'h3f00577e, 32'h3eef1168,32'h3f041dd4, 32'h3ee27c47,32'h3f0a6864,// invsqrt(4.1395) = 0.4915 +32'h3fa0d721,32'h3f5fce93,32'h3f68f121, 32'h3f58f4a9,32'h3f6fcb0b, 32'h3f4d8977,32'h3f7b363d,// invsqrt(1.2566) = 0.8921 +32'h3f3b25bf,32'h3f92b623,32'h3f98b31f, 32'h3f8e3866,32'h3f9d30dc, 32'h3f86bc2a,32'h3fa4ad18,// invsqrt(0.7310) = 1.1696 +32'h3f1dccae,32'h3f9fc5de,32'h3fa64b55, 32'h3f9ae1c6,32'h3fab2f6e, 32'h3f92baf1,32'h3fb35643,// invsqrt(0.6164) = 1.2737 +32'h3f1344ed,32'h3fa562f0,32'h3fac230f, 32'h3fa052da,32'h3fb13326, 32'h3f97e2b3,32'h3fb9a34d,// invsqrt(0.5753) = 1.3185 +32'h3f7ff2e9,32'h3f7ae7b2,32'h3f8292b3, 32'h3f73396b,32'h3f8669d6, 32'h3f666c4b,32'h3f8cd067,// invsqrt(0.9998) = 1.0001 +32'h3f102709,32'h3fa72a34,32'h3fadfce8, 32'h3fa20c2d,32'h3fb31aef, 32'h3f9984cd,32'h3fbba24f,// invsqrt(0.5631) = 1.3326 +32'h3f7c3a4d,32'h3f7cbfc4,32'h3f83885e, 32'h3f75030a,32'h3f8766bb, 32'h3f681dd3,32'h3f8dd956,// invsqrt(0.9853) = 1.0075 +32'h40ce301a,32'h3ec5ab5e,32'h3ecdbccf, 32'h3ebf9e48,32'h3ed3c9e4, 32'h3eb5887a,32'h3edddfb2,// invsqrt(6.4434) = 0.3940 +32'h3f39b982,32'h3f9345ba,32'h3f994892, 32'h3f8ec397,32'h3f9dcab5, 32'h3f874009,32'h3fa54e43,// invsqrt(0.7255) = 1.1740 +32'h3eec5069,32'h3fb8a3e3,32'h3fc02d30, 32'h3fb2fce9,32'h3fc5d429, 32'h3fa99148,32'h3fcf3fca,// invsqrt(0.4616) = 1.4719 +32'h3f18d9e5,32'h3fa256ab,32'h3fa8f6f1, 32'h3f9d5e77,32'h3fadef25, 32'h3f951620,32'h3fb6377c,// invsqrt(0.5971) = 1.2942 +32'h3fbd53bb,32'h3f4e4899,32'h3f56b40d, 32'h3f47f802,32'h3f5d04a4, 32'h3f3d71b1,32'h3f678af5,// invsqrt(1.4791) = 0.8222 +32'h3fe547f9,32'h3f3b735b,32'h3f431a06, 32'h3f35b65b,32'h3f48d707, 32'h3f2c2606,32'h3f52675c,// invsqrt(1.7913) = 0.7472 +32'h3e80dfbf,32'h3ffa0720,32'h40021dd5, 32'h3ff25fba,32'h4005f188, 32'h3fe59e0e,32'h400c525e,// invsqrt(0.2517) = 1.9932 +32'h40b31923,32'h3ed417b2,32'h3edcbfd8, 32'h3ecd9995,32'h3ee33df5, 32'h3ec2c764,32'h3eee1026,// invsqrt(5.5968) = 0.4227 +32'h3fc84217,32'h3f489318,32'h3f50c2e5, 32'h3f426f3f,32'h3f56e6bf, 32'h3f38337f,32'h3f61227f,// invsqrt(1.5645) = 0.7995 +32'h3f26482e,32'h3f9ba4f7,32'h3fa1ff4a, 32'h3f96e138,32'h3fa6c308, 32'h3f8ef050,32'h3faeb3f0,// invsqrt(0.6495) = 1.2408 +32'h3f916585,32'h3f6b64aa,32'h3f750049, 32'h3f642ff4,32'h3f7c3500, 32'h3f582d6e,32'h3f841bc3,// invsqrt(1.1359) = 0.9383 +32'h3fa9790d,32'h3f5a0849,32'h3f62ee80, 32'h3f535b9f,32'h3f699b29, 32'h3f483bda,32'h3f74baee,// invsqrt(1.3240) = 0.8691 +32'h3f4db156,32'h3f8bf107,32'h3f91a746, 32'h3f87a858,32'h3f95eff6, 32'h3f80848a,32'h3f9d13c4,// invsqrt(0.8035) = 1.1156 +32'h3e986031,32'h3fe5f072,32'h3fef5314, 32'h3fdee679,32'h3ff65d0d, 32'h3fd32b30,32'h40010c2b,// invsqrt(0.2976) = 1.8331 +32'h3fc56cef,32'h3f4a0225,32'h3f5240ed, 32'h3f43d30f,32'h3f587003, 32'h3f398495,32'h3f62be7d,// invsqrt(1.5424) = 0.8052 +32'h3fb6d7dc,32'h3f51e8c6,32'h3f5a7a1c, 32'h3f4b7bc5,32'h3f60e71d, 32'h3f40c619,32'h3f6b9cc9,// invsqrt(1.4285) = 0.8367 +32'h40abdaff,32'h3ed88404,32'h3ee15a62, 32'h3ed1e33d,32'h3ee7fb29, 32'h3ec6d748,32'h3ef3071f,// invsqrt(5.3705) = 0.4315 +32'h3fd2c7e5,32'h3f438101,32'h3f4b7bd2, 32'h3f3d84e4,32'h3f5177ee, 32'h3f338b5e,32'h3f5b7174,// invsqrt(1.6467) = 0.7793 +32'h3dee3fb7,32'h4037e391,32'h403f6505, 32'h4032427a,32'h4045061c, 32'h4028e0aa,32'h404e67ec,// invsqrt(0.1163) = 2.9319 +32'h402be698,32'h3f19145c,32'h3f1f53e3, 32'h3f1464b8,32'h3f240388, 32'h3f0c9550,32'h3f2bd2f0,// invsqrt(2.6859) = 0.6102 +32'h3ec975d6,32'h3fc7f9ab,32'h3fd02335, 32'h3fc1da84,32'h3fd6425c, 32'h3fb7a698,32'h3fe07648,// invsqrt(0.3935) = 1.5942 +32'h4008d46e,32'h3f2b9461,32'h3f329536, 32'h3f2653c2,32'h3f37d5d6, 32'h3f1d92b8,32'h3f4096e0,// invsqrt(2.1380) = 0.6839 +32'h3f3684f5,32'h3f948f55,32'h3f9a9fa1, 32'h3f90031b,32'h3f9f2bdb, 32'h3f886ebc,32'h3fa6c03a,// invsqrt(0.7130) = 1.1843 +32'h41133333,32'h3ea56ce5,32'h3eac2d6c, 32'h3ea05c81,32'h3eb13dd1, 32'h3e97ebd8,32'h3eb9ae7a,// invsqrt(9.2000) = 0.3297 +32'h3e8c63a2,32'h3fef8def,32'h3ff95508, 32'h3fe8389b,32'h4000552d, 32'h3fdbffbc,32'h4006719d,// invsqrt(0.2742) = 1.9097 +32'h3fb06db2,32'h3f55b0f3,32'h3f5e69ce, 32'h3f4f264f,32'h3f64f473, 32'h3f443f3e,32'h3f6fdb84,// invsqrt(1.3783) = 0.8518 +32'h3b1ee715,32'h419f37a5,32'h41a5b74e, 32'h419a57e7,32'h41aa970d, 32'h41923854,32'h41b2b6a0,// invsqrt(0.0024) = 20.3083 +32'h3ffa8d6d,32'h3f33512d,32'h3f3aa2dc, 32'h3f2dd3eb,32'h3f40201f, 32'h3f24add1,32'h3f494639,// invsqrt(1.9574) = 0.7148 +32'h41294d7d,32'h3e9a3fe7,32'h3ea08ba7, 32'h3e958717,32'h3ea54477, 32'h3e8da866,32'h3ead2328,// invsqrt(10.5814) = 0.3074 +32'h402e1c53,32'h3f181adf,32'h3f1e5036, 32'h3f1372dd,32'h3f22f837, 32'h3f0bb02f,32'h3f2abae5,// invsqrt(2.7205) = 0.6063 +32'h40067993,32'h3f2d134f,32'h3f3423c5, 32'h3f27c6f6,32'h3f39701e, 32'h3f1ef263,32'h3f4244b1,// invsqrt(2.1012) = 0.6899 +32'h3fd01462,32'h3f44c4d1,32'h3f4cccd9, 32'h3f3ebeca,32'h3f52d2e0, 32'h3f34b4c0,32'h3f5cdcea,// invsqrt(1.6256) = 0.7843 +32'h430f9132,32'h3da78159,32'h3dae579b, 32'h3da260a7,32'h3db3784d, 32'h3d99d4d4,32'h3dbc0420,// invsqrt(143.5672) = 0.0835 +32'h3f9c1708,32'h3f632fe1,32'h3f6c75c1, 32'h3f5c3b7a,32'h3f736a28, 32'h3f50a422,32'h3f7f0180,// invsqrt(1.2195) = 0.9056 +32'h3ec81648,32'h3fc8a90c,32'h3fd0d9be, 32'h3fc28486,32'h3fd6fe44, 32'h3fb847a8,32'h3fe13b22,// invsqrt(0.3908) = 1.5997 +32'h4070d3e6,32'h3f0154bf,32'h3f069c20, 32'h3efabe6e,32'h3f0a91a9, 32'h3eed8bfd,32'h3f112ae2,// invsqrt(3.7629) = 0.5155 +32'h3f86aed1,32'h3f74939a,32'h3f7e8f2d, 32'h3f6d16eb,32'h3f8305ee, 32'h3f609c73,32'h3f89432a,// invsqrt(1.0522) = 0.9749 +32'h40f26ccb,32'h3eb64c56,32'h3ebdbd2a, 32'h3eb0b7b6,32'h3ec351ca, 32'h3ea76aad,32'h3ecc9ed3,// invsqrt(7.5758) = 0.3633 +32'h40111ab9,32'h3f269d9a,32'h3f2d6a91, 32'h3f2183e2,32'h3f32844a, 32'h3f1903ad,32'h3f3b047f,// invsqrt(2.2673) = 0.6641 +32'h3e346d63,32'h40156b2f,32'h401b8475, 32'h4010d83b,32'h40201769, 32'h400938a3,32'h4027b701,// invsqrt(0.1762) = 2.3823 +32'h3e3a7cf8,32'h4012f878,32'h4018f829, 32'h400e78b2,32'h401d77ee, 32'h4006f915,32'h4024f78b,// invsqrt(0.1821) = 2.3433 +32'h3e671c69,32'h40040597,32'h40096915, 32'h3ffff5f0,32'h400d73b4, 32'h3ff27d34,32'h40143012,// invsqrt(0.2257) = 2.1049 +32'h3e9c3f0b,32'h3fe312c8,32'h3fec5778, 32'h3fdc1f45,32'h3ff34afb, 32'h3fd0896a,32'h3ffee0d6,// invsqrt(0.3052) = 1.8102 +32'h4089d97e,32'h3ef1c042,32'h3efb9e50, 32'h3eea59b9,32'h3f01826d, 32'h3ede0428,32'h3f07ad35,// invsqrt(4.3078) = 0.4818 +32'h3fbb7454,32'h3f4f4fb8,32'h3f57c5ea, 32'h3f48f713,32'h3f5e1e8f, 32'h3f3e6356,32'h3f68b24c,// invsqrt(1.4645) = 0.8263 +32'h3e8475bc,32'h3ff69ecc,32'h400057dd, 32'h3fef1219,32'h40041e36, 32'h3fe27cf0,32'h400a68cb,// invsqrt(0.2587) = 1.9660 +32'h3f11aa12,32'h3fa64b8b,32'h3fad1528, 32'h3fa13455,32'h3fb22c5d, 32'h3f98b850,32'h3fbaa862,// invsqrt(0.5690) = 1.3257 +32'h3f0a8ab1,32'h3faa8426,32'h3fb179df, 32'h3fa54bdc,32'h3fb6b22a, 32'h3f9c98b6,32'h3fbf6550,// invsqrt(0.5412) = 1.3593 +32'h3eb88b07,32'h3fd0f0b5,32'h3fd977ec, 32'h3fca8b4d,32'h3fdfdd55, 32'h3fbfe249,32'h3fea8659,// invsqrt(0.3604) = 1.6657 +32'h3f4592ba,32'h3f8ec9c2,32'h3f949dc0, 32'h3f8a6ac4,32'h3f98fcbe, 32'h3f8321c7,32'h3fa045bb,// invsqrt(0.7718) = 1.1383 +32'h3eabe8f1,32'h3fd87b3c,32'h3fe1513e, 32'h3fd1daba,32'h3fe7f1c0, 32'h3fc6cf37,32'h3ff2fd43,// invsqrt(0.3358) = 1.7258 +32'h3f1df957,32'h3f9faf47,32'h3fa633d1, 32'h3f9acbdf,32'h3fab1739, 32'h3f92a631,32'h3fb33ce7,// invsqrt(0.6171) = 1.2730 +32'h3e07898e,32'h402c6550,32'h40336eac, 32'h40271e4b,32'h4038b5b1, 32'h401e5298,32'h40418164,// invsqrt(0.1324) = 2.7487 +32'h40484ffd,32'h3f0dcef5,32'h3f1398b6, 32'h3f0977a5,32'h3f17f007, 32'h3f023b74,32'h3f1f2c38,// invsqrt(3.1299) = 0.5652 +32'h3f5d5ca5,32'h3f86e5d1,32'h3f8c675c, 32'h3f82c4a8,32'h3f908884, 32'h3f77c574,32'h3f976a72,// invsqrt(0.8647) = 1.0754 +32'h3f26674d,32'h3f9b9668,32'h3fa1f024, 32'h3f96d31c,32'h3fa6b370, 32'h3f8ee2f2,32'h3faea39a,// invsqrt(0.6500) = 1.2403 +32'h3f8a027f,32'h3f719c56,32'h3f7b78ec, 32'h3f6a36e6,32'h3f816f2e, 32'h3f5de32a,32'h3f87990c,// invsqrt(1.0782) = 0.9631 +32'h3fc68208,32'h3f4974f6,32'h3f51adfa, 32'h3f434a32,32'h3f57d8be, 32'h3f3902ec,32'h3f622004,// invsqrt(1.5508) = 0.8030 +32'h40185464,32'h3f229dbf,32'h3f2940eb, 32'h3f1da35e,32'h3f2e3b4c, 32'h3f155766,32'h3f368744,// invsqrt(2.3802) = 0.6482 +32'h407e8639,32'h3efb9b32,32'h3f02f01d, 32'h3ef3e76d,32'h3f06ca00, 32'h3ee71124,32'h3f0d3524,// invsqrt(3.9769) = 0.5014 +32'h3ff2c0af,32'h3f362cd3,32'h3f3d9c5f, 32'h3f30992b,32'h3f433007, 32'h3f274dbd,32'h3f4c7b75,// invsqrt(1.8965) = 0.7261 +32'h3e7733f8,32'h3fff4e0e,32'h4004dcdd, 32'h3ff77d4c,32'h4008c53e, 32'h3fea76b4,32'h400f488a,// invsqrt(0.2414) = 2.0353 +32'h3f9581b1,32'h3f682297,32'h3f719c2a, 32'h3f610768,32'h3f78b758, 32'h3f552f70,32'h3f8247a8,// invsqrt(1.1680) = 0.9253 +32'h413d37ce,32'h3e91e814,32'h3e97dca8, 32'h3e8d70a6,32'h3e9c5416, 32'h3e85feee,32'h3ea3c5ce,// invsqrt(11.8261) = 0.2908 +32'h4098e301,32'h3ee58dff,32'h3eeeec9b, 32'h3ede8709,32'h3ef5f391, 32'h3ed2d0c5,32'h3f00d4ea,// invsqrt(4.7777) = 0.4575 +32'h3f2c6637,32'h3f98dba9,32'h3f9f18df, 32'h3f942dc1,32'h3fa3c6c7, 32'h3f8c613d,32'h3fab934b,// invsqrt(0.6734) = 1.2186 +32'h3f8c814e,32'h3f6f74a2,32'h3f793ab3, 32'h3f682015,32'h3f8047a0, 32'h3f5be87f,32'h3f86636a,// invsqrt(1.0977) = 0.9545 +32'h409b598b,32'h3ee3ba45,32'h3eed05cb, 32'h3edcc1a1,32'h3ef3fe6f, 32'h3ed1233a,32'h3eff9cd6,// invsqrt(4.8547) = 0.4539 +32'h401e41b8,32'h3f1f8abf,32'h3f260dcc, 32'h3f1aa875,32'h3f2af015, 32'h3f1284a4,32'h3f3313e6,// invsqrt(2.4728) = 0.6359 +32'h3f5dc2da,32'h3f86c6b7,32'h3f8c46fd, 32'h3f82a682,32'h3f906732, 32'h3f778c55,32'h3f974789,// invsqrt(0.8663) = 1.0744 +32'h3ed92096,32'h3fc0a022,32'h3fc87ce0, 32'h3fbaba94,32'h3fce626e, 32'h3fb0e6a7,32'h3fd8365b,// invsqrt(0.4241) = 1.5356 +32'h3e39e2bb,32'h40133564,32'h40193792, 32'h400eb3c2,32'h401db934, 32'h40073108,32'h40253bee,// invsqrt(0.1815) = 2.3471 +32'h3f153b93,32'h3fa44b7d,32'h3fab0033, 32'h3f9f43f4,32'h3fb007bc, 32'h3f96e20f,32'h3fb869a1,// invsqrt(0.5829) = 1.3097 +32'h41515646,32'h3e8ab7d3,32'h3e906149, 32'h3e8678ba,32'h3e94a062, 32'h3e7ec9cc,32'h3e9bb436,// invsqrt(13.0836) = 0.2765 +32'h3f30a7ba,32'h3f97016a,32'h3f9d2b44, 32'h3f926206,32'h3fa1caa8, 32'h3f8aadb5,32'h3fa97ef9,// invsqrt(0.6901) = 1.2038 +32'h3e7949a7,32'h3ffe3c33,32'h40044e59, 32'h3ff673d3,32'h40083289, 32'h3fe97b33,32'h400eaed8,// invsqrt(0.2434) = 2.0267 +32'h3f5e442c,32'h3f869f7c,32'h3f8c1e28, 32'h3f82807b,32'h3f903d29, 32'h3f774447,32'h3f971b81,// invsqrt(0.8682) = 1.0732 +32'h3f25c4e2,32'h3f9be28e,32'h3fa23f64, 32'h3f971ced,32'h3fa70505, 32'h3f8f28e0,32'h3faef912,// invsqrt(0.6475) = 1.2427 +32'h3f8999cc,32'h3f71f830,32'h3f7bd886, 32'h3f6a8ff0,32'h3f81a063, 32'h3f5e3785,32'h3f87cc98,// invsqrt(1.0750) = 0.9645 +32'h4084333b,32'h3ef6dccc,32'h3f007821, 32'h3eef4e33,32'h3f043f6d, 32'h3ee2b5e0,32'h3f0a8b97,// invsqrt(4.1313) = 0.4920 +32'h3f94a3a6,32'h3f68cfb9,32'h3f72505d, 32'h3f61af3e,32'h3f7970d8, 32'h3f55ce70,32'h3f82a8d3,// invsqrt(1.1612) = 0.9280 +32'h3ed83a67,32'h3fc1068e,32'h3fc8e77a, 32'h3fbb1ddd,32'h3fced02b, 32'h3fb144b7,32'h3fd8a951,// invsqrt(0.4223) = 1.5388 +32'h3f5c8cfd,32'h3f872543,32'h3f8ca965, 32'h3f830229,32'h3f90cc7f, 32'h3f7839fe,32'h3f97b1a9,// invsqrt(0.8615) = 1.0774 +32'h3fea7c5c,32'h3f395bce,32'h3f40ec9d, 32'h3f33af33,32'h3f469939, 32'h3f2a3a31,32'h3f500e3b,// invsqrt(1.8319) = 0.7388 +32'h3f199aef,32'h3fa1f089,32'h3fa88ca4, 32'h3f9cfb76,32'h3fad81b8, 32'h3f94b855,32'h3fb5c4d9,// invsqrt(0.6000) = 1.2910 +32'h413b5495,32'h3e92a3cb,32'h3e98a007, 32'h3e8e269d,32'h3e9d1d35, 32'h3e86ab52,32'h3ea49880,// invsqrt(11.7081) = 0.2923 +32'h4137242d,32'h3e944eb3,32'h3e9a5c5c, 32'h3e8fc473,32'h3e9ee69b, 32'h3e883360,32'h3ea677ae,// invsqrt(11.4463) = 0.2956 +32'h3f85566f,32'h3f75cea7,32'h3f7fd716, 32'h3f6e4853,32'h3f83aeb5, 32'h3f61bdc8,32'h3f89f3fa,// invsqrt(1.0417) = 0.9798 +32'h419f5b2c,32'h3e60d8c5,32'h3e6a0631, 32'h3e59f6b5,32'h3e70e841, 32'h3e4e7def,32'h3e7c6107,// invsqrt(19.9195) = 0.2241 +32'h3f0d5ccc,32'h3fa8ce71,32'h3fafb24b, 32'h3fa3a38c,32'h3fb4dd30, 32'h3f9b06bb,32'h3fbd7a01,// invsqrt(0.5522) = 1.3457 +32'h3fb6c83a,32'h3f51f1c0,32'h3f5a8374, 32'h3f4b8479,32'h3f60f0bb, 32'h3f40ce57,32'h3f6ba6dd,// invsqrt(1.4280) = 0.8368 +32'h3faa0604,32'h3f59add4,32'h3f62905a, 32'h3f5303ef,32'h3f693a3f, 32'h3f47e8c8,32'h3f745566,// invsqrt(1.3283) = 0.8677 +32'h3fa05f2c,32'h3f602237,32'h3f69482f, 32'h3f5945bd,32'h3f7024a9, 32'h3f4dd648,32'h3f7b941f,// invsqrt(1.2529) = 0.8934 +32'h407e3d33,32'h3efbbf52,32'h3f0302e9, 32'h3ef40a71,32'h3f06dd59, 32'h3ee73250,32'h3f0d496a,// invsqrt(3.9725) = 0.5017 +32'h3f5cc834,32'h3f871322,32'h3f8c9687, 32'h3f82f097,32'h3f90b913, 32'h3f7818b3,32'h3f979d51,// invsqrt(0.8624) = 1.0768 +32'h3e80be96,32'h3ffa2751,32'h40022e95, 32'h3ff27eee,32'h400602c7, 32'h3fe5bb9e,32'h400c646f,// invsqrt(0.2515) = 1.9942 +32'h3e63994f,32'h4005095c,32'h400a7774, 32'h4000f6c9,32'h400e8a07, 32'h3ff45a55,32'h401553a6,// invsqrt(0.2223) = 2.1211 +32'h3f68085b,32'h3f83c266,32'h3f892326, 32'h3f7f73ab,32'h3f8d2bb6, 32'h3f7201cb,32'h3f93e4a7,// invsqrt(0.9064) = 1.0504 +32'h3ea6a2a9,32'h3fdbe17f,32'h3fe4db07, 32'h3fd52659,32'h3feb962d, 32'h3fc9ee70,32'h3ff6ce17,// invsqrt(0.3255) = 1.7529 +32'h3ed55b1c,32'h3fc2520f,32'h3fca4082, 32'h3fbc5f38,32'h3fd03358, 32'h3fb27527,32'h3fda1d69,// invsqrt(0.4167) = 1.5491 +32'h403c1c9f,32'h3f1255be,32'h3f184ecc, 32'h3f0ddaf5,32'h3f1cc995, 32'h3f0663a4,32'h3f2440e6,// invsqrt(2.9392) = 0.5833 +32'h3fde6c93,32'h3f3e516f,32'h3f461610, 32'h3f387df5,32'h3f4be989, 32'h3f2ec82c,32'h3f559f52,// invsqrt(1.7377) = 0.7586 +32'h41245520,32'h3e9c909a,32'h3ea2f48c, 32'h3e97c5a5,32'h3ea7bf81, 32'h3e8fc8b8,32'h3eafbc6e,// invsqrt(10.2708) = 0.3120 +32'h3fcc17b3,32'h3f46ae77,32'h3f4eca7b, 32'h3f409973,32'h3f54df7f, 32'h3f36766d,32'h3f5f0285,// invsqrt(1.5945) = 0.7919 +32'h40359214,32'h3f14f290,32'h3f1b06ea, 32'h3f10634d,32'h3f1f962d, 32'h3f08c9dd,32'h3f272f9d,// invsqrt(2.8370) = 0.5937 +32'h3f6fa33c,32'h3f81a6dc,32'h3f86f196, 32'h3f7b5da0,32'h3f8ae9a2, 32'h3f6e22cd,32'h3f91870c,// invsqrt(0.9361) = 1.0336 +32'h4014dac1,32'h3f2480e3,32'h3f2b37c7, 32'h3f1f77b7,32'h3f3040f3, 32'h3f171319,32'h3f38a591,// invsqrt(2.3259) = 0.6557 +32'h3eec5d01,32'h3fb89ef7,32'h3fc02811, 32'h3fb2f824,32'h3fc5cee4, 32'h3fa98cc4,32'h3fcf3a44,// invsqrt(0.4616) = 1.4718 +32'h3f31f98f,32'h3f9671d4,32'h3f9c95d2, 32'h3f91d6d5,32'h3fa130d1, 32'h3f8a29d8,32'h3fa8ddce,// invsqrt(0.6952) = 1.1993 +32'h3f5c04ee,32'h3f874f06,32'h3f8cd4dc, 32'h3f832aa5,32'h3f90f93d, 32'h3f7886b2,32'h3f97e089,// invsqrt(0.8595) = 1.0787 +32'h3f1c808e,32'h3fa06f0d,32'h3fa6fb6b, 32'h3f9b85c6,32'h3fabe4b2, 32'h3f935650,32'h3fb41428,// invsqrt(0.6113) = 1.2790 +32'h3f1b4be4,32'h3fa10e2e,32'h3fa7a10c, 32'h3f9c2008,32'h3fac8f32, 32'h3f93e874,32'h3fb4c6c6,// invsqrt(0.6066) = 1.2839 +32'h3f7624a1,32'h3f7fdaa0,32'h3f852604, 32'h3f780590,32'h3f89108c, 32'h3f6af7cc,32'h3f8f976e,// invsqrt(0.9615) = 1.0198 +32'h3f30de7b,32'h3f96ea09,32'h3f9d12ef, 32'h3f924b5c,32'h3fa1b19c, 32'h3f8a983c,32'h3fa964bc,// invsqrt(0.6909) = 1.2031 +32'h3fa5050b,32'h3f5cf463,32'h3f65f924, 32'h3f5630d4,32'h3f6cbcb4, 32'h3f4aeae4,32'h3f7802a4,// invsqrt(1.2892) = 0.8807 +32'h3ee0929e,32'h3fbd67cd,32'h3fc522e5, 32'h3fb79b7b,32'h3fcaef37, 32'h3fadf19d,32'h3fd49915,// invsqrt(0.4386) = 1.5099 +32'h3f6a72f4,32'h3f83141f,32'h3f886dc3, 32'h3f7e21ca,32'h3f8c70fd, 32'h3f70c1b2,32'h3f932109,// invsqrt(0.9158) = 1.0450 +32'h40d40f26,32'h3ec2e9ec,32'h3ecade92, 32'h3ebcf26f,32'h3ed0d60f, 32'h3eb3009f,32'h3edac7df,// invsqrt(6.6268) = 0.3885 +32'h3feaccaa,32'h3f393c19,32'h3f40cb9d, 32'h3f339076,32'h3f467740, 32'h3f2a1d12,32'h3f4feaa4,// invsqrt(1.8344) = 0.7383 +32'h3b84a741,32'h417670bf,32'h41803fe6, 32'h416ee576,32'h4184058b, 32'h416252a5,32'h418a4ef3,// invsqrt(0.0040) = 15.7169 +32'h3fcb89d6,32'h3f46f3a8,32'h3f4f1280, 32'h3f40dc86,32'h3f5529a2, 32'h3f36b5f8,32'h3f5f5030,// invsqrt(1.5901) = 0.7930 +32'h3f42b395,32'h3f8fd656,32'h3f95b54a, 32'h3f8b6f1f,32'h3f9a1c81, 32'h3f84186e,32'h3fa17332,// invsqrt(0.7606) = 1.1467 +32'h40639d5e,32'h3f05082c,32'h3f0a7638, 32'h3f00f5a3,32'h3f0e88c1, 32'h3ef45827,32'h3f155251,// invsqrt(3.5565) = 0.5303 +32'h3f7ec9cf,32'h3f7b79d1,32'h3f82debe, 32'h3f73c711,32'h3f86b81e, 32'h3f66f27c,32'h3f8d2268,// invsqrt(0.9953) = 1.0024 +32'h41e17d06,32'h3e3d0541,32'h3e44bc53, 32'h3e373bf3,32'h3e4a85a1, 32'h3e2d971c,32'h3e542a78,// invsqrt(28.1860) = 0.1884 +32'h3fee5a58,32'h3f37d94b,32'h3f3f5a53, 32'h3f323885,32'h3f44fb19, 32'h3f28d73a,32'h3f4e5c64,// invsqrt(1.8621) = 0.7328 +32'h3f21723c,32'h3f9df54a,32'h3fa467ca, 32'h3f991f6a,32'h3fa93daa, 32'h3f911049,32'h3fb14ccb,// invsqrt(0.6306) = 1.2592 +32'h3fbfbaf2,32'h3f4cfc99,32'h3f555a7f, 32'h3f46b62b,32'h3f5ba0ed, 32'h3f3c40cb,32'h3f66164d,// invsqrt(1.4979) = 0.8171 +32'h3f64c42f,32'h3f84b258,32'h3f8a1ce3, 32'h3f80a26f,32'h3f8e2ccb, 32'h3f73ba81,32'h3f94f1fa,// invsqrt(0.8936) = 1.0578 +32'h3f667811,32'h3f8434a0,32'h3f899a0a, 32'h3f802891,32'h3f8da619, 32'h3f72d399,32'h3f9464de,// invsqrt(0.9003) = 1.0539 +32'h3ef6fd51,32'h3fb49b18,32'h3fbbfa3d, 32'h3faf13bb,32'h3fc18199, 32'h3fa5dccc,32'h3fcab888,// invsqrt(0.4824) = 1.4398 +32'h3f84bb77,32'h3f765dfb,32'h3f803622, 32'h3f6ed345,32'h3f83fb7e, 32'h3f62416a,32'h3f8a446b,// invsqrt(1.0370) = 0.9820 +32'h3f156ee6,32'h3fa42f44,32'h3faae2d3, 32'h3f9f2897,32'h3fafe97f, 32'h3f96c824,32'h3fb849f3,// invsqrt(0.5837) = 1.3089 +32'h402e1c77,32'h3f181acf,32'h3f1e5025, 32'h3f1372ce,32'h3f22f826, 32'h3f0bb021,32'h3f2abad3,// invsqrt(2.7205) = 0.6063 +32'h3f743ce6,32'h3f806ccc,32'h3f85aab4, 32'h3f78fcba,32'h3f899923, 32'h3f6be1f3,32'h3f902686,// invsqrt(0.9541) = 1.0238 +32'h3e1de202,32'h401fbb13,32'h40264019, 32'h401ad74e,32'h402b23de, 32'h4012b107,32'h40334a25,// invsqrt(0.1542) = 2.5467 +32'h3efa79c4,32'h3fb35837,32'h3fbaaa2f, 32'h3faddabd,32'h3fc027a9, 32'h3fa4b448,32'h3fc94e1f,// invsqrt(0.4892) = 1.4297 +32'h40af1628,32'h3ed68231,32'h3edf4397, 32'h3ecff125,32'h3ee5d4a3, 32'h3ec4ff67,32'h3ef0c661,// invsqrt(5.4715) = 0.4275 +32'h40496aa9,32'h3f0d6b50,32'h3f133100, 32'h3f09170c,32'h3f178544, 32'h3f01dff1,32'h3f1ebc5f,// invsqrt(3.1471) = 0.5637 +32'h3f30e74a,32'h3f96e647,32'h3f9d0f05, 32'h3f9247b7,32'h3fa1ad95, 32'h3f8a94c9,32'h3fa96083,// invsqrt(0.6910) = 1.2030 +32'h3cd4f4e1,32'h40c280ad,32'h40ca7108, 32'h40bc8c6a,32'h40d0654c, 32'h40b29ff8,32'h40da51be,// invsqrt(0.0260) = 6.2023 +32'h3ff19b2f,32'h3f369b59,32'h3f3e0f67, 32'h3f31044e,32'h3f43a672, 32'h3f27b33d,32'h3f4cf783,// invsqrt(1.8875) = 0.7279 +32'h3f7787d0,32'h3f7f22cd,32'h3f84c65b, 32'h3f77535f,32'h3f88ae13, 32'h3f6a4efb,32'h3f8f3044,// invsqrt(0.9669) = 1.0170 +32'h3f37e565,32'h3f9400b5,32'h3f9a0b2f, 32'h3f8f78d9,32'h3f9e930b, 32'h3f87ebc0,32'h3fa62024,// invsqrt(0.7183) = 1.1799 +32'h3f2500b5,32'h3f9c3f1e,32'h3fa29fbc, 32'h3f9776a8,32'h3fa76832, 32'h3f8f7de2,32'h3faf60f8,// invsqrt(0.6445) = 1.2456 +32'h3ead85cf,32'h3fd77918,32'h3fe04491, 32'h3fd0e07d,32'h3fe6dd2b, 32'h3fc5e225,32'h3ff1db83,// invsqrt(0.3389) = 1.7177 +32'h3f54abc8,32'h3f89a05e,32'h3f8f3e6c, 32'h3f8569d3,32'h3f9374f7, 32'h3f7cc883,32'h3f9a7a89,// invsqrt(0.8307) = 1.0971 +32'h3e620aee,32'h40057e64,32'h400af143, 32'h4001683c,32'h400f076a, 32'h3ff53149,32'h4015d702,// invsqrt(0.2207) = 2.1284 +32'h408b8f3b,32'h3ef043f5,32'h3efa127d, 32'h3ee8e910,32'h3f00b6b1, 32'h3edca6e6,32'h3f06d7c6,// invsqrt(4.3612) = 0.4788 +32'h3efcf3ad,32'h3fb276f0,32'h3fb9bfb6, 32'h3fad005c,32'h3fbf364a, 32'h3fa3e564,32'h3fc85142,// invsqrt(0.4940) = 1.4227 +32'h3ec79461,32'h3fc8ea4f,32'h3fd11dab, 32'h3fc2c3ca,32'h3fd74430, 32'h3fb88397,32'h3fe18463,// invsqrt(0.3898) = 1.6017 +32'h40451423,32'h3f0ef796,32'h3f14cd73, 32'h3f0a9732,32'h3f192dd8, 32'h3f034bde,32'h3f20792c,// invsqrt(3.0794) = 0.5699 +32'h3fefd4ab,32'h3f374810,32'h3f3ec32a, 32'h3f31abbc,32'h3f445f7e, 32'h3f2851da,32'h3f4db960,// invsqrt(1.8737) = 0.7306 +32'h3ec7fc34,32'h3fc8b621,32'h3fd0e75b, 32'h3fc29134,32'h3fd70c48, 32'h3fb853ab,32'h3fe149d1,// invsqrt(0.3906) = 1.6001 +32'h3ee1748a,32'h3fbd08cf,32'h3fc4c007, 32'h3fb73f66,32'h3fca8970, 32'h3fad9a60,32'h3fd42e76,// invsqrt(0.4403) = 1.5070 +32'h3f179097,32'h3fa306a7,32'h3fa9ae1c, 32'h3f9e0910,32'h3faeabb4, 32'h3f95b7bf,32'h3fb6fd05,// invsqrt(0.5921) = 1.2996 +32'h3f048ebc,32'h3fae5298,32'h3fb57016, 32'h3fa8fc79,32'h3fbac635, 32'h3fa0179b,32'h3fc3ab13,// invsqrt(0.5178) = 1.3897 +32'h3ed426ab,32'h3fc2df1e,32'h3fcad354, 32'h3fbce7f6,32'h3fd0ca7c, 32'h3fb2f6b3,32'h3fdabbbf,// invsqrt(0.4144) = 1.5535 +32'h4074de9c,32'h3f00425d,32'h3f057e8b, 32'h3ef8aa76,32'h3f096bad, 32'h3eeb9404,32'h3f0ff6e6,// invsqrt(3.8261) = 0.5112 +32'h3f08acbc,32'h3fabad4a,32'h3fb2af24, 32'h3fa66be7,32'h3fb7f087, 32'h3f9da998,32'h3fc0b2d6,// invsqrt(0.5339) = 1.3686 +32'h3edd80ba,32'h3fbeb6a6,32'h3fc67f69, 32'h3fb8e014,32'h3fcc55fc, 32'h3faf2521,32'h3fd610ef,// invsqrt(0.4326) = 1.5204 +32'h3fbbbc75,32'h3f4f27e1,32'h3f579c73, 32'h3f48d075,32'h3f5df3df, 32'h3f3e3ebf,32'h3f688595,// invsqrt(1.4667) = 0.8257 +32'h3feb7018,32'h3f38fbc3,32'h3f4088a7, 32'h3f335219,32'h3f463251, 32'h3f29e1fc,32'h3f4fa26e,// invsqrt(1.8394) = 0.7373 +32'h402ba002,32'h3f1933d4,32'h3f1f74a3, 32'h3f148338,32'h3f24253e, 32'h3f0cb235,32'h3f2bf641,// invsqrt(2.6816) = 0.6107 +32'h41c0527c,32'h3e4cabc7,32'h3e550661, 32'h3e4667d3,32'h3e5b4a55, 32'h3e3bf692,32'h3e65bb96,// invsqrt(24.0403) = 0.2040 +32'h3edc75fd,32'h3fbf29e3,32'h3fc6f759, 32'h3fb94fc9,32'h3fccd173, 32'h3faf8ef5,32'h3fd69247,// invsqrt(0.4306) = 1.5239 +32'h4092f3af,32'h3eea24ec,32'h3ef3b37d, 32'h3ee2f9fe,32'h3efade6a, 32'h3ed707c8,32'h3f036850,// invsqrt(4.5922) = 0.4666 +32'h3f96197f,32'h3f67ad16,32'h3f7121de, 32'h3f609581,32'h3f783973, 32'h3f54c387,32'h3f8205b6,// invsqrt(1.1727) = 0.9235 +32'h3fa31996,32'h3f5e404f,32'h3f67529b, 32'h3f577296,32'h3f6e2054, 32'h3f4c1bb6,32'h3f797734,// invsqrt(1.2742) = 0.8859 +32'h4019c558,32'h3f21da33,32'h3f287565, 32'h3f1ce5cf,32'h3f2d69c9, 32'h3f14a3d1,32'h3f35abc7,// invsqrt(2.4027) = 0.6451 +32'h41015669,32'h3eb07ab2,32'h3eb7aeba, 32'h3eab13ad,32'h3ebd15bf, 32'h3ea212a4,32'h3ec616c8,// invsqrt(8.0836) = 0.3517 +32'h3f5d6326,32'h3f86e3d5,32'h3f8c654c, 32'h3f82c2bd,32'h3f908665, 32'h3f77c1d2,32'h3f976839,// invsqrt(0.8648) = 1.0753 +32'h3edca4d0,32'h3fbf1599,32'h3fc6e23c, 32'h3fb93c1f,32'h3fccbbb7, 32'h3faf7c54,32'h3fd67b83,// invsqrt(0.4309) = 1.5233 +32'h3f090f45,32'h3fab6f89,32'h3fb26edd, 32'h3fa6300a,32'h3fb7ae5c, 32'h3f9d70e1,32'h3fc06d85,// invsqrt(0.5354) = 1.3667 +32'h3f0169e0,32'h3fb06d6c,32'h3fb7a0e8, 32'h3fab06ce,32'h3fbd0786, 32'h3fa20673,32'h3fc607e1,// invsqrt(0.5055) = 1.4065 +32'h3e910d0a,32'h3febac6b,32'h3ff54af7, 32'h3fe47582,32'h3ffc81e0, 32'h3fd86f52,32'h40044408,// invsqrt(0.2833) = 1.8788 +32'h40641aa1,32'h3f04e3a0,32'h3f0a502e, 32'h3f00d235,32'h3f0e6199, 32'h3ef41506,32'h3f15294b,// invsqrt(3.5641) = 0.5297 +32'h3ec4a65f,32'h3fca6808,32'h3fd2aaf8, 32'h3fc435d3,32'h3fd8dd2d, 32'h3fb9e226,32'h3fe330da,// invsqrt(0.3841) = 1.6136 +32'h4056067f,32'h3f0930b7,32'h3f0eca37, 32'h3f04fd97,32'h3f12fd57, 32'h3efbfb70,32'h3f19fd36,// invsqrt(3.3441) = 0.5468 +32'h408ca2df,32'h3eef580d,32'h3ef91cf3, 32'h3ee80460,32'h3f003850, 32'h3edbce40,32'h3f065360,// invsqrt(4.3949) = 0.4770 +32'h40184e40,32'h3f22a106,32'h3f294455, 32'h3f1da68c,32'h3f2e3ed0, 32'h3f155a69,32'h3f368af3,// invsqrt(2.3798) = 0.6482 +32'h3fc6180a,32'h3f49aad4,32'h3f51e60c, 32'h3f437e6a,32'h3f581276, 32'h3f393465,32'h3f625c7b,// invsqrt(1.5476) = 0.8038 +32'h3c4c71bc,32'h410c5e3f,32'h411218f3, 32'h41081238,32'h411664fa, 32'h4100e8d6,32'h411d8e5c,// invsqrt(0.0125) = 8.9521 +32'h401a1a90,32'h3f21ad6c,32'h3f2846ca, 32'h3f1cba66,32'h3f2d39d0, 32'h3f147ab2,32'h3f357984,// invsqrt(2.4079) = 0.6444 +32'h3ed6ac25,32'h3fc1b948,32'h3fc9a180, 32'h3fbbcb1f,32'h3fcf8fa9, 32'h3fb1e8da,32'h3fd971ee,// invsqrt(0.4193) = 1.5444 +32'h421a88ec,32'h3e2173a7,32'h3e280aa9, 32'h3e1c8266,32'h3e2cfbea, 32'h3e1445a4,32'h3e3538ac,// invsqrt(38.6337) = 0.1609 +32'h3ed6befb,32'h3fc1b0c9,32'h3fc998a7, 32'h3fbbc2e2,32'h3fcf868e, 32'h3fb1e10c,32'h3fd96864,// invsqrt(0.4194) = 1.5441 +32'h3f0f01cc,32'h3fa7d53f,32'h3faeaeee, 32'h3fa2b1fc,32'h3fb3d232, 32'h3f9a21e2,32'h3fbc624d,// invsqrt(0.5586) = 1.3380 +32'h3f37e95f,32'h3f93ff1b,32'h3f9a0985, 32'h3f8f774c,32'h3f9e9154, 32'h3f87ea48,32'h3fa61e58,// invsqrt(0.7184) = 1.1798 +32'h3ea625eb,32'h3fdc33fb,32'h3fe530e0, 32'h3fd5764e,32'h3febee8c, 32'h3fca3a2f,32'h3ff72aab,// invsqrt(0.3245) = 1.7554 +32'h3f40782d,32'h3f90ab3c,32'h3f9692e0, 32'h3f8c3d80,32'h3f9b009c, 32'h3f84dbf3,32'h3fa26229,// invsqrt(0.7518) = 1.1533 +32'h4080da15,32'h3efa0c9f,32'h3f0220b1, 32'h3ef2650d,32'h3f05f47a, 32'h3ee5a31a,32'h3f0c5573,// invsqrt(4.0266) = 0.4983 +32'h3eecd60a,32'h3fb86fc4,32'h3fbff6f1, 32'h3fb2ca63,32'h3fc59c53, 32'h3fa9616c,32'h3fcf054b,// invsqrt(0.4626) = 1.4703 +32'h3ef4d536,32'h3fb56648,32'h3fbccdb8, 32'h3fafd8b3,32'h3fc25b4d, 32'h3fa69766,32'h3fcb9c9a,// invsqrt(0.4782) = 1.4461 +32'h3ec289d3,32'h3fcb807a,32'h3fd3cedc, 32'h3fc545af,32'h3fda09a7, 32'h3fbae3b4,32'h3fe46ba3,// invsqrt(0.3800) = 1.6223 +32'h3ec54a02,32'h3fca1406,32'h3fd25388, 32'h3fc3e463,32'h3fd8832b, 32'h3fb99500,32'h3fe2d28e,// invsqrt(0.3853) = 1.6110 +32'h3f4e706d,32'h3f8bb033,32'h3f9163cd, 32'h3f876980,32'h3f95aa80, 32'h3f804900,32'h3f9ccb00,// invsqrt(0.8064) = 1.1136 +32'h3df550f9,32'h40353880,32'h403c9e12, 32'h402fac52,32'h40422a40, 32'h40266d5b,32'h404b6937,// invsqrt(0.1198) = 2.8894 +32'h3dcb1dd4,32'h40472886,32'h404f4986, 32'h40410fc6,32'h40556246, 32'h4036e685,32'h405f8b87,// invsqrt(0.0992) = 3.1754 +32'h3fc18d4b,32'h3f4c0510,32'h3f5458dd, 32'h3f45c637,32'h3f5a97b7, 32'h3f3b5d78,32'h3f650076,// invsqrt(1.5121) = 0.8132 +32'h3ed6b19a,32'h3fc1b6d2,32'h3fc99eef, 32'h3fbbc8bb,32'h3fcf8d05, 32'h3fb1e696,32'h3fd96f2a,// invsqrt(0.4193) = 1.5443 +32'h40e78bcd,32'h3eba8814,32'h3ec22524, 32'h3eb4d247,32'h3ec7daf1, 32'h3eab4df3,32'h3ed15f45,// invsqrt(7.2358) = 0.3718 +32'h3eb29ee2,32'h3fd4603a,32'h3fdd0b57, 32'h3fcddfe5,32'h3fe38bad, 32'h3fc30a02,32'h3fee6190,// invsqrt(0.3489) = 1.6930 +32'h3d5b79a0,32'h408779f0,32'h408d0187, 32'h4083543f,32'h40912739, 32'h4078d586,32'h409810b5,// invsqrt(0.0536) = 4.3200 +32'h3e4e0ec2,32'h400bd14a,32'h4011863e, 32'h40078994,32'h4015cdf4, 32'h40006764,32'h401cf024,// invsqrt(0.2012) = 2.2292 +32'h401beb9c,32'h3f20bb9c,32'h3f274b1a, 32'h3f1bcffd,32'h3f2c36b9, 32'h3f139c9f,32'h3f346a17,// invsqrt(2.4363) = 0.6407 +32'h3f1f66c8,32'h3f9ef7d2,32'h3fa574e0, 32'h3f9a1a08,32'h3faa52aa, 32'h3f91fdb6,32'h3fb26efc,// invsqrt(0.6227) = 1.2673 +32'h3d0e75e7,32'h40a82793,32'h40af049e, 32'h40a301ca,32'h40b42a66, 32'h409a6d7c,32'h40bcbeb4,// invsqrt(0.0348) = 5.3621 +32'h402229ea,32'h3f1d9bbc,32'h3f240a94, 32'h3f18c899,32'h3f28ddb7, 32'h3f10be0a,32'h3f30e846,// invsqrt(2.5338) = 0.6282 +32'h3d950e2a,32'h40687c7b,32'h4071f9b9, 32'h40615e8c,32'h407917a8, 32'h405581fe,32'h40827a1b,// invsqrt(0.0728) = 3.7067 +32'h409c7e44,32'h3ee2e4e6,32'h3eec27b5, 32'h3edbf2c9,32'h3ef319d1, 32'h3ed05f45,32'h3efead55,// invsqrt(4.8904) = 0.4522 +32'h40da78a1,32'h3ec0083a,32'h3ec7dec4, 32'h3eba2752,32'h3ecdbfac, 32'h3eb05b26,32'h3ed78bd9,// invsqrt(6.8272) = 0.3827 +32'h3f2cc887,32'h3f98b026,32'h3f9eeb96, 32'h3f940393,32'h3fa39829, 32'h3f8c3948,32'h3fab6274,// invsqrt(0.6749) = 1.2172 +32'h3e8f5d9d,32'h3fed0dfc,32'h3ff6baf6, 32'h3fe5cc40,32'h3ffdfcb2, 32'h3fd9b406,32'h40050a76,// invsqrt(0.2800) = 1.8898 +32'h3fbd17ab,32'h3f4e6959,32'h3f56d623, 32'h3f4817c1,32'h3f5d27bb, 32'h3f3d8fc5,32'h3f67afb7,// invsqrt(1.4773) = 0.8227 +32'h3f84ddc4,32'h3f763e2c,32'h3f802595, 32'h3f6eb46f,32'h3f83ea73, 32'h3f622434,32'h3f8a3291,// invsqrt(1.0380) = 0.9815 +32'h40ac33f7,32'h3ed84c0e,32'h3ee12024, 32'h3ed1acfe,32'h3ee7bf34, 32'h3ec6a3e3,32'h3ef2c84f,// invsqrt(5.3813) = 0.4311 +32'h440d8000,32'h3d28b970,32'h3d2f9c70, 32'h3d238f31,32'h3d34c6af, 32'h3d1af372,32'h3d3d626e,// invsqrt(566.0000) = 0.0420 +32'h42925d17,32'h3dea9d41,32'h3df430bc, 32'h3de36ea5,32'h3dfb5f59, 32'h3dd7764c,32'h3e03abd9,// invsqrt(73.1818) = 0.1169 +32'h3fbf309c,32'h3f4d46b4,32'h3f55a7a2, 32'h3f46fe02,32'h3f5bf054, 32'h3f3c84da,32'h3f66697c,// invsqrt(1.4937) = 0.8182 +32'h3e98e4e0,32'h3fe58c97,32'h3feeeb25, 32'h3fde85ac,32'h3ff5f210, 32'h3fd2cf7b,32'h4000d420,// invsqrt(0.2986) = 1.8300 +32'h3e72a09c,32'h4000d9bb,32'h40061c16, 32'h3ff9cfec,32'h400a0dda, 32'h3fecaa08,32'h4010a0cc,// invsqrt(0.2369) = 2.0544 +32'h4147d647,32'h3e8dfa1e,32'h3e93c5a2, 32'h3e89a17b,32'h3e981e45, 32'h3e826316,32'h3e9f5caa,// invsqrt(12.4898) = 0.2830 +32'h3f00f0f1,32'h3fb0c015,32'h3fb7f6f1, 32'h3fab56f0,32'h3fbd6016, 32'h3fa2525c,32'h3fc664aa,// invsqrt(0.5037) = 1.4090 +32'h3e829152,32'h3ff866a3,32'h40014516, 32'h3ff0cbfc,32'h4005126a, 32'h3fe41f91,32'h400b68a0,// invsqrt(0.2550) = 1.9802 +32'h3ef7ca1c,32'h3fb45066,32'h3fbbac80, 32'h3faecb54,32'h3fc13192, 32'h3fa59834,32'h3fca64b2,// invsqrt(0.4840) = 1.4375 +32'h3cdd41d4,32'h40bed1c0,32'h40c69b9e, 32'h40b8fa59,32'h40cc7305, 32'h40af3e04,32'h40d62f5a,// invsqrt(0.0270) = 6.0848 +32'h3f10edfd,32'h3fa6b74f,32'h3fad8553, 32'h3fa19ccd,32'h3fb29fd5, 32'h3f991b49,32'h3fbb2159,// invsqrt(0.5661) = 1.3291 +32'h40213417,32'h3f1e13ba,32'h3f248778, 32'h3f193ceb,32'h3f295e47, 32'h3f112c3d,32'h3f316ef5,// invsqrt(2.5188) = 0.6301 +32'h3e198a7b,32'h4021f936,32'h402895ac, 32'h401d03df,32'h402d8b03, 32'h4014c04c,32'h4035ce96,// invsqrt(0.1499) = 2.5825 +32'h40c83324,32'h3ec89a95,32'h3ed0cab0, 32'h3ec27681,32'h3ed6eec5, 32'h3eb83a60,32'h3ee12ae7,// invsqrt(6.2562) = 0.3998 +32'h3fbfcd8d,32'h3f4cf2a7,32'h3f555026, 32'h3f46ac88,32'h3f5b9646, 32'h3f3c37aa,32'h3f660b25,// invsqrt(1.4985) = 0.8169 +32'h3f8401e4,32'h3f770aea,32'h3f809021, 32'h3f6f7ae8,32'h3f845822, 32'h3f62e03a,32'h3f8aa579,// invsqrt(1.0313) = 0.9847 +32'h3f641efe,32'h3f84e25b,32'h3f8a4edc, 32'h3f80d0fa,32'h3f8e603c, 32'h3f7412b1,32'h3f9527de,// invsqrt(0.8911) = 1.0593 +32'h3f1776fc,32'h3fa3146e,32'h3fa9bc73, 32'h3f9e166b,32'h3faeba77, 32'h3f95c466,32'h3fb70c7c,// invsqrt(0.5917) = 1.3001 +32'h3f84d893,32'h3f7642fc,32'h3f802815, 32'h3f6eb918,32'h3f83ed07, 32'h3f62289e,32'h3f8a3544,// invsqrt(1.0379) = 0.9816 +32'h3f4d7063,32'h3f8c0724,32'h3f91be4a, 32'h3f87bdc7,32'h3f9607a7, 32'h3f8098d8,32'h3f9d2c96,// invsqrt(0.8025) = 1.1163 +32'h3e01b32e,32'h40303b8a,32'h40376cfd, 32'h402ad673,32'h403cd213, 32'h4021d8a3,32'h4045cfe3,// invsqrt(0.1267) = 2.8098 +32'h3e87d662,32'h3ff388f2,32'h3ffd79a4, 32'h3fec146e,32'h40027714, 32'h3fdfa790,32'h4008ad83,// invsqrt(0.2653) = 1.9414 +32'h3e4c04b1,32'h400c83bd,32'h40123ff9, 32'h40083690,32'h40168d26, 32'h40010b45,32'h401db871,// invsqrt(0.1992) = 2.2403 +32'h3dd0ebf2,32'h40445f33,32'h404c6316, 32'h403e5c4a,32'h40526600, 32'h4034576e,32'h405c6adc,// invsqrt(0.1020) = 3.1309 +32'h3eb18503,32'h3fd50893,32'h3fddba8f, 32'h3fce8317,32'h3fe4400b, 32'h3fc3a49c,32'h3fef1e86,// invsqrt(0.3467) = 1.6983 +32'h3baa2654,32'h41599927,32'h41627ad5, 32'h4152efe4,32'h41692418, 32'h4147d5cb,32'h41743e31,// invsqrt(0.0052) = 13.8774 +32'h3e320f70,32'h40166896,32'h401c8c33, 32'h4011cddf,32'h402126e9, 32'h400a215a,32'h4028d36e,// invsqrt(0.1739) = 2.3981 +32'h40df3964,32'h3ebdfa0b,32'h3ec5bb1b, 32'h3eb8293f,32'h3ecb8be7, 32'h3eae77eb,32'h3ed53d3b,// invsqrt(6.9758) = 0.3786 +32'h3f93fcce,32'h3f6952d1,32'h3f72d8cf, 32'h3f622e52,32'h3f79fd4e, 32'h3f5646d5,32'h3f82f266,// invsqrt(1.1562) = 0.9300 +32'h3f051660,32'h3fadf9ac,32'h3fb5138a, 32'h3fa8a646,32'h3fba66f0, 32'h3f9fc5f2,32'h3fc34744,// invsqrt(0.5199) = 1.3869 +32'h3e25e415,32'h401bd3e5,32'h40223023, 32'h40170eb7,32'h4026f551, 32'h400f1b6a,32'h402ee89e,// invsqrt(0.1620) = 2.4845 +32'h3f1d012c,32'h3fa02d49,32'h3fa6b6f9, 32'h3f9b4606,32'h3fab9e3c, 32'h3f9319ea,32'h3fb3ca58,// invsqrt(0.6133) = 1.2769 +32'h3fa4c854,32'h3f5d1d14,32'h3f66237e, 32'h3f565845,32'h3f6ce84d, 32'h3f4b1042,32'h3f783050,// invsqrt(1.2874) = 0.8814 +32'h3fb45d38,32'h3f5358d0,32'h3f5bf92c, 32'h3f4ce08b,32'h3f627171, 32'h3f421818,32'h3f6d39e4,// invsqrt(1.4091) = 0.8424 +32'h3ecfba84,32'h3fc4ef5c,32'h3fccf922, 32'h3fbee809,32'h3fd30075, 32'h3fb4dbd2,32'h3fdd0cac,// invsqrt(0.4057) = 1.5700 +32'h3fc08c27,32'h3f4c8d1e,32'h3f54e678, 32'h3f464a1a,32'h3f5b297c, 32'h3f3bda6a,32'h3f65992c,// invsqrt(1.5043) = 0.8153 +32'h3fb5890b,32'h3f52aa00,32'h3f5b433a, 32'h3f4c3715,32'h3f61b625, 32'h3f41778d,32'h3f6c75ad,// invsqrt(1.4182) = 0.8397 +32'h3ffbd21d,32'h3f32dd6e,32'h3f3a2a62, 32'h3f2d63b6,32'h3f3fa41a, 32'h3f244384,32'h3f48c44c,// invsqrt(1.9673) = 0.7130 +32'h41434efa,32'h3e8f9d12,32'h3e9579b0, 32'h3e8b379c,32'h3e99df26, 32'h3e83e3d7,32'h3ea132eb,// invsqrt(12.2068) = 0.2862 +32'h4002d006,32'h3f2f7b41,32'h3f36a4db, 32'h3f2a1c0d,32'h3f3c040f, 32'h3f21280d,32'h3f44f80f,// invsqrt(2.0439) = 0.6995 +32'h3e1b4bdb,32'h40210e33,32'h4027a111, 32'h401c200d,32'h402c8f37, 32'h4013e878,32'h4034c6cc,// invsqrt(0.1517) = 2.5678 +32'h3f844e94,32'h3f76c347,32'h3f806ad9, 32'h3f6f3577,32'h3f8431c2, 32'h3f629e70,32'h3f8a7d45,// invsqrt(1.0336) = 0.9836 +32'h3f8aac41,32'h3f710846,32'h3f7aded0, 32'h3f69a75e,32'h3f811fdc, 32'h3f5d5b30,32'h3f8745f3,// invsqrt(1.0834) = 0.9607 +32'h3fac69cb,32'h3f582a48,32'h3f60fcfc, 32'h3f518c40,32'h3f679b04, 32'h3f4684df,32'h3f72a265,// invsqrt(1.3470) = 0.8616 +32'h3ea86c1c,32'h3fdab618,32'h3fe3a368, 32'h3fd4041d,32'h3fea5563, 32'h3fc8db7a,32'h3ff57e06,// invsqrt(0.3289) = 1.7436 +32'h401dddde,32'h3f1fbd2b,32'h3f264247, 32'h3f1ad956,32'h3f2b261c, 32'h3f12b2f3,32'h3f334c7f,// invsqrt(2.4667) = 0.6367 +32'h3f66b566,32'h3f84230d,32'h3f8987bf, 32'h3f801787,32'h3f8d9345, 32'h3f72b351,32'h3f945123,// invsqrt(0.9012) = 1.0534 +32'h3f819aaa,32'h3f795293,32'h3f81bfdf, 32'h3f71b0b3,32'h3f8590cf, 32'h3f64f83e,32'h3f8bed09,// invsqrt(1.0125) = 0.9938 +32'h3f8bb465,32'h3f7023fe,32'h3f79f137, 32'h3f68ca12,32'h3f80a591, 32'h3f5c898b,32'h3f86c5d5,// invsqrt(1.0914) = 0.9572 +32'h3d98f000,32'h4065843d,32'h406ee274, 32'h405e7d95,32'h4075e91d, 32'h4052c7d0,32'h4080cf71,// invsqrt(0.0747) = 3.6594 +32'h40d03789,32'h3ec4b434,32'h3eccbb8e, 32'h3ebeaeaf,32'h3ed2c113, 32'h3eb4a57e,32'h3edcca44,// invsqrt(6.5068) = 0.3920 +32'h3ecc46aa,32'h3fc6979e,32'h3fceb2b4, 32'h3fc0834d,32'h3fd4c705, 32'h3fb66172,32'h3fdee8e0,// invsqrt(0.3990) = 1.5832 +32'h3e1c34ae,32'h402095ff,32'h402723f5, 32'h401bab87,32'h402c0e6d, 32'h40137a14,32'h40343fe0,// invsqrt(0.1525) = 2.5604 +32'h4041234c,32'h3f106b17,32'h3f16501d, 32'h3f0bff52,32'h3f1abbe2, 32'h3f04a10b,32'h3f221a29,// invsqrt(3.0178) = 0.5756 +32'h3e595a7d,32'h400822cc,32'h400db147, 32'h4003f7ef,32'h4011dc23, 32'h3ffa0baa,32'h4018ce3d,// invsqrt(0.2123) = 2.1705 +32'h410bbb29,32'h3ea9c9fb,32'h3eb0b81a, 32'h3ea49763,32'h3eb5eab1, 32'h3e9bedbc,32'h3ebe9458,// invsqrt(8.7332) = 0.3384 +32'h3f2ff8dc,32'h3f974c5f,32'h3f9d7949, 32'h3f92aab0,32'h3fa21af8, 32'h3f8af28c,32'h3fa9d31c,// invsqrt(0.6874) = 1.2061 +32'h3edbd26b,32'h3fbf70f5,32'h3fc74153, 32'h3fb994af,32'h3fcd1d99, 32'h3fafd03a,32'h3fd6e20e,// invsqrt(0.4293) = 1.5262 +32'h4000f8a9,32'h3f30bacb,32'h3f37f170, 32'h3f2b51cf,32'h3f3d5a6b, 32'h3f224d80,32'h3f465eba,// invsqrt(2.0152) = 0.7044 +32'h400be09d,32'h3f29b33e,32'h3f30a070, 32'h3f248159,32'h3f35d255, 32'h3f1bd8db,32'h3f3e7ad3,// invsqrt(2.1856) = 0.6764 +32'h3d986647,32'h4065ebdb,32'h406f4e4d, 32'h405ee206,32'h40765822, 32'h405326f8,32'h40810998,// invsqrt(0.0744) = 3.6658 +32'h3f0cd1b9,32'h3fa921b8,32'h3fb008f8, 32'h3fa3f447,32'h3fb53669, 32'h3f9b5336,32'h3fbdd77a,// invsqrt(0.5501) = 1.3483 +32'h402d1388,32'h3f188f0d,32'h3f1ec923, 32'h3f13e37d,32'h3f2374b3, 32'h3f0c1ae2,32'h3f2b3d4e,// invsqrt(2.7043) = 0.6081 +32'h3ee915d5,32'h3fb9ea26,32'h3fc180c4, 32'h3fb4392f,32'h3fc731bb, 32'h3faabce9,32'h3fd0ae01,// invsqrt(0.4552) = 1.4821 +32'h3eae9a54,32'h3fd6ce34,32'h3fdf92b4, 32'h3fd03ad5,32'h3fe62613, 32'h3fc54535,32'h3ff11bb3,// invsqrt(0.3410) = 1.7124 +32'h3fba7b2a,32'h3f4fda0a,32'h3f5855e0, 32'h3f497d29,32'h3f5eb2c1, 32'h3f3ee25c,32'h3f694d8e,// invsqrt(1.4569) = 0.8285 +32'h4005802e,32'h3f2db4ae,32'h3f34cbba, 32'h3f286365,32'h3f3a1d03, 32'h3f1f8695,32'h3f42f9d3,// invsqrt(2.0859) = 0.6924 +32'h3f89a75d,32'h3f71ec43,32'h3f7bcc1c, 32'h3f6a8461,32'h3f819a00, 32'h3f5e2c92,32'h3f87c5e7,// invsqrt(1.0754) = 0.9643 +32'h3f5c1edf,32'h3f87470d,32'h3f8ccc90, 32'h3f8322ea,32'h3f90f0b2, 32'h3f78780c,32'h3f97d796,// invsqrt(0.8598) = 1.0784 +32'h3ef380e5,32'h3fb5e4df,32'h3fbd517a, 32'h3fb0536a,32'h3fc2e2ee, 32'h3fa70ba8,32'h3fcc2ab0,// invsqrt(0.4756) = 1.4500 +32'h3fd46f75,32'h3f42bdb8,32'h3f4ab091, 32'h3f3cc796,32'h3f50a6b4, 32'h3f32d808,32'h3f5a9642,// invsqrt(1.6597) = 0.7762 +32'h3fa5c8d2,32'h3f5c71c6,32'h3f657132, 32'h3f55b236,32'h3f6c30c2, 32'h3f4a72f0,32'h3f777008,// invsqrt(1.2952) = 0.8787 +32'h3f074999,32'h3fac8e0c,32'h3fb39911, 32'h3fa745c7,32'h3fb8e155, 32'h3f9e7800,32'h3fc1af1c,// invsqrt(0.5285) = 1.3756 +32'h3d9ecc30,32'h40613de9,32'h406a6f75, 32'h405a58c0,32'h4071549e, 32'h404edad1,32'h407cd28d,// invsqrt(0.0775) = 3.5912 +32'h3e0371dd,32'h402f0f18,32'h40363448, 32'h4029b334,32'h403b902c, 32'h4020c4b8,32'h40447ea8,// invsqrt(0.1284) = 2.7911 +32'h3f8b1928,32'h3f70a9d9,32'h3f7a7c89, 32'h3f694bd5,32'h3f80ed47, 32'h3f5d0479,32'h3f8710f5,// invsqrt(1.0867) = 0.9593 +32'h3f5745b9,32'h3f88cad9,32'h3f8e6031, 32'h3f849ad8,32'h3f929032, 32'h3f7b4056,32'h3f998adf,// invsqrt(0.8409) = 1.0905 +32'h3f80e9ca,32'h3f79fd63,32'h3f8218c3, 32'h3f725648,32'h3f85ec50, 32'h3f65951c,32'h3f8c4ce6,// invsqrt(1.0071) = 0.9965 +32'h404a5167,32'h3f0d1a94,32'h3f12dcf8, 32'h3f08c8c9,32'h3f172ec3, 32'h3f0195cc,32'h3f1e61c0,// invsqrt(3.1612) = 0.5624 +32'h3ea48c5e,32'h3fdd455a,32'h3fe64d68, 32'h3fd67f4f,32'h3fed1373, 32'h3fcb353e,32'h3ff85d84,// invsqrt(0.3214) = 1.7640 +32'h407954ca,32'h3efe3685,32'h3f044b65, 32'h3ef66e53,32'h3f082f7f, 32'h3ee975fe,32'h3f0eaba9,// invsqrt(3.8958) = 0.5066 +32'h3f89a10a,32'h3f71f1d2,32'h3f7bd1e6, 32'h3f6a89c4,32'h3f819cfa, 32'h3f5e31ac,32'h3f87c906,// invsqrt(1.0752) = 0.9644 +32'h3eec1291,32'h3fb8bc10,32'h3fc0465a, 32'h3fb31459,32'h3fc5ee11, 32'h3fa9a77c,32'h3fcf5aee,// invsqrt(0.4611) = 1.4727 +32'h3db820c6,32'h40512cf6,32'h4059b6a2, 32'h404ac5b5,32'h40601de3, 32'h4040199e,32'h406ac9fa,// invsqrt(0.0899) = 3.3351 +32'h403c2742,32'h3f12519b,32'h3f184a7d, 32'h3f0dd6f2,32'h3f1cc526, 32'h3f065fd8,32'h3f243c40,// invsqrt(2.9399) = 0.5832 +32'h410f275f,32'h3ea7bf37,32'h3eae9800, 32'h3ea29ca1,32'h3eb3ba97, 32'h3e9a0da6,32'h3ebc4992,// invsqrt(8.9471) = 0.3343 +32'h3eb37b33,32'h3fd3ddb9,32'h3fdc8382, 32'h3fcd6163,32'h3fe2ffd9, 32'h3fc29228,32'h3fedcf14,// invsqrt(0.3505) = 1.6890 +32'h3f45f678,32'h3f8ea5c5,32'h3f94784b, 32'h3f8a47e1,32'h3f98d62f, 32'h3f8300ba,32'h3fa01d56,// invsqrt(0.7733) = 1.1372 +32'h3e474712,32'h400e2d19,32'h4013fab1, 32'h4009d2e6,32'h401854e4, 32'h400291e8,32'h401f95e2,// invsqrt(0.1946) = 2.2668 +32'h3fb4fada,32'h3f52fcb1,32'h3f5b994b, 32'h3f4c873e,32'h3f620ebe, 32'h3f41c37e,32'h3f6cd27e,// invsqrt(1.4139) = 0.8410 +32'h3f1aed5c,32'h3fa13f49,32'h3fa7d427, 32'h3f9c4fa2,32'h3facc3ce, 32'h3f94158c,32'h3fb4fde4,// invsqrt(0.6052) = 1.2855 +32'h3f55fe33,32'h3f893360,32'h3f8eccfc, 32'h3f85002c,32'h3f930030, 32'h3f7c0053,32'h3f9a0033,// invsqrt(0.8359) = 1.0938 +32'h3f3bc6cd,32'h3f92772b,32'h3f987195, 32'h3f8dfb5b,32'h3f9ced65, 32'h3f868256,32'h3fa4666a,// invsqrt(0.7335) = 1.1676 +32'h4100380d,32'h3eb13f57,32'h3eb87b65, 32'h3eabd24c,32'h3ebde870, 32'h3ea2c73b,32'h3ec6f381,// invsqrt(8.0137) = 0.3533 +32'h3e07cca7,32'h402c3ab4,32'h40334253, 32'h4026f4fd,32'h4038880b, 32'h401e2b77,32'h40415191,// invsqrt(0.1326) = 2.7460 +32'h3f1d4e66,32'h3fa005f3,32'h3fa68e07, 32'h3f9b1fe4,32'h3fab7416, 32'h3f92f5ca,32'h3fb39e30,// invsqrt(0.6145) = 1.2757 +32'h3f86bae0,32'h3f7488a7,32'h3f7e83c8, 32'h3f6d0c4f,32'h3f830011, 32'h3f609266,32'h3f893d05,// invsqrt(1.0526) = 0.9747 +32'h3f03165d,32'h3faf4c26,32'h3fb673d4, 32'h3fa9ee64,32'h3fbbd196, 32'h3fa0fcca,32'h3fc4c330,// invsqrt(0.5121) = 1.3975 +32'h3f24d529,32'h3f9c53c0,32'h3fa2b536, 32'h3f978aa8,32'h3fa77e4e, 32'h3f8f90d5,32'h3faf7821,// invsqrt(0.6439) = 1.2462 +32'h3ee3438c,32'h3fbc47dd,32'h3fc3f735, 32'h3fb6845c,32'h3fc9bab6, 32'h3face92e,32'h3fd355e4,// invsqrt(0.4439) = 1.5010 +32'h3ee1391e,32'h3fbd21bd,32'h3fc4d9f9, 32'h3fb75790,32'h3fcaa426, 32'h3fadb145,32'h3fd44a71,// invsqrt(0.4399) = 1.5077 +32'h3e9f8c2a,32'h3fe0b63d,32'h3fe9e23f, 32'h3fd9d53b,32'h3ff0c341, 32'h3fce5e38,32'h3ffc3a44,// invsqrt(0.3116) = 1.7914 +32'h3f559ef0,32'h3f8951f4,32'h3f8eeccf, 32'h3f851dd0,32'h3f9320f4, 32'h3f7c387d,32'h3f9a2285,// invsqrt(0.8345) = 1.0947 +32'h3fefac25,32'h3f37578e,32'h3f3ed34a, 32'h3f31bac0,32'h3f447018, 32'h3f286014,32'h3f4dcac4,// invsqrt(1.8724) = 0.7308 +32'h3fbeb052,32'h3f4d8bb6,32'h3f55ef74, 32'h3f4740e7,32'h3f5c3a43, 32'h3f3cc439,32'h3f66b6f1,// invsqrt(1.4898) = 0.8193 +32'h3fe9e271,32'h3f3998c2,32'h3f412c0e, 32'h3f33ea49,32'h3f46da87, 32'h3f2a722a,32'h3f5052a6,// invsqrt(1.8272) = 0.7398 +32'h40e9c9f2,32'h3eb9a27b,32'h3ec1362d, 32'h3eb3f3b6,32'h3ec6e4f2, 32'h3eaa7b18,32'h3ed05d90,// invsqrt(7.3059) = 0.3700 +32'h40306c11,32'h3f171af0,32'h3f1d45d6, 32'h3f127ac4,32'h3f21e602, 32'h3f0ac526,32'h3f299ba0,// invsqrt(2.7566) = 0.6023 +32'h42c6156a,32'h3dc9ac2a,32'h3dd1e770, 32'h3dc37fb6,32'h3dd813e4, 32'h3db9359f,32'h3de25dfb,// invsqrt(99.0418) = 0.1005 +32'h3f5505e1,32'h3f898340,32'h3f8f201e, 32'h3f854d99,32'h3f9355c5, 32'h3f7c9308,32'h3f9a59da,// invsqrt(0.8321) = 1.0962 +32'h3fc681d9,32'h3f49750e,32'h3f51ae13, 32'h3f434a49,32'h3f57d8d7, 32'h3f390302,32'h3f62201e,// invsqrt(1.5508) = 0.8030 +32'h3fe6d0a6,32'h3f3ad3a4,32'h3f4273ca, 32'h3f351b87,32'h3f482be7, 32'h3f2b9358,32'h3f51b416,// invsqrt(1.8032) = 0.7447 +32'h3d8e3455,32'h406e0544,32'h4077bc56, 32'h4066bbf6,32'h407f05a4, 32'h405a971f,32'h4085953e,// invsqrt(0.0694) = 3.7950 +32'h4017bea8,32'h3f22ede7,32'h3f299458, 32'h3f1df111,32'h3f2e912d, 32'h3f15a102,32'h3f36e13c,// invsqrt(2.3710) = 0.6494 +32'h40423d4a,32'h3f10021c,32'h3f15e2da, 32'h3f0b998e,32'h3f1a4b68, 32'h3f0440a2,32'h3f21a454,// invsqrt(3.0350) = 0.5740 +32'h3f9e0b7a,32'h3f61c712,32'h3f6afe37, 32'h3f5addb5,32'h3f71e793, 32'h3f4f58c7,32'h3f7d6c81,// invsqrt(1.2347) = 0.8999 +32'h3fa7699d,32'h3f5b5eb1,32'h3f6452e3, 32'h3f54a78d,32'h3f6b0a07, 32'h3f49764f,32'h3f763b45,// invsqrt(1.3079) = 0.8744 +32'h3e965832,32'h3fe77cc2,32'h3ff0ef90, 32'h3fe066a7,32'h3ff805ab, 32'h3fd49725,32'h4001ea97,// invsqrt(0.2936) = 1.8454 +32'h40a1afdb,32'h3edf3861,32'h3ee854ce, 32'h3ed86310,32'h3eef2a20, 32'h3eccff89,32'h3efa8da7,// invsqrt(5.0527) = 0.4449 +32'h3c775429,32'h40ff3d70,32'h4104d438, 32'h40f76d31,32'h4108bc58, 32'h40ea6772,32'h410f3f37,// invsqrt(0.0151) = 8.1390 +32'h429f1f0d,32'h3de1033b,32'h3dea3262, 32'h3dda1fde,32'h3df115c0, 32'h3dcea4ee,32'h3dfc90b1,// invsqrt(79.5606) = 0.1121 +32'h3fae6128,32'h3f56f168,32'h3f5fb758, 32'h3f505cf5,32'h3f664bcb, 32'h3f45658a,32'h3f714336,// invsqrt(1.3623) = 0.8568 +32'h3fb4738d,32'h3f534bbc,32'h3f5beb8f, 32'h3f4cd3dd,32'h3f62636d, 32'h3f420c14,32'h3f6d2b36,// invsqrt(1.4098) = 0.8422 +32'h3df827b9,32'h40342e60,32'h403b8916, 32'h402eaa58,32'h40410d1e, 32'h402578f5,32'h404a3e81,// invsqrt(0.1212) = 2.8728 +32'h4164a155,32'h3e84bc75,32'h3e8a276a, 32'h3e80ac3d,32'h3e8e37a1, 32'h3e73cd15,32'h3e94fd54,// invsqrt(14.2894) = 0.2645 +32'h3fb2a6c1,32'h3f545b8d,32'h3f5d0678, 32'h3f4ddb5c,32'h3f6386a8, 32'h3f4305b5,32'h3f6e5c4f,// invsqrt(1.3957) = 0.8465 +32'h3f8ceba9,32'h3f6f1a34,32'h3f78dc94, 32'h3f67c86c,32'h3f80172e, 32'h3f5b9574,32'h3f8630aa,// invsqrt(1.1009) = 0.9531 +32'h3f5ead74,32'h3f867fa5,32'h3f8bfd05, 32'h3f82619e,32'h3f901b0c, 32'h3f7709cc,32'h3f96f7c4,// invsqrt(0.8698) = 1.0722 +32'h3e094c4f,32'h402b4969,32'h4032472f, 32'h40260b15,32'h40378583, 32'h401d4dde,32'h404042ba,// invsqrt(0.1341) = 2.7310 +32'h3e63ba5a,32'h4004ffb4,32'h400a6d68, 32'h4000ed6d,32'h400e7faf, 32'h3ff44899,32'h401548cf,// invsqrt(0.2224) = 2.1205 +32'h3f1a4a97,32'h3fa19441,32'h3fa82c97, 32'h3f9ca200,32'h3fad1ed8, 32'h3f946394,32'h3fb55d44,// invsqrt(0.6027) = 1.2881 +32'h3fc47586,32'h3f4a8130,32'h3f52c528, 32'h3f444e37,32'h3f58f821, 32'h3f39f941,32'h3f634d17,// invsqrt(1.5348) = 0.8072 +32'h3b0a8872,32'h41aa8588,32'h41b17b4f, 32'h41a54d33,32'h41b6b3a5, 32'h419c99fb,32'h41bf66dd,// invsqrt(0.0021) = 21.7502 +32'h4091985e,32'h3eeb3b8c,32'h3ef4d57c, 32'h3ee40817,32'h3efc08f1, 32'h3ed807aa,32'h3f0404af,// invsqrt(4.5498) = 0.4688 +32'h4054a014,32'h3f09a428,32'h3f0f425e, 32'h3f056d80,32'h3f137906, 32'h3efccf79,32'h3f1a7eca,// invsqrt(3.3223) = 0.5486 +32'h3d2fd011,32'h40975deb,32'h409d8b8d, 32'h4092bbb3,32'h40a22dc5, 32'h408b02a9,32'h40a9e6cf,// invsqrt(0.0429) = 4.8267 +32'h407c55f0,32'h3efcb1ed,32'h3f03812a, 32'h3ef4f59e,32'h3f075f51, 32'h3ee8111d,32'h3f0dd192,// invsqrt(3.9427) = 0.5036 +32'h3d60022d,32'h40861934,32'h408b9266, 32'h4081fe50,32'h408fad4a, 32'h40764da4,32'h409684c8,// invsqrt(0.0547) = 4.2761 +32'h4045a5e8,32'h3f0ec2d4,32'h3f14968a, 32'h3f0a640d,32'h3f18f551, 32'h3f031b6a,32'h3f203df4,// invsqrt(3.0883) = 0.5690 +32'h3fd3d105,32'h3f430680,32'h3f4afc50, 32'h3f3d0e23,32'h3f50f4ad, 32'h3f331ade,32'h3f5ae7f2,// invsqrt(1.6548) = 0.7774 +32'h3f787fbb,32'h3f7ea368,32'h3f84840f, 32'h3f76d7e1,32'h3f8869d4, 32'h3f69d9fd,32'h3f8ee8c5,// invsqrt(0.9707) = 1.0150 +32'h3fe4682f,32'h3f3bcf19,32'h3f437983, 32'h3f360f4a,32'h3f493952, 32'h3f2c7a46,32'h3f52ce56,// invsqrt(1.7844) = 0.7486 +32'h4003439b,32'h3f2f2dee,32'h3f365460, 32'h3f29d118,32'h3f3bb136, 32'h3f20e10a,32'h3f44a145,// invsqrt(2.0510) = 0.6983 +32'h42c3433b,32'h3dcb1fc6,32'h3dd36a36, 32'h3dc4e7f1,32'h3dd9a20b, 32'h3dba8ae5,32'h3de3ff17,// invsqrt(97.6313) = 0.1012 +32'h41fd8336,32'h3e324463,32'h3e398b19, 32'h3e2ccf5b,32'h3e3f0021, 32'h3e23b6f8,32'h3e481884,// invsqrt(31.6891) = 0.1776 +32'h3fde08a8,32'h3f3e7c3d,32'h3f46429d, 32'h3f38a774,32'h3f4c1766, 32'h3f2eef7c,32'h3f55cf5e,// invsqrt(1.7346) = 0.7593 +32'h3fb74422,32'h3f51aabb,32'h3f5a3989, 32'h3f4b3fa0,32'h3f60a4a4, 32'h3f408d1f,32'h3f6b5725,// invsqrt(1.4318) = 0.8357 +32'h40d191e4,32'h3ec41165,32'h3ecc121a, 32'h3ebe10dc,32'h3ed212a2, 32'h3eb40ff9,32'h3edc1385,// invsqrt(6.5491) = 0.3908 +32'h408868ae,32'h3ef30637,32'h3efcf193, 32'h3eeb95b3,32'h3f02310b, 32'h3edf2f81,32'h3f086424,// invsqrt(4.2628) = 0.4843 +32'h3e62c804,32'h400546b1,32'h400ab74b, 32'h4001323e,32'h400ecbbe, 32'h3ff4cafc,32'h4015987e,// invsqrt(0.2215) = 2.1249 +32'h3d2d0e93,32'h4098913c,32'h409ecb68, 32'h4093e59b,32'h40a37709, 32'h408c1ce3,32'h40ab3fc1,// invsqrt(0.0423) = 4.8650 +32'h3f513ce0,32'h3f8ac03e,32'h3f906a0c, 32'h3f8680e3,32'h3f94a967, 32'h3f7ed943,32'h3f9bbda9,// invsqrt(0.8173) = 1.1061 +32'h3fac5d6f,32'h3f583208,32'h3f61050e, 32'h3f5193c4,32'h3f67a352, 32'h3f468bfd,32'h3f72ab19,// invsqrt(1.3466) = 0.8617 +32'h3f73f5e5,32'h3f807f7b,32'h3f85be27, 32'h3f7920f4,32'h3f89ad28, 32'h3f6c0445,32'h3f903b80,// invsqrt(0.9530) = 1.0244 +32'h40483664,32'h3f0dd806,32'h3f13a226, 32'h3f09806e,32'h3f17f9be, 32'h3f0243c7,32'h3f1f3665,// invsqrt(3.1283) = 0.5654 +32'h408a87f7,32'h3ef127d6,32'h3efaffaa, 32'h3ee9c5f6,32'h3f0130c5, 32'h3edd782d,32'h3f0757aa,// invsqrt(4.3291) = 0.4806 +32'h3f02e2a0,32'h3faf6ec9,32'h3fb697e1, 32'h3faa0ff7,32'h3fbbf6b3, 32'h3fa11c99,32'h3fc4ea11,// invsqrt(0.5113) = 1.3985 +32'h3fc24dea,32'h3f4b9fd7,32'h3f53ef81, 32'h3f456417,32'h3f5a2b41, 32'h3f3b0081,32'h3f648ed7,// invsqrt(1.5180) = 0.8116 +32'h3f2bc2f1,32'h3f99243f,32'h3f9f646b, 32'h3f94741e,32'h3fa4148c, 32'h3f8ca3e6,32'h3fabe4c4,// invsqrt(0.6709) = 1.2208 +32'h3ebc00c8,32'h3fcf0239,32'h3fd77541, 32'h3fc8abf4,32'h3fddcb86, 32'h3fbe1c2a,32'h3fe85b50,// invsqrt(0.3672) = 1.6503 +32'h401ed728,32'h3f1f3fa1,32'h3f25bf9d, 32'h3f1a5fa4,32'h3f2a9f9a, 32'h3f123fa9,32'h3f32bf95,// invsqrt(2.4819) = 0.6348 +32'h3f21e741,32'h3f9dbc2a,32'h3fa42c56, 32'h3f98e80a,32'h3fa90076, 32'h3f90dbd3,32'h3fb10cad,// invsqrt(0.6324) = 1.2575 +32'h3f488448,32'h3f8dbc76,32'h3f938576, 32'h3f8965b6,32'h3f97dc36, 32'h3f822a77,32'h3f9f1775,// invsqrt(0.7833) = 1.1299 +32'h3e82a3a1,32'h3ff8553b,32'h40013c07, 32'h3ff0bb1d,32'h40050917, 32'h3fe40f94,32'h400b5edb,// invsqrt(0.2552) = 1.9797 +32'h40a04acd,32'h3ee03075,32'h3ee95701, 32'h3ed9538b,32'h3ef033eb, 32'h3ecde35c,32'h3efba41b,// invsqrt(5.0091) = 0.4468 +32'h3f44c062,32'h3f8f1601,32'h3f94ed1b, 32'h3f8ab4ad,32'h3f994e6f, 32'h3f8367cd,32'h3fa09b4f,// invsqrt(0.7686) = 1.1407 +32'h3f8b5e84,32'h3f706def,32'h3f7a3e2d, 32'h3f6911c0,32'h3f80cd2e, 32'h3f5ccd73,32'h3f86ef54,// invsqrt(1.0888) = 0.9583 +32'h3f0d1b16,32'h3fa8f5bb,32'h3fafdb30, 32'h3fa3c9a2,32'h3fb50748, 32'h3f9b2ad0,32'h3fbda61a,// invsqrt(0.5512) = 1.3469 +32'h3ef585d5,32'h3fb524fd,32'h3fbc89c4, 32'h3faf9969,32'h3fc21559, 32'h3fa65b71,32'h3fcb5351,// invsqrt(0.4795) = 1.4441 +32'h4010b606,32'h3f26d789,32'h3f2da6dd, 32'h3f21bc0a,32'h3f32c25c, 32'h3f1938e1,32'h3f3b4585,// invsqrt(2.2611) = 0.6650 +32'h3fb3e1b9,32'h3f53a150,32'h3f5c44a2, 32'h3f4d26d3,32'h3f62bf1f, 32'h3f425aad,32'h3f6d8b45,// invsqrt(1.4053) = 0.8436 +32'h4300bcf4,32'h3db0e3c2,32'h3db81c13, 32'h3dab7985,32'h3dbd864f, 32'h3da2731f,32'h3dc68cb5,// invsqrt(128.7381) = 0.0881 +32'h4203fab9,32'h3e2eb43d,32'h3e35d5b7, 32'h3e295b21,32'h3e3b2ed3, 32'h3e207147,32'h3e4418ad,// invsqrt(32.9948) = 0.1741 +32'h40014c3f,32'h3f3081a2,32'h3f37b5f2, 32'h3f2b1a66,32'h3f3d1d2e, 32'h3f221903,32'h3f461e91,// invsqrt(2.0203) = 0.7035 +32'h408829d2,32'h3ef33e49,32'h3efd2bee, 32'h3eebcc0e,32'h3f024f15, 32'h3edf6300,32'h3f08839c,// invsqrt(4.2551) = 0.4848 +32'h400196ec,32'h3f304ebf,32'h3f3780fc, 32'h3f2ae913,32'h3f3ce6a9, 32'h3f21ea48,32'h3f45e574,// invsqrt(2.0248) = 0.7028 +32'h3e040790,32'h402eabbe,32'h4035cce0, 32'h402952e5,32'h403b25b9, 32'h4020697a,32'h40440f24,// invsqrt(0.1289) = 2.7849 +32'h41ef611a,32'h3e377448,32'h3e3ef131, 32'h3e31d69a,32'h3e448ee0, 32'h3e287a77,32'h3e4deb03,// invsqrt(29.9224) = 0.1828 +32'h3e9a0bb2,32'h3fe4b084,32'h3fee0616, 32'h3fddb056,32'h3ff50644, 32'h3fd2055f,32'h4000589e,// invsqrt(0.3009) = 1.8231 +32'h400f8749,32'h3f278721,32'h3f2e5da0, 32'h3f226643,32'h3f337e7f, 32'h3f19da24,32'h3f3c0a9e,// invsqrt(2.2426) = 0.6678 +32'h3ed27e74,32'h3fc3a319,32'h3fcb9f4e, 32'h3fbda5f0,32'h3fd19c76, 32'h3fb3aaae,32'h3fdb97b8,// invsqrt(0.4111) = 1.5596 +32'h3f3b2386,32'h3f92b702,32'h3f98b408, 32'h3f8e393e,32'h3f9d31cc, 32'h3f86bcf8,32'h3fa4ae12,// invsqrt(0.7310) = 1.1696 +32'h3f8d5d00,32'h3f6eba47,32'h3f7878bd, 32'h3f676b6f,32'h3f7fc795, 32'h3f5b3d5b,32'h3f85fad4,// invsqrt(1.1044) = 0.9516 +32'h3f72208c,32'h3f80fbc9,32'h3f863f89, 32'h3f7a11f4,32'h3f8a3258, 32'h3f6ce897,32'h3f90c707,// invsqrt(0.9458) = 1.0282 +32'h3f8de83f,32'h3f6e450a,32'h3f77feb7, 32'h3f66f9c9,32'h3f7f49f9, 32'h3f5ad1b1,32'h3f85b909,// invsqrt(1.1087) = 0.9497 +32'h3f7ff11b,32'h3f7ae894,32'h3f829328, 32'h3f733a46,32'h3f866a4f, 32'h3f666d1a,32'h3f8cd0e5,// invsqrt(0.9998) = 1.0001 +32'h3f0bffd8,32'h3fa9a04f,32'h3fb08cbb, 32'h3fa46efe,32'h3fb5be0c, 32'h3f9bc778,32'h3fbe6592,// invsqrt(0.5469) = 1.3522 +32'h403e417b,32'h3f118211,32'h3f17727b, 32'h3f0d0dc2,32'h3f1be6ca, 32'h3f05a13f,32'h3f23534d,// invsqrt(2.9727) = 0.5800 +32'h409ad9fa,32'h3ee417ff,32'h3eed6757, 32'h3edd1c7c,32'h3ef462da, 32'h3ed1794d,32'h3f000304,// invsqrt(4.8391) = 0.4546 +32'h3eb216b7,32'h3fd4b15c,32'h3fdd5fc8, 32'h3fce2e8b,32'h3fe3e299, 32'h3fc35483,32'h3feebca1,// invsqrt(0.3478) = 1.6956 +32'h3f1fcf95,32'h3f9ec3aa,32'h3fa53e96, 32'h3f99e778,32'h3faa1ac8, 32'h3f91cdd0,32'h3fb23470,// invsqrt(0.6243) = 1.2657 +32'h3f0aea1a,32'h3faa498e,32'h3fb13ce2, 32'h3fa5130f,32'h3fb67361, 32'h3f9c62e6,32'h3fbf238a,// invsqrt(0.5426) = 1.3575 +32'h40499d1b,32'h3f0d599e,32'h3f131e94, 32'h3f0905e5,32'h3f17724d, 32'h3f01cfb0,32'h3f1ea882,// invsqrt(3.1502) = 0.5634 +32'h41141636,32'h3ea4ede9,32'h3eaba941, 32'h3e9fe167,32'h3eb0b5c3, 32'h3e977739,32'h3eb91ff1,// invsqrt(9.2554) = 0.3287 +32'h3f545856,32'h3f89bb66,32'h3f8f5a8f, 32'h3f858408,32'h3f9391ee, 32'h3f7cfa2a,32'h3f9a98e1,// invsqrt(0.8295) = 1.0980 +32'h3f46eb1b,32'h3f8e4df2,32'h3f941ce2, 32'h3f89f2be,32'h3f987816, 32'h3f82b013,32'h3f9fbac1,// invsqrt(0.7770) = 1.1344 +32'h3b8e95a9,32'h416db3f9,32'h417767bb, 32'h41666d29,32'h417eae8b, 32'h415a4c77,32'h4185679e,// invsqrt(0.0044) = 15.1596 +32'h3ec32fb2,32'h3fcb29ef,32'h3fd374ca, 32'h3fc4f1cc,32'h3fd9acee, 32'h3fba943a,32'h3fe40a80,// invsqrt(0.3812) = 1.6196 +32'h3f1e7e43,32'h3f9f6c43,32'h3fa5ee11, 32'h3f9a8ae8,32'h3faacf6c, 32'h3f9268a6,32'h3fb2f1ae,// invsqrt(0.6191) = 1.2709 +32'h3fdd4334,32'h3f3ed128,32'h3f469b00, 32'h3f38f9c6,32'h3f4c7262, 32'h3f2f3d78,32'h3f562eb0,// invsqrt(1.7286) = 0.7606 +32'h40ad0eca,32'h3ed7c323,32'h3ee091a2, 32'h3ed12844,32'h3ee72c82, 32'h3ec62626,32'h3ef22ea0,// invsqrt(5.4081) = 0.4300 +32'h3fc1ea68,32'h3f4bd40f,32'h3f5425db, 32'h3f4596b6,32'h3f5a6334, 32'h3f3b3076,32'h3f64c974,// invsqrt(1.5150) = 0.8125 +32'h422a36c2,32'h3e19d611,32'h3e201d7f, 32'h3e15207e,32'h3e24d312, 32'h3e0d4734,32'h3e2cac5c,// invsqrt(42.5535) = 0.1533 +32'h3e7a9f63,32'h3ffd8ea3,32'h4003f406, 32'h3ff5cb94,32'h4007d58e, 32'h3fe8dbcf,32'h400e4d70,// invsqrt(0.2447) = 2.0213 +32'h3feeecc6,32'h3f37a0ec,32'h3f3f1fa8, 32'h3f3201e0,32'h3f44beb4, 32'h3f28a376,32'h3f4e1d1e,// invsqrt(1.8666) = 0.7319 +32'h3f97a57e,32'h3f667dd2,32'h3f6fe639, 32'h3f5f6f86,32'h3f76f486, 32'h3f53ad05,32'h3f815b83,// invsqrt(1.1847) = 0.9187 +32'h3e74e7f5,32'h40003fea,32'h40057bfe, 32'h3ff8a5b6,32'h4009690d, 32'h3feb8f84,32'h400ff426,// invsqrt(0.2392) = 2.0448 +32'h3fde3239,32'h3f3e6a6b,32'h3f463011, 32'h3f38962e,32'h3f4c044e, 32'h3f2edf1e,32'h3f55bb5e,// invsqrt(1.7359) = 0.7590 +32'h3f0e4716,32'h3fa8433b,32'h3faf2167, 32'h3fa31c9a,32'h3fb44808, 32'h3f9a86e2,32'h3fbcddc0,// invsqrt(0.5558) = 1.3414 +32'h3fa21098,32'h3f5ef5b8,32'h3f680f6c, 32'h3f582271,32'h3f6ee2b3, 32'h3f4cc250,32'h3f7a42d4,// invsqrt(1.2661) = 0.8887 +32'h3eee8582,32'h3fb7c8a8,32'h3fbf4902, 32'h3fb22864,32'h3fc4e946, 32'h3fa8c7f3,32'h3fce49b7,// invsqrt(0.4659) = 1.4651 +32'h3fb3a010,32'h3f53c7fb,32'h3f5c6ce0, 32'h3f4d4c4e,32'h3f62e88c, 32'h3f427e2f,32'h3f6db6ab,// invsqrt(1.4033) = 0.8442 +32'h40487d8f,32'h3f0dbed7,32'h3f1387ef, 32'h3f096804,32'h3f17dec2, 32'h3f022ca6,32'h3f1f1a20,// invsqrt(3.1327) = 0.5650 +32'h4063e6d6,32'h3f04f2b9,32'h3f0a5fe5, 32'h3f00e0d8,32'h3f0e71c6, 32'h3ef430c1,32'h3f153a3d,// invsqrt(3.5610) = 0.5299 +32'h40b0d006,32'h3ed57580,32'h3ede2bee, 32'h3eceecae,32'h3ee4b4c0, 32'h3ec408a5,32'h3eef98c9,// invsqrt(5.5254) = 0.4254 +32'h3dec39f1,32'h4038acaa,32'h40403653, 32'h4033056c,32'h4045dd92, 32'h40299959,32'h404f49a5,// invsqrt(0.1153) = 2.9444 +32'h3fc07b21,32'h3f4c962a,32'h3f54efe2, 32'h3f4652df,32'h3f5b332d, 32'h3f3be2b9,32'h3f65a353,// invsqrt(1.5038) = 0.8155 +32'h400df588,32'h3f287389,32'h3f2f53ae, 32'h3f234b6e,32'h3f347bca, 32'h3f1ab340,32'h3f3d13f8,// invsqrt(2.2181) = 0.6714 +32'h3ed583ef,32'h3fc23f7a,32'h3fca2d2c, 32'h3fbc4d35,32'h3fd01f71, 32'h3fb26418,32'h3fda088f,// invsqrt(0.4170) = 1.5485 +32'h3e8aa6e2,32'h3ff10cf1,32'h3ffae3ad, 32'h3fe9abe5,32'h4001225d, 32'h3fdd5f7a,32'h40074892,// invsqrt(0.2708) = 1.9216 +32'h4003c760,32'h3f2ed643,32'h3f35f921, 32'h3f297c1c,32'h3f3b5348, 32'h3f209087,32'h3f443edd,// invsqrt(2.0590) = 0.6969 +32'h3f80c561,32'h3f7a20b8,32'h3f822b26, 32'h3f727888,32'h3f85ff3e, 32'h3f65b58f,32'h3f8c60bb,// invsqrt(1.0060) = 0.9970 +32'h3f5bfc00,32'h3f8751c5,32'h3f8cd7b9, 32'h3f832d4f,32'h3f90fc2f, 32'h3f788bbe,32'h3f97e39f,// invsqrt(0.8593) = 1.0788 +32'h3ee50059,32'h3fbb90a9,32'h3fc33887, 32'h3fb5d2c4,32'h3fc8f66c, 32'h3fac40ef,32'h3fd28841,// invsqrt(0.4473) = 1.4953 +32'h3f2deec8,32'h3f982ec7,32'h3f9e64ef, 32'h3f93862a,32'h3fa30d8c, 32'h3f8bc278,32'h3faad13e,// invsqrt(0.6794) = 1.2132 +32'h3f5d3198,32'h3f86f2f1,32'h3f8c7505, 32'h3f82d162,32'h3f909694, 32'h3f77dd91,32'h3f97792e,// invsqrt(0.8640) = 1.0758 +32'h3fab5361,32'h3f58d9a5,32'h3f61b381, 32'h3f52363f,32'h3f6856e7, 32'h3f4725eb,32'h3f73673b,// invsqrt(1.3385) = 0.8644 +32'h404f29f7,32'h3f0b7198,32'h3f1122a3, 32'h3f072ccf,32'h3f15676b, 32'h3f000f80,32'h3f1c84ba,// invsqrt(3.2369) = 0.5558 +32'h3f2ec586,32'h3f97d12c,32'h3f9e0382, 32'h3f932b6c,32'h3fa2a942, 32'h3f8b6c82,32'h3faa682c,// invsqrt(0.6827) = 1.2103 +32'h3f89661c,32'h3f7225af,32'h3f7c07df, 32'h3f6abc0a,32'h3f81b8c2, 32'h3f5e614d,32'h3f87e621,// invsqrt(1.0734) = 0.9652 +32'h3fd2e831,32'h3f437208,32'h3f4b6c3c, 32'h3f3d7660,32'h3f5167e4, 32'h3f337d9f,32'h3f5b60a5,// invsqrt(1.6477) = 0.7790 +32'h3f13ffc6,32'h3fa4fa69,32'h3fabb643, 32'h3f9fed85,32'h3fb0c327, 32'h3f9782b4,32'h3fb92df8,// invsqrt(0.5781) = 1.3152 +32'h3e6b7f63,32'h4002c955,32'h40081feb, 32'h3ffd90ca,32'h400c20db, 32'h3ff03853,32'h4012cd16,// invsqrt(0.2300) = 2.0852 +32'h3f47fdce,32'h3f8dec15,32'h3f93b707, 32'h3f8993e0,32'h3f980f3c, 32'h3f825633,32'h3f9f4ce9,// invsqrt(0.7812) = 1.1314 +32'h3f9468dd,32'h3f68fdd0,32'h3f728056, 32'h3f61dbec,32'h3f79a23a, 32'h3f55f8c4,32'h3f82c2b1,// invsqrt(1.1595) = 0.9287 +32'h3c333392,32'h4115edcb,32'h411c0c65, 32'h411156d7,32'h4120a359, 32'h4109b096,32'h4128499a,// invsqrt(0.0109) = 9.5618 +32'h3fb4d958,32'h3f53103c,32'h3f5bada2, 32'h3f4c9a30,32'h3f6223ae, 32'h3f41d571,32'h3f6ce86d,// invsqrt(1.4129) = 0.8413 +32'h3f68dffd,32'h3f838557,32'h3f88e399, 32'h3f7efd4a,32'h3f8cea4b, 32'h3f7191a5,32'h3f93a01e,// invsqrt(0.9097) = 1.0485 +32'h3f58f60c,32'h3f88424b,32'h3f8dd20f, 32'h3f841678,32'h3f91fde2, 32'h3f7a4584,32'h3f98f198,// invsqrt(0.8475) = 1.0862 +32'h3d6588ea,32'h4084796e,32'h4089e1a6, 32'h40806b43,32'h408defd1, 32'h407351f8,32'h4094b218,// invsqrt(0.0560) = 4.2243 +32'h405c7867,32'h3f072b92,32'h3f0caff6, 32'h3f030847,32'h3f10d341, 32'h3ef84594,32'h3f17b8be,// invsqrt(3.4448) = 0.5388 +32'h3e1d5904,32'h4020008d,32'h40268869, 32'h401b1aa8,32'h402b6e4e, 32'h4012f0d5,32'h40339821,// invsqrt(0.1537) = 2.5511 +32'h3efb47bb,32'h3fb30ea7,32'h3fba5d9f, 32'h3fad936e,32'h3fbfd8d8, 32'h3fa470b9,32'h3fc8fb8d,// invsqrt(0.4908) = 1.4274 +32'h3f8d4c87,32'h3f6ec831,32'h3f788739, 32'h3f6778ec,32'h3f7fd67e, 32'h3f5b4a23,32'h3f8602a4,// invsqrt(1.1039) = 0.9518 +32'h3f2c1d6e,32'h3f98fbf8,32'h3f9f3a7f, 32'h3f944d12,32'h3fa3e964, 32'h3f8c7ee8,32'h3fabb78e,// invsqrt(0.6723) = 1.2196 +32'h3fb5f943,32'h3f526901,32'h3f5aff93, 32'h3f4bf813,32'h3f617081, 32'h3f413bdc,32'h3f6c2cb8,// invsqrt(1.4217) = 0.8387 +32'h42b96817,32'h3dd07401,32'h3dd8f620, 32'h3dca1269,32'h3ddf57b7, 32'h3dbf6fc2,32'h3de9fa5e,// invsqrt(92.7033) = 0.1039 +32'h40a6aaf6,32'h3edbdc05,32'h3ee4d554, 32'h3ed5210b,32'h3eeb904f, 32'h3ec9e968,32'h3ef6c7f2,// invsqrt(5.2084) = 0.4382 +32'h3dc345ad,32'h404b1e80,32'h405368e4, 32'h4044e6b6,32'h4059a0ae, 32'h403a89ba,32'h4063fdaa,// invsqrt(0.0953) = 3.2385 +32'h3f3cdf56,32'h3f920a3c,32'h3f980034, 32'h3f8d91c2,32'h3f9c78ae, 32'h3f861e4c,32'h3fa3ec24,// invsqrt(0.7378) = 1.1642 +32'h3fa8748c,32'h3f5ab09e,32'h3f639db4, 32'h3f53fecd,32'h3f6a4f85, 32'h3f48d672,32'h3f7577e0,// invsqrt(1.3161) = 0.8717 +32'h404d4e2e,32'h3f0c12ce,32'h3f11ca6e, 32'h3f07c916,32'h3f161426, 32'h3f00a38e,32'h3f1d39ae,// invsqrt(3.2079) = 0.5583 +32'h40633a58,32'h3f052525,32'h3f0a9460, 32'h3f0111b9,32'h3f0ea7cd, 32'h3ef48d5f,32'h3f1572d6,// invsqrt(3.5504) = 0.5307 +32'h3fabc202,32'h3f5893c3,32'h3f616ac6, 32'h3f51f282,32'h3f680c08, 32'h3f46e5be,32'h3f7318cc,// invsqrt(1.3419) = 0.8633 +32'h3ec479db,32'h3fca7ef5,32'h3fd2c2d5, 32'h3fc44c0d,32'h3fd8f5bd, 32'h3fb9f734,32'h3fe34a96,// invsqrt(0.3837) = 1.6143 +32'h4004a0e9,32'h3f2e46a6,32'h3f3563a8, 32'h3f28f0e5,32'h3f3ab969, 32'h3f200ca3,32'h3f439dab,// invsqrt(2.0723) = 0.6947 +32'h3ce25daf,32'h40bca75d,32'h40c45a9b, 32'h40b6e0ef,32'h40ca2109, 32'h40ad40e3,32'h40d3c115,// invsqrt(0.0276) = 6.0157 +32'h3f1a4911,32'h3fa1950d,32'h3fa82d6b, 32'h3f9ca2c6,32'h3fad1fb2, 32'h3f946450,32'h3fb55e28,// invsqrt(0.6027) = 1.2881 +32'h3fcc74d5,32'h3f468131,32'h3f4e9b5d, 32'h3f406d90,32'h3f54aefe, 32'h3f364cda,32'h3f5ecfb5,// invsqrt(1.5973) = 0.7912 +32'h404f0e9c,32'h3f0b7acd,32'h3f112c39, 32'h3f0735bc,32'h3f15714a, 32'h3f0017f6,32'h3f1c8f10,// invsqrt(3.2353) = 0.5560 +32'h3fe17626,32'h3f3d0822,32'h3f44bf53, 32'h3f373ebe,32'h3f4a88b8, 32'h3f2d99c2,32'h3f542db4,// invsqrt(1.7614) = 0.7535 +32'h414410f0,32'h3e8f55f8,32'h3e952fae, 32'h3e8af2af,32'h3e9992f7, 32'h3e83a28b,32'h3ea0e31b,// invsqrt(12.2541) = 0.2857 +32'h3f5d2cf7,32'h3f86f45a,32'h3f8c767e, 32'h3f82d2c0,32'h3f909818, 32'h3f77e029,32'h3f977ac4,// invsqrt(0.8640) = 1.0758 +32'h3f5a05c5,32'h3f87ed48,32'h3f8d7994, 32'h3f83c40f,32'h3f91a2cd, 32'h3f79a960,32'h3f98922c,// invsqrt(0.8517) = 1.0836 +32'h3f3fa53a,32'h3f90fac5,32'h3f96e5a9, 32'h3f8c8a9b,32'h3f9b55d3, 32'h3f8524fe,32'h3fa2bb70,// invsqrt(0.7486) = 1.1558 +32'h3ef83758,32'h3fb428b5,32'h3fbb832f, 32'h3faea4d9,32'h3fc1070b, 32'h3fa573c0,32'h3fca3824,// invsqrt(0.4848) = 1.4362 +32'h3f8de6e5,32'h3f6e462d,32'h3f77ffe5, 32'h3f66fae2,32'h3f7f4b30, 32'h3f5ad2bb,32'h3f85b9ab,// invsqrt(1.1086) = 0.9498 +32'h3e9d5c5c,32'h3fe2448f,32'h3feb80d4, 32'h3fdb575c,32'h3ff26e08, 32'h3fcfcc07,32'h3ffdf95d,// invsqrt(0.3073) = 1.8038 +32'h3ecc468e,32'h3fc697ac,32'h3fceb2c2, 32'h3fc0835b,32'h3fd4c713, 32'h3fb6617e,32'h3fdee8f0,// invsqrt(0.3990) = 1.5832 +32'h3eda82d6,32'h3fc003be,32'h3fc7da1a, 32'h3fba22fa,32'h3fcdbade, 32'h3fb05708,32'h3fd786d0,// invsqrt(0.4268) = 1.5307 +32'h3f8c5340,32'h3f6f9bea,32'h3f796396, 32'h3f68462a,32'h3f805cab, 32'h3f5c0c93,32'h3f867976,// invsqrt(1.0963) = 0.9551 +32'h3f297098,32'h3f9a2fec,32'h3fa07b05, 32'h3f957798,32'h3fa53358, 32'h3f8d99b9,32'h3fad1137,// invsqrt(0.6619) = 1.2292 +32'h40eaf21d,32'h3eb92d55,32'h3ec0bc3f, 32'h3eb38226,32'h3ec6676e, 32'h3eaa0f83,32'h3ecfda11,// invsqrt(7.3421) = 0.3691 +32'h3fe1fe97,32'h3f3ccf0a,32'h3f4483e6, 32'h3f370765,32'h3f4a4b8b, 32'h3f2d6552,32'h3f53ed9e,// invsqrt(1.7656) = 0.7526 +32'h3d47460a,32'h408e2d77,32'h4093fb13, 32'h4089d342,32'h40985548, 32'h4082923e,32'h409f964c,// invsqrt(0.0487) = 4.5337 +32'h3cb2717d,32'h40d47b3c,32'h40dd2772, 32'h40cdfa13,32'h40e3a89b, 32'h40c322ce,32'h40ee7fe0,// invsqrt(0.0218) = 6.7756 +32'h3fcf438c,32'h3f4527d9,32'h3f4d33ed, 32'h3f3f1ecb,32'h3f533cfb, 32'h3f350fb3,32'h3f5d4c13,// invsqrt(1.6192) = 0.7859 +32'h3f9345ba,32'h3f69e3aa,32'h3f736f92, 32'h3f62babc,32'h3f7a9880, 32'h3f56cbdb,32'h3f8343b0,// invsqrt(1.1506) = 0.9323 +32'h3f2fccc8,32'h3f975f55,32'h3f9d8d05, 32'h3f92bd11,32'h3fa22f49, 32'h3f8b03f5,32'h3fa9e865,// invsqrt(0.6867) = 1.2067 +32'h40165bda,32'h3f23adb2,32'h3f2a5bf7, 32'h3f1eaafd,32'h3f2f5eab, 32'h3f165125,32'h3f37b883,// invsqrt(2.3494) = 0.6524 +32'h40008acd,32'h3f310640,32'h3f383ffa, 32'h3f2b9af5,32'h3f3dab45, 32'h3f2292cd,32'h3f46b36d,// invsqrt(2.0085) = 0.7056 +32'h3f9d62a8,32'h3f624009,32'h3f6b7c1e, 32'h3f5b52f8,32'h3f72692e, 32'h3f4fc7de,32'h3f7df448,// invsqrt(1.2296) = 0.9018 +32'h40231a21,32'h3f1d2781,32'h3f23919b, 32'h3f1857ed,32'h3f28612f, 32'h3f10534d,32'h3f3065cf,// invsqrt(2.5485) = 0.6264 +32'h405f612f,32'h3f06497e,32'h3f0bc4a8, 32'h3f022d1f,32'h3f0fe107, 32'h3ef6a655,32'h3f16bafb,// invsqrt(3.4903) = 0.5353 +32'h3e324c45,32'h40164eeb,32'h401c717d, 32'h4011b4fe,32'h40210b6a, 32'h400a09c8,32'h4028b6a0,// invsqrt(0.1741) = 2.3965 +32'h407d537c,32'h3efc3358,32'h3f033f4a, 32'h3ef47aea,32'h3f071b81, 32'h3ee79cdd,32'h3f0d8a87,// invsqrt(3.9582) = 0.5026 +32'h3e65e90e,32'h40045db8,32'h4009c4d0, 32'h40005067,32'h400dd221, 32'h3ff31f14,32'h401492fe,// invsqrt(0.2245) = 2.1104 +32'h3e74c9ee,32'h400047c8,32'h4005842e, 32'h3ff8b4f6,32'h4009717b, 32'h3feb9df7,32'h400ffcfa,// invsqrt(0.2391) = 2.0453 +32'h3e7dba67,32'h3ffc002c,32'h400324aa, 32'h3ff44950,32'h40070018, 32'h3fe76de0,32'h400d6dd0,// invsqrt(0.2478) = 2.0089 +32'h3e90a1e3,32'h3fec03a8,32'h3ff5a5c4, 32'h3fe4ca13,32'h3ffcdf59, 32'h3fd8bf71,32'h400474fe,// invsqrt(0.2825) = 1.8815 +32'h407d3476,32'h3efc42cb,32'h3f034755, 32'h3ef489e4,32'h3f0723c8, 32'h3ee7ab0e,32'h3f0d9333,// invsqrt(3.9563) = 0.5028 +32'h408b9f4e,32'h3ef03620,32'h3efa0416, 32'h3ee8dba6,32'h3f00af48, 32'h3edc9a32,32'h3f06d002,// invsqrt(4.3632) = 0.4787 +32'h3f380f5d,32'h3f93efd4,32'h3f99f99e, 32'h3f8f687d,32'h3f9e80f5, 32'h3f87dc40,32'h3fa60d32,// invsqrt(0.7190) = 1.1793 +32'h3eda0efc,32'h3fc036b9,32'h3fc80f29, 32'h3fba5465,32'h3fcdf17d, 32'h3fb085d9,32'h3fd7c009,// invsqrt(0.4259) = 1.5323 +32'h40326ad9,32'h3f164209,32'h3f1c6413, 32'h3f11a880,32'h3f20fd9c, 32'h3f09fdf3,32'h3f28a829,// invsqrt(2.7878) = 0.5989 +32'h3f9eea46,32'h3f612895,32'h3f6a5943, 32'h3f5a4413,32'h3f713dc5, 32'h3f4ec73b,32'h3f7cba9d,// invsqrt(1.2415) = 0.8975 +32'h3f8819b1,32'h3f734cb3,32'h3f7d3aee, 32'h3f6bda06,32'h3f8256cd, 32'h3f5f703b,32'h3f888bb2,// invsqrt(1.0633) = 0.9698 +32'h3f04615d,32'h3fae7075,32'h3fb58f2b, 32'h3fa9196c,32'h3fbae634, 32'h3fa03308,32'h3fc3cc98,// invsqrt(0.5171) = 1.3906 +32'h40ded96d,32'h3ebe22ee,32'h3ec5e5aa, 32'h3eb850e2,32'h3ecbb7b6, 32'h3eae9d78,32'h3ed56b20,// invsqrt(6.9640) = 0.3789 +32'h3c8f9811,32'h40ecddb7,32'h40f688b9, 32'h40e59d75,32'h40fdc8fb, 32'h40d987b2,32'h4104ef5f,// invsqrt(0.0175) = 7.5531 +32'h3e0046f1,32'h4031350d,32'h403870af, 32'h402bc853,32'h403ddd69, 32'h4022bdc8,32'h4046e7f4,// invsqrt(0.1253) = 2.8254 +32'h3fe670a8,32'h3f3afa89,32'h3f429c45, 32'h3f35413b,32'h3f485593, 32'h3f2bb710,32'h3f51dfbe,// invsqrt(1.8003) = 0.7453 +32'h3fa4b477,32'h3f5d2a69,32'h3f66315e, 32'h3f566532,32'h3f6cf696, 32'h3f4b1c80,32'h3f783f48,// invsqrt(1.2868) = 0.8816 +32'h3d9ec132,32'h406145b5,32'h406a7793, 32'h405a604f,32'h40715cf9, 32'h404ee1fa,32'h407cdb4e,// invsqrt(0.0775) = 3.5917 +32'h3f20a41b,32'h3f9e5a82,32'h3fa4d124, 32'h3f998188,32'h3fa9aa1e, 32'h3f916d3e,32'h3fb1be68,// invsqrt(0.6275) = 1.2624 +32'h40beb434,32'h3ecd899e,32'h3ed5ed46, 32'h3ec73ee0,32'h3edc3804, 32'h3ebcc24d,32'h3ee6b497,// invsqrt(5.9595) = 0.4096 +32'h404120c4,32'h3f106c0a,32'h3f16511a, 32'h3f0c003e,32'h3f1abce6, 32'h3f04a1ea,32'h3f221b3a,// invsqrt(3.0176) = 0.5757 +32'h3eab6a79,32'h3fd8cb09,32'h3fe1a44d, 32'h3fd22816,32'h3fe84740, 32'h3fc71880,32'h3ff356d6,// invsqrt(0.3348) = 1.7283 +32'h3f728c1f,32'h3f80df2c,32'h3f8621c0, 32'h3f79da7a,32'h3f8a13af, 32'h3f6cb408,32'h3f90a6e8,// invsqrt(0.9475) = 1.0274 +32'h400723be,32'h3f2ca635,32'h3f33b237, 32'h3f275d33,32'h3f38fb39, 32'h3f1e8e31,32'h3f41ca3b,// invsqrt(2.1116) = 0.6882 +32'h3f560a2f,32'h3f892f89,32'h3f8ec8fc, 32'h3f84fc72,32'h3f92fc12, 32'h3f7bf944,32'h3f99fbe2,// invsqrt(0.8361) = 1.0936 +32'h3f5f996e,32'h3f86389a,32'h3f8bb313, 32'h3f821cbe,32'h3f8fceee, 32'h3f76874e,32'h3f96a805,// invsqrt(0.8734) = 1.0700 +32'h3f91aef9,32'h3f6b294b,32'h3f74c27d, 32'h3f63f665,32'h3f7bf563, 32'h3f57f6e7,32'h3f83fa71,// invsqrt(1.1382) = 0.9373 +32'h3f351524,32'h3f9525e9,32'h3f9b3c5b, 32'h3f909514,32'h3f9fcd30, 32'h3f88f905,32'h3fa7693f,// invsqrt(0.7074) = 1.1890 +32'h3f02c63d,32'h3faf81d2,32'h3fb6abb0, 32'h3faa226b,32'h3fbc0b17, 32'h3fa12e14,32'h3fc4ff6e,// invsqrt(0.5108) = 1.3991 +32'h40296b5b,32'h3f1a324e,32'h3f207d80, 32'h3f1579e8,32'h3f2535e6, 32'h3f0d9bea,32'h3f2d13e4,// invsqrt(2.6472) = 0.6146 +32'h409324aa,32'h3ee9fdf0,32'h3ef38aea, 32'h3ee2d434,32'h3efab4a6, 32'h3ed6e3fc,32'h3f03526f,// invsqrt(4.5982) = 0.4663 +32'h41171847,32'h3ea34782,32'h3ea9f19d, 32'h3e9e47ef,32'h3eaef131, 32'h3e95f34e,32'h3eb745d2,// invsqrt(9.4434) = 0.3254 +32'h3cbb9478,32'h40cf3df5,32'h40d7b36d, 32'h40c8e5db,32'h40de0b87, 32'h40be5306,32'h40e89e5c,// invsqrt(0.0229) = 6.6085 +32'h3f2fed67,32'h3f97514c,32'h3f9d7e6a, 32'h3f92af76,32'h3fa22040, 32'h3f8af712,32'h3fa9d8a4,// invsqrt(0.6872) = 1.2063 +32'h4068adb1,32'h3f03938d,32'h3f08f264, 32'h3eff18d9,32'h3f0cf985, 32'h3ef1abc0,32'h3f13b012,// invsqrt(3.6356) = 0.5245 +32'h4082685a,32'h3ef88da5,32'h3f015963, 32'h3ef0f1cd,32'h3f052750, 32'h3ee44364,32'h3f0b7e84,// invsqrt(4.0752) = 0.4954 +32'h3ed7b0de,32'h3fc1440f,32'h3fc9277d, 32'h3fbb597c,32'h3fcf1210, 32'h3fb17d32,32'h3fd8ee5a,// invsqrt(0.4213) = 1.5407 +32'h3e8b491a,32'h3ff0806a,32'h3ffa5169, 32'h3fe923aa,32'h4000d714, 32'h3fdcde6b,32'h4006f9b3,// invsqrt(0.2720) = 1.9173 +32'h4170f7aa,32'h3e814b26,32'h3e869222, 32'h3e7aabd1,32'h3e8a875f, 32'h3e6d7a5a,32'h3e91201b,// invsqrt(15.0605) = 0.2577 +32'h3f9255cc,32'h3f6aa31a,32'h3f7436d2, 32'h3f637450,32'h3f7b659c, 32'h3f577baa,32'h3f83af21,// invsqrt(1.1432) = 0.9353 +32'h3e655f84,32'h40048562,32'h4009ee18, 32'h400076da,32'h400dfca0, 32'h3ff367ee,32'h4014bf83,// invsqrt(0.2240) = 2.1129 +32'h3e2a1765,32'h4019e43f,32'h40202c41, 32'h40152e3d,32'h4024e243, 32'h400d543a,32'h402cbc46,// invsqrt(0.1661) = 2.4536 +32'h3efddb43,32'h3fb22576,32'h3fb96ae8, 32'h3facb160,32'h3fbedefe, 32'h3fa39a91,32'h3fc7f5cd,// invsqrt(0.4958) = 1.4202 +32'h3f43c61c,32'h3f8f715a,32'h3f954c2e, 32'h3f8b0d3a,32'h3f99b04e, 32'h3f83bbb0,32'h3fa101d8,// invsqrt(0.7647) = 1.1435 +32'h3e9ab8c0,32'h3fe4307c,32'h3fed80d4, 32'h3fdd3439,32'h3ff47d17, 32'h3fd18fca,32'h400010c3,// invsqrt(0.3022) = 1.8191 +32'h40562e0a,32'h3f09240d,32'h3f0ebd08, 32'h3f04f150,32'h3f12efc4, 32'h3efbe42c,32'h3f19eefe,// invsqrt(3.3466) = 0.5466 +32'h3fa1898e,32'h3f5f52d6,32'h3f687057, 32'h3f587cb6,32'h3f6f4678, 32'h3f4d17d5,32'h3f7aab59,// invsqrt(1.2620) = 0.8902 +32'h3f7de8ac,32'h3f7be935,32'h3f8318b6, 32'h3f74330c,32'h3f86f3ca, 32'h3f6758c8,32'h3f8d60ec,// invsqrt(0.9918) = 1.0041 +32'h3fbe4aed,32'h3f4dc271,32'h3f56286b, 32'h3f4775f5,32'h3f5c74e7, 32'h3f3cf67d,32'h3f66f45f,// invsqrt(1.4867) = 0.8202 +32'h3f08af62,32'h3fababa0,32'h3fb2ad68, 32'h3fa66a4a,32'h3fb7eebe, 32'h3f9da810,32'h3fc0b0f8,// invsqrt(0.5339) = 1.3685 +32'h40b6d8da,32'h3ed1e834,32'h3eda7984, 32'h3ecb7b37,32'h3ee0e681, 32'h3ec0c593,32'h3eeb9c25,// invsqrt(5.7140) = 0.4183 +32'h3ef856db,32'h3fb41d46,32'h3fbb7749, 32'h3fae99c4,32'h3fc0facc, 32'h3fa56941,32'h3fca2b4f,// invsqrt(0.4850) = 1.4359 +32'h3eab424d,32'h3fd8e475,32'h3fe1bec2, 32'h3fd240ba,32'h3fe8627c, 32'h3fc72fd8,32'h3ff3735e,// invsqrt(0.3345) = 1.7291 +32'h400e30f1,32'h3f285055,32'h3f2f2f0a, 32'h3f23294d,32'h3f345611, 32'h3f1a92ea,32'h3f3cec74,// invsqrt(2.2217) = 0.6709 +32'h3f644661,32'h3f84d6e3,32'h3f8a42ed, 32'h3f80c5dc,32'h3f8e53f4, 32'h3f73fda2,32'h3f951aff,// invsqrt(0.8917) = 1.0590 +32'h3eabcfa3,32'h3fd88b2c,32'h3fe161d6, 32'h3fd1ea2e,32'h3fe802d4, 32'h3fc6ddda,32'h3ff30f28,// invsqrt(0.3356) = 1.7263 +32'h3f7a7d15,32'h3f7d9fff,32'h3f83fd0f, 32'h3f75dc67,32'h3f87dedb, 32'h3f68ebc0,32'h3f8e572e,// invsqrt(0.9785) = 1.0109 +32'h402413d8,32'h3f1cafbc,32'h3f2314f3, 32'h3f17e3d4,32'h3f27e0dc, 32'h3f0fe54f,32'h3f2fdf61,// invsqrt(2.5637) = 0.6245 +32'h4163e57b,32'h3e84f31e,32'h3e8a604e, 32'h3e80e13a,32'h3e8e7232, 32'h3e74317b,32'h3e953aaf,// invsqrt(14.2435) = 0.2650 +32'h3dc218da,32'h404bbbaa,32'h40540c78, 32'h40457f10,32'h405a4912, 32'h403b1a0f,32'h4064ae13,// invsqrt(0.0948) = 3.2483 +32'h3eb04c0c,32'h3fd5c557,32'h3fde7f07, 32'h3fcf3a13,32'h3fe50a4b, 32'h3fc451f7,32'h3feff267,// invsqrt(0.3443) = 1.7042 +32'h3f5deb48,32'h3f86ba70,32'h3f8c3a36, 32'h3f829a9c,32'h3f905a0a, 32'h3f7775c9,32'h3f9739c2,// invsqrt(0.8669) = 1.0740 +32'h40d7f988,32'h3ec12389,32'h3ec905a3, 32'h3ebb39f5,32'h3eceef37, 32'h3eb15f54,32'h3ed8c9d8,// invsqrt(6.7492) = 0.3849 +32'h3ffeb9fe,32'h3f31d783,32'h3f3919c7, 32'h3f2c65d0,32'h3f3e8b7a, 32'h3f2352fb,32'h3f479e4f,// invsqrt(1.9901) = 0.7089 +32'h4014c135,32'h3f248f02,32'h3f2b467a, 32'h3f1f8568,32'h3f305014, 32'h3f172011,32'h3f38b56b,// invsqrt(2.3243) = 0.6559 +32'h3e0f464e,32'h4027ad1a,32'h402e8526, 32'h40228b12,32'h4033a72e, 32'h4019fd03,32'h403c353d,// invsqrt(0.1399) = 2.6734 +32'h3fb118bf,32'h3f5549a7,32'h3f5dfe4b, 32'h3f4ec22d,32'h3f6485c5, 32'h3f43e060,32'h3f6f6792,// invsqrt(1.3836) = 0.8502 +32'h3f84c4fa,32'h3f765528,32'h3f80318a, 32'h3f6ecab6,32'h3f83f6c3, 32'h3f62394e,32'h3f8a3f77,// invsqrt(1.0373) = 0.9819 +32'h3f6f17e3,32'h3f81cc9e,32'h3f8718e4, 32'h3f7ba6d5,32'h3f8b1217, 32'h3f6e6828,32'h3f91b16e,// invsqrt(0.9340) = 1.0348 +32'h3f0d2a01,32'h3fa8eccd,32'h3fafd1e5, 32'h3fa3c0fb,32'h3fb4fdb7, 32'h3f9b229d,32'h3fbd9c15,// invsqrt(0.5514) = 1.3467 +32'h3f1ffa6f,32'h3f9eae65,32'h3fa52873, 32'h3f99d2da,32'h3faa03fe, 32'h3f91ba48,32'h3fb21c90,// invsqrt(0.6249) = 1.2650 +32'h3ef2ef0e,32'h3fb61b70,32'h3fbd8a45, 32'h3fb0884f,32'h3fc31d65, 32'h3fa73dc4,32'h3fcc67f0,// invsqrt(0.4745) = 1.4517 +32'h3f512953,32'h3f8ac6ba,32'h3f9070cc, 32'h3f86872c,32'h3f94b05a, 32'h3f7ee52c,32'h3f9bc4f0,// invsqrt(0.8170) = 1.1063 +32'h3fe6cc99,32'h3f3ad547,32'h3f42757f, 32'h3f351d1e,32'h3f482da8, 32'h3f2b94d9,32'h3f51b5ed,// invsqrt(1.8031) = 0.7447 +32'h3ebcbc30,32'h3fce9b59,32'h3fd70a2e, 32'h3fc8483a,32'h3fdd5d4e, 32'h3fbdbdb0,32'h3fe7e7d8,// invsqrt(0.3686) = 1.6471 +32'h3fc29974,32'h3f4b784e,32'h3f53c65c, 32'h3f453dc4,32'h3f5a00e6, 32'h3f3adc33,32'h3f646277,// invsqrt(1.5203) = 0.8110 +32'h404b586a,32'h3f0cbf36,32'h3f127de0, 32'h3f087037,32'h3f16ccdf, 32'h3f0141e4,32'h3f1dfb32,// invsqrt(3.1773) = 0.5610 +32'h3ec9343d,32'h3fc81a42,32'h3fd04520, 32'h3fc1fa1b,32'h3fd66547, 32'h3fb7c486,32'h3fe09adc,// invsqrt(0.3930) = 1.5952 +32'h3fb9917f,32'h3f505cbe,32'h3f58ddea, 32'h3f49fbdd,32'h3f5f3ecb, 32'h3f3f5a65,32'h3f69e043,// invsqrt(1.4498) = 0.8305 +32'h3f9168c3,32'h3f6b620b,32'h3f74fd8e, 32'h3f642d68,32'h3f7c3230, 32'h3f582b04,32'h3f841a4a,// invsqrt(1.1360) = 0.9382 +32'h3febea9b,32'h3f38cbb5,32'h3f4056a3, 32'h3f332383,32'h3f45fed5, 32'h3f29b5db,32'h3f4f6c7d,// invsqrt(1.8431) = 0.7366 +32'h3bbeb945,32'h414d86e3,32'h4155ea6f, 32'h41473c3a,32'h415c3518, 32'h413cbfcb,32'h4166b187,// invsqrt(0.0058) = 13.1076 +32'h3e972193,32'h3fe6e255,32'h3ff04ed5, 32'h3fdfd0f4,32'h3ff76036, 32'h3fd40953,32'h400193ec,// invsqrt(0.2952) = 1.8406 +32'h3f93d7a3,32'h3f697023,32'h3f72f754, 32'h3f624abf,32'h3f7a1cb9, 32'h3f5661c3,32'h3f8302db,// invsqrt(1.1550) = 0.9305 +32'h400a304b,32'h3f2abbe3,32'h3f31b3e2, 32'h3f2581e4,32'h3f36ede2, 32'h3f1ccbe6,32'h3f3fa3e0,// invsqrt(2.1592) = 0.6805 +32'h4127489d,32'h3e9b2d7d,32'h3ea182f0, 32'h3e966d67,32'h3ea64307, 32'h3e8e8298,32'h3eae2dd6,// invsqrt(10.4552) = 0.3093 +32'h3f9dac8f,32'h3f620afd,32'h3f6b44e7, 32'h3f5b1f8c,32'h3f723058, 32'h3f4f9727,32'h3f7db8bd,// invsqrt(1.2318) = 0.9010 +32'h3de3fe29,32'h403bfabf,32'h4043a6f1, 32'h4036399a,32'h40496816, 32'h402ca25c,32'h4052ff54,// invsqrt(0.1113) = 2.9971 +32'h3f3e0eb4,32'h3f919580,32'h3f9786b4, 32'h3f8d2099,32'h3f9bfb9b, 32'h3f85b317,32'h3fa3691d,// invsqrt(0.7424) = 1.1606 +32'h3d744bf1,32'h408068d7,32'h4085a697, 32'h4078f50f,32'h408994e7, 32'h406bdab0,32'h40902216,// invsqrt(0.0596) = 4.0947 +32'h3f84181a,32'h3f76f624,32'h3f808552, 32'h3f6f66c5,32'h3f844d01, 32'h3f62cd27,32'h3f8a99d1,// invsqrt(1.0320) = 0.9844 +32'h3f85f5c6,32'h3f753c4b,32'h3f7f3ec1, 32'h3f6dba72,32'h3f83604d, 32'h3f61375f,32'h3f89a1d6,// invsqrt(1.0466) = 0.9775 +32'h3badfdf3,32'h41572ea6,32'h415ff716, 32'h41509853,32'h41668d69, 32'h41459dc8,32'h417187f4,// invsqrt(0.0053) = 13.7234 +32'h3daccb58,32'h4057ed3b,32'h4060bd71, 32'h40515112,32'h4067599a, 32'h40464ccd,32'h40725ddf,// invsqrt(0.0844) = 3.4427 +32'h40ac5a7e,32'h3ed833e0,32'h3ee106f9, 32'h3ed1958e,32'h3ee7a54c, 32'h3ec68daf,32'h3ef2ad2b,// invsqrt(5.3860) = 0.4309 +32'h40861e0d,32'h3ef51775,32'h3eff186b, 32'h3eed96be,32'h3f034c91, 32'h3ee1158b,32'h3f098d2a,// invsqrt(4.1912) = 0.4885 +32'h3c898399,32'h40f20bb7,32'h40fbecd9, 32'h40eaa2de,32'h4101aad9, 32'h40de4974,32'h4107d78e,// invsqrt(0.0168) = 7.7183 +32'h3fa9c103,32'h3f59da0d,32'h3f62be61, 32'h3f532ece,32'h3f6969a0, 32'h3f481165,32'h3f748709,// invsqrt(1.3262) = 0.8684 +32'h3f81251d,32'h3f79c3f1,32'h3f81fade, 32'h3f721e99,32'h3f85cd8a, 32'h3f65605b,32'h3f8c2ca9,// invsqrt(1.0089) = 0.9956 +32'h3f073747,32'h3fac99bc,32'h3fb3a53c, 32'h3fa7511c,32'h3fb8eddc, 32'h3f9e82bc,32'h3fc1bc3c,// invsqrt(0.5282) = 1.3760 +32'h3eb72acd,32'h3fd1b93a,32'h3fda48a0, 32'h3fcb4dae,32'h3fe0b42c, 32'h3fc09a6f,32'h3feb676b,// invsqrt(0.3577) = 1.6719 +32'h40718928,32'h3f01242f,32'h3f066995, 32'h3efa6047,32'h3f0a5da0, 32'h3eed32ca,32'h3f10f45f,// invsqrt(3.7740) = 0.5148 +32'h3fc9a0b7,32'h3f47e467,32'h3f500d12, 32'h3f41c5e6,32'h3f562b92, 32'h3f379310,32'h3f605e68,// invsqrt(1.5752) = 0.7968 +32'h3f8099e8,32'h3f7a4afb,32'h3f824125, 32'h3f72a180,32'h3f8615e2, 32'h3f65dc5f,32'h3f8c7873,// invsqrt(1.0047) = 0.9977 +32'h3f87d991,32'h3f738618,32'h3f7d76ab, 32'h3f6c11a9,32'h3f82758d, 32'h3f5fa4f1,32'h3f88abe9,// invsqrt(1.0613) = 0.9707 +32'h3f05ebd7,32'h3fad6ecd,32'h3fb482ff, 32'h3fa81fa7,32'h3fb9d225, 32'h3f9f4669,32'h3fc2ab63,// invsqrt(0.5231) = 1.3826 +32'h3f90fc49,32'h3f6bba08,32'h3f755923, 32'h3f6482b5,32'h3f7c9077, 32'h3f587bd4,32'h3f844bac,// invsqrt(1.1327) = 0.9396 +32'h3f8598e5,32'h3f75917b,32'h3f7f976b, 32'h3f6e0d07,32'h3f838df0, 32'h3f61859b,32'h3f89d1a6,// invsqrt(1.0437) = 0.9788 +32'h404d55e9,32'h3f0c102b,32'h3f11c7af, 32'h3f07c688,32'h3f161152, 32'h3f00a122,32'h3f1d36b8,// invsqrt(3.2084) = 0.5583 +32'h3e8d1d1c,32'h3feef04c,32'h3ff8b0f6, 32'h3fe79fcc,32'h400000bb, 32'h3fdb6ef7,32'h40061925,// invsqrt(0.2756) = 1.9048 +32'h3f408d26,32'h3f90a35b,32'h3f968aad, 32'h3f8c35dd,32'h3f9af82b, 32'h3f84d4b7,32'h3fa25951,// invsqrt(0.7522) = 1.1530 +32'h3f8a9daf,32'h3f7114f1,32'h3f7aec00, 32'h3f69b3a5,32'h3f8126a5, 32'h3f5d66d2,32'h3f874d0f,// invsqrt(1.0829) = 0.9609 +32'h3e346fbe,32'h40156a35,32'h401b8371, 32'h4010d748,32'h4020165e, 32'h400937be,32'h4027b5e8,// invsqrt(0.1762) = 2.3823 +32'h3f37b863,32'h3f9412d4,32'h3f9a1e0c, 32'h3f8f8a6a,32'h3f9ea676, 32'h3f87fc65,32'h3fa6347b,// invsqrt(0.7177) = 1.1804 +32'h3fa1b4d5,32'h3f5f34f2,32'h3f68513a, 32'h3f585fbb,32'h3f6f2671, 32'h3f4cfc61,32'h3f7a89cb,// invsqrt(1.2633) = 0.8897 +32'h40278f39,32'h3f1b0cc8,32'h3f2160e5, 32'h3f164db2,32'h3f261ffa, 32'h3f0e648d,32'h3f2e091f,// invsqrt(2.6181) = 0.6180 +32'h40241668,32'h3f1cae83,32'h3f2313ad, 32'h3f17e2a4,32'h3f27df8c, 32'h3f0fe42f,32'h3f2fde01,// invsqrt(2.5639) = 0.6245 +32'h3f81ce53,32'h3f7920f1,32'h3f81a60a, 32'h3f718096,32'h3f857638, 32'h3f64caa9,32'h3f8bd12e,// invsqrt(1.0141) = 0.9930 +32'h3f169b12,32'h3fa38b54,32'h3faa3832, 32'h3f9e89ac,32'h3faf39da, 32'h3f963196,32'h3fb791f0,// invsqrt(0.5883) = 1.3038 +32'h3fcc6280,32'h3f468a18,32'h3f4ea4a0, 32'h3f407631,32'h3f54b887, 32'h3f365506,32'h3f5ed9b2,// invsqrt(1.5968) = 0.7914 +32'h3fcff0c2,32'h3f44d5ab,32'h3f4cde63, 32'h3f3ecf20,32'h3f52e4ee, 32'h3f34c43a,32'h3f5cefd5,// invsqrt(1.6245) = 0.7846 +32'h3f806f33,32'h3f7a7495,32'h3f8256cb, 32'h3f72c9d4,32'h3f862c2b, 32'h3f660293,32'h3f8c8fcc,// invsqrt(1.0034) = 0.9983 +32'h3f2ccb8d,32'h3f98aed0,32'h3f9eea32, 32'h3f940247,32'h3fa396bb, 32'h3f8c380e,32'h3fab60f4,// invsqrt(0.6750) = 1.2172 +32'h3f8384c0,32'h3f778056,32'h3f80cd3d, 32'h3f6fecbc,32'h3f84970a, 32'h3f634c11,32'h3f8ae760,// invsqrt(1.0275) = 0.9865 +32'h4013ac8b,32'h3f2528e0,32'h3f2be6a0, 32'h3f201a90,32'h3f30f4f0, 32'h3f17ad60,32'h3f396220,// invsqrt(2.3074) = 0.6583 +32'h3f78022a,32'h3f7ee3d6,32'h3f84a597, 32'h3f771655,32'h3f888c57, 32'h3f6a1528,32'h3f8f0cee,// invsqrt(0.9688) = 1.0160 +32'h3e4f1694,32'h400b781e,32'h4011296e, 32'h40073322,32'h40156e6a, 32'h4000157f,32'h401c8c0d,// invsqrt(0.2022) = 2.2237 +32'h40f98772,32'h3eb3af37,32'h3ebb04bb, 32'h3eae2f13,32'h3ec084df, 32'h3ea5042d,32'h3ec9afc5,// invsqrt(7.7978) = 0.3581 +32'h3fc922d6,32'h3f4822ea,32'h3f504e22, 32'h3f42027f,32'h3f566e8d, 32'h3f37cc79,32'h3f60a493,// invsqrt(1.5714) = 0.7977 +32'h3f2b6904,32'h3f994c65,32'h3f9f8e35, 32'h3f949b09,32'h3fa43f91, 32'h3f8cc8c5,32'h3fac11d5,// invsqrt(0.6696) = 1.2221 +32'h3f10f4a9,32'h3fa6b379,32'h3fad8155, 32'h3fa19915,32'h3fb29bb9, 32'h3f9917c3,32'h3fbb1d0b,// invsqrt(0.5662) = 1.3289 +32'h3f99a50c,32'h3f64fcdc,32'h3f6e558c, 32'h3f5dfa58,32'h3f755810, 32'h3f524b7c,32'h3f808376,// invsqrt(1.2003) = 0.9127 +32'h3e9717eb,32'h3fe6e9b5,32'h3ff05683, 32'h3fdfd81b,32'h3ff7681d, 32'h3fd41019,32'h4001980f,// invsqrt(0.2951) = 1.8408 +32'h3f3e20c2,32'h3f918e96,32'h3f977f82, 32'h3f8d19e5,32'h3f9bf433, 32'h3f85acbe,32'h3fa3615a,// invsqrt(0.7427) = 1.1604 +32'h3eaf8325,32'h3fd63f8d,32'h3fdefe39, 32'h3fcfb08b,32'h3fe58d3b, 32'h3fc4c233,32'h3ff07b93,// invsqrt(0.3428) = 1.7080 +32'h3d7f8893,32'h407b1be1,32'h4082addb, 32'h40736c02,32'h408685cb, 32'h40669c38,32'h408cedb0,// invsqrt(0.0624) = 4.0036 +32'h40656f4d,32'h3f0480d3,32'h3f09e959, 32'h3f00726e,32'h3f0df7be, 32'h3ef35f8e,32'h3f14ba65,// invsqrt(3.5849) = 0.5282 +32'h3f70755e,32'h3f816e29,32'h3f86b693, 32'h3f7aefb3,32'h3f8aace3, 32'h3f6dbaa9,32'h3f914767,// invsqrt(0.9393) = 1.0318 +32'h412cc6d8,32'h3e98b0e4,32'h3e9eec5c, 32'h3e94044b,32'h3ea398f5, 32'h3e8c39f6,32'h3eab634a,// invsqrt(10.7985) = 0.3043 +32'h3e8aa79f,32'h3ff10c4d,32'h3ffae301, 32'h3fe9ab45,32'h40012204, 32'h3fdd5ee3,32'h40074835,// invsqrt(0.2708) = 1.9216 +32'h40532c56,32'h3f0a1d19,32'h3f0fc03f, 32'h3f05e2bd,32'h3f13fa9b, 32'h3efdad9c,32'h3f1b068a,// invsqrt(3.2996) = 0.5505 +32'h3f32c70e,32'h3f961b44,32'h3f9c3bba, 32'h3f9182ec,32'h3fa0d412, 32'h3f89da59,32'h3fa87ca5,// invsqrt(0.6983) = 1.1966 +32'h3ffeb50d,32'h3f31d93c,32'h3f391b92, 32'h3f2c677b,32'h3f3e8d53, 32'h3f235490,32'h3f47a03e,// invsqrt(1.9899) = 0.7089 +32'h419be204,32'h3e635680,32'h3e6c9df2, 32'h3e5c60e9,32'h3e739389, 32'h3e50c79a,32'h3e7f2cd8,// invsqrt(19.4854) = 0.2265 +32'h40ea8f52,32'h3eb95450,32'h3ec0e4d0, 32'h3eb3a7ef,32'h3ec69131, 32'h3eaa334e,32'h3ed005d2,// invsqrt(7.3300) = 0.3694 +32'h417353ca,32'h3e80aa41,32'h3e85eaad, 32'h3e7973e2,32'h3e89dafd, 32'h3e6c52d6,32'h3e906b83,// invsqrt(15.2080) = 0.2564 +32'h40137be9,32'h3f254419,32'h3f2c02f5, 32'h3f2034f3,32'h3f31121b, 32'h3f17c660,32'h3f3980ae,// invsqrt(2.3044) = 0.6587 +32'h3f57941b,32'h3f88b1f9,32'h3f8e464c, 32'h3f8482ba,32'h3f92758a, 32'h3f7b12a4,32'h3f996ef2,// invsqrt(0.8421) = 1.0897 +32'h3f88a3f2,32'h3f72d17d,32'h3f7cbab1, 32'h3f6b6296,32'h3f8214cc, 32'h3f5eff15,32'h3f88468d,// invsqrt(1.0675) = 0.9679 +32'h40090b83,32'h3f2b71e3,32'h3f32714f, 32'h3f263251,32'h3f37b0e1, 32'h3f1d730a,32'h3f407028,// invsqrt(2.1413) = 0.6834 +32'h3de01fe6,32'h403d9840,32'h40455552, 32'h4037ca72,32'h404b2320, 32'h402e1e1b,32'h4054cf77,// invsqrt(0.1094) = 3.0229 +32'h3f4f5d0c,32'h3f8b606a,32'h3f9110c2, 32'h3f871c28,32'h3f955504, 32'h3f7fff74,32'h3f9c7172,// invsqrt(0.8100) = 1.1111 +32'h3e88a4ba,32'h3ff2d0cc,32'h3ffcb9f8, 32'h3feb61ea,32'h4002146d, 32'h3fdefe72,32'h40084629,// invsqrt(0.2669) = 1.9357 +32'h3c3a7f1f,32'h4112f79f,32'h4118f747, 32'h410e77e0,32'h411d7706, 32'h4106f84e,32'h4124f698,// invsqrt(0.0114) = 9.3729 +32'h3dc00738,32'h404cd3df,32'h4055301d, 32'h40468eb1,32'h405b754b, 32'h403c1b65,32'h4065e897,// invsqrt(0.0938) = 3.2657 +32'h3ed61984,32'h3fc1fb93,32'h3fc9e67f, 32'h3fbc0b62,32'h3fcfd6b0, 32'h3fb225bb,32'h3fd9bc57,// invsqrt(0.4182) = 1.5464 +32'h3f85e2f9,32'h3f754d82,32'h3f7f50ac, 32'h3f6dcb23,32'h3f836986, 32'h3f61472e,32'h3f89ab80,// invsqrt(1.0460) = 0.9778 +32'h3f7a1568,32'h3f7dd48c,32'h3f841868, 32'h3f760f58,32'h3f87fb02, 32'h3f691c03,32'h3f8e74ac,// invsqrt(0.9769) = 1.0118 +32'h3f0e908c,32'h3fa817db,32'h3faef442, 32'h3fa2f28e,32'h3fb41990, 32'h3f9a5f0e,32'h3fbcad11,// invsqrt(0.5569) = 1.3400 +32'h3ebe307b,32'h3fcdd0bf,32'h3fd6374f, 32'h3fc783d3,32'h3fdc843b, 32'h3fbd03a0,32'h3fe7046e,// invsqrt(0.3715) = 1.6407 +32'h3f9ae595,32'h3f640f73,32'h3f6d5e73, 32'h3f5d1434,32'h3f7459b2, 32'h3f517174,32'h3f7ffc72,// invsqrt(1.2101) = 0.9090 +32'h40116bda,32'h3f266f1a,32'h3f2d3a2a, 32'h3f2156cd,32'h3f325277, 32'h3f18d8f8,32'h3f3ad04c,// invsqrt(2.2722) = 0.6634 +32'h409b1dab,32'h3ee3e635,32'h3eed3385, 32'h3edcec38,32'h3ef42d82, 32'h3ed14b94,32'h3effce26,// invsqrt(4.8474) = 0.4542 +32'h3f86d774,32'h3f746ebc,32'h3f7e68ce, 32'h3f6cf32e,32'h3f82f22e, 32'h3f607a98,32'h3f892e79,// invsqrt(1.0535) = 0.9743 +32'h3fe9a31c,32'h3f39b1e8,32'h3f41463a, 32'h3f3402aa,32'h3f46f578, 32'h3f2a8942,32'h3f506ee0,// invsqrt(1.8253) = 0.7402 +32'h401e7b2c,32'h3f1f6dd1,32'h3f25efaf, 32'h3f1a8c6a,32'h3f2ad116, 32'h3f126a13,32'h3f32f36d,// invsqrt(2.4763) = 0.6355 +32'h3fbcc7a1,32'h3f4e9516,32'h3f5703aa, 32'h3f484228,32'h3f5d5698, 32'h3f3db7f0,32'h3f67e0d0,// invsqrt(1.4748) = 0.8234 +32'h40eafd01,32'h3eb9290a,32'h3ec0b7c7, 32'h3eb37dfd,32'h3ec662d5, 32'h3eaa0b92,32'h3ecfd540,// invsqrt(7.3434) = 0.3690 +32'h411d9013,32'h3e9fe496,32'h3ea66b4d, 32'h3e9aff8b,32'h3eab5057, 32'h3e92d726,32'h3eb378bc,// invsqrt(9.8477) = 0.3187 +32'h3f85ccbb,32'h3f7561e5,32'h3f7f65e3, 32'h3f6ddee5,32'h3f837471, 32'h3f6159e7,32'h3f89b6f1,// invsqrt(1.0453) = 0.9781 +32'h400b790e,32'h3f29f232,32'h3f30e1f6, 32'h3f24be60,32'h3f3615c8, 32'h3f1c12ac,32'h3f3ec17c,// invsqrt(2.1793) = 0.6774 +32'h3fd57789,32'h3f42451e,32'h3f4a330a, 32'h3f3c52ad,32'h3f50257b, 32'h3f326945,32'h3f5a0ee3,// invsqrt(1.6677) = 0.7744 +32'h3f282dad,32'h3f9ac3ac,32'h3fa114cd, 32'h3f9606d3,32'h3fa5d1a5, 32'h3f8e2169,32'h3fadb70f,// invsqrt(0.6569) = 1.2338 +32'h406ce60f,32'h3f02662e,32'h3f07b8b8, 32'h3efcd08e,32'h3f0bb69f, 32'h3eef8235,32'h3f125dcb,// invsqrt(3.7015) = 0.5198 +32'h3f79bb86,32'h3f7e0236,32'h3f84302b, 32'h3f763b9c,32'h3f881378, 32'h3f6945f2,32'h3f8e8e4d,// invsqrt(0.9755) = 1.0125 +32'h3fbfe5ed,32'h3f4ce5a3,32'h3f554299, 32'h3f469fe9,32'h3f5b8853, 32'h3f3c2bb5,32'h3f65fc87,// invsqrt(1.4992) = 0.8167 +32'h3e8c41f0,32'h3fefaab3,32'h3ff972f9, 32'h3fe8547e,32'h40006497, 32'h3fdc1a27,32'h400681c2,// invsqrt(0.2739) = 1.9106 +32'h3f32c0ad,32'h3f961df2,32'h3f9c3e84, 32'h3f918585,32'h3fa0d6f1, 32'h3f89dccf,32'h3fa87fa7,// invsqrt(0.6983) = 1.1967 +32'h3f7dfafc,32'h3f7be020,32'h3f8313fc, 32'h3f742a3f,32'h3f86eeed, 32'h3f675071,32'h3f8d5bd3,// invsqrt(0.9921) = 1.0040 +32'h3f305e55,32'h3f9720d2,32'h3f9d4bf5, 32'h3f928079,32'h3fa1ec4f, 32'h3f8aca8d,32'h3fa9a23b,// invsqrt(0.6889) = 1.2048 +32'h40ab077d,32'h3ed909bc,32'h3ee1e590, 32'h3ed264de,32'h3ee88a6e, 32'h3ec75215,32'h3ef39d37,// invsqrt(5.3447) = 0.4326 +32'h4055d2e2,32'h3f094145,32'h3f0edb71, 32'h3f050da3,32'h3f130f13, 32'h3efc19d7,32'h3f1a0fca,// invsqrt(3.3410) = 0.5471 +32'h40b156a4,32'h3ed5246c,32'h3eddd78a, 32'h3ece9e15,32'h3ee45de1, 32'h3ec3be2f,32'h3eef3dc7,// invsqrt(5.5418) = 0.4248 +32'h3f89b36e,32'h3f71e1aa,32'h3f7bc114, 32'h3f6a7a1a,32'h3f819452, 32'h3f5e22d6,32'h3f87bff4,// invsqrt(1.0758) = 0.9641 +32'h3de11caa,32'h403d2db1,32'h4044e669, 32'h40376326,32'h404ab0f4, 32'h402dbc3f,32'h405457db,// invsqrt(0.1099) = 3.0162 +32'h3fd7f4f8,32'h3f412593,32'h3f4907c3, 32'h3f3b3bef,32'h3f4ef167, 32'h3f316134,32'h3f58cc23,// invsqrt(1.6872) = 0.7699 +32'h3f006f95,32'h3fb11901,32'h3fb8537f, 32'h3fabad23,32'h3fbdbf5d, 32'h3fa2a406,32'h3fc6c87a,// invsqrt(0.5017) = 1.4118 +32'h3ff62381,32'h3f34eaef,32'h3f3c4d57, 32'h3f2f6121,32'h3f41d725, 32'h3f262620,32'h3f4b1227,// invsqrt(1.9230) = 0.7211 +32'h3f21d2a0,32'h3f9dc638,32'h3fa436cc, 32'h3f98f1c8,32'h3fa90b3c, 32'h3f90e50f,32'h3fb117f5,// invsqrt(0.6321) = 1.2578 +32'h3dca26ff,32'h4047a1f8,32'h404fc7ed, 32'h40418580,32'h4055e464, 32'h4037560d,32'h406013d7,// invsqrt(0.0987) = 3.1829 +32'h3fbe93b8,32'h3f4d9b22,32'h3f55ff82, 32'h3f474fdb,32'h3f5c4ac9, 32'h3f3cd263,32'h3f66c841,// invsqrt(1.4889) = 0.8195 +32'h400d249c,32'h3f28f007,32'h3f2fd541, 32'h3f23c41c,32'h3f35012c, 32'h3f1b2594,32'h3f3d9fb4,// invsqrt(2.2054) = 0.6734 +32'h3bb10c8f,32'h415550ff,32'h415e05ef, 32'h414ec94b,32'h41648da3, 32'h4143e71e,32'h416f6fd0,// invsqrt(0.0054) = 13.6044 +32'h418a5671,32'h3e7152fc,32'h3e7b2c94, 32'h3e69efcb,32'h3e8147e3, 32'h3e5d9fce,32'h3e876fe1,// invsqrt(17.2922) = 0.2405 +32'h3d9de137,32'h4061e548,32'h406b1da8, 32'h405afaff,32'h407207f1, 32'h404f7486,32'h407d8e6a,// invsqrt(0.0771) = 3.6017 +32'h3ea8d41f,32'h3fda72ae,32'h3fe35d3d, 32'h3fd3c2c3,32'h3fea0d29, 32'h3fc89d91,32'h3ff5325b,// invsqrt(0.3297) = 1.7415 +32'h3eceaf62,32'h3fc56e77,32'h3fcd7d6d, 32'h3fbf6340,32'h3fd388a4, 32'h3fb5508d,32'h3fdd9b57,// invsqrt(0.4037) = 1.5739 +32'h40a48abc,32'h3edd4673,32'h3ee64e8d, 32'h3ed68060,32'h3eed14a0, 32'h3ecb3640,32'h3ef85ec0,// invsqrt(5.1419) = 0.4410 +32'h3f4448fc,32'h3f8f417f,32'h3f951a60, 32'h3f8aded7,32'h3f997d09, 32'h3f838fbe,32'h3fa0cc22,// invsqrt(0.7667) = 1.1420 +32'h3f9029bf,32'h3f6c65eb,32'h3f760c09, 32'h3f652954,32'h3f7d48a0, 32'h3f5919ae,32'h3f84ac23,// invsqrt(1.1263) = 0.9423 +32'h3eb2595b,32'h3fd4899c,32'h3fdd3668, 32'h3fce0802,32'h3fe3b802, 32'h3fc33002,32'h3fee9002,// invsqrt(0.3483) = 1.6943 +32'h404b2ef3,32'h3f0ccd92,32'h3f128cd1, 32'h3f087e22,32'h3f16dc40, 32'h3f014f12,32'h3f1e0b50,// invsqrt(3.1747) = 0.5612 +32'h3f48fbf3,32'h3f8d923e,32'h3f935984, 32'h3f893cc9,32'h3f97aef9, 32'h3f8203b1,32'h3f9ee811,// invsqrt(0.7851) = 1.1286 +32'h3d8581a2,32'h4075a6df,32'h407fadaf, 32'h406e21c3,32'h40839965, 32'h40619940,32'h4089dda7,// invsqrt(0.0652) = 3.9166 +32'h401f2e99,32'h3f1f13dd,32'h3f259210, 32'h3f1a3537,32'h3f2a70b7, 32'h3f121778,32'h3f328e76,// invsqrt(2.4872) = 0.6341 +32'h3f7d6c4f,32'h3f7c26fd,32'h3f8338dd, 32'h3f746ef1,32'h3f8714e4, 32'h3f679186,32'h3f8d8399,// invsqrt(0.9899) = 1.0051 +32'h40bc875e,32'h3eceb849,32'h3ed7284b, 32'h3ec86446,32'h3edd7c4e, 32'h3ebdd843,32'h3ee80851,// invsqrt(5.8915) = 0.4120 +32'h3f24415d,32'h3f9c9a05,32'h3fa2fe59, 32'h3f97cec6,32'h3fa7c998, 32'h3f8fd15e,32'h3fafc700,// invsqrt(0.6416) = 1.2484 +32'h3fe470a5,32'h3f3bcb9f,32'h3f4375e4, 32'h3f360beb,32'h3f493597, 32'h3f2c7714,32'h3f52ca6e,// invsqrt(1.7847) = 0.7485 +32'h3e78a52a,32'h3ffe903d,32'h40047a15, 32'h3ff6c54b,32'h40085f8e, 32'h3fe9c862,32'h400ede03,// invsqrt(0.2428) = 2.0294 +32'h3dd45ed4,32'h4042c558,32'h404ab880, 32'h403ccefa,32'h4050aede, 32'h4032df08,32'h405a9ed0,// invsqrt(0.1037) = 3.1054 +32'h3e699206,32'h4003532e,32'h4008af64, 32'h3ffe9c0b,32'h400cb48d, 32'h3ff13583,32'h401367d0,// invsqrt(0.2281) = 2.0938 +32'h3fc498f1,32'h3f4a6ef2,32'h3f52b22a, 32'h3f443c87,32'h3f58e495, 32'h3f39e880,32'h3f63389c,// invsqrt(1.5359) = 0.8069 +32'h3f811abf,32'h3f79cdf8,32'h3f820016, 32'h3f722851,32'h3f85d2e9, 32'h3f656990,32'h3f8c324a,// invsqrt(1.0086) = 0.9957 +32'h3f1b5c1e,32'h3fa105c5,32'h3fa7984a, 32'h3f9c17e0,32'h3fac862e, 32'h3f93e0ba,32'h3fb4bd55,// invsqrt(0.6069) = 1.2837 +32'h3f533b96,32'h3f8a181d,32'h3f8fbb0e, 32'h3f85dde7,32'h3f93f543, 32'h3f7da473,32'h3f9b00f1,// invsqrt(0.8251) = 1.1009 +32'h40b3b853,32'h3ed3b9af,32'h3edc5dff, 32'h3ecd3e73,32'h3ee2d93b, 32'h3ec2710e,32'h3eeda6a0,// invsqrt(5.6163) = 0.4220 +32'h3d5bf17d,32'h40875501,32'h408cdb15, 32'h40833071,32'h4090ffa5, 32'h407891ad,32'h4097e73f,// invsqrt(0.0537) = 4.3154 +32'h3f85997c,32'h3f7590f0,32'h3f7f96db, 32'h3f6e0c81,32'h3f838da6, 32'h3f61851c,32'h3f89d158,// invsqrt(1.0437) = 0.9788 +32'h400cb1c7,32'h3f2934ea,32'h3f301cf4, 32'h3f2406e3,32'h3f354afb, 32'h3f1b64d7,32'h3f3ded07,// invsqrt(2.1984) = 0.6745 +32'h3f72414e,32'h3f80f311,32'h3f863675, 32'h3f7a010c,32'h3f8a2900, 32'h3f6cd892,32'h3f90bd3d,// invsqrt(0.9463) = 1.0280 +32'h3f21f335,32'h3f9db658,32'h3fa42646, 32'h3f98e265,32'h3fa8fa39, 32'h3f90d67a,32'h3fb10624,// invsqrt(0.6326) = 1.2573 +32'h41eae2e5,32'h3e393355,32'h3e40c27d, 32'h3e3387f7,32'h3e466ddb, 32'h3e2a1505,32'h3e4fe0cd,// invsqrt(29.3608) = 0.1846 +32'h3ff42efe,32'h3f35a3fb,32'h3f3d0df1, 32'h3f301483,32'h3f429d69, 32'h3f26d011,32'h3f4be1db,// invsqrt(1.9077) = 0.7240 +32'h3ff9e1a8,32'h3f338ec5,32'h3f3ae2f6, 32'h3f2e0f9f,32'h3f40621b, 32'h3f24e660,32'h3f498b5a,// invsqrt(1.9522) = 0.7157 +32'h3f8ca619,32'h3f6f554e,32'h3f791a18, 32'h3f6801b7,32'h3f8036d8, 32'h3f5bcbbb,32'h3f8651d6,// invsqrt(1.0988) = 0.9540 +32'h3ffbd0d2,32'h3f32dde3,32'h3f3a2add, 32'h3f2d6428,32'h3f3fa498, 32'h3f2443f0,32'h3f48c4d0,// invsqrt(1.9673) = 0.7130 +32'h3f98db78,32'h3f6593a7,32'h3f6ef27f, 32'h3f5e8c85,32'h3f75f9a1, 32'h3f52d5f8,32'h3f80d817,// invsqrt(1.1942) = 0.9151 +32'h3dfb0098,32'h40332805,32'h403a7805, 32'h402dac05,32'h403ff405, 32'h40248804,32'h40491806,// invsqrt(0.1226) = 2.8564 +32'h403f4138,32'h3f1120a8,32'h3f170d18, 32'h3f0caf55,32'h3f1b7e6b, 32'h3f0547ca,32'h3f22e5f6,// invsqrt(2.9884) = 0.5785 +32'h400dd4c6,32'h3f2886fc,32'h3f2f67ec, 32'h3f235e48,32'h3f3490a0, 32'h3f1ac51c,32'h3f3d29cc,// invsqrt(2.2161) = 0.6717 +32'h3e6020ca,32'h4006100c,32'h400b88dd, 32'h4001f56e,32'h400fa37a, 32'h3ff63cd1,32'h40167a80,// invsqrt(0.2189) = 2.1375 +32'h3fde874f,32'h3f3e4600,32'h3f460a2a, 32'h3f3872e1,32'h3f4bdd49, 32'h3f2ebdac,32'h3f55927e,// invsqrt(1.7385) = 0.7584 +32'h3dfc3d49,32'h4032b76a,32'h403a02d2, 32'h402d3edc,32'h403f7b60, 32'h4024209b,32'h404899a1,// invsqrt(0.1232) = 2.8494 +32'h3f6d9233,32'h3f8236e7,32'h3f878783, 32'h3f7c74e5,32'h3f8b83f8, 32'h3f6f2b5f,32'h3f9228ba,// invsqrt(0.9280) = 1.0381 +32'h3fb24121,32'h3f54980d,32'h3f5d4570, 32'h3f4e1601,32'h3f63c77b, 32'h3f433d45,32'h3f6ea037,// invsqrt(1.3926) = 0.8474 +32'h3f497948,32'h3f8d662e,32'h3f932ba8, 32'h3f891212,32'h3f977fc4, 32'h3f81db3a,32'h3f9eb69c,// invsqrt(0.7870) = 1.1272 +32'h3f286aaa,32'h3f9aa7a3,32'h3fa0f7a0, 32'h3f95eba7,32'h3fa5b39d, 32'h3f8e07ab,32'h3fad9799,// invsqrt(0.6579) = 1.2329 +32'h3ffbfad1,32'h3f32cefb,32'h3f3a1b59, 32'h3f2d55b5,32'h3f3f949f, 32'h3f24363f,32'h3f48b415,// invsqrt(1.9686) = 0.7127 +32'h3e2a01aa,32'h4019ee14,32'h4020367e, 32'h401537c5,32'h4024eccd, 32'h400d5d42,32'h402cc750,// invsqrt(0.1660) = 2.4542 +32'h40283f2f,32'h3f1abb9e,32'h3f210c6a, 32'h3f15ff04,32'h3f25c904, 32'h3f0e1a04,32'h3f2dae04,// invsqrt(2.6289) = 0.6168 +32'h400bfc5a,32'h3f29a26d,32'h3f308eef, 32'h3f24710c,32'h3f35c050, 32'h3f1bc969,32'h3f3e67f3,// invsqrt(2.1873) = 0.6762 +32'h3e6ba049,32'h4002c034,32'h4008166a, 32'h3ffd7f16,32'h400c1713, 32'h3ff0278e,32'h4012c2d7,// invsqrt(0.2301) = 2.0847 +32'h400b656c,32'h3f29fe2a,32'h3f30ee6a, 32'h3f24c9fa,32'h3f36229a, 32'h3f1c1da9,32'h3f3eceeb,// invsqrt(2.1781) = 0.6776 +32'h3ee7d17f,32'h3fba6c07,32'h3fc207f3, 32'h3fb4b717,32'h3fc7bce3, 32'h3fab3430,32'h3fd13fca,// invsqrt(0.4528) = 1.4861 +32'h41e0312c,32'h3e3d90f2,32'h3e454db8, 32'h3e37c35e,32'h3e4b1b4c, 32'h3e2e1766,32'h3e54c744,// invsqrt(28.0240) = 0.1889 +32'h401bc79e,32'h3f20ce2c,32'h3f275e6c, 32'h3f1be1fb,32'h3f2c4a9d, 32'h3f13adab,32'h3f347eed,// invsqrt(2.4341) = 0.6410 +32'h40eaa67c,32'h3eb94b2a,32'h3ec0db4c, 32'h3eb39f12,32'h3ec68764, 32'h3eaa2ae8,32'h3ecffb8e,// invsqrt(7.3328) = 0.3693 +32'h4024def9,32'h3f1c4f19,32'h3f22b05d, 32'h3f178625,32'h3f277951, 32'h3f0f8c8f,32'h3f2f72e7,// invsqrt(2.5761) = 0.6230 +32'h3f0b3abe,32'h3faa1836,32'h3fb10986, 32'h3fa4e339,32'h3fb63e83, 32'h3f9c3595,32'h3fbeec27,// invsqrt(0.5439) = 1.3560 +32'h4090c082,32'h3eebeab0,32'h3ef58bc6, 32'h3ee4b1de,32'h3efcc498, 32'h3ed8a882,32'h3f0466fa,// invsqrt(4.5235) = 0.4702 +32'h3fdc7de0,32'h3f3f2678,32'h3f46f3cb, 32'h3f394c79,32'h3f4ccdc9, 32'h3f2f8bd1,32'h3f568e71,// invsqrt(1.7226) = 0.7619 +32'h3f45b62b,32'h3f8ebcf5,32'h3f94906d, 32'h3f8a5e5b,32'h3f98ef07, 32'h3f831606,32'h3fa0375c,// invsqrt(0.7723) = 1.1379 +32'h3b9c7974,32'h4162e863,32'h416c2b57, 32'h415bf62c,32'h41731d8e, 32'h4150627a,32'h417eb140,// invsqrt(0.0048) = 14.4712 +32'h3fcc96a2,32'h3f4670cb,32'h3f4e8a4b, 32'h3f405daa,32'h3f549d6c, 32'h3f363dca,32'h3f5ebd4c,// invsqrt(1.5983) = 0.7910 +32'h3f801493,32'h3f7acd20,32'h3f8284df, 32'h3f731fa9,32'h3f865b9a, 32'h3f6653e4,32'h3f8cc17d,// invsqrt(1.0006) = 0.9997 +32'h3f6c300c,32'h3f829863,32'h3f87ecf9, 32'h3f7d31e4,32'h3f8bec6a, 32'h3f6fde6c,32'h3f929626,// invsqrt(0.9226) = 1.0411 +32'h3fc390e9,32'h3f4af76a,32'h3f534035, 32'h3f44c0d2,32'h3f5976ce, 32'h3f3a65d5,32'h3f63d1cb,// invsqrt(1.5279) = 0.8090 +32'h4128fd7a,32'h3e9a6467,32'h3ea0b1a5, 32'h3e95aa79,32'h3ea56b93, 32'h3e8dc9ec,32'h3ead4c20,// invsqrt(10.5619) = 0.3077 +32'h3f2a24aa,32'h3f99de3e,32'h3fa02602, 32'h3f95286b,32'h3fa4dbd5, 32'h3f8d4eb6,32'h3facb58a,// invsqrt(0.6646) = 1.2266 +32'h3f2dc869,32'h3f983f93,32'h3f9e766a, 32'h3f939672,32'h3fa31f8c, 32'h3f8bd1e6,32'h3faae418,// invsqrt(0.6788) = 1.2137 +32'h3f3d053b,32'h3f91fb98,32'h3f97f0f6, 32'h3f8d8390,32'h3f9c68fe, 32'h3f8610da,32'h3fa3dbb4,// invsqrt(0.7384) = 1.1638 +32'h3fe83ca6,32'h3f3a4100,32'h3f41db2a, 32'h3f348d61,32'h3f478ec9, 32'h3f2b0cac,32'h3f510f7e,// invsqrt(1.8144) = 0.7424 +32'h3fd65b4c,32'h3f41ddcd,32'h3f49c781, 32'h3f3bee85,32'h3f4fb6c9, 32'h3f320a63,32'h3f599aeb,// invsqrt(1.6747) = 0.7727 +32'h3f6d15ed,32'h3f825903,32'h3f87ab03, 32'h3f7cb706,32'h3f8ba883, 32'h3f6f6a05,32'h3f924f03,// invsqrt(0.9261) = 1.0391 +32'h3f1bb7e5,32'h3fa0d64a,32'h3fa766e0, 32'h3f9be9da,32'h3fac5350, 32'h3f93b520,32'h3fb4880a,// invsqrt(0.6083) = 1.2822 +32'h3f8187db,32'h3f7964ac,32'h3f81c94a, 32'h3f71c23e,32'h3f859a81, 32'h3f6508dd,32'h3f8bf732,// invsqrt(1.0120) = 0.9941 +32'h3d2d96a1,32'h40985566,32'h409e8d22, 32'h4093ab9a,32'h40a336ee, 32'h408be5f0,32'h40aafc98,// invsqrt(0.0424) = 4.8576 +32'h3fb5c340,32'h3f528842,32'h3f5b201b, 32'h3f4c1660,32'h3f6191fe, 32'h3f415891,32'h3f6c4fcd,// invsqrt(1.4200) = 0.8392 +32'h3f42c0ac,32'h3f8fd181,32'h3f95b043, 32'h3f8b6a70,32'h3f9a1754, 32'h3f8413ff,32'h3fa16dc5,// invsqrt(0.7608) = 1.1465 +32'h401afbcb,32'h3f2137c7,32'h3f27cc57, 32'h3f1c485b,32'h3f2cbbc3, 32'h3f140ea7,32'h3f34f577,// invsqrt(2.4216) = 0.6426 +32'h3f0f5c7d,32'h3fa7a021,32'h3fae77a5, 32'h3fa27e7e,32'h3fb39948, 32'h3f99f119,32'h3fbc26ad,// invsqrt(0.5600) = 1.3363 +32'h3e682399,32'h4003baaa,32'h40091b1a, 32'h3fff64ad,32'h400d236d, 32'h3ff1f397,32'h4013dbf9,// invsqrt(0.2267) = 2.1003 +32'h3f3fe04a,32'h3f90e473,32'h3f96ce6d, 32'h3f8c74f7,32'h3f9b3de9, 32'h3f85107e,32'h3fa2a262,// invsqrt(0.7495) = 1.1551 +32'h4044498a,32'h3f0f414c,32'h3f151a2a, 32'h3f0adea5,32'h3f197cd1, 32'h3f038f8f,32'h3f20cbe7,// invsqrt(3.0670) = 0.5710 +32'h3f960999,32'h3f67b95c,32'h3f712ea4, 32'h3f60a166,32'h3f78469a, 32'h3f54cecd,32'h3f820c9a,// invsqrt(1.1722) = 0.9236 +32'h3f804ae4,32'h3f7a9803,32'h3f82693b, 32'h3f72ec2d,32'h3f863f26, 32'h3f66231d,32'h3f8ca3ae,// invsqrt(1.0023) = 0.9989 +32'h3f333e78,32'h3f95e93c,32'h3f9c07a6, 32'h3f91526b,32'h3fa09e77, 32'h3f89ac66,32'h3fa8447c,// invsqrt(0.7002) = 1.1951 +32'h3fcad4a5,32'h3f474c71,32'h3f4f6ee9, 32'h3f413298,32'h3f5588c2, 32'h3f370782,32'h3f5fb3d8,// invsqrt(1.5846) = 0.7944 +32'h3f220221,32'h3f9daf15,32'h3fa41eb7, 32'h3f98db5a,32'h3fa8f272, 32'h3f90cfcf,32'h3fb0fdfd,// invsqrt(0.6328) = 1.2570 +32'h3fb379fd,32'h3f53de70,32'h3f5c8440, 32'h3f4d6214,32'h3f63009c, 32'h3f4292cf,32'h3f6dcfe1,// invsqrt(1.4022) = 0.8445 +32'h3f78e463,32'h3f7e6fe6,32'h3f846941, 32'h3f76a5f1,32'h3f884e3b, 32'h3f69aaaf,32'h3f8ecbdd,// invsqrt(0.9722) = 1.0142 +32'h3faab220,32'h3f593ffa,32'h3f621e04, 32'h3f529972,32'h3f68c48c, 32'h3f4783e6,32'h3f73da19,// invsqrt(1.3336) = 0.8660 +32'h3f717378,32'h3f8129fc,32'h3f866f9e, 32'h3f7a6b86,32'h3f8a63d7, 32'h3f6d3d71,32'h3f90fae2,// invsqrt(0.9432) = 1.0297 +32'h3faf2595,32'h3f5678bf,32'h3f5f39c1, 32'h3f4fe7fd,32'h3f65ca83, 32'h3f44f6ba,32'h3f70bbc6,// invsqrt(1.3683) = 0.8549 +32'h3f7aa8b2,32'h3f7d89ee,32'h3f83f193, 32'h3f75c703,32'h3f87d308, 32'h3f68d77c,32'h3f8e4acc,// invsqrt(0.9791) = 1.0106 +32'h40273c30,32'h3f1b3341,32'h3f2188f0, 32'h3f1672fd,32'h3f264933, 32'h3f0e87e2,32'h3f2e344e,// invsqrt(2.6130) = 0.6186 +32'h3f8bc4a7,32'h3f701606,32'h3f79e2ad, 32'h3f68bc88,32'h3f809e15, 32'h3f5c7cb6,32'h3f86bdfe,// invsqrt(1.0919) = 0.9570 +32'h3e3273c9,32'h40163e46,32'h401c6029, 32'h4011a4db,32'h4020f993, 32'h4009fa7e,32'h4028a3f0,// invsqrt(0.1743) = 2.3955 +32'h3eda5374,32'h3fc01893,32'h3fc7efc9, 32'h3fba372c,32'h3fcdd130, 32'h3fb06a29,32'h3fd79e33,// invsqrt(0.4264) = 1.5314 +32'h405b4e3d,32'h3f078756,32'h3f0d0f79, 32'h3f03613c,32'h3f113594, 32'h3ef8ee22,32'h3f181fbf,// invsqrt(3.4267) = 0.5402 +32'h416b7d06,32'h3e82c9fd,32'h3e88209a, 32'h3e7d9210,32'h3e8c2190, 32'h3e703989,32'h3e92cdd4,// invsqrt(14.7180) = 0.2607 +32'h3e3f98dc,32'h4010ff73,32'h4016ea87, 32'h400c8f24,32'h401b5ad6, 32'h4005294a,32'h4022c0b0,// invsqrt(0.1871) = 2.3118 +32'h4001443d,32'h3f308719,32'h3f37bba2, 32'h3f2b1fb3,32'h3f3d2309, 32'h3f221e08,32'h3f4624b4,// invsqrt(2.0198) = 0.7036 +32'h3f215c17,32'h3f9e0021,32'h3fa47312, 32'h3f9929eb,32'h3fa94947, 32'h3f911a3d,32'h3fb158f5,// invsqrt(0.6303) = 1.2596 +32'h3f7ca7b6,32'h3f7c8905,32'h3f836be0, 32'h3f74cdf7,32'h3f874967, 32'h3f67eb8b,32'h3f8dba9c,// invsqrt(0.9869) = 1.0066 +32'h3fa4b537,32'h3f5d29e8,32'h3f6630d8, 32'h3f5664b5,32'h3f6cf60b, 32'h3f4b1c0a,32'h3f783eb6,// invsqrt(1.2868) = 0.8816 +32'h3ef62979,32'h3fb4e8be,32'h3fbc4b0e, 32'h3faf5f01,32'h3fc1d4cb, 32'h3fa6241c,32'h3fcb0fb0,// invsqrt(0.4808) = 1.4422 +32'h400ff846,32'h3f274557,32'h3f2e1927, 32'h3f22267c,32'h3f333802, 32'h3f199db9,32'h3f3bc0c5,// invsqrt(2.2495) = 0.6667 +32'h3e91297b,32'h3feb9553,32'h3ff532ee, 32'h3fe45f1f,32'h3ffc6923, 32'h3fd85a1e,32'h40043712,// invsqrt(0.2835) = 1.8781 +32'h3f462414,32'h3f8e9559,32'h3f946733, 32'h3f8a37f6,32'h3f98c496, 32'h3f82f1a5,32'h3fa00ae7,// invsqrt(0.7740) = 1.1367 +32'h3fee5409,32'h3f37dbba,32'h3f3f5cdc, 32'h3f323ae1,32'h3f44fdb5, 32'h3f28d977,32'h3f4e5f1f,// invsqrt(1.8619) = 0.7329 +32'h41042ffc,32'h3eae9107,32'h3eb5b111, 32'h3ea938ff,32'h3ebb0919, 32'h3ea050f1,32'h3ec3f127,// invsqrt(8.2617) = 0.3479 +32'h40249122,32'h3f1c740c,32'h3f22d6d4, 32'h3f17a9f7,32'h3f27a0e9, 32'h3f0fae7e,32'h3f2f9c62,// invsqrt(2.5714) = 0.6236 +32'h4095b2d9,32'h3ee7fc77,32'h3ef1747b, 32'h3ee0e273,32'h3ef88e7f, 32'h3ed50c6d,32'h3f023243,// invsqrt(4.6781) = 0.4623 +32'h3fafb223,32'h3f5622e4,32'h3f5ee066, 32'h3f4f94c3,32'h3f656e87, 32'h3f44a7e1,32'h3f705b69,// invsqrt(1.3726) = 0.8535 +32'h3f95ec9c,32'h3f67cfc2,32'h3f7145f4, 32'h3f60b71d,32'h3f785e99, 32'h3f54e35f,32'h3f82192c,// invsqrt(1.1713) = 0.9240 +32'h3f9bed2c,32'h3f634e5e,32'h3f6c957c, 32'h3f5c5908,32'h3f738ad2, 32'h3f50c022,32'h3f7f23b8,// invsqrt(1.2182) = 0.9060 +32'h3f524eb0,32'h3f8a65ce,32'h3f900beb, 32'h3f862937,32'h3f944881, 32'h3f7e3326,32'h3f9b5825,// invsqrt(0.8215) = 1.1033 +32'h3f19241b,32'h3fa22f51,32'h3fa8cdfb, 32'h3f9d3851,32'h3fadc4fb, 32'h3f94f1fc,32'h3fb60b50,// invsqrt(0.5982) = 1.2929 +32'h402b6839,32'h3f194cc0,32'h3f1f8e94, 32'h3f149b62,32'h3f243ff2, 32'h3f0cc919,32'h3f2c123b,// invsqrt(2.6782) = 0.6110 +32'h3e6be179,32'h4002ae21,32'h4008039b, 32'h3ffd5c0c,32'h400c03b6, 32'h3ff0065c,32'h4012ae8e,// invsqrt(0.2304) = 2.0835 +32'h3f96b4c9,32'h3f67359b,32'h3f70a581, 32'h3f6021ad,32'h3f77b96f, 32'h3f5455cd,32'h3f81c2a8,// invsqrt(1.1774) = 0.9216 +32'h3e925d63,32'h3fea9d04,32'h3ff4307d, 32'h3fe36e6b,32'h3ffb5f17, 32'h3fd77614,32'h4003abb7,// invsqrt(0.2859) = 1.8703 +32'h3f65ddc5,32'h3f8460f8,32'h3f89c832, 32'h3f80538e,32'h3f8dd59c, 32'h3f73250c,32'h3f9496a4,// invsqrt(0.8979) = 1.0553 +32'h3f7a80c1,32'h3f7d9e23,32'h3f83fc18, 32'h3f75da9b,32'h3f87dddc, 32'h3f68ea0c,32'h3f8e5624,// invsqrt(0.9785) = 1.0109 +32'h3f0aa253,32'h3faa759d,32'h3fb16abd, 32'h3fa53dc4,32'h3fb6a296, 32'h3f9c8b5c,32'h3fbf54fe,// invsqrt(0.5415) = 1.3589 +32'h3f20754b,32'h3f9e7199,32'h3fa4e92d, 32'h3f9997eb,32'h3fa9c2db, 32'h3f918273,32'h3fb1d853,// invsqrt(0.6268) = 1.2631 +32'h3f6930d7,32'h3f836e88,32'h3f88cbdc, 32'h3f7ed112,32'h3f8cd1db, 32'h3f7167c0,32'h3f938684,// invsqrt(0.9109) = 1.0478 +32'h3f86f6b5,32'h3f74526d,32'h3f7e4b57, 32'h3f6cd7bd,32'h3f82e303, 32'h3f606098,32'h3f891e96,// invsqrt(1.0544) = 0.9739 +32'h400f898c,32'h3f2785cf,32'h3f2e5c40, 32'h3f2264fb,32'h3f337d15, 32'h3f19d8ee,32'h3f3c0922,// invsqrt(2.2428) = 0.6677 +32'h3e61205a,32'h4005c3de,32'h400b3994, 32'h4001ab96,32'h400f51dc, 32'h3ff5b0e7,32'h401624ff,// invsqrt(0.2198) = 2.1327 +32'h3f5f1fa5,32'h3f865d36,32'h3f8bd92e, 32'h3f82403c,32'h3f8ff628, 32'h3f76ca8d,32'h3f96d11d,// invsqrt(0.8716) = 1.0711 +32'h3e98ee93,32'h3fe5854f,32'h3feee391, 32'h3fde7e9e,32'h3ff5ea42, 32'h3fd2c8cb,32'h4000d00a,// invsqrt(0.2987) = 1.8297 +32'h3ec5ed96,32'h3fc9c073,32'h3fd1fc8d, 32'h3fc39360,32'h3fd829a0, 32'h3fb94840,32'h3fe274c0,// invsqrt(0.3866) = 1.6084 +32'h3f1bc42b,32'h3fa0cff4,32'h3fa76047, 32'h3f9be3b5,32'h3fac4c85, 32'h3f93af4d,32'h3fb480ed,// invsqrt(0.6085) = 1.2820 +32'h3ea8aab1,32'h3fda8d81,32'h3fe37928, 32'h3fd3dcc3,32'h3fea29e5, 32'h3fc8b632,32'h3ff55076,// invsqrt(0.3294) = 1.7423 +32'h41205ed9,32'h3e9e7caf,32'h3ea4f4b7, 32'h3e99a2aa,32'h3ea9cebc, 32'h3e918ca1,32'h3eb1e4c5,// invsqrt(10.0232) = 0.3159 +32'h3e2dba7e,32'h401845ac,32'h401e7cc3, 32'h40139c5c,32'h40232614, 32'h400bd77f,32'h402aeaf1,// invsqrt(0.1697) = 2.4278 +32'h3ecfb709,32'h3fc4f102,32'h3fccfad9, 32'h3fbee9a2,32'h3fd3023a, 32'h3fb4dd56,32'h3fdd0e86,// invsqrt(0.4057) = 1.5700 +32'h3faaa20b,32'h3f594a37,32'h3f6228ac, 32'h3f52a35f,32'h3f68cf83, 32'h3f478d4c,32'h3f73e596,// invsqrt(1.3331) = 0.8661 +32'h403be52a,32'h3f126b55,32'h3f186543, 32'h3f0defe2,32'h3f1ce0b6, 32'h3f067778,32'h3f245920,// invsqrt(2.9359) = 0.5836 +32'h3e9e51c4,32'h3fe194ee,32'h3feaca07, 32'h3fdaad1a,32'h3ff1b1da, 32'h3fcf2abb,32'h3ffd3439,// invsqrt(0.3092) = 1.7983 +32'h3fda2546,32'h3f402ce7,32'h3f4804f1, 32'h3f3a4ae0,32'h3f4de6f8, 32'h3f307cd4,32'h3f57b504,// invsqrt(1.7043) = 0.7660 +32'h4002f687,32'h3f2f6174,32'h3f368a00, 32'h3f2a030a,32'h3f3be86a, 32'h3f21105b,32'h3f44db19,// invsqrt(2.0463) = 0.6991 +32'h3e59920d,32'h40081169,32'h400d9f2e, 32'h4003e714,32'h4011c982, 32'h3ff9ebba,32'h4018bab9,// invsqrt(0.2125) = 2.1695 +32'h3fea5ea6,32'h3f39678d,32'h3f40f8d7, 32'h3f33ba96,32'h3f46a5ce, 32'h3f2a44fa,32'h3f501b6a,// invsqrt(1.8310) = 0.7390 +32'h4038333c,32'h3f13e16c,32'h3f19ea9f, 32'h3f0f5a85,32'h3f1e7185, 32'h3f07cf04,32'h3f25fd06,// invsqrt(2.8781) = 0.5894 +32'h3f53766b,32'h3f8a04e5,32'h3f8fa70e, 32'h3f85cb47,32'h3f93e0ad, 32'h3f7d8128,32'h3f9aeb60,// invsqrt(0.8260) = 1.1003 +32'h3f0f99e0,32'h3fa77c49,32'h3fae5257, 32'h3fa25bbf,32'h3fb372e1, 32'h3f99d02e,32'h3fbbfe72,// invsqrt(0.5609) = 1.3352 +32'h405d7dd2,32'h3f06dbb6,32'h3f0c5cd8, 32'h3f02badd,32'h3f107db1, 32'h3ef7b2e6,32'h3f175f1b,// invsqrt(3.4608) = 0.5375 +32'h3f7fbdf6,32'h3f7b01aa,32'h3f82a037, 32'h3f735298,32'h3f8677c0, 32'h3f668424,32'h3f8cdefa,// invsqrt(0.9990) = 1.0005 +32'h3f9ea340,32'h3f615af7,32'h3f6a8db3, 32'h3f5a74ea,32'h3f7173c0, 32'h3f4ef580,32'h3f7cf32a,// invsqrt(1.2394) = 0.8983 +32'h3f41ee71,32'h3f901f60,32'h3f96014e, 32'h3f8bb5ec,32'h3f9a6ac2, 32'h3f845b82,32'h3fa1c52c,// invsqrt(0.7575) = 1.1489 +32'h3eb0a292,32'h3fd590f5,32'h3fde4881, 32'h3fcf074b,32'h3fe4d22b, 32'h3fc421dc,32'h3fefb79b,// invsqrt(0.3450) = 1.7025 +32'h3f878e78,32'h3f73c983,32'h3f7dbcd7, 32'h3f6c5304,32'h3f8299ab, 32'h3f5fe2dc,32'h3f88d1bf,// invsqrt(1.0590) = 0.9717 +32'h3f808baf,32'h3f7a58d4,32'h3f824859, 32'h3f72aeec,32'h3f861d4d, 32'h3f65e916,32'h3f8c8038,// invsqrt(1.0043) = 0.9979 +32'h3e31905a,32'h40169e5f,32'h401cc42f, 32'h40120203,32'h4021608b, 32'h400a52c0,32'h40290fce,// invsqrt(0.1734) = 2.4014 +32'h3f192fec,32'h3fa22910,32'h3fa8c779, 32'h3f9d3241,32'h3fadbe47, 32'h3f94ec3d,32'h3fb6044b,// invsqrt(0.5984) = 1.2927 +32'h41008c5d,32'h3eb1052d,32'h3eb83edb, 32'h3eab99ea,32'h3ebdaa1e, 32'h3ea291d0,32'h3ec6b238,// invsqrt(8.0343) = 0.3528 +32'h3d8e9751,32'h406db298,32'h4077664a, 32'h40666bd2,32'h407ead10, 32'h405a4b32,32'h408566d8,// invsqrt(0.0696) = 3.7898 +32'h40042212,32'h3f2e9a38,32'h3f35baa2, 32'h3f2941e8,32'h3f3b12f2, 32'h3f205962,32'h3f43fb78,// invsqrt(2.0646) = 0.6960 +32'h408c7444,32'h3eef7fbf,32'h3ef94645, 32'h3ee82adc,32'h3f004d94, 32'h3edbf2b5,32'h3f0669a8,// invsqrt(4.3892) = 0.4773 +32'h4019e737,32'h3f21c863,32'h3f2862d9, 32'h3f1cd489,32'h3f2d56b3, 32'h3f149375,32'h3f3597c7,// invsqrt(2.4047) = 0.6449 +32'h41aed4d0,32'h3e56aa44,32'h3e5f6d4c, 32'h3e5017fe,32'h3e65ff92, 32'h3e452434,32'h3e70f35c,// invsqrt(21.8539) = 0.2139 +32'h4074bb46,32'h3f004b9f,32'h3f05882d, 32'h3ef8bc68,32'h3f097598, 32'h3eeba504,32'h3f10014a,// invsqrt(3.8239) = 0.5114 +32'h3ff3a160,32'h3f35d8be,32'h3f3d44db, 32'h3f3047a9,32'h3f42d5f1, 32'h3f270085,32'h3f4c1d15,// invsqrt(1.9034) = 0.7248 +32'h3edf5d10,32'h3fbdeadf,32'h3fc5ab51, 32'h3fb81a8a,32'h3fcb7ba6, 32'h3fae69fc,32'h3fd52c34,// invsqrt(0.4363) = 1.5140 +32'h3e198a0b,32'h4021f972,32'h402895e9, 32'h401d0418,32'h402d8b42, 32'h4014c082,32'h4035ced8,// invsqrt(0.1499) = 2.5825 +32'h3eda9580,32'h3fbffb8b,32'h3fc7d191, 32'h3fba1b07,32'h3fcdb215, 32'h3fb04f80,32'h3fd77d9c,// invsqrt(0.4269) = 1.5305 +32'h3fb34207,32'h3f53ff80,32'h3f5ca6aa, 32'h3f4d8221,32'h3f632409, 32'h3f42b12c,32'h3f6df4fe,// invsqrt(1.4005) = 0.8450 +32'h3ed393b7,32'h3fc322bf,32'h3fcb19b7, 32'h3fbd2985,32'h3fd112f1, 32'h3fb334cf,32'h3fdb07a7,// invsqrt(0.4132) = 1.5556 +32'h3ceb8a3b,32'h40b8f180,32'h40c07df8, 32'h40b34826,32'h40c62752, 32'h40a9d890,32'h40cf96e8,// invsqrt(0.0288) = 5.8974 +32'h407439b3,32'h3f006da3,32'h3f05ab95, 32'h3ef8fe5c,32'h3f099a0a, 32'h3eebe37f,32'h3f102778,// invsqrt(3.8160) = 0.5119 +32'h3fc2722a,32'h3f4b8cdb,32'h3f53dbbf, 32'h3f4551b0,32'h3f5a16ea, 32'h3f3aef12,32'h3f647988,// invsqrt(1.5191) = 0.8113 +32'h3f85103a,32'h3f760f77,32'h3f800d46, 32'h3f6e8727,32'h3f83d16d, 32'h3f61f94e,32'h3f8a185a,// invsqrt(1.0396) = 0.9808 +32'h3f2aa353,32'h3f99a519,32'h3f9fea87, 32'h3f94f106,32'h3fa49e9a, 32'h3f8d1a3b,32'h3fac7565,// invsqrt(0.6666) = 1.2248 +32'h3cb820a4,32'h40d12d09,32'h40d9b6b6, 32'h40cac5c8,32'h40e01df8, 32'h40c019b0,32'h40eaca10,// invsqrt(0.0225) = 6.6702 +32'h3f6e981d,32'h3f81ef5b,32'h3f873d0b, 32'h3f7bea2e,32'h3f8b374f, 32'h3f6ea7f5,32'h3f91d86b,// invsqrt(0.9320) = 1.0358 +32'h3f6fc524,32'h3f819db1,32'h3f86e80b, 32'h3f7b4bd9,32'h3f8adfd0, 32'h3f6e11f6,32'h3f917cc1,// invsqrt(0.9366) = 1.0333 +32'h3f01f074,32'h3fb011f8,32'h3fb741b8, 32'h3faaae27,32'h3fbca589, 32'h3fa1b276,32'h3fc5a13a,// invsqrt(0.5076) = 1.4036 +32'h3fbe7c58,32'h3f4da7bf,32'h3f560ca3, 32'h3f475c15,32'h3f5c584d, 32'h3f3cddf9,32'h3f66d669,// invsqrt(1.4882) = 0.8197 +32'h3feaefde,32'h3f392e38,32'h3f40bd2a, 32'h3f338302,32'h3f466860, 32'h3f2a1052,32'h3f4fdb10,// invsqrt(1.8354) = 0.7381 +32'h3f8485e7,32'h3f768fc0,32'h3f805008, 32'h3f6f0383,32'h3f841627, 32'h3f626f1e,32'h3f8a6059,// invsqrt(1.0353) = 0.9828 +32'h3f0baf9b,32'h3fa9d100,32'h3fb0bf68, 32'h3fa49e31,32'h3fb5f237, 32'h3f9bf42f,32'h3fbe9c39,// invsqrt(0.5456) = 1.3538 +32'h3f60ca07,32'h3f85dd8b,32'h3f8b544d, 32'h3f81c47a,32'h3f8f6d5e, 32'h3f75e00f,32'h3f9641d0,// invsqrt(0.8781) = 1.0672 +32'h3f1e5d07,32'h3f9f7cfd,32'h3fa5ff7a, 32'h3f9a9b1e,32'h3faae158, 32'h3f927802,32'h3fb30474,// invsqrt(0.6186) = 1.2714 +32'h4074bc76,32'h3f004b4f,32'h3f0587db, 32'h3ef8bbce,32'h3f097543, 32'h3eeba473,32'h3f1000f1,// invsqrt(3.8240) = 0.5114 +32'h40a1441c,32'h3edf82e7,32'h3ee8a25e, 32'h3ed8ab4d,32'h3eef79f7, 32'h3ecd43f8,32'h3efae14c,// invsqrt(5.0396) = 0.4455 +32'h3feddf75,32'h3f3808c2,32'h3f3f8bba, 32'h3f326688,32'h3f452df4, 32'h3f2902d1,32'h3f4e91ab,// invsqrt(1.8584) = 0.7336 +32'h3ed3d4f1,32'h3fc304b2,32'h3fcafa70, 32'h3fbd0c63,32'h3fd0f2bf, 32'h3fb31936,32'h3fdae5ec,// invsqrt(0.4137) = 1.5547 +32'h3f8e4983,32'h3f6df38c,32'h3f77a9e5, 32'h3f66aac8,32'h3f7ef2a8, 32'h3f5a86d9,32'h3f858b4c,// invsqrt(1.1116) = 0.9485 +32'h3fa4dc80,32'h3f5d0f8d,32'h3f661569, 32'h3f564b28,32'h3f6cd9ce, 32'h3f4b03d5,32'h3f782121,// invsqrt(1.2880) = 0.8811 +32'h3f8e8862,32'h3f6dbf0b,32'h3f77733f, 32'h3f6677e3,32'h3f7eba67, 32'h3f5a56a1,32'h3f856dd4,// invsqrt(1.1135) = 0.9476 +32'h3f782e46,32'h3f7ecd2f,32'h3f8499cd, 32'h3f77005f,32'h3f888034, 32'h3f6a005a,32'h3f8f0037,// invsqrt(0.9695) = 1.0156 +32'h3e59e089,32'h4007f8e5,32'h400d85aa, 32'h4003cf50,32'h4011af3e, 32'h3ff9beb3,32'h40189f34,// invsqrt(0.2128) = 2.1679 +32'h3d4e87a9,32'h408ba858,32'h40915b9f, 32'h408761e2,32'h4095a214, 32'h408041c8,32'h409cc22e,// invsqrt(0.0504) = 4.4534 +32'h3ef75acf,32'h3fb478f3,32'h3fbbd6b3, 32'h3faef2a2,32'h3fc15d04, 32'h3fa5bd71,32'h3fca9235,// invsqrt(0.4831) = 1.4387 +32'h3f2cf419,32'h3f989ce9,32'h3f9ed78f, 32'h3f93f0ec,32'h3fa3838c, 32'h3f8c279c,32'h3fab4cdc,// invsqrt(0.6756) = 1.2166 +32'h3f44bb36,32'h3f8f17e3,32'h3f94ef11, 32'h3f8ab681,32'h3f995073, 32'h3f836987,32'h3fa09d6d,// invsqrt(0.7685) = 1.1407 +32'h402c5791,32'h3f18e228,32'h3f1f1fa2, 32'h3f14340d,32'h3f23cdbd, 32'h3f0c6734,32'h3f2b9a96,// invsqrt(2.6928) = 0.6094 +32'h3ef57f5e,32'h3fb52760,32'h3fbc8c40, 32'h3faf9bb9,32'h3fc217e7, 32'h3fa65da2,32'h3fcb55fe,// invsqrt(0.4795) = 1.4441 +32'h3eec1438,32'h3fb8bb6b,32'h3fc045ae, 32'h3fb313b8,32'h3fc5ed60, 32'h3fa9a6e4,32'h3fcf5a34,// invsqrt(0.4611) = 1.4727 +32'h40724d09,32'h3f00eff1,32'h3f063335, 32'h3ef9fafe,32'h3f0a25a7, 32'h3eecd2d5,32'h3f10b9bb,// invsqrt(3.7860) = 0.5139 +32'h3ed74537,32'h3fc1745c,32'h3fc959c2, 32'h3fbb884e,32'h3fcf45d0, 32'h3fb1a98e,32'h3fd92491,// invsqrt(0.4204) = 1.5422 +32'h3f87cd5a,32'h3f73910b,32'h3f7d8211, 32'h3f6c1c47,32'h3f827b6b, 32'h3f5faf00,32'h3f88b20e,// invsqrt(1.0610) = 0.9708 +32'h40658196,32'h3f047b8b,32'h3f09e3da, 32'h3f006d50,32'h3f0df216, 32'h3ef355dc,32'h3f14b478,// invsqrt(3.5860) = 0.5281 +32'h3b2a5402,32'h4199c8db,32'h41a00fbf, 32'h419513b0,32'h41a4c4ea, 32'h418d3b12,32'h41ac9d88,// invsqrt(0.0026) = 19.6154 +32'h3dcf27e7,32'h40453500,32'h404d419d, 32'h403f2b8b,32'h40534b13, 32'h40351bc7,32'h405d5ad7,// invsqrt(0.1012) = 3.1442 +32'h3f484170,32'h3f8dd41c,32'h3f939e12, 32'h3f897ca3,32'h3f97f58b, 32'h3f82402e,32'h3f9f3200,// invsqrt(0.7822) = 1.1306 +32'h3f6787af,32'h3f83e6fd,32'h3f89493c, 32'h3f7fba9e,32'h3f8d52eb, 32'h3f724501,32'h3f940dba,// invsqrt(0.9044) = 1.0515 +32'h3ed5d757,32'h3fc21995,32'h3fca05ba, 32'h3fbc2878,32'h3fcff6d6, 32'h3fb2414a,32'h3fd9de05,// invsqrt(0.4177) = 1.5474 +32'h3f52d04a,32'h3f8a3b3d,32'h3f8fdf9d, 32'h3f85fff4,32'h3f941ae6, 32'h3f7de4f7,32'h3f9b285e,// invsqrt(0.8235) = 1.1020 +32'h3ce72792,32'h40bab080,32'h40c24f36, 32'h40b4f976,32'h40c80640, 32'h40ab7312,32'h40d18ca4,// invsqrt(0.0282) = 5.9531 +32'h3f275753,32'h3f9b26ab,32'h3fa17bd7, 32'h3f9666cb,32'h3fa63bb7, 32'h3f8e7c54,32'h3fae262e,// invsqrt(0.6537) = 1.2369 +32'h3e29d171,32'h401a03ee,32'h40204d3c, 32'h40154cf4,32'h40250436, 32'h400d7153,32'h402cdfd7,// invsqrt(0.1658) = 2.4556 +32'h3f93c93d,32'h3f697b82,32'h3f73032a, 32'h3f6255c5,32'h3f7a28e7, 32'h3f566c34,32'h3f83093c,// invsqrt(1.1546) = 0.9307 +32'h3f803584,32'h3f7aace6,32'h3f82741a, 32'h3f73006c,32'h3f864a57, 32'h3f66364c,32'h3f8caf67,// invsqrt(1.0016) = 0.9992 +32'h3f590b70,32'h3f883b94,32'h3f8dcb12, 32'h3f840ff5,32'h3f91f6b1, 32'h3f7a392f,32'h3f98ea0e,// invsqrt(0.8478) = 1.0860 +32'h3fc6f808,32'h3f493930,32'h3f516fc4, 32'h3f431041,32'h3f5798b3, 32'h3f38cc07,32'h3f61dced,// invsqrt(1.5544) = 0.8021 +32'h40547b00,32'h3f09b02a,32'h3f0f4edd, 32'h3f057923,32'h3f1385e3, 32'h3efce585,32'h3f1a8c43,// invsqrt(3.3200) = 0.5488 +32'h3f9768f1,32'h3f66abe4,32'h3f70162c, 32'h3f5f9c2e,32'h3f7725e2, 32'h3f53d754,32'h3f81755e,// invsqrt(1.1829) = 0.9194 +32'h40032008,32'h3f2f45b0,32'h3f366d1a, 32'h3f29e820,32'h3f3bcaaa, 32'h3f20f6db,32'h3f44bbef,// invsqrt(2.0488) = 0.6986 +32'h41264fef,32'h3e9ba156,32'h3ea1fb83, 32'h3e96ddb3,32'h3ea6bf25, 32'h3e8eecfb,32'h3eaeafdd,// invsqrt(10.3945) = 0.3102 +32'h40552ee3,32'h3f097606,32'h3f0f125a, 32'h3f0540c7,32'h3f134799, 32'h3efc7abd,32'h3f1a4b02,// invsqrt(3.3310) = 0.5479 +32'h3b0fdbbb,32'h41a755ef,32'h41ae2a6b, 32'h41a23691,32'h41b349c9, 32'h4199acf5,32'h41bbd365,// invsqrt(0.0022) = 21.3438 +32'h3d929d37,32'h406a69ed,32'h4073fb4f, 32'h40633ce3,32'h407b2859, 32'h40574728,32'h40838f0a,// invsqrt(0.0716) = 3.7375 +32'h3e1aad03,32'h402160d0,32'h4027f70d, 32'h401c7023,32'h402ce7bb, 32'h40143457,32'h40352387,// invsqrt(0.1511) = 2.5730 +32'h3f7bfd4f,32'h3f7cde59,32'h3f839849, 32'h3f7520b0,32'h3f87771e, 32'h3f6839ea,32'h3f8dea81,// invsqrt(0.9843) = 1.0079 +32'h3f8ce9c2,32'h3f6f1bd2,32'h3f78de43, 32'h3f67c9fd,32'h3f80180c, 32'h3f5b96ef,32'h3f863192,// invsqrt(1.1009) = 0.9531 +32'h3f83f0ac,32'h3f771b08,32'h3f809885, 32'h3f6f8a89,32'h3f8460c5, 32'h3f62ef08,32'h3f8aae85,// invsqrt(1.0308) = 0.9850 +32'h404589f1,32'h3f0eccef,32'h3f14a10d, 32'h3f0a6dd8,32'h3f190024, 32'h3f0324b1,32'h3f20494b,// invsqrt(3.0865) = 0.5692 +32'h3fbbc5fc,32'h3f4f22a0,32'h3f5796fa, 32'h3f48cb5c,32'h3f5dee3e, 32'h3f3e39ec,32'h3f687fae,// invsqrt(1.4670) = 0.8256 +32'h40000498,32'h3f3162f3,32'h3f38a075, 32'h3f2bf4d1,32'h3f3e0e97, 32'h3f22e7ef,32'h3f471b79,// invsqrt(2.0003) = 0.7071 +32'h3f766b3e,32'h3f7fb5f4,32'h3f8512f0, 32'h3f77e205,32'h3f88fce8, 32'h3f6ad61f,32'h3f8f82da,// invsqrt(0.9626) = 1.0193 +32'h3f1f03a5,32'h3f9f2958,32'h3fa5a86c, 32'h3f9a4a0a,32'h3faa87ba, 32'h3f922b32,32'h3fb2a692,// invsqrt(0.6211) = 1.2688 +32'h41345442,32'h3e957598,32'h3e9b8f4a, 32'h3e90e252,32'h3ea02290, 32'h3e894232,32'h3ea7c2b0,// invsqrt(11.2706) = 0.2979 +32'h3e0fa008,32'h402778b2,32'h402e4e9a, 32'h40225844,32'h40336f08, 32'h4019cce2,32'h403bfa6a,// invsqrt(0.1403) = 2.6701 +32'h3fd5237b,32'h3f426b69,32'h3f4a5ae5, 32'h3f3c77cb,32'h3f504e83, 32'h3f328c70,32'h3f5a39de,// invsqrt(1.6651) = 0.7750 +32'h3fc696bc,32'h3f496a75,32'h3f51a30d, 32'h3f434004,32'h3f57cd7e, 32'h3f38f947,32'h3f62143b,// invsqrt(1.5515) = 0.8028 +32'h3eda96fc,32'h3fbffae4,32'h3fc7d0e3, 32'h3fba1a65,32'h3fcdb163, 32'h3fb04ee7,32'h3fd77ce1,// invsqrt(0.4269) = 1.5305 +32'h3f8622ca,32'h3f751321,32'h3f7f13e9, 32'h3f6d928b,32'h3f834a3f, 32'h3f611191,32'h3f898abc,// invsqrt(1.0479) = 0.9769 +32'h403c895a,32'h3f122b86,32'h3f1822da, 32'h3f0db207,32'h3f1c9c59, 32'h3f063cde,32'h3f241182,// invsqrt(2.9459) = 0.5826 +32'h3f84e7a2,32'h3f763508,32'h3f8020d3, 32'h3f6eab93,32'h3f83e58e, 32'h3f621bce,32'h3f8a2d70,// invsqrt(1.0383) = 0.9814 +32'h3e7905f8,32'h3ffe5ebd,32'h40046053, 32'h3ff69550,32'h4008450a, 32'h3fe99aed,32'h400ec23c,// invsqrt(0.2432) = 2.0278 +32'h403afb90,32'h3f12c6af,32'h3f18c458, 32'h3f0e4870,32'h3f1d4296, 32'h3f06cb5c,32'h3f24bfaa,// invsqrt(2.9216) = 0.5850 +32'h3f8779d1,32'h3f73dc17,32'h3f7dd02d, 32'h3f6c6507,32'h3f82a39f, 32'h3f5ff3eb,32'h3f88dc2c,// invsqrt(1.0584) = 0.9720 +32'h3f96b9bc,32'h3f6731cf,32'h3f70a18f, 32'h3f601e00,32'h3f77b55e, 32'h3f545251,32'h3f81c087,// invsqrt(1.1775) = 0.9215 +32'h3f1915a9,32'h3fa236f8,32'h3fa8d5f2, 32'h3f9d3fbc,32'h3fadcd2e, 32'h3f94f903,32'h3fb613e7,// invsqrt(0.5980) = 1.2932 +32'h3fed221d,32'h3f38522c,32'h3f3fd824, 32'h3f32adb3,32'h3f457c9d, 32'h3f29463e,32'h3f4ee412,// invsqrt(1.8526) = 0.7347 +32'h3f1e22ee,32'h3f9f9a46,32'h3fa61df6, 32'h3f9ab783,32'h3fab00b9, 32'h3f9292e8,32'h3fb32554,// invsqrt(0.6177) = 1.2723 +32'h40c79388,32'h3ec8eabc,32'h3ed11e1d, 32'h3ec2c434,32'h3ed744a6, 32'h3eb883fc,32'h3ee184de,// invsqrt(6.2368) = 0.4004 +32'h3de184f0,32'h403d01f0,32'h4044b8df, 32'h403738bb,32'h404a8213, 32'h402d9410,32'h405426be,// invsqrt(0.1101) = 3.0135 +32'h3e71a9b1,32'h40011b7d,32'h40066088, 32'h3ffa4f6c,32'h400a5450, 32'h3fed22d2,32'h4010ea9d,// invsqrt(0.2360) = 2.0585 +32'h4016dae7,32'h3f2368b6,32'h3f2a142c, 32'h3f1e681f,32'h3f2f14c3, 32'h3f1611cc,32'h3f376b16,// invsqrt(2.3571) = 0.6513 +32'h3f56439e,32'h3f891d25,32'h3f8eb5d8, 32'h3f84ea9e,32'h3f92e85e, 32'h3f7bd77c,32'h3f99e73e,// invsqrt(0.8370) = 1.0931 +32'h3f8837c4,32'h3f7331d5,32'h3f7d1ef8, 32'h3f6bbffb,32'h3f824869, 32'h3f5f5790,32'h3f887c9f,// invsqrt(1.0642) = 0.9694 +32'h3ea2bc74,32'h3fde7fde,32'h3fe794c3, 32'h3fd7b033,32'h3fee646f, 32'h3fcc5616,32'h3ff9be8c,// invsqrt(0.3178) = 1.7738 +32'h3efcd0c4,32'h3fb28342,32'h3fb9cc88, 32'h3fad0c4d,32'h3fbf437d, 32'h3fa3f0b4,32'h3fc85f16,// invsqrt(0.4938) = 1.4231 +32'h3f55e07f,32'h3f893ce7,32'h3f8ed6e5, 32'h3f850967,32'h3f930a65, 32'h3f7c11d1,32'h3f9a0ae3,// invsqrt(0.8355) = 1.0941 +32'h3f8dd290,32'h3f6e5740,32'h3f7811ac, 32'h3f670b70,32'h3f7f5d7c, 32'h3f5ae26a,32'h3f85c341,// invsqrt(1.1080) = 0.9500 +32'h40529ea6,32'h3f0a4b86,32'h3f0ff090, 32'h3f060fbe,32'h3f142c58, 32'h3efe02e1,32'h3f1b3aa6,// invsqrt(3.2909) = 0.5512 +32'h4300ea71,32'h3db0c48a,32'h3db7fb94, 32'h3dab5b41,32'h3dbd64dd, 32'h3da25674,32'h3dc669aa,// invsqrt(128.9158) = 0.0881 +32'h3f0cdb14,32'h3fa91c1a,32'h3fb00320, 32'h3fa3eed5,32'h3fb53065, 32'h3f9b4e0d,32'h3fbdd12d,// invsqrt(0.5502) = 1.3481 +32'h3e8ef451,32'h3fed653a,32'h3ff715c4, 32'h3fe620d2,32'h3ffe5a2c, 32'h3fda0426,32'h40053b6c,// invsqrt(0.2792) = 1.8925 +32'h3f879c48,32'h3f73bd18,32'h3f7dafea, 32'h3f6c46fb,32'h3f829304, 32'h3f5fd774,32'h3f88cac7,// invsqrt(1.0595) = 0.9715 +32'h3e241180,32'h401cb0db,32'h4023161d, 32'h4017e4e9,32'h4027e20f, 32'h400fe656,32'h402fe0a2,// invsqrt(0.1602) = 2.4983 +32'h3e0c0238,32'h40299edf,32'h40308b3b, 32'h40246d99,32'h4035bc81, 32'h401bc625,32'h403e63f5,// invsqrt(0.1367) = 2.7044 +32'h3f4c52ab,32'h3f8c68ea,32'h3f92240e, 32'h3f881c8f,32'h3f967069, 32'h3f80f2a3,32'h3f9d9a55,// invsqrt(0.7981) = 1.1193 +32'h3dbcaee3,32'h404ea2a1,32'h405711c2, 32'h40484f49,32'h405d651b, 32'h403dc460,32'h4067f004,// invsqrt(0.0921) = 3.2946 +32'h3f6c9694,32'h3f827c13,32'h3f87cf82, 32'h3f7cfb02,32'h3f8bce15, 32'h3f6faa6d,32'h3f92765f,// invsqrt(0.9242) = 1.0402 +32'h3eba6ada,32'h3fcfe322,32'h3fd85f57, 32'h3fc985f9,32'h3fdebc7f, 32'h3fbeeab6,32'h3fe957c2,// invsqrt(0.3641) = 1.6573 +32'h402b71c8,32'h3f19487a,32'h3f1f8a20, 32'h3f14973c,32'h3f243b5e, 32'h3f0cc52c,32'h3f2c0d6e,// invsqrt(2.6788) = 0.6110 +32'h3ea92abe,32'h3fda3aba,32'h3fe32300, 32'h3fd38c85,32'h3fe9d135, 32'h3fc86a2d,32'h3ff4f38d,// invsqrt(0.3304) = 1.7397 +32'h42116cae,32'h3e266ea1,32'h3e2d39ad, 32'h3e215658,32'h3e3251f6, 32'h3e18d88a,32'h3e3acfc5,// invsqrt(36.3561) = 0.1658 +32'h3f8c144f,32'h3f6fd1b9,32'h3f799b97, 32'h3f687a53,32'h3f80797f, 32'h3f5c3dfe,32'h3f8697a9,// invsqrt(1.0944) = 0.9559 +32'h406ddd4a,32'h3f022258,32'h3f07721c, 32'h3efc4d08,32'h3f0b6df0, 32'h3eef059c,32'h3f1211a6,// invsqrt(3.7166) = 0.5187 +32'h3e31947f,32'h40169c9d,32'h401cc25b, 32'h4012004f,32'h40215ea9, 32'h400a5123,32'h40290dd5,// invsqrt(0.1734) = 2.4013 +32'h3e9292bd,32'h3fea724e,32'h3ff40408, 32'h3fe34502,32'h3ffb3154, 32'h3fd74eda,32'h400393be,// invsqrt(0.2863) = 1.8690 +32'h3f81ac88,32'h3f794165,32'h3f81b6ee, 32'h3f71a00b,32'h3f85879a, 32'h3f64e876,32'h3f8be365,// invsqrt(1.0131) = 0.9935 +32'h415a1fb4,32'h3e87e533,32'h3e8d712b, 32'h3e83bc39,32'h3e919a25, 32'h3e799a88,32'h3e98891a,// invsqrt(13.6327) = 0.2708 +32'h3f1bad3e,32'h3fa0dbcb,32'h3fa76c99, 32'h3f9bef30,32'h3fac5934, 32'h3f93ba2d,32'h3fb48e37,// invsqrt(0.6081) = 1.2824 +32'h3eed00cf,32'h3fb85f1f,32'h3fbfe59e, 32'h3fb2ba41,32'h3fc58a7d, 32'h3fa95222,32'h3fcef29c,// invsqrt(0.4629) = 1.4698 +32'h3f4fe1d1,32'h3f8b33e0,32'h3f90e267, 32'h3f86f0fc,32'h3f95254c, 32'h3f7fada7,32'h3f9c3f74,// invsqrt(0.8120) = 1.1097 +32'h3ffa8ffd,32'h3f335043,32'h3f3aa1e7, 32'h3f2dd307,32'h3f401f23, 32'h3f24acf9,32'h3f494531,// invsqrt(1.9575) = 0.7147 +32'h3f65cb27,32'h3f846655,32'h3f89cdc6, 32'h3f8058c0,32'h3f8ddb5a, 32'h3f732ee4,32'h3f949ca8,// invsqrt(0.8976) = 1.0555 +32'h3f26dd9b,32'h3f9b5f37,32'h3fa1b6b1, 32'h3f969d9b,32'h3fa6784d, 32'h3f8eb042,32'h3fae65a6,// invsqrt(0.6518) = 1.2386 +32'h3e894273,32'h3ff24521,32'h3ffc289b, 32'h3feada86,32'h4001c99b, 32'h3fde7e2e,32'h4007f7c7,// invsqrt(0.2681) = 1.9314 +32'h3e847fbe,32'h3ff6957b,32'h40005304, 32'h3fef0912,32'h40041939, 32'h3fe27462,32'h400a6391,// invsqrt(0.2588) = 1.9658 +32'h40a5cc81,32'h3edc6f53,32'h3ee56ea5, 32'h3ed5afd6,32'h3eec2e22, 32'h3eca70b0,32'h3ef76d48,// invsqrt(5.1812) = 0.4393 +32'h3df81f1b,32'h40343181,32'h403b8c57, 32'h402ead60,32'h40411078, 32'h40257bd4,32'h404a4204,// invsqrt(0.1212) = 2.8730 +32'h3ec95199,32'h3fc80baa,32'h3fd035f0, 32'h3fc1ebf6,32'h3fd655a4, 32'h3fb7b71f,32'h3fe08a7b,// invsqrt(0.3932) = 1.5948 +32'h4000df8a,32'h3f30cc04,32'h3f38035c, 32'h3f2b6281,32'h3f3d6cdf, 32'h3f225d52,32'h3f46720e,// invsqrt(2.0136) = 0.7047 +32'h40c55a7a,32'h3eca0b97,32'h3ed24ac1, 32'h3ec3dc37,32'h3ed87a21, 32'h3eb98d41,32'h3ee2c917,// invsqrt(6.1673) = 0.4027 +32'h3fbd6002,32'h3f4e41e9,32'h3f56ad17, 32'h3f47f186,32'h3f5cfd7a, 32'h3f3d6b8d,32'h3f678373,// invsqrt(1.4795) = 0.8221 +32'h3f824b3b,32'h3f78a96a,32'h3f8167d7, 32'h3f710cb8,32'h3f853630, 32'h3f645ce4,32'h3f8b8e1a,// invsqrt(1.0179) = 0.9912 +32'h3d142c5f,32'h40a4e193,32'h40ab9c6a, 32'h409fd572,32'h40b0a88c, 32'h40976be6,32'h40b91219,// invsqrt(0.0362) = 5.2577 +32'h3dc48589,32'h404a78f0,32'h4052bc92, 32'h40444637,32'h4058ef4b, 32'h4039f1ae,32'h406343d4,// invsqrt(0.0960) = 3.2282 +32'h3f7a86b5,32'h3f7d9b20,32'h3f83fa86, 32'h3f75d7ae,32'h3f87dc3f, 32'h3f68e747,32'h3f8e5472,// invsqrt(0.9786) = 1.0109 +32'h4292a12f,32'h3dea66c1,32'h3df3f803, 32'h3de339d0,32'h3dfb24f4, 32'h3dd7443f,32'h3e038d43,// invsqrt(73.3148) = 0.1168 +32'h3fe2b4a6,32'h3f3c832a,32'h3f4434ee, 32'h3f36bdd8,32'h3f49fa40, 32'h3f2d1fa4,32'h3f539874,// invsqrt(1.7711) = 0.7514 +32'h4180a356,32'h3e7a41cf,32'h3e823c5f, 32'h3e72989c,32'h3e8610f8, 32'h3e65d3f2,32'h3e8c734d,// invsqrt(16.0798) = 0.2494 +32'h3f5e0446,32'h3f86b2da,32'h3f8c3250, 32'h3f829341,32'h3f9051e9, 32'h3f7767d9,32'h3f97313e,// invsqrt(0.8673) = 1.0738 +32'h3e23effa,32'h401cc0df,32'h402326c9, 32'h4017f470,32'h4027f338, 32'h400ff50c,32'h402ff29c,// invsqrt(0.1601) = 2.4993 +32'h3e4df10b,32'h400bdb60,32'h401190bd, 32'h4007935b,32'h4015d8c3, 32'h400070a7,32'h401cfb77,// invsqrt(0.2011) = 2.2299 +32'h4062b07e,32'h3f054d9b,32'h3f0abe7d, 32'h3f0138f2,32'h3f0ed326, 32'h3ef4d7af,32'h3f15a040,// invsqrt(3.5420) = 0.5313 +32'h4029bec2,32'h3f1a0c67,32'h3f20560e, 32'h3f15552b,32'h3f250d4b, 32'h3f0d791c,32'h3f2ce95a,// invsqrt(2.6523) = 0.6140 +32'h3fa1d19d,32'h3f5f2118,32'h3f683c91, 32'h3f584c7d,32'h3f6f112b, 32'h3f4cea25,32'h3f7a7383,// invsqrt(1.2642) = 0.8894 +32'h404d87ab,32'h3f0bff36,32'h3f11b609, 32'h3f07b617,32'h3f15ff27, 32'h3f00918f,32'h3f1d23af,// invsqrt(3.2114) = 0.5580 +32'h3f6fb3c1,32'h3f81a264,32'h3f86ecf0, 32'h3f7b54f6,32'h3f8ae4d9, 32'h3f6e1a98,32'h3f918208,// invsqrt(0.9363) = 1.0334 +32'h3f95ebe1,32'h3f67d052,32'h3f71468a, 32'h3f60b7a8,32'h3f785f34, 32'h3f54e3e3,32'h3f82197c,// invsqrt(1.1713) = 0.9240 +32'h405a0103,32'h3f07eec3,32'h3f0d7b1f, 32'h3f03c57f,32'h3f11a463, 32'h3ef9ac18,32'h3f1893d6,// invsqrt(3.4063) = 0.5418 +32'h3e6f893d,32'h4001ade5,32'h4006f8e9, 32'h3ffb6b44,32'h400af12c, 32'h3fee2fb9,32'h40118ef1,// invsqrt(0.2339) = 2.0676 +32'h40168274,32'h3f2398b3,32'h3f2a461d, 32'h3f1e96a3,32'h3f2f482d, 32'h3f163dde,32'h3f37a0f2,// invsqrt(2.3517) = 0.6521 +32'h3f7a2c99,32'h3f7dc8c8,32'h3f841248, 32'h3f7603f0,32'h3f87f4b4, 32'h3f691134,32'h3f8e6e12,// invsqrt(0.9772) = 1.0116 +32'h403e3473,32'h3f11870d,32'h3f1777ab, 32'h3f0d1297,32'h3f1bec21, 32'h3f05a5d3,32'h3f2358e5,// invsqrt(2.9720) = 0.5801 +32'h3ffa78f8,32'h3f335880,32'h3f3aaa7a, 32'h3f2ddb04,32'h3f4027f6, 32'h3f24b48a,32'h3f494e70,// invsqrt(1.9568) = 0.7149 +32'h4011edd7,32'h3f2624e9,32'h3f2cecf3, 32'h3f210ee2,32'h3f3202fa, 32'h3f1894d6,32'h3f3a7d06,// invsqrt(2.2801) = 0.6622 +32'h3f722f75,32'h3f80f7d1,32'h3f863b67, 32'h3f7a0a42,32'h3f8a2e17, 32'h3f6ce14c,32'h3f90c292,// invsqrt(0.9460) = 1.0281 +32'h3ef036e3,32'h3fb72294,32'h3fbe9c27, 32'h3fb18765,32'h3fc43755, 32'h3fa82f6d,32'h3fcd8f4d,// invsqrt(0.4692) = 1.4599 +32'h40094f75,32'h3f2b4772,32'h3f324524, 32'h3f26092e,32'h3f378368, 32'h3f1d4c10,32'h3f404086,// invsqrt(2.1455) = 0.6827 +32'h3fbb4a37,32'h3f4f6706,32'h3f57de2a, 32'h3f490daa,32'h3f5e3786, 32'h3f3e78bc,32'h3f68cc74,// invsqrt(1.4632) = 0.8267 +32'h3f0b99fc,32'h3fa9de26,32'h3fb0cd18, 32'h3fa4aaf1,32'h3fb6004d, 32'h3f9c0042,32'h3fbeaafc,// invsqrt(0.5453) = 1.3542 +32'h40303590,32'h3f17324d,32'h3f1d5e27, 32'h3f12916a,32'h3f21ff0a, 32'h3f0ada9b,32'h3f29b5d9,// invsqrt(2.7533) = 0.6027 +32'h3f760fa7,32'h3f7fe587,32'h3f852bb2, 32'h3f781023,32'h3f891664, 32'h3f6b01d1,32'h3f8f9d8e,// invsqrt(0.9612) = 1.0200 +32'h3ffd158b,32'h3f326aff,32'h3f39b348, 32'h3f2cf4c8,32'h3f3f297e, 32'h3f23da6c,32'h3f4843da,// invsqrt(1.9772) = 0.7112 +32'h3f3f1b06,32'h3f912f28,32'h3f971c2e, 32'h3f8cbd62,32'h3f9b8df4, 32'h3f85551a,32'h3fa2f63c,// invsqrt(0.7465) = 1.1574 +32'h3f2bf3a7,32'h3f990e8c,32'h3f9f4dd6, 32'h3f945f15,32'h3fa3fd4d, 32'h3f8c8ff9,32'h3fabcc69,// invsqrt(0.6717) = 1.2202 +32'h3eacd35c,32'h3fd7e839,32'h3fe0b83b, 32'h3fd14c37,32'h3fe7543d, 32'h3fc64834,32'h3ff25840,// invsqrt(0.3376) = 1.7212 +32'h3e864a7a,32'h3ff4eee8,32'h3ffeee35, 32'h3fed6f6d,32'h400336d7, 32'h3fe0f04d,32'h40097668,// invsqrt(0.2623) = 1.9526 +32'h401ab5fa,32'h3f215c23,32'h3f27f22f, 32'h3f1c6b9a,32'h3f2ce2b8, 32'h3f14300b,32'h3f351e47,// invsqrt(2.4174) = 0.6432 +32'h3e88bd66,32'h3ff2bae3,32'h3ffca32b, 32'h3feb4cad,32'h400208b0, 32'h3fdeea53,32'h400839dd,// invsqrt(0.2671) = 1.9350 +32'h3e4be2f4,32'h400c8f5c,32'h40124c12, 32'h400841d4,32'h4016999a, 32'h400115f2,32'h401dc57c,// invsqrt(0.1991) = 2.2411 +32'h4156c00f,32'h3e88f565,32'h3e8e8c79, 32'h3e84c416,32'h3e92bdc8, 32'h3e7b8e7b,32'h3e99baa0,// invsqrt(13.4219) = 0.2730 +32'h3ff392ae,32'h3f35de3a,32'h3f3d4a90, 32'h3f304cfa,32'h3f42dbd0, 32'h3f27058e,32'h3f4c233c,// invsqrt(1.9029) = 0.7249 +32'h3e4f28b6,32'h400b7204,32'h40112314, 32'h40072d38,32'h401567e0, 32'h40000fe4,32'h401c8534,// invsqrt(0.2023) = 2.2233 +32'h3f062b72,32'h3fad45ac,32'h3fb45831, 32'h3fa7f7c9,32'h3fb9a615, 32'h3f9f20a4,32'h3fc27d3a,// invsqrt(0.5241) = 1.3813 +32'h3f809a67,32'h3f7a4a80,32'h3f8240e4, 32'h3f72a109,32'h3f8615a0, 32'h3f65dbed,32'h3f8c782d,// invsqrt(1.0047) = 0.9977 +32'h4000e643,32'h3f30c767,32'h3f37fe90, 32'h3f2b5e09,32'h3f3d67ef, 32'h3f225916,32'h3f466ce2,// invsqrt(2.0141) = 0.7046 +32'h4072d690,32'h3f00cb6a,32'h3f060d2f, 32'h3ef9b42a,32'h3f09fe83, 32'h3eec8fbc,32'h3f1090ba,// invsqrt(3.7943) = 0.5134 +32'h3f3021f9,32'h3f973ab5,32'h3f9d66e7, 32'h3f929990,32'h3fa2080c, 32'h3f8ae253,32'h3fa9bf49,// invsqrt(0.6880) = 1.2056 +32'h3f3a7988,32'h3f92f9d2,32'h3f98f992, 32'h3f8e7a03,32'h3f9d7961, 32'h3f86fa53,32'h3fa4f911,// invsqrt(0.7284) = 1.1717 +32'h3d7400d0,32'h40807c9b,32'h4085bb29, 32'h40791b61,32'h4089aa14, 32'h406bfefd,32'h40903845,// invsqrt(0.0596) = 4.0972 +32'h3f4e135d,32'h3f8bcfba,32'h3f91849e, 32'h3f878810,32'h3f95cc48, 32'h3f8065f4,32'h3f9cee64,// invsqrt(0.8050) = 1.1146 +32'h40ddbbb4,32'h3ebe9d48,32'h3ec66502, 32'h3eb8c77d,32'h3ecc3acd, 32'h3eaf0dd4,32'h3ed5f476,// invsqrt(6.9292) = 0.3799 +32'h3e04f59d,32'h402e0f1a,32'h403529d8, 32'h4028bb0d,32'h403a7de5, 32'h401fd9a0,32'h40435f52,// invsqrt(0.1298) = 2.7752 +32'h3f05e00e,32'h3fad766f,32'h3fb48af1, 32'h3fa8270e,32'h3fb9da52, 32'h3f9f4d6b,32'h3fc2b3f5,// invsqrt(0.5230) = 1.3828 +32'h40febff6,32'h3eb1d56d,32'h3eb9179b, 32'h3eac63ca,32'h3ebe893e, 32'h3ea35110,32'h3ec79bf8,// invsqrt(7.9609) = 0.3544 +32'h3fa93447,32'h3f5a3494,32'h3f631c9a, 32'h3f53868f,32'h3f69ca9f, 32'h3f486488,32'h3f74eca6,// invsqrt(1.3219) = 0.8698 +32'h3f2f0061,32'h3f97b7a2,32'h3f9de8ed, 32'h3f9312ab,32'h3fa28de5, 32'h3f8b550e,32'h3faa4b82,// invsqrt(0.6836) = 1.2095 +32'h3f1ab0a0,32'h3fa15eee,32'h3fa7f517, 32'h3f9c6e4f,32'h3face5b5, 32'h3f94329b,32'h3fb52169,// invsqrt(0.6043) = 1.2864 +32'h3faa699f,32'h3f596e2c,32'h3f624e19, 32'h3f52c63b,32'h3f68f60b, 32'h3f47ae53,32'h3f740df3,// invsqrt(1.3313) = 0.8667 +32'h3f000eae,32'h3fb15bf6,32'h3fb89930, 32'h3fabee0c,32'h3fbe071a, 32'h3fa2e184,32'h3fc713a2,// invsqrt(0.5002) = 1.4139 +32'h41105797,32'h3ea70e14,32'h3eaddfa2, 32'h3ea1f0ea,32'h3eb2fccc, 32'h3e996af8,32'h3ebb82be,// invsqrt(9.0214) = 0.3329 +32'h3f319c89,32'h3f969935,32'h3f9cbece, 32'h3f91fd01,32'h3fa15b01, 32'h3f8a4e01,32'h3fa90a01,// invsqrt(0.6938) = 1.2006 +32'h3fb52a1a,32'h3f52e12c,32'h3f5b7ca6, 32'h3f4c6c91,32'h3f61f141, 32'h3f41aa38,32'h3f6cb39a,// invsqrt(1.4153) = 0.8406 +32'h41242314,32'h3e9ca877,32'h3ea30d61, 32'h3e97dcc7,32'h3ea7d911, 32'h3e8fdea1,32'h3eafd737,// invsqrt(10.2586) = 0.3122 +32'h3e8fe52d,32'h3fec9e38,32'h3ff646a2, 32'h3fe55fe8,32'h3ffd84f2, 32'h3fd94d62,32'h4004cbbc,// invsqrt(0.2810) = 1.8863 +32'h3f9afa5c,32'h3f640029,32'h3f6d4e89, 32'h3f5d0561,32'h3f744951, 32'h3f51636a,32'h3f7feb48,// invsqrt(1.2108) = 0.9088 +32'h3e99d32a,32'h3fe4da86,32'h3fee31d0, 32'h3fddd90f,32'h3ff53347, 32'h3fd22bf4,32'h40007031,// invsqrt(0.3004) = 1.8244 +32'h402ecfa6,32'h3f17ccc6,32'h3f1dfeee, 32'h3f132729,32'h3f22a48b, 32'h3f0b6877,32'h3f2a633d,// invsqrt(2.7314) = 0.6051 +32'h3fe92090,32'h3f39e5df,32'h3f417c51, 32'h3f34350a,32'h3f472d26, 32'h3f2ab8fc,32'h3f50a934,// invsqrt(1.8213) = 0.7410 +32'h3df27827,32'h40364811,32'h403db8b9, 32'h4030b393,32'h40434d37, 32'h402766c1,32'h404c9a09,// invsqrt(0.1184) = 2.9063 +32'h40f47a92,32'h3eb587e6,32'h3ebcf0b5, 32'h3eaff949,32'h3ec27f51, 32'h3ea6b645,32'h3ecbc255,// invsqrt(7.6400) = 0.3618 +32'h3fdd32ab,32'h3f3ed84a,32'h3f46a26c, 32'h3f3900b0,32'h3f4c7a06, 32'h3f2f4405,32'h3f5636b1,// invsqrt(1.7281) = 0.7607 +32'h3f4a7ecf,32'h3f8d0ac2,32'h3f92cc80, 32'h3f88b973,32'h3f971dcf, 32'h3f818744,32'h3f9e4ffe,// invsqrt(0.7910) = 1.1244 +32'h3f22b541,32'h3f9d5831,32'h3fa3c447, 32'h3f98871f,32'h3fa89559, 32'h3f908003,32'h3fb09c75,// invsqrt(0.6356) = 1.2543 +32'h3f452180,32'h3f8ef2be,32'h3f94c868, 32'h3f8a927f,32'h3f9928a7, 32'h3f83476b,32'h3fa073bb,// invsqrt(0.7700) = 1.1396 +32'h3f7950d6,32'h3f7e3889,32'h3f844c71, 32'h3f767046,32'h3f883093, 32'h3f6977d7,32'h3f8eacca,// invsqrt(0.9739) = 1.0133 +32'h3ee4be7b,32'h3fbbaba9,32'h3fc354a0, 32'h3fb5ecef,32'h3fc91359, 32'h3fac59ba,32'h3fd2a68e,// invsqrt(0.4468) = 1.4961 +32'h3d7cef88,32'h407c6528,32'h40835936, 32'h4074ab33,32'h40873630, 32'h4067ca9c,32'h408da67c,// invsqrt(0.0618) = 4.0242 +32'h3f113cfb,32'h3fa689f3,32'h3fad561c, 32'h3fa170d3,32'h3fb26f3b, 32'h3f98f1a0,32'h3fbaee6e,// invsqrt(0.5673) = 1.3276 +32'h3ef4964f,32'h3fb57d9a,32'h3fbce5fe, 32'h3fafef4f,32'h3fc27449, 32'h3fa6acd1,32'h3fcbb6c7,// invsqrt(0.4777) = 1.4468 +32'h3eeafa17,32'h3fb92a30,32'h3fc0b8f9, 32'h3fb37f1a,32'h3fc66410, 32'h3faa0ca0,32'h3fcfd68a,// invsqrt(0.4589) = 1.4761 +32'h4095b648,32'h3ee7f9ce,32'h3ef171b7, 32'h3ee0dfdf,32'h3ef88ba5, 32'h3ed509fb,32'h3f0230c4,// invsqrt(4.6785) = 0.4623 +32'h41d40dd6,32'h3e42ea86,32'h3e4adf33, 32'h3e3cf305,32'h3e50d6b5, 32'h3e33012d,32'h3e5ac88d,// invsqrt(26.5068) = 0.1942 +32'h3ff87a41,32'h3f341071,32'h3f3b69ee, 32'h3f2e8d54,32'h3f40ed0c, 32'h3f255d78,32'h3f4a1ce8,// invsqrt(1.9412) = 0.7177 +32'h3eb562ee,32'h3fd2c021,32'h3fdb5a41, 32'h3fcc4c88,32'h3fe1cdda, 32'h3fc18bdf,32'h3fec8e83,// invsqrt(0.3543) = 1.6801 +32'h3f30d258,32'h3f96ef36,32'h3f9d1853, 32'h3f925062,32'h3fa1b728, 32'h3f8a9cfe,32'h3fa96a8c,// invsqrt(0.6907) = 1.2032 +32'h3ee02e44,32'h3fbd922d,32'h3fc54eff, 32'h3fb7c48e,32'h3fcb1c9e, 32'h3fae1887,32'h3fd4c8a5,// invsqrt(0.4379) = 1.5112 +32'h40ab10fa,32'h3ed903b7,32'h3ee1df4b, 32'h3ed25f07,32'h3ee883fb, 32'h3ec74c8e,32'h3ef39674,// invsqrt(5.3458) = 0.4325 +32'h422ee0fc,32'h3e17c540,32'h3e1df718, 32'h3e131fdd,32'h3e229c7b, 32'h3e0b618e,32'h3e2a5aca,// invsqrt(43.7197) = 0.1512 +32'h3f026f90,32'h3fafbc18,32'h3fb6e858, 32'h3faa5ae8,32'h3fbc4988, 32'h3fa16399,32'h3fc540d7,// invsqrt(0.5095) = 1.4009 +32'h3fa1e3c8,32'h3f5f1492,32'h3f682f88, 32'h3f584059,32'h3f6f03c1, 32'h3f4cdea5,32'h3f7a6575,// invsqrt(1.2648) = 0.8892 +32'h404ff899,32'h3f0b2c41,32'h3f10da77, 32'h3f06e997,32'h3f151d21, 32'h3eff9fa6,32'h3f1c36e5,// invsqrt(3.2495) = 0.5547 +32'h40bb4eb0,32'h3ecf648c,32'h3ed7db96, 32'h3ec90b43,32'h3ede34df, 32'h3ebe7676,32'h3ee8c9ac,// invsqrt(5.8534) = 0.4133 +32'h3f81b157,32'h3f793cc6,32'h3f81b486, 32'h3f719b91,32'h3f858521, 32'h3f64e438,32'h3f8be0cd,// invsqrt(1.0132) = 0.9935 +32'h400a58b7,32'h3f2aa2f0,32'h3f3199ea, 32'h3f2569b4,32'h3f36d326, 32'h3f1cb4fc,32'h3f3f87de,// invsqrt(2.1617) = 0.6802 +32'h3f92e788,32'h3f6a2e9b,32'h3f73bd91, 32'h3f630362,32'h3f7ae8ca, 32'h3f5710ad,32'h3f836dbf,// invsqrt(1.1477) = 0.9334 +32'h3f1b482b,32'h3fa1101c,32'h3fa7a30e, 32'h3f9c21e7,32'h3fac9143, 32'h3f93ea39,32'h3fb4c8f1,// invsqrt(0.6066) = 1.2840 +32'h3daeee76,32'h40569a86,32'h405f5cea, 32'h405008bc,32'h4065eeb4, 32'h404515bf,32'h4070e1b1,// invsqrt(0.0854) = 3.4216 +32'h3f109073,32'h3fa6ed36,32'h3fadbd6c, 32'h3fa1d10d,32'h3fb2d995, 32'h3f994cc9,32'h3fbb5dd9,// invsqrt(0.5647) = 1.3307 +32'h40e760d7,32'h3eba9964,32'h3ec2372a, 32'h3eb4e310,32'h3ec7ed7e, 32'h3eab5dda,32'h3ed172b5,// invsqrt(7.2306) = 0.3719 +32'h4098c298,32'h3ee5a657,32'h3eef05f3, 32'h3ede9ea3,32'h3ef60da7, 32'h3ed2e721,32'h3f00e294,// invsqrt(4.7738) = 0.4577 +32'h3e9a67be,32'h3fe46c50,32'h3fedbf1a, 32'h3fdd6e39,32'h3ff4bd31, 32'h3fd1c6bc,32'h40003257,// invsqrt(0.3016) = 1.8210 +32'h41587b60,32'h3e8868e1,32'h3e8dfa39, 32'h3e843be0,32'h3e92273a, 32'h3e7a8c64,32'h3e991ce8,// invsqrt(13.5301) = 0.2719 +32'h3eb443c4,32'h3fd367bb,32'h3fdc08b3, 32'h3fccef01,32'h3fe2816d, 32'h3fc225cb,32'h3fed4aa3,// invsqrt(0.3521) = 1.6853 +32'h3d5a3b39,32'h4087dca1,32'h408d683f, 32'h4083b3ea,32'h409190f6, 32'h40798aca,32'h40987f7b,// invsqrt(0.0533) = 4.3323 +32'h40067ce5,32'h3f2d112c,32'h3f34218c, 32'h3f27c4e4,32'h3f396dd4, 32'h3f1ef06c,32'h3f42424c,// invsqrt(2.1014) = 0.6898 +32'h3e8ff803,32'h3fec8ebd,32'h3ff63686, 32'h3fe550e6,32'h3ffd745c, 32'h3fd93f2a,32'h4004c30c,// invsqrt(0.2812) = 1.8858 +32'h3ff043bc,32'h3f371dae,32'h3f3e970e, 32'h3f3182a6,32'h3f443216, 32'h3f282aee,32'h3f4d89ce,// invsqrt(1.8771) = 0.7299 +32'h3e0e4a43,32'h4028415a,32'h402f1f73, 32'h40231ac8,32'h40344606, 32'h401a852a,32'h403cdba5,// invsqrt(0.1390) = 2.6826 +32'h3e04f83d,32'h402e0d62,32'h4035280e, 32'h4028b962,32'h403a7c0e, 32'h401fd80c,32'h40435d64,// invsqrt(0.1299) = 2.7751 +32'h410109dd,32'h3eb0af03,32'h3eb7e52d, 32'h3eab4664,32'h3ebd4dcc, 32'h3ea242af,32'h3ec65181,// invsqrt(8.0649) = 0.3521 +32'h3f89312e,32'h3f725461,32'h3f7c3879, 32'h3f6ae94e,32'h3f81d1c6, 32'h3f5e8c2f,32'h3f880056,// invsqrt(1.0718) = 0.9659 +32'h402bc726,32'h3f19225f,32'h3f1f6277, 32'h3f14724c,32'h3f24128a, 32'h3f0ca22d,32'h3f2be2a9,// invsqrt(2.6840) = 0.6104 +32'h3e1237f4,32'h4025fac8,32'h402cc11a, 32'h4020e60b,32'h4031d5d7, 32'h40186e26,32'h403a4dbc,// invsqrt(0.1428) = 2.6464 +32'h400f9748,32'h3f277dcc,32'h3f2e53ea, 32'h3f225d36,32'h3f337480, 32'h3f19d192,32'h3f3c0024,// invsqrt(2.2436) = 0.6676 +32'h3f262b36,32'h3f9bb287,32'h3fa20d68, 32'h3f96ee5f,32'h3fa6d191, 32'h3f8efcc6,32'h3faec32a,// invsqrt(0.6491) = 1.2412 +32'h3ead9771,32'h3fd76e26,32'h3fe0392c, 32'h3fd0d5e1,32'h3fe6d171, 32'h3fc5d818,32'h3ff1cf3a,// invsqrt(0.3390) = 1.7174 +32'h40d6ab8e,32'h3ec1b98c,32'h3ec9a1c6, 32'h3ebbcb61,32'h3ecf8ff1, 32'h3eb1e918,32'h3ed9723a,// invsqrt(6.7084) = 0.3861 +32'h406483c2,32'h3f04c50b,32'h3f0a305a, 32'h3f00b490,32'h3f0e40d6, 32'h3ef3dcdc,32'h3f1506f8,// invsqrt(3.5705) = 0.5292 +32'h3f237866,32'h3f9cfa2a,32'h3fa3626a, 32'h3f982bfa,32'h3fa8309a, 32'h3f9029a9,32'h3fb032eb,// invsqrt(0.6386) = 1.2514 +32'h3f11713e,32'h3fa66c04,32'h3fad36f5, 32'h3fa153d0,32'h3fb24f2a, 32'h3f98d624,32'h3fbaccd6,// invsqrt(0.5681) = 1.3267 +32'h3f91b42e,32'h3f6b2518,32'h3f74be1e, 32'h3f63f253,32'h3f7bf0e3, 32'h3f57f30c,32'h3f83f815,// invsqrt(1.1383) = 0.9373 +32'h3f107859,32'h3fa6fb22,32'h3fadcbea, 32'h3fa1de8c,32'h3fb2e880, 32'h3f995992,32'h3fbb6d7a,// invsqrt(0.5643) = 1.3312 +32'h3fa0e28c,32'h3f5fc6a2,32'h3f68e8dc, 32'h3f58ecf5,32'h3f6fc289, 32'h3f4d822c,32'h3f7b2d52,// invsqrt(1.2569) = 0.8920 +32'h40a15ca3,32'h3edf71e9,32'h3ee890af, 32'h3ed89ad5,32'h3eef67c3, 32'h3ecd345e,32'h3eface3a,// invsqrt(5.0426) = 0.4453 +32'h3fb097f3,32'h3f559761,32'h3f5e4f31, 32'h3f4f0d85,32'h3f64d90d, 32'h3f4427c2,32'h3f6fbed0,// invsqrt(1.3796) = 0.8514 +32'h3fbcac6e,32'h3f4ea3fa,32'h3f571328, 32'h3f485097,32'h3f5d668b, 32'h3f3dc59c,32'h3f67f186,// invsqrt(1.4740) = 0.8237 +32'h3ff042d6,32'h3f371e06,32'h3f3e9769, 32'h3f3182fb,32'h3f443273, 32'h3f282b3e,32'h3f4d8a30,// invsqrt(1.8770) = 0.7299 +32'h3e301c1a,32'h40173d3b,32'h401d6986, 32'h40129c02,32'h40220abe, 32'h400ae4a3,32'h4029c21d,// invsqrt(0.1720) = 2.4113 +32'h404b2f7b,32'h3f0ccd63,32'h3f128ca0, 32'h3f087df4,32'h3f16dc0e, 32'h3f014ee7,32'h3f1e0b1b,// invsqrt(3.1748) = 0.5612 +32'h3da1dbca,32'h405f1a14,32'h40683544, 32'h405845b0,32'h406f09a8, 32'h404ce3b4,32'h407a6ba4,// invsqrt(0.0790) = 3.5571 +32'h40a9fa54,32'h3ed9b54f,32'h3ee29823, 32'h3ed30b30,32'h3ee94242, 32'h3ec7efa6,32'h3ef45dcc,// invsqrt(5.3118) = 0.4339 +32'h3efeb012,32'h3fb1daf9,32'h3fb91d61, 32'h3fac692b,32'h3fbe8f2f, 32'h3fa35628,32'h3fc7a232,// invsqrt(0.4974) = 1.4179 +32'h4018e81d,32'h3f224f1f,32'h3f28ef15, 32'h3f1d5726,32'h3f2de70e, 32'h3f150f31,32'h3f362f03,// invsqrt(2.3892) = 0.6470 +32'h3fc5e391,32'h3f49c58f,32'h3f5201dd, 32'h3f439853,32'h3f582f19, 32'h3f394cf1,32'h3f627a7b,// invsqrt(1.5460) = 0.8043 +32'h3f0d3edc,32'h3fa8e054,32'h3fafc4ea, 32'h3fa3b4e4,32'h3fb4f05a, 32'h3f9b1729,32'h3fbd8e15,// invsqrt(0.5517) = 1.3463 +32'h3f9acfb3,32'h3f641f91,32'h3f6d6f39, 32'h3f5d23d3,32'h3f746af7, 32'h3f518041,32'h3f800744,// invsqrt(1.2095) = 0.9093 +32'h3f5aa040,32'h3f87bd39,32'h3f8d478f, 32'h3f839579,32'h3f916f4f, 32'h3f79511a,32'h3f985c3b,// invsqrt(0.8540) = 1.0821 +32'h3fa2787e,32'h3f5eae63,32'h3f67c52d, 32'h3f57dd4b,32'h3f6e9645, 32'h3f4c80ce,32'h3f79f2c2,// invsqrt(1.2693) = 0.8876 +32'h3f94e5d3,32'h3f689bf7,32'h3f721a7f, 32'h3f617d12,32'h3f793964, 32'h3f559ee8,32'h3f828bc7,// invsqrt(1.1633) = 0.9272 +32'h3ec94143,32'h3fc813c8,32'h3fd03e63, 32'h3fc1f3d5,32'h3fd65e57, 32'h3fb7be94,32'h3fe09398,// invsqrt(0.3931) = 1.5950 +32'h410b0254,32'h3eaa3ab6,32'h3eb12d70, 32'h3ea504ac,32'h3eb6637a, 32'h3e9c5544,32'h3ebf12e2,// invsqrt(8.6881) = 0.3393 +32'h3de3a8b5,32'h403c1e04,32'h4043cba6, 32'h40365bca,32'h40498de0, 32'h402cc2c0,32'h405326ea,// invsqrt(0.1112) = 2.9993 +32'h3fe01eed,32'h3f3d98a9,32'h3f4555c0, 32'h3f37cad9,32'h3f4b2391, 32'h3f2e1e7c,32'h3f54cfee,// invsqrt(1.7509) = 0.7557 +32'h3feb5d6c,32'h3f390319,32'h3f40904a, 32'h3f335936,32'h3f463a2e, 32'h3f29e8ba,32'h3f4faaaa,// invsqrt(1.8388) = 0.7375 +32'h3eb67459,32'h3fd221fc,32'h3fdab5a8, 32'h3fcbb33b,32'h3fe12469, 32'h3fc0faa3,32'h3febdd01,// invsqrt(0.3564) = 1.6752 +32'h4065a31f,32'h3f0471de,32'h3f09d9c8, 32'h3f0063ef,32'h3f0de7b7, 32'h3ef34415,32'h3f14a99b,// invsqrt(3.5881) = 0.5279 +32'h407bf8b6,32'h3efce0a8,32'h3f03997c, 32'h3ef522ec,32'h3f07785a, 32'h3ee83c08,32'h3f0debcc,// invsqrt(3.9371) = 0.5040 +32'h407d7590,32'h3efc2263,32'h3f033677, 32'h3ef46a79,32'h3f07126b, 32'h3ee78d4a,32'h3f0d8103,// invsqrt(3.9603) = 0.5025 +32'h3f381daa,32'h3f93ea15,32'h3f99f3a3, 32'h3f8f62eb,32'h3f9e7acd, 32'h3f87d6f9,32'h3fa606bf,// invsqrt(0.7192) = 1.1792 +32'h41bc6319,32'h3e4ecc2e,32'h3e573d00, 32'h3e48778f,32'h3e5d919f, 32'h3e3dea88,32'h3e681ea6,// invsqrt(23.5484) = 0.2061 +32'h3f99a754,32'h3f64fb29,32'h3f6e53c7, 32'h3f5df8b2,32'h3f75563e, 32'h3f5249ec,32'h3f808282,// invsqrt(1.2004) = 0.9127 +32'h3db93f74,32'h40508adc,32'h40590deb, 32'h404a2892,32'h405f7036, 32'h403f84c0,32'h406a1408,// invsqrt(0.0905) = 3.3250 +32'h3f050042,32'h3fae0823,32'h3fb52297, 32'h3fa8b44c,32'h3fba766e, 32'h3f9fd33a,32'h3fc35780,// invsqrt(0.5195) = 1.3874 +32'h3f44ba06,32'h3f8f1851,32'h3f94ef83, 32'h3f8ab6eb,32'h3f9950e9, 32'h3f8369ec,32'h3fa09de8,// invsqrt(0.7685) = 1.1407 +32'h40c3931b,32'h3ecaf647,32'h3ed33f05, 32'h3ec4bfb7,32'h3ed97595, 32'h3eba64c9,32'h3ee3d083,// invsqrt(6.1117) = 0.4045 +32'h3fbfe5df,32'h3f4ce5aa,32'h3f5542a2, 32'h3f469ff1,32'h3f5b885b, 32'h3f3c2bbc,32'h3f65fc90,// invsqrt(1.4992) = 0.8167 +32'h3f0edd7a,32'h3fa7ea93,32'h3faec521, 32'h3fa2c6a9,32'h3fb3e90b, 32'h3f9a3577,32'h3fbc7a3d,// invsqrt(0.5581) = 1.3386 +32'h4021cd67,32'h3f1dc8c4,32'h3f243973, 32'h3f18f440,32'h3f290df6, 32'h3f10e765,32'h3f311ad1,// invsqrt(2.5282) = 0.6289 +32'h402f0ce7,32'h3f17b235,32'h3f1de347, 32'h3f130d68,32'h3f228814, 32'h3f0b5011,32'h3f2a456b,// invsqrt(2.7352) = 0.6047 +32'h3f1406ea,32'h3fa4f66e,32'h3fabb21f, 32'h3f9fe9aa,32'h3fb0bee4, 32'h3f977f0d,32'h3fb92981,// invsqrt(0.5782) = 1.3151 +32'h41ee8093,32'h3e37ca8e,32'h3e3f4afc, 32'h3e322a3b,32'h3e44eb4f, 32'h3e28c9b1,32'h3e4e4bd9,// invsqrt(29.8128) = 0.1831 +32'h3f33d300,32'h3f95ab45,32'h3f9bc729, 32'h3f91165b,32'h3fa05c13, 32'h3f89737e,32'h3fa7fef0,// invsqrt(0.7024) = 1.1932 +32'h3fe6f7a3,32'h3f3ac3de,32'h3f426360, 32'h3f350c3d,32'h3f481b01, 32'h3f2b84dc,32'h3f51a262,// invsqrt(1.8044) = 0.7444 +32'h3fad521e,32'h3f579937,32'h3f6065ff, 32'h3f50ffa0,32'h3f66ff96, 32'h3f45ffa5,32'h3f71ff91,// invsqrt(1.3541) = 0.8594 +32'h3d82bc46,32'h40783dd2,32'h40812fd8, 32'h4070a46b,32'h4084fc8c, 32'h4063fa14,32'h408b51b7,// invsqrt(0.0638) = 3.9579 +32'h40a4f275,32'h3edd00d6,32'h3ee60618, 32'h3ed63ce4,32'h3eecca0a, 32'h3ecaf652,32'h3ef8109d,// invsqrt(5.1546) = 0.4405 +32'h3f259e88,32'h3f9bf49a,32'h3fa2522d, 32'h3f972e6b,32'h3fa7185b, 32'h3f8f3973,32'h3faf0d53,// invsqrt(0.6470) = 1.2433 +32'h3f595015,32'h3f88260e,32'h3f8db4ac, 32'h3f83fb18,32'h3f91dfa2, 32'h3f7a11a7,32'h3f98d1e6,// invsqrt(0.8489) = 1.0854 +32'h3fbd9c0d,32'h3f4e213e,32'h3f568b16, 32'h3f47d1db,32'h3f5cda79, 32'h3f3d4d8c,32'h3f675ec8,// invsqrt(1.4813) = 0.8216 +32'h40f60e8c,32'h3eb4f2a3,32'h3ebc555b, 32'h3eaf6899,32'h3ec1df65, 32'h3ea62d32,32'h3ecb1acc,// invsqrt(7.6893) = 0.3606 +32'h3f57385d,32'h3f88cf18,32'h3f8e649c, 32'h3f849ef6,32'h3f9294be, 32'h3f7b4822,32'h3f998fa3,// invsqrt(0.8407) = 1.0906 +32'h3fb8e5c3,32'h3f50bd6b,32'h3f594289, 32'h3f4a5994,32'h3f5fa660, 32'h3f3fb32e,32'h3f6a4cc6,// invsqrt(1.4445) = 0.8320 +32'h3f8e7429,32'h3f6dcfeb,32'h3f7784d0, 32'h3f66883f,32'h3f7ecc7b, 32'h3f5a6620,32'h3f85774d,// invsqrt(1.1129) = 0.9479 +32'h3f178c78,32'h3fa308df,32'h3fa9b06b, 32'h3f9e0b36,32'h3faeae14, 32'h3f95b9c8,32'h3fb6ff82,// invsqrt(0.5920) = 1.2997 +32'h3f8d89f2,32'h3f6e945d,32'h3f785147, 32'h3f6746ae,32'h3f7f9ef6, 32'h3f5b1a8a,32'h3f85e58d,// invsqrt(1.1058) = 0.9510 +32'h3fd7a7fd,32'h3f414809,32'h3f492ba1, 32'h3f3b5d57,32'h3f4f1653, 32'h3f3180d9,32'h3f58f2d1,// invsqrt(1.6848) = 0.7704 +32'h3daf90a3,32'h40563751,32'h405ef5a8, 32'h404fa890,32'h4065846a, 32'h4044baa4,32'h40707256,// invsqrt(0.0857) = 3.4154 +32'h3fdce071,32'h3f3efbcc,32'h3f46c762, 32'h3f39231c,32'h3f4ca012, 32'h3f2f64a2,32'h3f565e8d,// invsqrt(1.7256) = 0.7613 +32'h4100b8a2,32'h3eb0e6ba,32'h3eb81f2a, 32'h3eab7c66,32'h3ebd897e, 32'h3ea275da,32'h3ec6900a,// invsqrt(8.0451) = 0.3526 +32'h3fb48782,32'h3f53400e,32'h3f5bdf67, 32'h3f4cc88a,32'h3f6256ea, 32'h3f42015b,32'h3f6d1e19,// invsqrt(1.4104) = 0.8420 +32'h420f5ef5,32'h3e279eaf,32'h3e2e7624, 32'h3e227d18,32'h3e3397bc, 32'h3e19efc6,32'h3e3c250e,// invsqrt(35.8427) = 0.1670 +32'h3faa8d5b,32'h3f595764,32'h3f623662, 32'h3f52b024,32'h3f68dda2, 32'h3f479966,32'h3f73f460,// invsqrt(1.3324) = 0.8663 +32'h40df28f0,32'h3ebe010c,32'h3ec5c265, 32'h3eb83008,32'h3ecb9368, 32'h3eae7e59,32'h3ed54517,// invsqrt(6.9737) = 0.3787 +32'h40023b35,32'h3f2fdf68,32'h3f370d18, 32'h3f2a7d23,32'h3f3c6f5d, 32'h3f218406,32'h3f45687a,// invsqrt(2.0349) = 0.7010 +32'h3f9d05d2,32'h3f6282e1,32'h3f6bc1b0, 32'h3f5b93c4,32'h3f72b0cc, 32'h3f500541,32'h3f7e3f4f,// invsqrt(1.2267) = 0.9029 +32'h3fc1d2f9,32'h3f4be061,32'h3f5432ae, 32'h3f45a2a7,32'h3f5a7067, 32'h3f3b3bc6,32'h3f64d748,// invsqrt(1.5143) = 0.8126 +32'h40a82489,32'h3edae4a0,32'h3ee3d3d6, 32'h3ed43138,32'h3eea873e, 32'h3ec90635,32'h3ef5b241,// invsqrt(5.2545) = 0.4363 +32'h3f5fc92e,32'h3f862a47,32'h3f8ba42b, 32'h3f820edd,32'h3f8fbf95, 32'h3f766d00,32'h3f9697f2,// invsqrt(0.8742) = 1.0696 +32'h3fe7db3d,32'h3f3a681c,32'h3f4203df, 32'h3f34b34b,32'h3f47b8b1, 32'h3f2b3098,32'h3f513b64,// invsqrt(1.8114) = 0.7430 +32'h3ec402de,32'h3fcabc62,32'h3fd302c4, 32'h3fc48798,32'h3fd9378e, 32'h3fba2f9e,32'h3fe38f88,// invsqrt(0.3828) = 1.6162 +32'h3f408d07,32'h3f90a367,32'h3f968ab9, 32'h3f8c35e9,32'h3f9af837, 32'h3f84d4c2,32'h3fa2595e,// invsqrt(0.7522) = 1.1530 +32'h443571c7,32'h3d14ffd1,32'h3d1b14b5, 32'h3d107026,32'h3d1fa460, 32'h3d08d609,32'h3d273e7d,// invsqrt(725.7778) = 0.0371 +32'h3f7ae9da,32'h3f7d6900,32'h3f83e070, 32'h3f75a717,32'h3f87c164, 32'h3f68b93e,32'h3f8e3851,// invsqrt(0.9801) = 1.0101 +32'h3eeeafd5,32'h3fb7b85c,32'h3fbf380c, 32'h3fb21898,32'h3fc4d7d0, 32'h3fa8b8fc,32'h3fce376c,// invsqrt(0.4662) = 1.4646 +32'h3fb9c1b3,32'h3f5041b3,32'h3f58c1c5, 32'h3f49e1a6,32'h3f5f21d2, 32'h3f3f4190,32'h3f69c1e8,// invsqrt(1.4512) = 0.8301 +32'h3fdc9a73,32'h3f3f1a16,32'h3f46e6e8, 32'h3f394079,32'h3f4cc085, 32'h3f2f8072,32'h3f56808c,// invsqrt(1.7235) = 0.7617 +32'h3f8b822c,32'h3f704f33,32'h3f7a1e30, 32'h3f68f3f6,32'h3f80bcb7, 32'h3f5cb13a,32'h3f86de15,// invsqrt(1.0899) = 0.9579 +32'h3f948bc4,32'h3f68e26f,32'h3f7263d7, 32'h3f61c161,32'h3f7984e5, 32'h3f55dfa0,32'h3f82b353,// invsqrt(1.1605) = 0.9283 +32'h3eb776c8,32'h3fd18dc8,32'h3fda1b68, 32'h3fcb2390,32'h3fe085a0, 32'h3fc07289,32'h3feb36a7,// invsqrt(0.3583) = 1.6706 +32'h3fa09329,32'h3f5ffdec,32'h3f692268, 32'h3f59228e,32'h3f6ffdc6, 32'h3f4db4f3,32'h3f7b6b61,// invsqrt(1.2545) = 0.8928 +32'h3febead6,32'h3f38cb9e,32'h3f40568a, 32'h3f33236d,32'h3f45febb, 32'h3f29b5c5,32'h3f4f6c63,// invsqrt(1.8431) = 0.7366 +32'h3fdb3076,32'h3f3fb7a2,32'h3f478ae2, 32'h3f39d932,32'h3f4d6952, 32'h3f301122,32'h3f573162,// invsqrt(1.7124) = 0.7642 +32'h3f921a2e,32'h3f6ad2f4,32'h3f7468a0, 32'h3f63a2b3,32'h3f7b98e1, 32'h3f57a79c,32'h3f83c9fc,// invsqrt(1.1414) = 0.9360 +32'h402f4c87,32'h3f1796ab,32'h3f1dc69d, 32'h3f12f2b5,32'h3f226a93, 32'h3f0b36c7,32'h3f2a2681,// invsqrt(2.7390) = 0.6042 +32'h3fb91f38,32'h3f509d04,32'h3f5920d0, 32'h3f4a3a2b,32'h3f5f83a9, 32'h3f3f956c,32'h3f6a2868,// invsqrt(1.4463) = 0.8315 +32'h3ea1d411,32'h3fdf1f67,32'h3fe83ace, 32'h3fd84ad9,32'h3fef0f5b, 32'h3fcce897,32'h3ffa719d,// invsqrt(0.3161) = 1.7787 +32'h3e1b8b7f,32'h4020ed3d,32'h40277ec2, 32'h401c0019,32'h402c6be5, 32'h4013ca32,32'h4034a1cc,// invsqrt(0.1519) = 2.5658 +32'h3f23e090,32'h3f9cc83e,32'h3fa32e75, 32'h3f97fb96,32'h3fa7fb1e, 32'h3f8ffbd1,32'h3faffae3,// invsqrt(0.6401) = 1.2499 +32'h3f8dece7,32'h3f6e4122,32'h3f77faa6, 32'h3f66f5ff,32'h3f7f45c9, 32'h3f5ace1a,32'h3f85b6d7,// invsqrt(1.1088) = 0.9497 +32'h4088aec4,32'h3ef2c7e1,32'h3efcb0b0, 32'h3eeb5944,32'h3f020fa6, 32'h3edef641,32'h3f084128,// invsqrt(4.2713) = 0.4839 +32'h3fa78921,32'h3f5b4a0e,32'h3f643d68, 32'h3f54938b,32'h3f6af3eb, 32'h3f49635c,32'h3f76241b,// invsqrt(1.3089) = 0.8741 +32'h3fbcfb0d,32'h3f4e78fa,32'h3f56e667, 32'h3f4826e7,32'h3f5d3879, 32'h3f3d9e1e,32'h3f67c142,// invsqrt(1.4764) = 0.8230 +32'h40275a1e,32'h3f1b255f,32'h3f217a7d, 32'h3f166588,32'h3f263a54, 32'h3f0e7b23,32'h3f2e24b9,// invsqrt(2.6149) = 0.6184 +32'h3f2eb47d,32'h3f97d893,32'h3f9e0b35, 32'h3f933299,32'h3fa2b12f, 32'h3f8b734d,32'h3faa707b,// invsqrt(0.6824) = 1.2105 +32'h3f3a912a,32'h3f92f083,32'h3f98efe1, 32'h3f8e70fc,32'h3f9d6f68, 32'h3f86f1c7,32'h3fa4ee9d,// invsqrt(0.7288) = 1.1714 +32'h3f5853f1,32'h3f88754f,32'h3f8e0729, 32'h3f8447ec,32'h3f92348c, 32'h3f7aa339,32'h3f992adc,// invsqrt(0.8450) = 1.0878 +32'h401b983a,32'h3f20e6a7,32'h3f2777e7, 32'h3f1bf9b7,32'h3f2c64d7, 32'h3f13c426,32'h3f349a68,// invsqrt(2.4312) = 0.6413 +32'h3e29b033,32'h401a1303,32'h40205cef, 32'h40155b93,32'h4025145f, 32'h400d7f2d,32'h402cf0c5,// invsqrt(0.1657) = 2.4565 +32'h3eadb686,32'h3fd75adf,32'h3fe0251d, 32'h3fd0c331,32'h3fe6bccb, 32'h3fc5c665,32'h3ff1b997,// invsqrt(0.3393) = 1.7168 +32'h40288074,32'h3f1a9da3,32'h3f20ed37, 32'h3f15e1f4,32'h3f25a8e6, 32'h3f0dfe7c,32'h3f2d8c5e,// invsqrt(2.6328) = 0.6163 +32'h3de3a7f6,32'h403c1e52,32'h4043cbf8, 32'h40365c16,32'h40498e34, 32'h402cc308,32'h40532742,// invsqrt(0.1112) = 2.9993 +32'h40512745,32'h3f0ac769,32'h3f107182, 32'h3f0687d6,32'h3f14b114, 32'h3efee66c,32'h3f1bc5b4,// invsqrt(3.2680) = 0.5532 +32'h3ee50850,32'h3fbb8d66,32'h3fc33522, 32'h3fb5cf9a,32'h3fc8f2ee, 32'h3fac3df0,32'h3fd28498,// invsqrt(0.4473) = 1.4952 +32'h3e25b1ea,32'h401beb7a,32'h402248ae, 32'h40172593,32'h40270e95, 32'h400f3112,32'h402f0316,// invsqrt(0.1618) = 2.4860 +32'h3ee53669,32'h3fbb7a89,32'h3fc3217f, 32'h3fb5bd51,32'h3fc8deb7, 32'h3fac2c9d,32'h3fd26f6b,// invsqrt(0.4477) = 1.4946 +32'h3f833732,32'h3f77c970,32'h3f80f347, 32'h3f703399,32'h3f84be33, 32'h3f638f32,32'h3f8b1066,// invsqrt(1.0251) = 0.9877 +32'h3e2492d2,32'h401c733f,32'h4022d5fd, 32'h4017a930,32'h4027a00c, 32'h400fadc1,32'h402f9b7b,// invsqrt(0.1607) = 2.4944 +32'h40cf310b,32'h3ec530a7,32'h3ecd3d16, 32'h3ebf2753,32'h3ed34669, 32'h3eb517c8,32'h3edd55f4,// invsqrt(6.4747) = 0.3930 +32'h41009744,32'h3eb0fdac,32'h3eb8370c, 32'h3eab92a4,32'h3ebda214, 32'h3ea28aec,32'h3ec6a9cc,// invsqrt(8.0369) = 0.3527 +32'h3d71b07f,32'h408119ac,32'h40865ea4, 32'h407a4be6,32'h408a525d, 32'h406d1f7b,32'h4090e892,// invsqrt(0.0590) = 4.1167 +32'h40cd5588,32'h3ec61476,32'h3ece2a32, 32'h3ec00429,32'h3ed43a7f, 32'h3eb5e8ff,32'h3ede55a9,// invsqrt(6.4167) = 0.3948 +32'h40e34503,32'h3ebc4742,32'h3ec3f693, 32'h3eb683c5,32'h3ec9ba0f, 32'h3eace89f,32'h3ed35535,// invsqrt(7.1022) = 0.3752 +32'h3d6a977a,32'h408309eb,32'h40886323, 32'h407e0e00,32'h408c660e, 32'h4070aef3,32'h40931594,// invsqrt(0.0573) = 4.1785 +32'h41799548,32'h3e7e15ab,32'h3e843a4c, 32'h3e764e7a,32'h3e881de5, 32'h3e6957d2,32'h3e8e9939,// invsqrt(15.5989) = 0.2532 +32'h4013888b,32'h3f253d06,32'h3f2bfb98, 32'h3f202e18,32'h3f310a86, 32'h3f17bfe1,32'h3f3978bd,// invsqrt(2.3052) = 0.6586 +32'h3f8cee8b,32'h3f6f17c2,32'h3f78da09, 32'h3f67c60e,32'h3f8015df, 32'h3f5b9335,32'h3f862f4b,// invsqrt(1.1010) = 0.9530 +32'h4042e854,32'h3f0fc2df,32'h3f15a107, 32'h3f0b5c40,32'h3f1a07a6, 32'h3f04068e,32'h3f215d58,// invsqrt(3.0454) = 0.5730 +32'h3d8e9dff,32'h406dad07,32'h4077607f, 32'h4066666c,32'h407ea71a, 32'h405a4616,32'h408563b8,// invsqrt(0.0696) = 3.7895 +32'h3f8442a8,32'h3f76ce66,32'h3f8070a3, 32'h3f6f403e,32'h3f8437b7, 32'h3f62a8a7,32'h3f8a8382,// invsqrt(1.0333) = 0.9838 +32'h3eb3f4b4,32'h3fd39627,32'h3fdc3904, 32'h3fcd1c01,32'h3fe2b329, 32'h3fc2506c,32'h3fed7ebe,// invsqrt(0.3515) = 1.6868 +32'h3fc3728d,32'h3f4b072d,32'h3f53509d, 32'h3f44d01a,32'h3f5987b0, 32'h3f3a744e,32'h3f63e37c,// invsqrt(1.5269) = 0.8093 +32'h401bcd3f,32'h3f20cb44,32'h3f275b66, 32'h3f1bdf2a,32'h3f2c4780, 32'h3f13ab00,32'h3f347baa,// invsqrt(2.4344) = 0.6409 +32'h3de831e1,32'h403a4552,32'h4041dfa9, 32'h40349191,32'h4047936b, 32'h402b10a5,32'h40511457,// invsqrt(0.1134) = 2.9699 +32'h3ee00fe0,32'h3fbd9f08,32'h3fc55c61, 32'h3fb7d105,32'h3fcb2a63, 32'h3fae2455,32'h3fd4d713,// invsqrt(0.4376) = 1.5116 +32'h3fba8abe,32'h3f4fd15c,32'h3f584cd8, 32'h3f4974bf,32'h3f5ea975, 32'h3f3eda64,32'h3f6943d0,// invsqrt(1.4574) = 0.8284 +32'h3f6e1546,32'h3f82130a,32'h3f876230, 32'h3f7c2f5e,32'h3f8b5d8b, 32'h3f6ee981,32'h3f92007a,// invsqrt(0.9300) = 1.0369 +32'h3f3351b0,32'h3f95e133,32'h3f9bff49, 32'h3f914aa1,32'h3fa095db, 32'h3f89a505,32'h3fa83b77,// invsqrt(0.7005) = 1.1948 +32'h3fcf058a,32'h3f45455e,32'h3f4d52a5, 32'h3f3f3b67,32'h3f535c9b, 32'h3f352ace,32'h3f5d6d34,// invsqrt(1.6174) = 0.7863 +32'h3f3ae824,32'h3f92ce4f,32'h3f98cc47, 32'h3f8e4fd4,32'h3f9d4ac2, 32'h3f86d25d,32'h3fa4c839,// invsqrt(0.7301) = 1.1703 +32'h4108e16d,32'h3eab8c3c,32'h3eb28cbc, 32'h3ea64bdc,32'h3eb7cd1c, 32'h3e9d8b3c,32'h3ec08dbc,// invsqrt(8.5550) = 0.3419 +32'h3f45e293,32'h3f8eacf0,32'h3f947fc0, 32'h3f8a4ed4,32'h3f98dddc, 32'h3f83074f,32'h3fa02561,// invsqrt(0.7730) = 1.1374 +32'h3e9f6430,32'h3fe0d269,32'h3fe9ff91, 32'h3fd9f08a,32'h3ff0e170, 32'h3fce7817,32'h3ffc59e3,// invsqrt(0.3113) = 1.7923 +32'h3d0dc008,32'h40a89350,32'h40af74c2, 32'h40a36a3c,32'h40b49dd6, 32'h409ad06e,32'h40bd37a4,// invsqrt(0.0346) = 5.3755 +32'h3f60bcd6,32'h3f85e178,32'h3f8b5863, 32'h3f81c848,32'h3f8f7194, 32'h3f75e746,32'h3f964639,// invsqrt(0.8779) = 1.0673 +32'h4054a0c0,32'h3f09a3f0,32'h3f0f4224, 32'h3f056d4a,32'h3f1378ca, 32'h3efccf12,32'h3f1a7e8b,// invsqrt(3.3223) = 0.5486 +32'h402bdef8,32'h3f1917c2,32'h3f1f576c, 32'h3f146803,32'h3f24072b, 32'h3f0c986e,32'h3f2bd6c0,// invsqrt(2.6855) = 0.6102 +32'h3fa70bb4,32'h3f5b9c52,32'h3f649308, 32'h3f54e34b,32'h3f6b4c0f, 32'h3f49aee8,32'h3f768072,// invsqrt(1.3050) = 0.8754 +32'h3f280255,32'h3f9ad7a1,32'h3fa12993, 32'h3f961a2c,32'h3fa5e708, 32'h3f8e33be,32'h3fadcd76,// invsqrt(0.6563) = 1.2344 +32'h40cee653,32'h3ec5543f,32'h3ecd6222, 32'h3ebf49d4,32'h3ed36c8c, 32'h3eb53878,32'h3edd7de8,// invsqrt(6.4656) = 0.3933 +32'h3f46745e,32'h3f8e787e,32'h3f94492a, 32'h3f8a1bfd,32'h3f98a5ab, 32'h3f82d725,32'h3f9fea83,// invsqrt(0.7752) = 1.1358 +32'h3f83f134,32'h3f771a89,32'h3f809842, 32'h3f6f8a0d,32'h3f846080, 32'h3f62ee93,32'h3f8aae3d,// invsqrt(1.0308) = 0.9849 +32'h426275db,32'h3e055edc,32'h3e0ad072, 32'h3e0149ab,32'h3e0ee5a3, 32'h3df4f760,32'h3e15b39e,// invsqrt(56.6151) = 0.1329 +32'h404e4c91,32'h3f0bbc57,32'h3f11706f, 32'h3f077544,32'h3f15b782, 32'h3f005426,32'h3f1cd8a0,// invsqrt(3.2234) = 0.5570 +32'h3fb928e7,32'h3f50978f,32'h3f591b22, 32'h3f4a34e1,32'h3f5f7dd1, 32'h3f3f906a,32'h3f6a2248,// invsqrt(1.4466) = 0.8314 +32'h3fea20fc,32'h3f397ff6,32'h3f41123f, 32'h3f33d240,32'h3f46bff6, 32'h3f2a5b65,32'h3f5036d1,// invsqrt(1.8291) = 0.7394 +32'h3f8e44c5,32'h3f6df783,32'h3f77ae05, 32'h3f66aea1,32'h3f7ef6e7, 32'h3f5a8a7d,32'h3f858d85,// invsqrt(1.1115) = 0.9485 +32'h3f60a280,32'h3f85e951,32'h3f8b608e, 32'h3f81cfe4,32'h3f8f79fc, 32'h3f75f5b0,32'h3f964f08,// invsqrt(0.8775) = 1.0675 +32'h3fa58ab8,32'h3f5c9b1b,32'h3f659c37, 32'h3f55da47,32'h3f6c5d0b, 32'h3f4a98e5,32'h3f779e6d,// invsqrt(1.2933) = 0.8793 +32'h402274a0,32'h3f1d777a,32'h3f23e4d8, 32'h3f18a574,32'h3f28b6de, 32'h3f109cbe,32'h3f30bf94,// invsqrt(2.5384) = 0.6277 +32'h3f31e843,32'h3f967924,32'h3f9c9d6e, 32'h3f91ddec,32'h3fa138a6, 32'h3f8a308e,32'h3fa8e604,// invsqrt(0.6950) = 1.1996 +32'h3f10e6e8,32'h3fa6bb62,32'h3fad8990, 32'h3fa1a0c0,32'h3fb2a432, 32'h3f991f06,32'h3fbb25ec,// invsqrt(0.5660) = 1.3292 +32'h401f6803,32'h3f1ef735,32'h3f25743d, 32'h3f1a1970,32'h3f2a5202, 32'h3f11fd26,32'h3f326e4c,// invsqrt(2.4907) = 0.6336 +32'h3fab7af9,32'h3f58c09b,32'h3f619972, 32'h3f521df9,32'h3f683c13, 32'h3f470eec,32'h3f734b20,// invsqrt(1.3397) = 0.8640 +32'h417441ab,32'h3e806b8b,32'h3e85a967, 32'h3e78fa4c,32'h3e8997cc, 32'h3e6bdfa7,32'h3e90251f,// invsqrt(15.2660) = 0.2559 +32'h4004be13,32'h3f2e3380,32'h3f354fba, 32'h3f28de55,32'h3f3aa4e5, 32'h3f1ffb0d,32'h3f43882d,// invsqrt(2.0741) = 0.6944 +32'h3f641534,32'h3f84e535,32'h3f8a51d3, 32'h3f80d3bd,32'h3f8e634b, 32'h3f7417ee,32'h3f952b11,// invsqrt(0.8909) = 1.0594 +32'h41d24adb,32'h3e43bb18,32'h3e4bb848, 32'h3e3dbd34,32'h3e51b62c, 32'h3e33c0b8,32'h3e5bb2a8,// invsqrt(26.2866) = 0.1950 +32'h3eaa7171,32'h3fd9692f,32'h3fe248e8, 32'h3fd2c165,32'h3fe8f0b3, 32'h3fc7a9be,32'h3ff4085a,// invsqrt(0.3329) = 1.7332 +32'h3eb06a4e,32'h3fd5b301,32'h3fde6bf1, 32'h3fcf284d,32'h3fe4f6a5, 32'h3fc44120,32'h3fefddd2,// invsqrt(0.3446) = 1.7036 +32'h3f87b596,32'h3f73a65e,32'h3f7d9842, 32'h3f6c30f2,32'h3f8286d7, 32'h3f5fc295,32'h3f88be06,// invsqrt(1.0602) = 0.9712 +32'h3f070956,32'h3facb716,32'h3fb3c3c8, 32'h3fa76d90,32'h3fb90d4e, 32'h3f9e9db1,32'h3fc1dd2d,// invsqrt(0.5275) = 1.3769 +32'h3f4bab8f,32'h3f8ca278,32'h3f925ff6, 32'h3f88545b,32'h3f96ae13, 32'h3f81277e,32'h3f9ddaf0,// invsqrt(0.7956) = 1.1211 +32'h3fa4bb6f,32'h3f5d25bc,32'h3f662c7f, 32'h3f5660a8,32'h3f6cf192, 32'h3f4b1834,32'h3f783a06,// invsqrt(1.2870) = 0.8815 +32'h3dee15ec,32'h4037f3b4,32'h403f75d0, 32'h4032521f,32'h40451765, 32'h4028ef7b,32'h404e7a09,// invsqrt(0.1163) = 2.9329 +32'h3fc2d030,32'h3f4b5bb6,32'h3f53a899, 32'h3f45220c,32'h3f59e244, 32'h3f3ac1f1,32'h3f64425f,// invsqrt(1.5220) = 0.8106 +32'h3e1fa0c8,32'h401edaee,32'h402556ce, 32'h4019fe06,32'h402a33b6, 32'h4011e32e,32'h40324e8e,// invsqrt(0.1559) = 2.5328 +32'h3f5c142f,32'h3f874a56,32'h3f8ccffb, 32'h3f832619,32'h3f90f437, 32'h3f787e15,32'h3f97db46,// invsqrt(0.8597) = 1.0785 +32'h409a675a,32'h3ee46c9a,32'h3eedbf66, 32'h3edd6e80,32'h3ef4bd80, 32'h3ed1c700,32'h3f003280,// invsqrt(4.8251) = 0.4552 +32'h3f29c900,32'h3f9a07c2,32'h3fa05138, 32'h3f9550aa,32'h3fa50850, 32'h3f8d74d7,32'h3face423,// invsqrt(0.6632) = 1.2279 +32'h3d2ea0a2,32'h4097e134,32'h409e1431, 32'h40933af7,32'h40a2ba6f, 32'h408b7b3b,32'h40aa7a2b,// invsqrt(0.0426) = 4.8431 +32'h3f8fa29f,32'h3f6cd503,32'h3f767fab, 32'h3f659506,32'h3f7dbfa8, 32'h3f597fb5,32'h3f84ea7d,// invsqrt(1.1222) = 0.9440 +32'h40dcff7a,32'h3ebeee63,32'h3ec6b96d, 32'h3eb9161c,32'h3ecc91b4, 32'h3eaf5851,32'h3ed64f7f,// invsqrt(6.9062) = 0.3805 +32'h3e688f50,32'h40039c25,32'h4008fb55, 32'h3fff2981,32'h400d02ba, 32'h3ff1bb87,32'h4013b9b6,// invsqrt(0.2271) = 2.0984 +32'h410b40d3,32'h3eaa147f,32'h3eb105a9, 32'h3ea4dfa0,32'h3eb63a88, 32'h3e9c322c,32'h3ebee7fc,// invsqrt(8.7033) = 0.3390 +32'h3bd3af67,32'h414315fc,32'h414b0c6e, 32'h413d1d26,32'h41510544, 32'h41332916,32'h415af954,// invsqrt(0.0065) = 12.4417 +32'h3f773a1d,32'h3f7f4ae2,32'h3f84db37, 32'h3f777a39,32'h3f88c38b, 32'h3f6a73ca,32'h3f8f46c3,// invsqrt(0.9657) = 1.0176 +32'h3f8a9c88,32'h3f7115f1,32'h3f7aed0b, 32'h3f69b49e,32'h3f81272f, 32'h3f5d67be,32'h3f874d9f,// invsqrt(1.0829) = 0.9610 +32'h3f0dd6b2,32'h3fa885d8,32'h3faf66bc, 32'h3fa35d2d,32'h3fb48f67, 32'h3f9ac40f,32'h3fbd2885,// invsqrt(0.5541) = 1.3435 +32'h401897f0,32'h3f2279bd,32'h3f291b71, 32'h3f1d8076,32'h3f2e14b8, 32'h3f153655,32'h3f365ed9,// invsqrt(2.3843) = 0.6476 +32'h3ea15f94,32'h3fdf6fe0,32'h3fe88e90, 32'h3fd898dc,32'h3fef6594, 32'h3fcd327f,32'h3ffacbf1,// invsqrt(0.3152) = 1.7812 +32'h3e378cb7,32'h40142471,32'h401a3061, 32'h400f9b7d,32'h401eb955, 32'h40080c92,32'h40264840,// invsqrt(0.1792) = 2.3620 +32'h3f6b6541,32'h3f82d098,32'h3f882779, 32'h3f7d9edc,32'h3f8c28a2, 32'h3f7045a8,32'h3f92d53c,// invsqrt(0.9195) = 1.0428 +32'h3f6e3285,32'h3f820b0e,32'h3f8759df, 32'h3f7c1fe0,32'h3f8b54fc, 32'h3f6edad4,32'h3f91f782,// invsqrt(0.9305) = 1.0367 +32'h3fe6b2ee,32'h3f3adfac,32'h3f428050, 32'h3f352731,32'h3f4838cb, 32'h3f2b9e65,32'h3f51c197,// invsqrt(1.8023) = 0.7449 +32'h3fc458b2,32'h3f4a900d,32'h3f52d4a0, 32'h3f445c9f,32'h3f59080f, 32'h3f3a06e8,32'h3f635dc6,// invsqrt(1.5340) = 0.8074 +32'h3fd48788,32'h3f42b2b0,32'h3f4aa516, 32'h3f3cbce4,32'h3f509ae2, 32'h3f32cde6,32'h3f5a89e0,// invsqrt(1.6604) = 0.7761 +32'h3fd7a7ca,32'h3f414820,32'h3f492bb9, 32'h3f3b5d6e,32'h3f4f166c, 32'h3f3180ef,32'h3f58f2eb,// invsqrt(1.6848) = 0.7704 +32'h3fa31f29,32'h3f5e3c83,32'h3f674ea7, 32'h3f576ee7,32'h3f6e1c43, 32'h3f4c1839,32'h3f7972f1,// invsqrt(1.2744) = 0.8858 +32'h3e3054a9,32'h401724f7,32'h401d5045, 32'h4012847c,32'h4021f0c0, 32'h400ace5b,32'h4029a6e1,// invsqrt(0.1722) = 2.4098 +32'h3f9bed59,32'h3f634e3d,32'h3f6c9559, 32'h3f5c58e7,32'h3f738aaf, 32'h3f50c004,32'h3f7f2393,// invsqrt(1.2182) = 0.9060 +32'h3f8f3d25,32'h3f6d28d8,32'h3f76d6ec, 32'h3f65e64a,32'h3f7e197a, 32'h3f59ccb2,32'h3f851989,// invsqrt(1.1191) = 0.9453 +32'h4085aa23,32'h3ef581a4,32'h3eff86ee, 32'h3eedfdac,32'h3f038573, 32'h3ee1770e,32'h3f09c8c2,// invsqrt(4.1770) = 0.4893 +32'h4003644e,32'h3f2f1820,32'h3f363daf, 32'h3f29bbf6,32'h3f3b99da, 32'h3f20cd04,32'h3f4488cc,// invsqrt(2.0530) = 0.6979 +32'h40955434,32'h3ee845ef,32'h3ef1c0f3, 32'h3ee129ab,32'h3ef8dd37, 32'h3ed54fe6,32'h3f025b7e,// invsqrt(4.6665) = 0.4629 +32'h3e9aa093,32'h3fe44252,32'h3fed9364, 32'h3fdd4583,32'h3ff49033, 32'h3fd1a02c,32'h40001ac5,// invsqrt(0.3020) = 1.8197 +32'h3ebf3b37,32'h3fcd4103,32'h3fd5a1b5, 32'h3fc6f87e,32'h3fdbea3a, 32'h3fbc7fa0,32'h3fe66318,// invsqrt(0.3735) = 1.6363 +32'h3f019662,32'h3fb04f1d,32'h3fb7815d, 32'h3faae96d,32'h3fbce70d, 32'h3fa1ea9d,32'h3fc5e5dd,// invsqrt(0.5062) = 1.4055 +32'h4046cb19,32'h3f0e5967,32'h3f1428ce, 32'h3f09fdd9,32'h3f18845b, 32'h3f02ba97,32'h3f1fc79d,// invsqrt(3.1061) = 0.5674 +32'h3f81db5d,32'h3f79146f,32'h3f819f88, 32'h3f717475,32'h3f856f84, 32'h3f64bf2c,32'h3f8bca29,// invsqrt(1.0145) = 0.9928 +32'h3f7c8f79,32'h3f7c9523,32'h3f83722f, 32'h3f74d9b6,32'h3f874fe5, 32'h3f67f6ad,32'h3f8dc16a,// invsqrt(0.9866) = 1.0068 +32'h40009a9e,32'h3f30fb5d,32'h3f3834a5, 32'h3f2b9067,32'h3f3d9f9b, 32'h3f2288ce,32'h3f46a734,// invsqrt(2.0094) = 0.7054 +32'h3f856253,32'h3f75c3b2,32'h3f7fcbae, 32'h3f6e3db4,32'h3f83a8d6, 32'h3f61b3b8,32'h3f89edd4,// invsqrt(1.0421) = 0.9796 +32'h41713569,32'h3e813a98,32'h3e8680e8, 32'h3e7a8bba,32'h3e8a75a3, 32'h3e6d5bf3,32'h3e910d86,// invsqrt(15.0755) = 0.2576 +32'h3eb5b390,32'h3fd29158,32'h3fdb2990, 32'h3fcc1f2e,32'h3fe19bba, 32'h3fc160e8,32'h3fec5a00,// invsqrt(0.3549) = 1.6786 +32'h3fec7758,32'h3f3894af,32'h3f401d5d, 32'h3f32ee2c,32'h3f45c3e0, 32'h3f298352,32'h3f4f2eba,// invsqrt(1.8474) = 0.7357 +32'h3ea6086b,32'h3fdc478a,32'h3fe5453c, 32'h3fd58944,32'h3fec0382, 32'h3fca4c26,32'h3ff740a0,// invsqrt(0.3243) = 1.7561 +32'h4006b779,32'h3f2ceb88,32'h3f33fa5e, 32'h3f27a067,32'h3f39457f, 32'h3f1ecddb,32'h3f42180b,// invsqrt(2.1049) = 0.6893 +32'h3fb24cc6,32'h3f54911b,32'h3f5d3e37, 32'h3f4e0f47,32'h3f63c00b, 32'h3f4336e5,32'h3f6e986d,// invsqrt(1.3930) = 0.8473 +32'h3f6fa28d,32'h3f81a70b,32'h3f86f1c7, 32'h3f7b5dfb,32'h3f8ae9d5, 32'h3f6e2323,32'h3f918740,// invsqrt(0.9361) = 1.0336 +32'h3f049bcf,32'h3fae4a00,32'h3fb56724, 32'h3fa8f424,32'h3fbabd00, 32'h3fa00fb7,32'h3fc3a16d,// invsqrt(0.5180) = 1.3894 +32'h40966fe4,32'h3ee76a86,32'h3ef0dc96, 32'h3ee054fa,32'h3ef7f222, 32'h3ed48666,32'h3f01e05b,// invsqrt(4.7012) = 0.4612 +32'h3f04223b,32'h3fae9a1d,32'h3fb5ba86, 32'h3fa941cd,32'h3fbb12d5, 32'h3fa05949,32'h3fc3fb59,// invsqrt(0.5161) = 1.3919 +32'h421aa3d7,32'h3e216599,32'h3e27fc08, 32'h3e1c74c7,32'h3e2cecdb, 32'h3e1438bc,32'h3e3528e6,// invsqrt(38.6600) = 0.1608 +32'h3f26b94c,32'h3f9b7021,32'h3fa1c84d, 32'h3f96ae01,32'h3fa68a6d, 32'h3f8ebfcb,32'h3fae78a3,// invsqrt(0.6513) = 1.2391 +32'h3f008e5d,32'h3fb103cc,32'h3fb83d6c, 32'h3fab9894,32'h3fbda8a4, 32'h3fa2908c,32'h3fc6b0ac,// invsqrt(0.5022) = 1.4112 +32'h3e86e40e,32'h3ff46351,32'h3ffe5ceb, 32'h3fece81c,32'h4002ec10, 32'h3fe0701b,32'h40092810,// invsqrt(0.2635) = 1.9482 +32'h3f5650b9,32'h3f8918f3,32'h3f8eb17b, 32'h3f84e68e,32'h3f92e3e0, 32'h3f7bcfc9,32'h3f99e289,// invsqrt(0.8372) = 1.0929 +32'h401646d5,32'h3f23b924,32'h3f2a67e1, 32'h3f1eb615,32'h3f2f6aef, 32'h3f165ba8,32'h3f37c55c,// invsqrt(2.3481) = 0.6526 +32'h3ef3316a,32'h3fb60295,32'h3fbd7067, 32'h3fb07038,32'h3fc302c4, 32'h3fa726f1,32'h3fcc4c0b,// invsqrt(0.4750) = 1.4510 +32'h410e86ac,32'h3ea81dae,32'h3eaefa52, 32'h3ea2f833,32'h3eb41fcd, 32'h3e9a6466,32'h3ebcb39a,// invsqrt(8.9079) = 0.3351 +32'h3f58bee3,32'h3f8853a1,32'h3f8de41b, 32'h3f842746,32'h3f921076, 32'h3f7a655c,32'h3f99050e,// invsqrt(0.8467) = 1.0868 +32'h3ea21a1e,32'h3fdeef2c,32'h3fe8089b, 32'h3fd81c18,32'h3feedbae, 32'h3fccbc4c,32'h3ffa3b7a,// invsqrt(0.3166) = 1.7772 +32'h3d10ef1c,32'h40a6b6aa,32'h40ad84a6, 32'h40a19c2c,32'h40b29f24, 32'h40991ab1,32'h40bb209f,// invsqrt(0.0354) = 5.3161 +32'h3f1d92c8,32'h3f9fe336,32'h3fa669e0, 32'h3f9afe37,32'h3fab4edf, 32'h3f92d5e4,32'h3fb37733,// invsqrt(0.6155) = 1.2746 +32'h406630ef,32'h3f04490c,32'h3f09af4c, 32'h3f003c5d,32'h3f0dbbfb, 32'h3ef2f91c,32'h3f147bca,// invsqrt(3.5967) = 0.5273 +32'h40274314,32'h3f1b300e,32'h3f21859c, 32'h3f166fe4,32'h3f2645c6, 32'h3f0e84f3,32'h3f2e30b7,// invsqrt(2.6135) = 0.6186 +32'h3f413875,32'h3f90632f,32'h3f9647e2, 32'h3f8bf7a8,32'h3f9ab368, 32'h3f8499c7,32'h3fa21149,// invsqrt(0.7548) = 1.1510 +32'h3f3e5e9f,32'h3f9176ee,32'h3f9766e2, 32'h3f8d02f6,32'h3f9bdada, 32'h3f859704,32'h3fa346cc,// invsqrt(0.7436) = 1.1596 +32'h400608f1,32'h3f2d5bf8,32'h3f346f66, 32'h3f280d66,32'h3f39bdf8, 32'h3f1f351e,32'h3f429641,// invsqrt(2.0943) = 0.6910 +32'h3f84b01c,32'h3f766886,32'h3f803b9e, 32'h3f6edd7c,32'h3f840123, 32'h3f624b17,32'h3f8a4a55,// invsqrt(1.0366) = 0.9822 +32'h3f2e2c7c,32'h3f9813d0,32'h3f9e48de, 32'h3f936c06,32'h3fa2f0a8, 32'h3f8ba9b5,32'h3faab2f9,// invsqrt(0.6804) = 1.2124 +32'h3f8a0143,32'h3f719d6b,32'h3f7b7a0c, 32'h3f6a37f2,32'h3f816fc2, 32'h3f5de428,32'h3f8799a7,// invsqrt(1.0782) = 0.9631 +32'h41f34281,32'h3e35fc31,32'h3e3d69c0, 32'h3e306a05,32'h3e42fbeb, 32'h3e272112,32'h3e4c44de,// invsqrt(30.4075) = 0.1813 +32'h4008c4ea,32'h3f2b9e1d,32'h3f329f57, 32'h3f265d31,32'h3f37e043, 32'h3f1d9ba7,32'h3f40a1cd,// invsqrt(2.1370) = 0.6841 +32'h3ea5278f,32'h3fdcdd4c,32'h3fe5e11a, 32'h3fd61a70,32'h3feca3f6, 32'h3fcad5ae,32'h3ff7e8b8,// invsqrt(0.3226) = 1.7607 +32'h3f8e0c56,32'h3f6e26c4,32'h3f77df34, 32'h3f66dc6f,32'h3f7f2989, 32'h3f5ab5e3,32'h3f85a80b,// invsqrt(1.1098) = 0.9493 +32'h3e9d05d0,32'h3fe282e2,32'h3febc1b2, 32'h3fdb93c6,32'h3ff2b0ce, 32'h3fd00543,32'h3ffe3f51,// invsqrt(0.3067) = 1.8057 +32'h3f2cc220,32'h3f98b2fa,32'h3f9eee87, 32'h3f940651,32'h3fa39b31, 32'h3f8c3be1,32'h3fab65a1,// invsqrt(0.6748) = 1.2173 +32'h4044bd8e,32'h3f0f1708,32'h3f14ee2d, 32'h3f0ab5ad,32'h3f194f89, 32'h3f0368bf,32'h3f209c77,// invsqrt(3.0741) = 0.5704 +32'h401fd204,32'h3f1ec274,32'h3f253d54, 32'h3f19e64c,32'h3f2a197c, 32'h3f11ccb4,32'h3f323314,// invsqrt(2.4972) = 0.6328 +32'h3f889c17,32'h3f72d878,32'h3f7cc1f6, 32'h3f6b695b,32'h3f82188a, 32'h3f5f057e,32'h3f884a78,// invsqrt(1.0673) = 0.9680 +32'h3f8259ba,32'h3f789b96,32'h3f8160a5, 32'h3f70ff51,32'h3f852ec8, 32'h3f645032,32'h3f8b8657,// invsqrt(1.0184) = 0.9909 +32'h3f21ab65,32'h3f9dd95b,32'h3fa44ab7, 32'h3f990455,32'h3fa91fbd, 32'h3f90f6a2,32'h3fb12d70,// invsqrt(0.6315) = 1.2584 +32'h3e62a51c,32'h400550f4,32'h400ac1f8, 32'h40013c30,32'h400ed6bc, 32'h3ff4ddd4,32'h4015a402,// invsqrt(0.2213) = 2.1256 +32'h40cd3e1c,32'h3ec61fc4,32'h3ece35f5, 32'h3ec00f1e,32'h3ed4469a, 32'h3eb5f360,32'h3ede6258,// invsqrt(6.4138) = 0.3949 +32'h3f8d9f5a,32'h3f6e8254,32'h3f783e82, 32'h3f673532,32'h3f7f8ba4, 32'h3f5b09fa,32'h3f85db6e,// invsqrt(1.1064) = 0.9507 +32'h3f3d79a6,32'h3f91ceb8,32'h3f97c242, 32'h3f8d5810,32'h3f9c38ea, 32'h3f85e7a4,32'h3fa3a956,// invsqrt(0.7401) = 1.1624 +32'h3fa3a7f5,32'h3f5ddf8e,32'h3f66ede7, 32'h3f5714ca,32'h3f6db8aa, 32'h3f4bc2db,32'h3f790a99,// invsqrt(1.2786) = 0.8844 +32'h3e5c99d8,32'h40072153,32'h400ca54c, 32'h4002fe59,32'h4010c847, 32'h3ff832c3,32'h4017ad3e,// invsqrt(0.2154) = 2.1545 +32'h3fb22215,32'h3f54aa93,32'h3f5d58b8, 32'h3f4e27f6,32'h3f63db54, 32'h3f434e48,32'h3f6eb502,// invsqrt(1.3917) = 0.8477 +32'h3fca7102,32'h3f477d76,32'h3f4fa1ee, 32'h3f41621c,32'h3f55bd48, 32'h3f373487,32'h3f5feadd,// invsqrt(1.5816) = 0.7952 +32'h3eea498a,32'h3fb96fe7,32'h3fc10188, 32'h3fb3c2af,32'h3fc6aec1, 32'h3faa4ca6,32'h3fd024ca,// invsqrt(0.4576) = 1.4783 +32'h4037b162,32'h3f1415a7,32'h3f1a20fd, 32'h3f0f8d28,32'h3f1ea97c, 32'h3f07fefd,32'h3f2637a7,// invsqrt(2.8702) = 0.5903 +32'h3fb8a8ee,32'h3f50dfca,32'h3f596650, 32'h3f4a7ae6,32'h3f5fcb34, 32'h3f3fd2bf,32'h3f6a735b,// invsqrt(1.4427) = 0.8326 +32'h3eb4eb81,32'h3fd305a4,32'h3fdba29c, 32'h3fcc8feb,32'h3fe21855, 32'h3fc1cbb6,32'h3fecdc8a,// invsqrt(0.3534) = 1.6823 +32'h439f02c1,32'h3d611740,32'h3d6a4738, 32'h3d5a3346,32'h3d712b32, 32'h3d4eb750,32'h3d7ca728,// invsqrt(318.0215) = 0.0561 +32'h3ea6508d,32'h3fdc17c0,32'h3fe5137e, 32'h3fd55af1,32'h3febd04d, 32'h3fca2042,32'h3ff70afc,// invsqrt(0.3248) = 1.7546 +32'h4016dc2b,32'h3f236807,32'h3f2a1375, 32'h3f1e6774,32'h3f2f1408, 32'h3f16112b,32'h3f376a51,// invsqrt(2.3572) = 0.6513 +32'h3fa0b386,32'h3f5fe75d,32'h3f690aed, 32'h3f590cb0,32'h3f6fe59a, 32'h3f4da03b,32'h3f7b520f,// invsqrt(1.2555) = 0.8925 +32'h3cb8594b,32'h40d10ce3,32'h40d9953f, 32'h40caa69d,32'h40dffb85, 32'h40bffc29,32'h40eaa5f9,// invsqrt(0.0225) = 6.6661 +32'h3f802722,32'h3f7abaf7,32'h3f827b6c, 32'h3f730e0f,32'h3f8651e0, 32'h3f664336,32'h3f8cb74c,// invsqrt(1.0012) = 0.9994 +32'h3f76cf8a,32'h3f7f81fa,32'h3f84f7e3, 32'h3f77afa2,32'h3f88e10f, 32'h3f6aa663,32'h3f8f65ae,// invsqrt(0.9641) = 1.0184 +32'h3f6faaec,32'h3f81a4c7,32'h3f86ef6c, 32'h3f7b5998,32'h3f8ae768, 32'h3f6e1efc,32'h3f9184b6,// invsqrt(0.9362) = 1.0335 +32'h3f39c236,32'h3f934247,32'h3f9944fb, 32'h3f8ec03f,32'h3f9dc703, 32'h3f873cde,32'h3fa54a64,// invsqrt(0.7256) = 1.1739 +32'h3fa80c84,32'h3f5af444,32'h3f63e41c, 32'h3f544061,32'h3f6a97ff, 32'h3f491492,32'h3f75c3ce,// invsqrt(1.3129) = 0.8727 +32'h3f8cc8b0,32'h3f6f37e5,32'h3f78fb7b, 32'h3f67e534,32'h3f802716, 32'h3f5bb0b8,32'h3f864154,// invsqrt(1.0999) = 0.9535 +32'h406add3e,32'h3f02f673,32'h3f084ee1, 32'h3efde843,32'h3f0c5132, 32'h3ef08b32,32'h3f12ffbb,// invsqrt(3.6698) = 0.5220 +32'h3f687651,32'h3f83a338,32'h3f8902b2, 32'h3f7f3738,32'h3f8d0a4e, 32'h3f71c886,32'h3f93c1a7,// invsqrt(0.9081) = 1.0494 +32'h3bcae210,32'h414745da,32'h414f680c, 32'h41412c34,32'h415581b2, 32'h41370174,32'h415fac72,// invsqrt(0.0062) = 12.7087 +32'h3f98f8f5,32'h3f657d85,32'h3f6edb75, 32'h3f5e7710,32'h3f75e1ea, 32'h3f52c1a4,32'h3f80cbab,// invsqrt(1.1951) = 0.9147 +32'h3ae0a399,32'h41bd60a4,32'h41c51b72, 32'h41b7948a,32'h41cae78c, 32'h41adeb0a,32'h41d4910c,// invsqrt(0.0017) = 24.1553 +32'h3d8e2968,32'h406e0e69,32'h4077c5db, 32'h4066c4d4,32'h407f0f70, 32'h405a9f85,32'h40859a60,// invsqrt(0.0694) = 3.7955 +32'h3fa04e63,32'h3f602df3,32'h3f695465, 32'h3f59511d,32'h3f70313b, 32'h3f4de10e,32'h3f7ba14a,// invsqrt(1.2524) = 0.8936 +32'h3f28b9f1,32'h3f9a834a,32'h3fa0d1ca, 32'h3f95c86a,32'h3fa58caa, 32'h3f8de649,32'h3fad6ecb,// invsqrt(0.6591) = 1.2318 +32'h3ef60d71,32'h3fb4f30b,32'h3fbc55c8, 32'h3faf68fe,32'h3fc1dfd6, 32'h3fa62d93,32'h3fcb1b41,// invsqrt(0.4806) = 1.4425 +32'h3f8310fb,32'h3f77ed8d,32'h3f810613, 32'h3f70569b,32'h3f84d18c, 32'h3f63b05d,32'h3f8b24ab,// invsqrt(1.0240) = 0.9882 +32'h402c8577,32'h3f18cdd0,32'h3f1f0a76, 32'h3f142054,32'h3f23b7f2, 32'h3f0c5486,32'h3f2b83c0,// invsqrt(2.6956) = 0.6091 +32'h40850ee5,32'h3ef610b2,32'h3f000dea, 32'h3eee8859,32'h3f03d216, 32'h3ee1fa70,32'h3f0a190b,// invsqrt(4.1581) = 0.4904 +32'h3f92cd80,32'h3f6a435d,32'h3f73d32d, 32'h3f631782,32'h3f7aff08, 32'h3f5723be,32'h3f837966,// invsqrt(1.1469) = 0.9338 +32'h3fb47b1e,32'h3f53474e,32'h3f5be6f3, 32'h3f4ccf92,32'h3f625eae, 32'h3f420803,32'h3f6d263d,// invsqrt(1.4100) = 0.8421 +32'h4071e69c,32'h3f010b3b,32'h3f064f9b, 32'h3efa2fe5,32'h3f0a42e4, 32'h3eed04f4,32'h3f10d85c,// invsqrt(3.7797) = 0.5144 +32'h3e979681,32'h3fe68937,32'h3feff215, 32'h3fdf7a91,32'h3ff700bb, 32'h3fd3b77c,32'h400161e8,// invsqrt(0.2961) = 1.8378 +32'h3e25ad2e,32'h401bedb4,32'h40224b00, 32'h401727bc,32'h402710f8, 32'h400f331e,32'h402f0596,// invsqrt(0.1618) = 2.4861 +32'h3f9c6ef1,32'h3f62f002,32'h3f6c3346, 32'h3f5bfd8f,32'h3f7325b9, 32'h3f50697a,32'h3f7eb9ce,// invsqrt(1.2221) = 0.9046 +32'h40397622,32'h3f136078,32'h3f196468, 32'h3f0edd84,32'h3f1de75c, 32'h3f075898,32'h3f256c48,// invsqrt(2.8978) = 0.5874 +32'h3fa02f26,32'h3f6043ce,32'h3f696b24, 32'h3f59664d,32'h3f7048a5, 32'h3f4df520,32'h3f7bb9d2,// invsqrt(1.2514) = 0.8939 +32'h3f17f8b6,32'h3fa2cec5,32'h3fa973f1, 32'h3f9dd2e3,32'h3fae6fd3, 32'h3f95846c,32'h3fb6be4b,// invsqrt(0.5936) = 1.2979 +32'h4005e6d8,32'h3f2d7209,32'h3f34865d, 32'h3f2822ca,32'h3f39d59c, 32'h3f1f4961,32'h3f42af05,// invsqrt(2.0922) = 0.6913 +32'h3f95195c,32'h3f6873c0,32'h3f71f0a4, 32'h3f615616,32'h3f790e4e, 32'h3f5579fa,32'h3f827535,// invsqrt(1.1648) = 0.9265 +32'h3e939057,32'h3fe9a881,32'h3ff331ff, 32'h3fe28163,32'h3ffa591d, 32'h3fd69586,32'h4003227d,// invsqrt(0.2882) = 1.8627 +32'h413f0a79,32'h3e913572,32'h3e9722ba, 32'h3e8cc37b,32'h3e9b94b1, 32'h3e855ae1,32'h3ea2fd4b,// invsqrt(11.9401) = 0.2894 +32'h3f454179,32'h3f8ee728,32'h3f94bc58, 32'h3f8a8743,32'h3f991c3d, 32'h3f833cc6,32'h3fa066ba,// invsqrt(0.7705) = 1.1392 +32'h3f4dba78,32'h3f8bedec,32'h3f91a40a, 32'h3f87a555,32'h3f95eca1, 32'h3f8081af,32'h3f9d1047,// invsqrt(0.8036) = 1.1155 +32'h4076b776,32'h3eff8e72,32'h3f04fe5f, 32'h3ef7bbb7,32'h3f08e7bd, 32'h3eeab1d6,32'h3f0f6cad,// invsqrt(3.8549) = 0.5093 +32'h3e33e4b1,32'h4015a3e9,32'h401bbf7f, 32'h40110f38,32'h40205430, 32'h40096cbc,32'h4027f6ac,// invsqrt(0.1757) = 2.3858 +32'h3f6b1400,32'h3f82e731,32'h3f883eff, 32'h3f7dcaae,32'h3f8c40d9, 32'h3f706f2b,32'h3f92ee9a,// invsqrt(0.9183) = 1.0436 +32'h40921e8e,32'h3eeacf70,32'h3ef464f8, 32'h3ee39f4b,32'h3efb951d, 32'h3ed7a462,32'h3f03c803,// invsqrt(4.5662) = 0.4680 +32'h41bfa465,32'h3e4d08a8,32'h3e55670c, 32'h3e46c1dc,32'h3e5badd8, 32'h3e3c4bde,32'h3e6623d6,// invsqrt(23.9553) = 0.2043 +32'h3f493094,32'h3f8d7fb9,32'h3f93463d, 32'h3f892ad5,32'h3f979b21, 32'h3f81f2af,32'h3f9ed347,// invsqrt(0.7859) = 1.1280 +32'h3f3302ba,32'h3f96023d,32'h3f9c21ae, 32'h3f916aaa,32'h3fa0b942, 32'h3f89c35d,32'h3fa8608f,// invsqrt(0.6993) = 1.1959 +32'h3fa29752,32'h3f5e9945,32'h3f67af33, 32'h3f57c8d3,32'h3f6e7fa5, 32'h3f4c6d69,32'h3f79db0f,// invsqrt(1.2702) = 0.8873 +32'h3fc1aed8,32'h3f4bf364,32'h3f544678, 32'h3f45b515,32'h3f5a84c7, 32'h3f3b4d3d,32'h3f64ec9f,// invsqrt(1.5131) = 0.8129 +32'h3f398761,32'h3f93599e,32'h3f995d46, 32'h3f8ed6e0,32'h3f9de004, 32'h3f87524d,32'h3fa56497,// invsqrt(0.7247) = 1.1747 +32'h3fd0b913,32'h3f447720,32'h3f4c7bfc, 32'h3f3e737a,32'h3f527fa2, 32'h3f346d66,32'h3f5c85b6,// invsqrt(1.6306) = 0.7831 +32'h3f90e3f0,32'h3f6bcdd6,32'h3f756dc0, 32'h3f6495e7,32'h3f7ca5af, 32'h3f588e04,32'h3f8456c9,// invsqrt(1.1320) = 0.9399 +32'h3eb2d83e,32'h3fd43e29,32'h3fdce7e1, 32'h3fcdbede,32'h3fe3672c, 32'h3fc2eab8,32'h3fee3b52,// invsqrt(0.3493) = 1.6920 +32'h3ff2c79c,32'h3f362a3a,32'h3f3d99aa, 32'h3f3096a6,32'h3f432d3e, 32'h3f274b5a,32'h3f4c788a,// invsqrt(1.8967) = 0.7261 +32'h3e8989e8,32'h3ff2062a,32'h3ffbe712, 32'h3fea9d7c,32'h4001a7e0, 32'h3fde445b,32'h4007d470,// invsqrt(0.2686) = 1.9294 +32'h3f8e64ba,32'h3f6ddcce,32'h3f779239, 32'h3f6694bc,32'h3f7eda4a, 32'h3f5a71f6,32'h3f857e88,// invsqrt(1.1124) = 0.9481 +32'h3fb43a3b,32'h3f536d53,32'h3f5c0e85, 32'h3f4cf46d,32'h3f62876b, 32'h3f422aee,32'h3f6d50ea,// invsqrt(1.4080) = 0.8427 +32'h3f87aa30,32'h3f73b09a,32'h3f7da2ea, 32'h3f6c3adf,32'h3f828c53, 32'h3f5fcbfb,32'h3f88c3c4,// invsqrt(1.0599) = 0.9713 +32'h40843d4d,32'h3ef6d366,32'h3f00733c, 32'h3eef4516,32'h3f043a64, 32'h3ee2ad3e,32'h3f0a8650,// invsqrt(4.1325) = 0.4919 +32'h3f78ec59,32'h3f7e6bd4,32'h3f846722, 32'h3f76a1ff,32'h3f884c0d, 32'h3f69a6f1,32'h3f8ec993,// invsqrt(0.9724) = 1.0141 +32'h41d9ca3a,32'h3e40550e,32'h3e482ebc, 32'h3e3a71cd,32'h3e4e11fd, 32'h3e30a1b4,32'h3e57e216,// invsqrt(27.2237) = 0.1917 +32'h3f624f90,32'h3f856a24,32'h3f8adc30, 32'h3f81549b,32'h3f8ef1b9, 32'h3f750c18,32'h3f95c048,// invsqrt(0.8840) = 1.0636 +32'h402937e9,32'h3f1a49bc,32'h3f2095e4, 32'h3f15909f,32'h3f254f01, 32'h3f0db16e,32'h3f2d2e32,// invsqrt(2.6440) = 0.6150 +32'h3f6e024b,32'h3f82183a,32'h3f876795, 32'h3f7c396a,32'h3f8b6319, 32'h3f6ef306,32'h3f92064b,// invsqrt(0.9297) = 1.0371 +32'h3f5bb015,32'h3f876925,32'h3f8cf00c, 32'h3f8343f7,32'h3f911539, 32'h3f78b6ab,32'h3f97fdda,// invsqrt(0.8582) = 1.0795 +32'h3f995d17,32'h3f65328e,32'h3f6e8d6f, 32'h3f5e2e64,32'h3f759198, 32'h3f527ccb,32'h3f80a198,// invsqrt(1.1982) = 0.9136 +32'h3f2ae0d3,32'h3f998970,32'h3f9fcdbe, 32'h3f94d636,32'h3fa480f8, 32'h3f8d00d5,32'h3fac5659,// invsqrt(0.6675) = 1.2240 +32'h3f00af21,32'h3fb0ed42,32'h3fb825f6, 32'h3fab82bb,32'h3fbd907d, 32'h3fa27bd9,32'h3fc6975f,// invsqrt(0.5027) = 1.4104 +32'h3fbccbc7,32'h3f4e92d1,32'h3f57014d, 32'h3f483ff5,32'h3f5d5429, 32'h3f3db5da,32'h3f67de44,// invsqrt(1.4750) = 0.8234 +32'h404c38ec,32'h3f0c71c3,32'h3f122d44, 32'h3f082524,32'h3f1679e4, 32'h3f00fac4,32'h3f1da444,// invsqrt(3.1910) = 0.5598 +32'h3f4011f0,32'h3f90d1b8,32'h3f96baee, 32'h3f8c62cf,32'h3f9b29d7, 32'h3f84ff4b,32'h3fa28d5b,// invsqrt(0.7503) = 1.1545 +32'h3ea3a498,32'h3fdde1d5,32'h3fe6f047, 32'h3fd71700,32'h3fedbb1c, 32'h3fcbc4f3,32'h3ff90d29,// invsqrt(0.3196) = 1.7688 +32'h3f0ba2e9,32'h3fa9d8b8,32'h3fb0c772, 32'h3fa4a5ae,32'h3fb5fa7c, 32'h3f9bfb46,32'h3fbea4e4,// invsqrt(0.5455) = 1.3540 +32'h42a45a5a,32'h3ddd6703,32'h3de67071, 32'h3dd69ff1,32'h3ded3783, 32'h3dcb5427,32'h3df8834d,// invsqrt(82.1765) = 0.1103 +32'h4007d4b3,32'h3f2c359a,32'h3f333d04, 32'h3f26f00b,32'h3f388293, 32'h3f1e26c7,32'h3f414bd7,// invsqrt(2.1224) = 0.6864 +32'h3fbd8f38,32'h3f4e2838,32'h3f56925a, 32'h3f47d89f,32'h3f5ce1f3, 32'h3f3d53f5,32'h3f67669d,// invsqrt(1.4809) = 0.8217 +32'h40142486,32'h3f24e5f1,32'h3f2ba0f5, 32'h3f1fd9ad,32'h3f30ad39, 32'h3f176fe8,32'h3f3916ff,// invsqrt(2.3147) = 0.6573 +32'h3fb7de01,32'h3f5152ed,32'h3f59de26, 32'h3f4aea83,32'h3f604691, 32'h3f403c7c,32'h3f6af498,// invsqrt(1.4365) = 0.8344 +32'h404449af,32'h3f0f413e,32'h3f151a1c, 32'h3f0ade98,32'h3f197cc2, 32'h3f038f82,32'h3f20cbd8,// invsqrt(3.0670) = 0.5710 +32'h403540a2,32'h3f151403,32'h3f1b29ba, 32'h3f1083ba,32'h3f1fba04, 32'h3f08e896,32'h3f275528,// invsqrt(2.8321) = 0.5942 +32'h403bf446,32'h3f126572,32'h3f185f24, 32'h3f0dea2e,32'h3f1cda68, 32'h3f067210,32'h3f245286,// invsqrt(2.9368) = 0.5835 +32'h40c0fc73,32'h3ecc5192,32'h3ed4a87e, 32'h3ec61061,32'h3edae9af, 32'h3ebba3ba,32'h3ee55656,// invsqrt(6.0308) = 0.4072 +32'h3ed543f0,32'h3fc25c9d,32'h3fca4b7f, 32'h3fbc6974,32'h3fd03ea8, 32'h3fb27ed9,32'h3fda2943,// invsqrt(0.4165) = 1.5494 +32'h3f33982b,32'h3f95c3c7,32'h3f9be0ab, 32'h3f912e1d,32'h3fa07655, 32'h3f898a00,32'h3fa81a72,// invsqrt(0.7015) = 1.1939 +32'h3f658fb7,32'h3f847777,32'h3f89df9c, 32'h3f80695d,32'h3f8dedb7, 32'h3f734e5f,32'h3f94afe5,// invsqrt(0.8967) = 1.0560 +32'h3eb4252a,32'h3fd379af,32'h3fdc1b63, 32'h3fcd0069,32'h3fe294a9, 32'h3fc23648,32'h3fed5eca,// invsqrt(0.3518) = 1.6859 +32'h3f7e1d12,32'h3f7bcf3b,32'h3f830b31, 32'h3f7419dd,32'h3f86e5df, 32'h3f6740ed,32'h3f8d5258,// invsqrt(0.9926) = 1.0037 +32'h417b83aa,32'h3e7d1b78,32'h3e83b818, 32'h3e755bf0,32'h3e8797dc, 32'h3e68720c,32'h3e8e0cce,// invsqrt(15.7196) = 0.2522 +32'h3eca7583,32'h3fc77b3e,32'h3fcf9f9f, 32'h3fc15ff6,32'h3fd5bae8, 32'h3fb7327e,32'h3fdfe861,// invsqrt(0.3954) = 1.5903 +32'h42a6a217,32'h3ddbe1e0,32'h3de4db6b, 32'h3dd526b6,32'h3deb9694, 32'h3dc9eec8,32'h3df6ce82,// invsqrt(83.3166) = 0.1096 +32'h421aa18a,32'h3e2166cd,32'h3e27fd48, 32'h3e1c75f0,32'h3e2cee24, 32'h3e1439d6,32'h3e352a3e,// invsqrt(38.6578) = 0.1608 +32'h3e796874,32'h3ffe2c7f,32'h4004462e, 32'h3ff6649c,32'h40082a20, 32'h3fe96cc9,32'h400ea609,// invsqrt(0.2436) = 2.0263 +32'h4016335c,32'h3f23c3c0,32'h3f2a72ec, 32'h3f1ec05e,32'h3f2f764e, 32'h3f166567,32'h3f37d145,// invsqrt(2.3469) = 0.6528 +32'h3f3368c6,32'h3f95d78e,32'h3f9bf540, 32'h3f914148,32'h3fa08b86, 32'h3f899c2a,32'h3fa830a4,// invsqrt(0.7008) = 1.1945 +32'h3ed65a7e,32'h3fc1de2a,32'h3fc9c7e2, 32'h3fbbeedf,32'h3fcfb72d, 32'h3fb20ab9,32'h3fd99b53,// invsqrt(0.4187) = 1.5455 +32'h3fa4d3b4,32'h3f5d1573,32'h3f661b8d, 32'h3f5650e0,32'h3f6ce020, 32'h3f4b0940,32'h3f7827c0,// invsqrt(1.2877) = 0.8812 +32'h3fc1c725,32'h3f4be69a,32'h3f543928, 32'h3f45a8af,32'h3f5a7713, 32'h3f3b417e,32'h3f64de44,// invsqrt(1.5139) = 0.8127 +32'h3f2ba28a,32'h3f9932b3,32'h3f9f7376, 32'h3f948220,32'h3fa42408, 32'h3f8cb12c,32'h3fabf4fc,// invsqrt(0.6704) = 1.2213 +32'h3dd7980d,32'h40414f2e,32'h40493310, 32'h403b6444,32'h404f1dfa, 32'h40318769,32'h4058fad5,// invsqrt(0.1053) = 3.0821 +32'h3ffb1ed9,32'h3f331d3a,32'h3f3a6cca, 32'h3f2da18f,32'h3f3fe875, 32'h3f247e1b,32'h3f490be9,// invsqrt(1.9619) = 0.7139 +32'h4023fa6e,32'h3f1cbbe0,32'h3f232196, 32'h3f17ef98,32'h3f27edde, 32'h3f0ff075,32'h3f2fed01,// invsqrt(2.5622) = 0.6247 +32'h3e516a2f,32'h400ab13b,32'h40105a6d, 32'h40067256,32'h40149952, 32'h3ffebdb1,32'h401bacd0,// invsqrt(0.2045) = 2.2113 +32'h3fb3bb13,32'h3f53b810,32'h3f5c5c50, 32'h3f4d3ce1,32'h3f62d77f, 32'h3f426f92,32'h3f6da4ce,// invsqrt(1.4041) = 0.8439 +32'h4107e2af,32'h3eac2cbd,32'h3eb333ca, 32'h3ea6e774,32'h3eb87914, 32'h3e9e1ea4,32'h3ec141e4,// invsqrt(8.4928) = 0.3431 +32'h400cf6f2,32'h3f290b62,32'h3f2ff1ba, 32'h3f23dea0,32'h3f351e7c, 32'h3f1b3eb3,32'h3f3dbe69,// invsqrt(2.2026) = 0.6738 +32'h3eab5409,32'h3fd8d93b,32'h3fe1b313, 32'h3fd235d8,32'h3fe85676, 32'h3fc7258a,32'h3ff366c5,// invsqrt(0.3346) = 1.7287 +32'h3f47655a,32'h3f8e224c,32'h3f93ef74, 32'h3f89c86e,32'h3f984952, 32'h3f8287fd,32'h3f9f89c3,// invsqrt(0.7789) = 1.1331 +32'h3fc22e4e,32'h3f4bb069,32'h3f5400c1, 32'h3f457427,32'h3f5a3d03, 32'h3f3b0fb9,32'h3f64a171,// invsqrt(1.5170) = 0.8119 +32'h3f9583de,32'h3f6820e6,32'h3f719a68, 32'h3f6105c5,32'h3f78b589, 32'h3f552de3,32'h3f8246b5,// invsqrt(1.1681) = 0.9253 +32'h3f36d3df,32'h3f946f41,32'h3f9a7e3f, 32'h3f8fe403,32'h3f9f097d, 32'h3f885146,32'h3fa69c3a,// invsqrt(0.7142) = 1.1833 +32'h3fef2c9e,32'h3f378868,32'h3f3f0624, 32'h3f31ea1c,32'h3f44a470, 32'h3f288cf2,32'h3f4e019a,// invsqrt(1.8685) = 0.7316 +32'h4073f916,32'h3f007ea3,32'h3f05bd47, 32'h3ef91f52,32'h3f09ac41, 32'h3eec02b9,32'h3f103a8e,// invsqrt(3.8121) = 0.5122 +32'h3f8cb092,32'h3f6f4c65,32'h3f7910d2, 32'h3f67f914,32'h3f803212, 32'h3f5bc38c,32'h3f864cd6,// invsqrt(1.0991) = 0.9538 +32'h3f45d97f,32'h3f8eb036,32'h3f948328, 32'h3f8a5200,32'h3f98e15e, 32'h3f830a51,32'h3fa0290d,// invsqrt(0.7728) = 1.1375 +32'h3ed32218,32'h3fc35739,32'h3fcb5056, 32'h3fbd5c64,32'h3fd14b2c, 32'h3fb36501,32'h3fdb428f,// invsqrt(0.4124) = 1.5572 +32'h3fba35b5,32'h3f5000ca,32'h3f587e36, 32'h3f49a2ba,32'h3f5edc46, 32'h3f3f05f3,32'h3f69790d,// invsqrt(1.4548) = 0.8291 +32'h3d9c215b,32'h4063285e,32'h406c6def, 32'h405c3432,32'h4073621c, 32'h40509d3d,32'h407ef911,// invsqrt(0.0762) = 3.6218 +32'h3ed1ec96,32'h3fc3e705,32'h3fcbe600, 32'h3fbde7c9,32'h3fd1e53d, 32'h3fb3e910,32'h3fdbe3f7,// invsqrt(0.4100) = 1.5617 +32'h3f33c3ad,32'h3f95b1a6,32'h3f9bcdcc, 32'h3f911c89,32'h3fa062e9, 32'h3f89795a,32'h3fa80618,// invsqrt(0.7022) = 1.1934 +32'h3f398a58,32'h3f935870,32'h3f995c0d, 32'h3f8ed5bc,32'h3f9ddec2, 32'h3f875139,32'h3fa56345,// invsqrt(0.7248) = 1.1746 +32'h3f9964f6,32'h3f652cac,32'h3f6e8750, 32'h3f5e28b1,32'h3f758b4b, 32'h3f527765,32'h3f809e4c,// invsqrt(1.1984) = 0.9135 +32'h3fd45c3a,32'h3f42c689,32'h3f4ab9be, 32'h3f3cd022,32'h3f50b026, 32'h3f32e020,32'h3f5aa028,// invsqrt(1.6591) = 0.7764 +32'h3ea80b97,32'h3fdaf4de,32'h3fe3e4be, 32'h3fd440f7,32'h3fea98a5, 32'h3fc91520,32'h3ff5c47c,// invsqrt(0.3282) = 1.7455 +32'h3f2c1516,32'h3f98ffad,32'h3f9f3e5b, 32'h3f9450aa,32'h3fa3ed5e, 32'h3f8c8250,32'h3fabbbb8,// invsqrt(0.6722) = 1.2197 +32'h4006cec6,32'h3f2cdc95,32'h3f33ead0, 32'h3f2791ea,32'h3f39357c, 32'h3f1ec021,32'h3f420745,// invsqrt(2.1064) = 0.6890 +32'h400223d2,32'h3f2fef35,32'h3f371d8a, 32'h3f2a8c74,32'h3f3c804a, 32'h3f219289,32'h3f457a35,// invsqrt(2.0334) = 0.7013 +32'h3df0ed13,32'h4036dd48,32'h403e5408, 32'h40314439,32'h4043ed17, 32'h4027efca,32'h404d4186,// invsqrt(0.1176) = 2.9156 +32'h3f2d7f49,32'h3f985fa6,32'h3f9e97cc, 32'h3f93b589,32'h3fa341e9, 32'h3f8bef5a,32'h3fab0818,// invsqrt(0.6777) = 1.2147 +32'h3f32f2fd,32'h3f9608d6,32'h3f9c288c, 32'h3f91710f,32'h3fa0c053, 32'h3f89c96c,32'h3fa867f6,// invsqrt(0.6990) = 1.1961 +32'h3ea8c96b,32'h3fda799b,32'h3fe36473, 32'h3fd3c97a,32'h3fea1494, 32'h3fc8a3ed,32'h3ff53a21,// invsqrt(0.3297) = 1.7417 +32'h3f3a4088,32'h3f93104e,32'h3f9910f8, 32'h3f8e8fce,32'h3f9d9178, 32'h3f870ef9,32'h3fa5124d,// invsqrt(0.7275) = 1.1724 +32'h3f563e97,32'h3f891ec0,32'h3f8eb784, 32'h3f84ec2d,32'h3f92ea17, 32'h3f7bda70,32'h3f99e90c,// invsqrt(0.8369) = 1.0931 +32'h3f8b1229,32'h3f70afe6,32'h3f7a82d6, 32'h3f6951b3,32'h3f80f085, 32'h3f5d0a08,32'h3f87145a,// invsqrt(1.0865) = 0.9594 +32'h41c05822,32'h3e4ca8c5,32'h3e550340, 32'h3e4664e9,32'h3e5b471d, 32'h3e3bf3d0,32'h3e65b837,// invsqrt(24.0430) = 0.2039 +32'h3faf7e08,32'h3f5642ac,32'h3f5f017a, 32'h3f4fb392,32'h3f659094, 32'h3f44c511,32'h3f707f15,// invsqrt(1.3710) = 0.8540 +32'h3f73b518,32'h3f80908f,32'h3f85cfed, 32'h3f79420f,32'h3f89bf74, 32'h3f6c23a3,32'h3f904eab,// invsqrt(0.9520) = 1.0249 +32'h3f655161,32'h3f848977,32'h3f89f258, 32'h3f807ad0,32'h3f8e0100, 32'h3f736f6e,32'h3f94c419,// invsqrt(0.8958) = 1.0566 +32'h3f2422ab,32'h3f9ca8a9,32'h3fa30d95, 32'h3f97dcf7,32'h3fa7d947, 32'h3f8fdecf,32'h3fafd76f,// invsqrt(0.6412) = 1.2489 +32'h4019b89a,32'h3f21e0e8,32'h3f287c60, 32'h3f1cec4f,32'h3f2d70f9, 32'h3f14a9fa,32'h3f35b34e,// invsqrt(2.4019) = 0.6452 +32'h3febcee9,32'h3f38d68f,32'h3f4061ed, 32'h3f332e08,32'h3f460a74, 32'h3f29bfd1,32'h3f4f78ab,// invsqrt(1.8423) = 0.7368 +32'h3f6b2a97,32'h3f82e0e8,32'h3f883874, 32'h3f7dbe7e,32'h3f8c3a1d, 32'h3f7063a0,32'h3f92e78c,// invsqrt(0.9186) = 1.0434 +32'h41f0879d,32'h3e3703d6,32'h3e3e7c28, 32'h3e316999,32'h3e441665, 32'h3e281332,32'h3e4d6ccc,// invsqrt(30.0662) = 0.1824 +32'h4116a061,32'h3ea38872,32'h3eaa3532, 32'h3e9e86e1,32'h3eaf36c3, 32'h3e962ef0,32'h3eb78eb4,// invsqrt(9.4142) = 0.3259 +32'h3eac191f,32'h3fd85cec,32'h3fe131b2, 32'h3fd1bd58,32'h3fe7d146, 32'h3fc6b361,32'h3ff2db3d,// invsqrt(0.3361) = 1.7248 +32'h3ed6e91b,32'h3fc19dcc,32'h3fc984e4, 32'h3fbbb07a,32'h3fcf7236, 32'h3fb1cf9c,32'h3fd95314,// invsqrt(0.4197) = 1.5435 +32'h3f8fbd67,32'h3f6cbef2,32'h3f7668b2, 32'h3f657fa1,32'h3f7da803, 32'h3f596b70,32'h3f84de1a,// invsqrt(1.1230) = 0.9437 +32'h3f25ffef,32'h3f9bc6d2,32'h3fa22286, 32'h3f97020a,32'h3fa6e74e, 32'h3f8f0f68,32'h3faed9f0,// invsqrt(0.6484) = 1.2418 +32'h3e3fdc79,32'h4010e5e4,32'h4016cfee, 32'h400c765d,32'h401b3f75, 32'h400511d2,32'h4022a400,// invsqrt(0.1874) = 2.3102 +32'h3f5430f1,32'h3f89c82f,32'h3f8f67dd, 32'h3f85906c,32'h3f939fa0, 32'h3f7d11a4,32'h3f9aa73a,// invsqrt(0.8289) = 1.0984 +32'h404f28f8,32'h3f0b71ee,32'h3f1122fc, 32'h3f072d22,32'h3f1567c8, 32'h3f000fd0,32'h3f1c851a,// invsqrt(3.2369) = 0.5558 +32'h3b87c80f,32'h417395cb,32'h417d8702, 32'h416c20e1,32'h41827df6, 32'h415fb35c,32'h4188b4b8,// invsqrt(0.0041) = 15.5348 +32'h3f80ea01,32'h3f79fd2d,32'h3f8218a7, 32'h3f725614,32'h3f85ec34, 32'h3f6594eb,32'h3f8c4cc8,// invsqrt(1.0071) = 0.9964 +32'h3ee3a10a,32'h3fbc212f,32'h3fc3cef2, 32'h3fb65edc,32'h3fc99144, 32'h3facc5a8,32'h3fd32a78,// invsqrt(0.4446) = 1.4998 +32'h4049946a,32'h3f0d5caa,32'h3f1321c0, 32'h3f0908d9,32'h3f177591, 32'h3f01d27c,32'h3f1eabee,// invsqrt(3.1497) = 0.5635 +32'h3f8b2ec2,32'h3f70972b,32'h3f7a6918, 32'h3f6939ba,32'h3f80e345, 32'h3f5cf352,32'h3f870679,// invsqrt(1.0874) = 0.9590 +32'h41660b7a,32'h3e8453d1,32'h3e89ba81, 32'h3e8046cd,32'h3e8dc785, 32'h3e730ce3,32'h3e9487e0,// invsqrt(14.3778) = 0.2637 +32'h3f3d0911,32'h3f91fa1d,32'h3f97ef6d, 32'h3f8d8222,32'h3f9c6768, 32'h3f860f7e,32'h3fa3da0c,// invsqrt(0.7384) = 1.1637 +32'h3ebaa77a,32'h3fcfc15c,32'h3fd83c30, 32'h3fc9653c,32'h3fde9850, 32'h3fbecbb2,32'h3fe931da,// invsqrt(0.3646) = 1.6562 +32'h3f8f3218,32'h3f6d31ff,32'h3f76e071, 32'h3f65ef28,32'h3f7e2348, 32'h3f59d519,32'h3f851eac,// invsqrt(1.1187) = 0.9455 +32'h3ec2e395,32'h3fcb5198,32'h3fd39e11, 32'h3fc5183d,32'h3fd9d76b, 32'h3fbab8a5,32'h3fe43703,// invsqrt(0.3806) = 1.6208 +32'h3f99cb79,32'h3f64e03f,32'h3f6e37c4, 32'h3f5dde9b,32'h3f753967, 32'h3f523134,32'h3f807367,// invsqrt(1.2015) = 0.9123 +32'h3f39c718,32'h3f934057,32'h3f9942f7, 32'h3f8ebe5f,32'h3f9dc4ef, 32'h3f873b16,32'h3fa54838,// invsqrt(0.7257) = 1.1739 +32'h3e4fc34e,32'h400b3e19,32'h4010ed0b, 32'h4006fae4,32'h40153040, 32'h3fffc06d,32'h401c4aed,// invsqrt(0.2029) = 2.2201 +32'h3fa750e8,32'h3f5b6ee3,32'h3f6463bd, 32'h3f54b73f,32'h3f6b1b61, 32'h3f49852e,32'h3f764d72,// invsqrt(1.3072) = 0.8747 +32'h409e5b0c,32'h3ee18e51,32'h3eeac325, 32'h3edaa6b2,32'h3ef1aac4, 32'h3ecf24a8,32'h3efd2cce,// invsqrt(4.9486) = 0.4495 +32'h3fa9b606,32'h3f59e11a,32'h3f62c5b8, 32'h3f5335a4,32'h3f69712e, 32'h3f4817de,32'h3f748ef4,// invsqrt(1.3259) = 0.8685 +32'h3f5d6590,32'h3f86e319,32'h3f8c6488, 32'h3f82c207,32'h3f90859b, 32'h3f77c078,32'h3f976766,// invsqrt(0.8648) = 1.0753 +32'h4007079f,32'h3f2cb82e,32'h3f33c4ec, 32'h3f276ea0,32'h3f390e7a, 32'h3f1e9eb2,32'h3f41de68,// invsqrt(2.1098) = 0.6885 +32'h3f812022,32'h3f79c8c2,32'h3f81fd60, 32'h3f722344,32'h3f85d01f, 32'h3f6564c7,32'h3f8c2f5d,// invsqrt(1.0088) = 0.9956 +32'h4049f288,32'h3f0d3bb5,32'h3f12ff73, 32'h3f08e8e6,32'h3f175242, 32'h3f01b438,32'h3f1e86f0,// invsqrt(3.1554) = 0.5630 +32'h3efa8a72,32'h3fb3523e,32'h3fbaa3f8, 32'h3fadd4f3,32'h3fc02143, 32'h3fa4aecc,32'h3fc9476b,// invsqrt(0.4893) = 1.4295 +32'h3f1276fa,32'h3fa5d70f,32'h3fac9beb, 32'h3fa0c36a,32'h3fb1af90, 32'h3f984d57,32'h3fba25a3,// invsqrt(0.5721) = 1.3221 +32'h40b8d7ec,32'h3ed0c53b,32'h3ed94aab, 32'h3eca6127,32'h3edfaebf, 32'h3ebfba5b,32'h3eea558b,// invsqrt(5.7764) = 0.4161 +32'h3f661809,32'h3f845034,32'h3f89b6be, 32'h3f80434d,32'h3f8dc3a5, 32'h3f730640,32'h3f9483d2,// invsqrt(0.8988) = 1.0548 +32'h40c2a9a6,32'h3ecb6fd7,32'h3ed3bd8c, 32'h3ec5358f,32'h3ed9f7d3, 32'h3ebad46c,32'h3ee458f6,// invsqrt(6.0832) = 0.4054 +32'h40850a80,32'h3ef614c3,32'h3f001007, 32'h3eee8c4a,32'h3f03d444, 32'h3ee1fe2b,32'h3f0a1b53,// invsqrt(4.1575) = 0.4904 +32'h41d1fc67,32'h3e43dfa4,32'h3e4bde52, 32'h3e3de0a2,32'h3e51dd54, 32'h3e33e248,32'h3e5bdbae,// invsqrt(26.2482) = 0.1952 +32'h40b14251,32'h3ed530a3,32'h3edde442, 32'h3ecea9ed,32'h3ee46af9, 32'h3ec3c968,32'h3eef4b7f,// invsqrt(5.5393) = 0.4249 +32'h4189999a,32'h3e71f85c,32'h3e7bd8b4, 32'h3e6a901b,32'h3e81a07b, 32'h3e5e37ae,32'h3e87ccb1,// invsqrt(17.2000) = 0.2411 +32'h3eaded20,32'h3fd7390f,32'h3fe001eb, 32'h3fd0a26a,32'h3fe69890, 32'h3fc5a757,32'h3ff193a3,// invsqrt(0.3397) = 1.7157 +32'h40492f79,32'h3f0d801c,32'h3f1346a6, 32'h3f092b36,32'h3f179b8c, 32'h3f01f30a,32'h3f1ed3b8,// invsqrt(3.1435) = 0.5640 +32'h3ccdfe89,32'h40c5c324,32'h40cdd58e, 32'h40bfb555,32'h40d3e35d, 32'h40b59e50,32'h40ddfa62,// invsqrt(0.0251) = 6.3062 +32'h3f1d37f2,32'h3fa01160,32'h3fa699ec, 32'h3f9b2af7,32'h3fab8055, 32'h3f930049,32'h3fb3ab03,// invsqrt(0.6141) = 1.2761 +32'h3ec7d1fd,32'h3fc8cb54,32'h3fd0fd6c, 32'h3fc2a5c2,32'h3fd722fe, 32'h3fb86723,32'h3fe1619d,// invsqrt(0.3903) = 1.6007 +32'h40126489,32'h3f25e180,32'h3f2ca6ca, 32'h3f20cd8a,32'h3f31bac0, 32'h3f1856ee,32'h3f3a315c,// invsqrt(2.2874) = 0.6612 +32'h3f38127a,32'h3f93ee94,32'h3f99f850, 32'h3f8f6746,32'h3f9e7f9e, 32'h3f87db1a,32'h3fa60bca,// invsqrt(0.7190) = 1.1793 +32'h3f7d9c74,32'h3f7c0f0d,32'h3f832c67, 32'h3f7457bc,32'h3f870810, 32'h3f677b89,32'h3f8d7629,// invsqrt(0.9907) = 1.0047 +32'h4193ff6b,32'h3e6950c2,32'h3e72d6aa, 32'h3e622c53,32'h3e79fb19, 32'h3e5644f1,32'h3e82f13e,// invsqrt(18.4997) = 0.2325 +32'h4000f84e,32'h3f30bb09,32'h3f37f1b1, 32'h3f2b520c,32'h3f3d5aae, 32'h3f224dba,32'h3f465f00,// invsqrt(2.0152) = 0.7044 +32'h3f7a186f,32'h3f7dd303,32'h3f84179c, 32'h3f760ddc,32'h3f87fa30, 32'h3f691a9b,32'h3f8e73d0,// invsqrt(0.9769) = 1.0117 +32'h3f9736ae,32'h3f66d237,32'h3f703e0f, 32'h3f5fc154,32'h3f774ef2, 32'h3f53fa86,32'h3f818ae0,// invsqrt(1.1814) = 0.9200 +32'h3f456082,32'h3f8edbeb,32'h3f94b0a7, 32'h3f8a7c5f,32'h3f991033, 32'h3f833275,32'h3fa05a1d,// invsqrt(0.7710) = 1.1389 +32'h3da29dcd,32'h405e94d6,32'h4067aa95, 32'h4057c486,32'h406e7ae4, 32'h404c6956,32'h4079d614,// invsqrt(0.0794) = 3.5488 +32'h3f37416b,32'h3f9442dd,32'h3f9a500b, 32'h3f8fb8fb,32'h3f9ed9ed, 32'h3f882882,32'h3fa66a66,// invsqrt(0.7158) = 1.1819 +32'h3e6a6c3f,32'h400315ff,32'h40086fb6, 32'h3ffe256d,32'h400c7300, 32'h3ff0c524,32'h40132324,// invsqrt(0.2289) = 2.0900 +32'h3f9689bb,32'h3f6756a9,32'h3f70c7e9, 32'h3f6041b9,32'h3f77dcd9, 32'h3f547428,32'h3f81d535,// invsqrt(1.1761) = 0.9221 +32'h3f969185,32'h3f6750ad,32'h3f70c1af, 32'h3f603bec,32'h3f77d670, 32'h3f546ea9,32'h3f81d1d9,// invsqrt(1.1763) = 0.9220 +32'h409b7d7c,32'h3ee39ff2,32'h3eecea64, 32'h3edca81c,32'h3ef3e23a, 32'h3ed10b0d,32'h3eff7f49,// invsqrt(4.8591) = 0.4537 +32'h3ee33359,32'h3fbc4e93,32'h3fc3fe31, 32'h3fb68add,32'h3fc9c1e7, 32'h3facef58,32'h3fd35d6c,// invsqrt(0.4438) = 1.5012 +32'h3efddfdd,32'h3fb223d9,32'h3fb9693b, 32'h3facafd0,32'h3fbedd44, 32'h3fa39916,32'h3fc7f3fe,// invsqrt(0.4958) = 1.4201 +32'h3ef98a8d,32'h3fb3ae18,32'h3fbb0392, 32'h3fae2dfe,32'h3fc083ac, 32'h3fa50326,32'h3fc9ae84,// invsqrt(0.4874) = 1.4324 +32'h3e95faf1,32'h3fe7c4ae,32'h3ff13a6c, 32'h3fe0ac60,32'h3ff852ba, 32'h3fd4d932,32'h400212f4,// invsqrt(0.2929) = 1.8476 +32'h3ed27adc,32'h3fc3a4c4,32'h3fcba10b, 32'h3fbda790,32'h3fd19e40, 32'h3fb3ac37,32'h3fdb9999,// invsqrt(0.4111) = 1.5597 +32'h40d05cc6,32'h3ec4a29f,32'h3ecca943, 32'h3ebe9da5,32'h3ed2ae3d, 32'h3eb49559,32'h3edcb689,// invsqrt(6.5113) = 0.3919 +32'h3eb424d7,32'h3fd379e0,32'h3fdc1b96, 32'h3fcd0098,32'h3fe294de, 32'h3fc23675,32'h3fed5f01,// invsqrt(0.3518) = 1.6859 +32'h3fbd1ce3,32'h3f4e6680,32'h3f56d32c, 32'h3f4814fe,32'h3f5d24ae, 32'h3f3d8d27,32'h3f67ac85,// invsqrt(1.4774) = 0.8227 +32'h3f38c7d1,32'h3f93a5eb,32'h3f99acb1, 32'h3f8f20d7,32'h3f9e31c5, 32'h3f879860,32'h3fa5ba3c,// invsqrt(0.7218) = 1.1770 +32'h43289f7a,32'h3d9a8f69,32'h3da0de69, 32'h3d95d42a,32'h3da599a8, 32'h3d8df16b,32'h3dad7c67,// invsqrt(168.6230) = 0.0770 +32'h401011a9,32'h3f27369a,32'h3f2e09d0, 32'h3f221832,32'h3f332838, 32'h3f199030,32'h3f3bb03a,// invsqrt(2.2511) = 0.6665 +32'h3ee622ea,32'h3fbb1a1b,32'h3fc2bd22, 32'h3fb55fd7,32'h3fc87767, 32'h3fabd40f,32'h3fd2032f,// invsqrt(0.4495) = 1.4916 +32'h3eb5075f,32'h3fd2f566,32'h3fdb91b3, 32'h3fcc802b,32'h3fe206ed, 32'h3fc1bccb,32'h3fecca4d,// invsqrt(0.3536) = 1.6817 +32'h3f11dcc1,32'h3fa62ea4,32'h3facf713, 32'h3fa11850,32'h3fb20d66, 32'h3f989dc5,32'h3fba87f1,// invsqrt(0.5698) = 1.3248 +32'h3f69bb25,32'h3f8347a0,32'h3f88a35d, 32'h3f7e85a3,32'h3f8ca82b, 32'h3f712049,32'h3f935ad7,// invsqrt(0.9130) = 1.0466 +32'h3e2abfce,32'h40199848,32'h401fdd30, 32'h4014e499,32'h402490df, 32'h400d0e76,32'h402c6702,// invsqrt(0.1667) = 2.4489 +32'h3f64eb20,32'h3f84a70e,32'h3f8a1124, 32'h3f80977e,32'h3f8e20b4, 32'h3f73a5c7,32'h3f94e54f,// invsqrt(0.8942) = 1.0575 +32'h3fa2b916,32'h3f5e822c,32'h3f679728, 32'h3f57b26e,32'h3f6e66e6, 32'h3f4c5833,32'h3f79c121,// invsqrt(1.2713) = 0.8869 +32'h401d91e8,32'h3f1fe3a8,32'h3f266a56, 32'h3f1afea5,32'h3f2b4f59, 32'h3f12d64c,32'h3f3377b2,// invsqrt(2.4620) = 0.6373 +32'h3f75ae03,32'h3f800c2e,32'h3f854626, 32'h3f784169,32'h3f89319f, 32'h3f6b307f,32'h3f8fba15,// invsqrt(0.9597) = 1.0208 +32'h3fa99f52,32'h3f59efaf,32'h3f62d4e5, 32'h3f5343c6,32'h3f6980ce, 32'h3f482543,32'h3f749f51,// invsqrt(1.3252) = 0.8687 +32'h3f1a8b35,32'h3fa17276,32'h3fa8096b, 32'h3f9c813e,32'h3facfaa2, 32'h3f94448b,32'h3fb53755,// invsqrt(0.6037) = 1.2870 +32'h3f014faf,32'h3fb07f49,32'h3fb7b381, 32'h3fab1820,32'h3fbd1aaa, 32'h3fa216db,32'h3fc61bef,// invsqrt(0.5051) = 1.4070 +32'h3f78848b,32'h3f7ea0f1,32'h3f8482c7, 32'h3f76d57d,32'h3f886882, 32'h3f69d7ba,32'h3f8ee763,// invsqrt(0.9708) = 1.0149 +32'h3e13faeb,32'h4024fd1e,32'h402bb914, 32'h401ff025,32'h4030c60d, 32'h40178530,32'h40393102,// invsqrt(0.1445) = 2.6306 +32'h4092c559,32'h3eea49de,32'h3ef3d9f2, 32'h3ee31dd0,32'h3efb0600, 32'h3ed729b7,32'h3f037d0c,// invsqrt(4.5866) = 0.4669 +32'h4021a4b5,32'h3f1ddc9f,32'h3f244e1d, 32'h3f190780,32'h3f29233c, 32'h3f10f9a1,32'h3f31311b,// invsqrt(2.5257) = 0.6292 +32'h3fa2f6b3,32'h3f5e5818,32'h3f676b5c, 32'h3f5789a4,32'h3f6e39d0, 32'h3f4c318e,32'h3f7991e6,// invsqrt(1.2732) = 0.8863 +32'h3e898c11,32'h3ff20443,32'h3ffbe517, 32'h3fea9ba4,32'h4001a6db, 32'h3fde429c,32'h4007d35f,// invsqrt(0.2686) = 1.9293 +32'h3ef43347,32'h3fb5a263,32'h3fbd0c48, 32'h3fb012f8,32'h3fc29bb4, 32'h3fa6ce9a,32'h3fcbe012,// invsqrt(0.4770) = 1.4480 +32'h3fe90959,32'h3f39ef21,32'h3f4185f3, 32'h3f343e03,32'h3f473711, 32'h3f2ac17c,32'h3f50b398,// invsqrt(1.8206) = 0.7411 +32'h3d7276ae,32'h4080e4de,32'h408627ae, 32'h4079e585,32'h408a19ca, 32'h406cbe7e,32'h4090ad4d,// invsqrt(0.0592) = 4.1101 +32'h3e7d2e10,32'h3ffc45fb,32'h400348fd, 32'h3ff48cfa,32'h4007257d, 32'h3fe7adfb,32'h400d94fd,// invsqrt(0.2472) = 2.0111 +32'h4003d992,32'h3f2eca32,32'h3f35ec92, 32'h3f29706a,32'h3f3b465a, 32'h3f208572,32'h3f443152,// invsqrt(2.0602) = 0.6967 +32'h3f86f6fc,32'h3f74522d,32'h3f7e4b15, 32'h3f6cd77f,32'h3f82e2e1, 32'h3f60605e,32'h3f891e72,// invsqrt(1.0544) = 0.9739 +32'h414cdb49,32'h3e8c3a10,32'h3e91f34b, 32'h3e87ef25,32'h3e963e37, 32'h3e80c79d,32'h3e9d65bf,// invsqrt(12.8035) = 0.2795 +32'h40939f38,32'h3ee99cbb,32'h3ef325bd, 32'h3ee275f9,32'h3efa4c7f, 32'h3ed68ab6,32'h3f031be1,// invsqrt(4.6132) = 0.4656 +32'h3f0a8126,32'h3faa8a06,32'h3fb17ffc, 32'h3fa5518e,32'h3fb6b874, 32'h3f9c9e1a,32'h3fbf6be8,// invsqrt(0.5410) = 1.3595 +32'h4179e307,32'h3e7dee21,32'h3e8425b9, 32'h3e762826,32'h3e8808b7, 32'h3e693383,32'h3e8e8309,// invsqrt(15.6179) = 0.2530 +32'h3f42b353,32'h3f8fd66f,32'h3f95b563, 32'h3f8b6f37,32'h3f9a1c9b, 32'h3f841885,32'h3fa1734d,// invsqrt(0.7605) = 1.1467 +32'h413ddaa6,32'h3e91a974,32'h3e979b78, 32'h3e8d33f0,32'h3e9c10fc, 32'h3e85c56a,32'h3ea37f82,// invsqrt(11.8659) = 0.2903 +32'h3f896252,32'h3f722906,32'h3f7c0b5a, 32'h3f6abf47,32'h3f81ba8c, 32'h3f5e645e,32'h3f87e801,// invsqrt(1.0733) = 0.9652 +32'h3ec03660,32'h3fccbabd,32'h3fd515f4, 32'h3fc67655,32'h3fdb5a5d, 32'h3fbc0450,32'h3fe5cc62,// invsqrt(0.3754) = 1.6321 +32'h3fa744d5,32'h3f5b76ce,32'h3f646bfc, 32'h3f54beed,32'h3f6b23dd, 32'h3f498c74,32'h3f765656,// invsqrt(1.3068) = 0.8748 +32'h3f318ccc,32'h3f969fe1,32'h3f9cc5c1, 32'h3f92037a,32'h3fa16228, 32'h3f8a5422,32'h3fa91180,// invsqrt(0.6936) = 1.2008 +32'h40972c5c,32'h3ee6da18,32'h3ef04642, 32'h3edfc8f8,32'h3ef75762, 32'h3ed401c2,32'h3f018f4c,// invsqrt(4.7242) = 0.4601 +32'h408c25ed,32'h3eefc2a6,32'h3ef98be6, 32'h3ee86bb6,32'h3f00716b, 32'h3edc3025,32'h3f068f33,// invsqrt(4.3796) = 0.4778 +32'h3f857e38,32'h3f75aa03,32'h3f7fb0f3, 32'h3f6e24ce,32'h3f839b14, 32'h3f619c22,32'h3f89df6a,// invsqrt(1.0429) = 0.9792 +32'h3f197fc7,32'h3fa1fedc,32'h3fa89b8c, 32'h3f9d0958,32'h3fad9110, 32'h3f94c57c,32'h3fb5d4ec,// invsqrt(0.5996) = 1.2914 +32'h3fa1ddde,32'h3f5f18a5,32'h3f6833c6, 32'h3f58444d,32'h3f6f081f, 32'h3f4ce264,32'h3f7a6a08,// invsqrt(1.2646) = 0.8893 +32'h3fe31416,32'h3f3c5b89,32'h3f440bad, 32'h3f36976d,32'h3f49cfc9, 32'h3f2cfb3f,32'h3f536bf7,// invsqrt(1.7741) = 0.7508 +32'h4121e2bc,32'h3e9dbe5e,32'h3ea42ea0, 32'h3e98ea2c,32'h3ea902d2, 32'h3e90ddd9,32'h3eb10f25,// invsqrt(10.1179) = 0.3144 +32'h3ea06896,32'h3fe01ba3,32'h3fe94157, 32'h3fd93f5d,32'h3ff01d9d, 32'h3fcdd03d,32'h3ffb8cbd,// invsqrt(0.3133) = 1.7866 +32'h40441f34,32'h3f0f50c1,32'h3f152a41, 32'h3f0aeda1,32'h3f198d61, 32'h3f039dc1,32'h3f20dd41,// invsqrt(3.0644) = 0.5713 +32'h418085a2,32'h3e7a5eb8,32'h3e824b6a, 32'h3e72b4a2,32'h3e862075, 32'h3e65ee7f,32'h3e8c8386,// invsqrt(16.0653) = 0.2495 +32'h3eea7d41,32'h3fb95b74,32'h3fc0ec3f, 32'h3fb3aedb,32'h3fc698d7, 32'h3faa39dd,32'h3fd00dd5,// invsqrt(0.4580) = 1.4777 +32'h415674d8,32'h3e890d67,32'h3e8ea575, 32'h3e84db5c,32'h3e92d780, 32'h3e7bba93,32'h3e99d593,// invsqrt(13.4035) = 0.2731 +32'h3ef5a1c5,32'h3fb51ab0,32'h3fbc7f0a, 32'h3faf8f6c,32'h3fc20a4e, 32'h3fa651fa,32'h3fcb47c0,// invsqrt(0.4797) = 1.4438 +32'h3e1242f2,32'h4025f48c,32'h402cba9c, 32'h4020e000,32'h4031cf28, 32'h4018686c,32'h403a46bc,// invsqrt(0.1428) = 2.6460 +32'h3fa50ab3,32'h3f5cf09a,32'h3f65f532, 32'h3f562d27,32'h3f6cb8a5, 32'h3f4ae769,32'h3f77fe63,// invsqrt(1.2894) = 0.8807 +32'h3f080053,32'h3fac19f9,32'h3fb32042, 32'h3fa6d543,32'h3fb864f9, 32'h3f9e0d68,32'h3fc12cd4,// invsqrt(0.5313) = 1.3720 +32'h3e82153b,32'h3ff8dd02,32'h400182b0, 32'h3ff13ebb,32'h400551d3, 32'h3fe48c46,32'h400bab0e,// invsqrt(0.2541) = 1.9839 +32'h3fd5282c,32'h3f426945,32'h3f4a58ab, 32'h3f3c75b8,32'h3f504c38, 32'h3f328a79,32'h3f5a3777,// invsqrt(1.6653) = 0.7749 +32'h3f615f1b,32'h3f85b13d,32'h3f8b2630, 32'h3f819987,32'h3f8f3de7, 32'h3f758eb0,32'h3f961016,// invsqrt(0.8804) = 1.0658 +32'h3db7844b,32'h40518611,32'h405a1361, 32'h404b1c16,32'h40607d5c, 32'h40406b73,32'h406b2dff,// invsqrt(0.0896) = 3.3406 +32'h3f716b7c,32'h3f812c1f,32'h3f8671d7, 32'h3f7a6faa,32'h3f8a6621, 32'h3f6d415d,32'h3f90fd47,// invsqrt(0.9430) = 1.0298 +32'h3e1280d4,32'h4025d17b,32'h402c961d, 32'h4020be02,32'h4031a996, 32'h40184838,32'h403a1f60,// invsqrt(0.1431) = 2.6438 +32'h3c8dd0a6,32'h40ee58dc,32'h40f81358, 32'h40e70cff,32'h40ff5f35, 32'h40dae3e4,32'h4105c428,// invsqrt(0.0173) = 7.6004 +32'h3fb58a44,32'h3f52a94a,32'h3f5b427c, 32'h3f4c3664,32'h3f61b562, 32'h3f4176e6,32'h3f6c74e0,// invsqrt(1.4183) = 0.8397 +32'h3f73af9f,32'h3f809200,32'h3f85d16e, 32'h3f7944dc,32'h3f89c100, 32'h3f6c2649,32'h3f905049,// invsqrt(0.9519) = 1.0250 +32'h4173a113,32'h3e8095d7,32'h3e85d56d, 32'h3e794c4d,32'h3e89c51d, 32'h3e6c2d57,32'h3e905499,// invsqrt(15.2268) = 0.2563 +32'h40aba2e9,32'h3ed8a761,32'h3ee17f31, 32'h3ed20585,32'h3ee8210d, 32'h3ec6f7c2,32'h3ef32ed0,// invsqrt(5.3636) = 0.4318 +32'h3f1d4396,32'h3fa00b73,32'h3fa693c1, 32'h3f9b2539,32'h3fab79fb, 32'h3f92fad7,32'h3fb3a45d,// invsqrt(0.6143) = 1.2759 +32'h3fa1c888,32'h3f5f275b,32'h3f684315, 32'h3f58528f,32'h3f6f17e1, 32'h3f4cefe6,32'h3f7a7a8a,// invsqrt(1.2639) = 0.8895 +32'h3f8ea0ca,32'h3f6daab3,32'h3f775e13, 32'h3f66642b,32'h3f7ea49b, 32'h3f5a43f3,32'h3f85626a,// invsqrt(1.1143) = 0.9473 +32'h40fd9e40,32'h3eb23ae2,32'h3eb98135, 32'h3eacc625,32'h3ebef5f3, 32'h3ea3ae3e,32'h3ec80dda,// invsqrt(7.9256) = 0.3552 +32'h3f76a416,32'h3f7f987b,32'h3f850399, 32'h3f77c572,32'h3f88ed1d, 32'h3f6abb0d,32'h3f8f724f,// invsqrt(0.9634) = 1.0188 +32'h3f00a67c,32'h3fb0f333,32'h3fb82c26, 32'h3fab887e,32'h3fbd96dc, 32'h3fa2814f,32'h3fc69e0b,// invsqrt(0.5025) = 1.4106 +32'h3f0618d1,32'h3fad51b5,32'h3fb464b7, 32'h3fa80373,32'h3fb9b2f9, 32'h3f9f2bb1,32'h3fc28abb,// invsqrt(0.5238) = 1.3817 +32'h3f85f977,32'h3f7538ea,32'h3f7f3b3c, 32'h3f6db72c,32'h3f835e7d, 32'h3f613444,32'h3f899ff1,// invsqrt(1.0467) = 0.9774 +32'h3f7b9c29,32'h3f7d0f26,32'h3f83b1ad, 32'h3f754ffd,32'h3f879142, 32'h3f6866ba,32'h3f8e05e3,// invsqrt(0.9829) = 1.0087 +32'h40ad58e4,32'h3ed79500,32'h3ee0619d, 32'h3ed0fb8b,32'h3ee6fb13, 32'h3ec5fbc7,32'h3ef1fad7,// invsqrt(5.4171) = 0.4297 +32'h3e7aee12,32'h3ffd66df,32'h4003df55, 32'h3ff5a507,32'h4007c040, 32'h3fe8b74a,32'h400e371f,// invsqrt(0.2450) = 2.0201 +32'h3da42d98,32'h405d852e,32'h40668fd8, 32'h4056bd30,32'h406d57d6, 32'h404b6fdc,32'h4078a52a,// invsqrt(0.0802) = 3.5319 +32'h3de33f6d,32'h403c4992,32'h4043f8fc, 32'h40368603,32'h4049bc8b, 32'h402ceac0,32'h405357ce,// invsqrt(0.1110) = 3.0020 +32'h3f303fb2,32'h3f972df4,32'h3f9d59a0, 32'h3f928d33,32'h3fa1fa61, 32'h3f8ad69c,32'h3fa9b0f8,// invsqrt(0.6885) = 1.2052 +32'h3e564b33,32'h40091ab8,32'h400eb351, 32'h4004e844,32'h4012e5c4, 32'h3ffbd307,32'h4019e484,// invsqrt(0.2093) = 2.1860 +32'h40d0a627,32'h3ec48008,32'h3ecc8542, 32'h3ebe7c1d,32'h3ed2892d, 32'h3eb47594,32'h3edc8fb6,// invsqrt(6.5203) = 0.3916 +32'h402dc8f5,32'h3f183f56,32'h3f1e762a, 32'h3f139636,32'h3f231f4a, 32'h3f0bd1ad,32'h3f2ae3d3,// invsqrt(2.7154) = 0.6069 +32'h3f494c00,32'h3f8d7615,32'h3f933c35, 32'h3f89217d,32'h3f9790cd, 32'h3f81e9d4,32'h3f9ec876,// invsqrt(0.7863) = 1.1277 +32'h3ec46c77,32'h3fca85db,32'h3fd2ca04, 32'h3fc452be,32'h3fd8fd22, 32'h3fb9fd8b,32'h3fe35255,// invsqrt(0.3836) = 1.6145 +32'h3f2d4680,32'h3f98789b,32'h3f9eb1c6, 32'h3f93cdbb,32'h3fa35ca7, 32'h3f8c0646,32'h3fab241c,// invsqrt(0.6769) = 1.2155 +32'h3f809d28,32'h3f7a47d2,32'h3f823f7f, 32'h3f729e70,32'h3f861430, 32'h3f65d977,32'h3f8c76ac,// invsqrt(1.0048) = 0.9976 +32'h4002524b,32'h3f2fcfd3,32'h3f36fce1, 32'h3f2a6e09,32'h3f3c5eab, 32'h3f2175b7,32'h3f4556fd,// invsqrt(2.0363) = 0.7008 +32'h3f0761ab,32'h3fac7eb4,32'h3fb3891a, 32'h3fa736e8,32'h3fb8d0e6, 32'h3f9e69ea,32'h3fc19de5,// invsqrt(0.5288) = 1.3751 +32'h3ef1d4e2,32'h3fb6858f,32'h3fbdf8b9, 32'h3fb0ef2f,32'h3fc38f19, 32'h3fa79f3a,32'h3fccdf0e,// invsqrt(0.4723) = 1.4551 +32'h3da623cf,32'h405c3560,32'h40653255, 32'h405577aa,32'h406bf00c, 32'h404a3b78,32'h40772c3e,// invsqrt(0.0811) = 3.5110 +32'h3f8358da,32'h3f77a9af,32'h3f80e2c1, 32'h3f7014d0,32'h3f84ad30, 32'h3f637209,32'h3f8afe94,// invsqrt(1.0261) = 0.9872 +32'h3f8505ea,32'h3f761900,32'h3f80123d, 32'h3f6e9067,32'h3f83d68a, 32'h3f620210,32'h3f8a1db5,// invsqrt(1.0392) = 0.9809 +32'h404aaf9f,32'h3f0cf9c5,32'h3f12bad2, 32'h3f08a8fa,32'h3f170b9c, 32'h3f0177aa,32'h3f1e3cec,// invsqrt(3.1670) = 0.5619 +32'h3ef0dcb3,32'h3fb6e37f,32'h3fbe5a7f, 32'h3fb14a3f,32'h3fc3f3bf, 32'h3fa7f57f,32'h3fcd487f,// invsqrt(0.4704) = 1.4580 +32'h3fcf959d,32'h3f4500dc,32'h3f4d0b58, 32'h3f3ef8ff,32'h3f531335, 32'h3f34ebe4,32'h3f5d2050,// invsqrt(1.6218) = 0.7852 +32'h40521d22,32'h3f0a761f,32'h3f101ce7, 32'h3f063909,32'h3f1459fd, 32'h3efe511f,32'h3f1b6a76,// invsqrt(3.2830) = 0.5519 +32'h41dab4eb,32'h3e3fedc1,32'h3e47c337, 32'h3e3a0da9,32'h3e4da34f, 32'h3e3042d6,32'h3e576e22,// invsqrt(27.3383) = 0.1913 +32'h3e2bfcd9,32'h40190a75,32'h401f4993, 32'h40145b1d,32'h4023f8eb, 32'h400c8c37,32'h402bc7d1,// invsqrt(0.1680) = 2.4401 +32'h3f892832,32'h3f725c50,32'h3f7c40bc, 32'h3f6af0ff,32'h3f81d606, 32'h3f5e9379,32'h3f8804ca,// invsqrt(1.0715) = 0.9660 +32'h3f3158ec,32'h3f96b5e7,32'h3f9cdcad, 32'h3f9218d3,32'h3fa179c1, 32'h3f8a685c,32'h3fa92a38,// invsqrt(0.6928) = 1.2015 +32'h3ff9cc34,32'h3f33967a,32'h3f3aeafc, 32'h3f2e1718,32'h3f406a5e, 32'h3f24ed75,32'h3f499401,// invsqrt(1.9515) = 0.7158 +32'h3d533077,32'h408a1bbf,32'h408fbed7, 32'h4085e16e,32'h4093f928, 32'h407dab21,32'h409b0506,// invsqrt(0.0516) = 4.4040 +32'h3f31281c,32'h3f96caa9,32'h3f9cf247, 32'h3f922cf2,32'h3fa18ffe, 32'h3f8a7b6c,32'h3fa94184,// invsqrt(0.6920) = 1.2021 +32'h3f80c4ee,32'h3f7a2128,32'h3f822b60, 32'h3f7278f5,32'h3f85ff7a, 32'h3f65b5f5,32'h3f8c60f9,// invsqrt(1.0060) = 0.9970 +32'h3d8d55c3,32'h406ec064,32'h40787f1a, 32'h4067715c,32'h407fce22, 32'h405b42f9,32'h4085fe43,// invsqrt(0.0690) = 3.8066 +32'h3f66ffa8,32'h3f840dce,32'h3f8971a2, 32'h3f8002ef,32'h3f8d7c81, 32'h3f728c4b,32'h3f94394a,// invsqrt(0.9023) = 1.0527 +32'h3c4c94c7,32'h410c5238,32'h41120c6f, 32'h41080690,32'h41165818, 32'h4100ddcc,32'h411d80dc,// invsqrt(0.0125) = 8.9491 +32'h400736db,32'h3f2c9a01,32'h3f33a583, 32'h3f27515f,32'h3f38ee25, 32'h3f1e82fb,32'h3f41bc89,// invsqrt(2.1127) = 0.6880 +32'h3ee5ef42,32'h3fbb2f1f,32'h3fc2d300, 32'h3fb57435,32'h3fc88de9, 32'h3fabe75a,32'h3fd21ac4,// invsqrt(0.4491) = 1.4922 +32'h3de5c6f6,32'h403b3f88,32'h4042e415, 32'h4035841d,32'h40489f7f, 32'h402bf66d,32'h40522d2f,// invsqrt(0.1122) = 2.9855 +32'h4243caf4,32'h3e0f6f93,32'h3e154a55, 32'h3e0b0b81,32'h3e19ae67, 32'h3e03ba0f,32'h3e20ffd9,// invsqrt(48.9482) = 0.1429 +32'h3fa0570a,32'h3f6027e6,32'h3f694e1a, 32'h3f594b40,32'h3f702ac0, 32'h3f4ddb80,32'h3f7b9a80,// invsqrt(1.2527) = 0.8935 +32'h3f80e823,32'h3f79fefd,32'h3f821999, 32'h3f7257d6,32'h3f85ed2c, 32'h3f659695,32'h3f8c4dcd,// invsqrt(1.0071) = 0.9965 +32'h3f9367b4,32'h3f69c8b4,32'h3f735382, 32'h3f62a09a,32'h3f7a7b9c, 32'h3f56b318,32'h3f83348f,// invsqrt(1.1516) = 0.9319 +32'h3e428851,32'h400fe654,32'h4015c5ef, 32'h400b7ea0,32'h401a2da4, 32'h4004271f,32'h40218525,// invsqrt(0.1900) = 2.2943 +32'h3fc1f652,32'h3f4bcdcc,32'h3f541f57, 32'h3f4590a4,32'h3f5a5c80, 32'h3f3b2ab7,32'h3f64c26d,// invsqrt(1.5153) = 0.8124 +32'h4063aa04,32'h3f05047a,32'h3f0a7260, 32'h3f00f20e,32'h3f0e84cc, 32'h3ef4515e,32'h3f154e2b,// invsqrt(3.5573) = 0.5302 +32'h40088584,32'h3f2bc5f1,32'h3f32c8cb, 32'h3f2683cc,32'h3f380af0, 32'h3f1dc03b,32'h3f40ce81,// invsqrt(2.1331) = 0.6847 +32'h3f0f33b2,32'h3fa7b7ff,32'h3fae907d, 32'h3fa295a1,32'h3fb3b2db, 32'h3f9a0705,32'h3fbc4177,// invsqrt(0.5594) = 1.3370 +32'h40880451,32'h3ef35fd0,32'h3efd4ed3, 32'h3eebec8d,32'h3f02610b, 32'h3edf81c9,32'h3f08966d,// invsqrt(4.2505) = 0.4850 +32'h3f924cf9,32'h3f6aaa2d,32'h3f743e2f, 32'h3f637b2c,32'h3f7b6d30, 32'h3f578229,32'h3f83b319,// invsqrt(1.1430) = 0.9354 +32'h3fb28d83,32'h3f546a8f,32'h3f5d1617, 32'h3f4de9e8,32'h3f6396be, 32'h3f43137e,32'h3f6e6d28,// invsqrt(1.3949) = 0.8467 +32'h3de244f7,32'h403cb1ab,32'h40446553, 32'h4036eaec,32'h404a2c12, 32'h402d4a59,32'h4053cca5,// invsqrt(0.1105) = 3.0085 +32'h3f7b7e03,32'h3f7d1e50,32'h3f83b992, 32'h3f755eb1,32'h3f879962, 32'h3f6874a7,32'h3f8e0e66,// invsqrt(0.9824) = 1.0089 +32'h3fc28a14,32'h3f4b8058,32'h3f53ceba, 32'h3f45458f,32'h3f5a0983, 32'h3f3ae395,32'h3f646b7d,// invsqrt(1.5198) = 0.8112 +32'h409d28c8,32'h3ee269ad,32'h3eeba776, 32'h3edb7b58,32'h3ef295cc, 32'h3ecfee1d,32'h3efe2307,// invsqrt(4.9112) = 0.4512 +32'h3f1e293f,32'h3f9f9716,32'h3fa61aa4, 32'h3f9ab46c,32'h3faafd4e, 32'h3f928ffa,32'h3fb321c0,// invsqrt(0.6178) = 1.2722 +32'h3e9b8fa1,32'h3fe392ab,32'h3fecdc93, 32'h3fdc9b3d,32'h3ff3d401, 32'h3fd0fedc,32'h3fff7062,// invsqrt(0.3038) = 1.8142 +32'h3de36d75,32'h403c3683,32'h4043e525, 32'h40367389,32'h4049a81f, 32'h402cd93f,32'h40534269,// invsqrt(0.1110) = 3.0008 +32'h40f6a60b,32'h3eb4bb09,32'h3ebc1b7c, 32'h3eaf32b2,32'h3ec1a3d2, 32'h3ea5fa22,32'h3ecadc62,// invsqrt(7.7078) = 0.3602 +32'h3fe33bb8,32'h3f3c4b1b,32'h3f43fa95, 32'h3f368780,32'h3f49be30, 32'h3f2cec29,32'h3f535987,// invsqrt(1.7753) = 0.7505 +32'h3f165ce5,32'h3fa3ad20,32'h3faa5b60, 32'h3f9eaa70,32'h3faf5e10, 32'h3f9650a0,32'h3fb7b7e0,// invsqrt(0.5874) = 1.3048 +32'h3eec823b,32'h3fb8906f,32'h3fc018f1, 32'h3fb2ea0e,32'h3fc5bf52, 32'h3fa97f6b,32'h3fcf29f5,// invsqrt(0.4619) = 1.4713 +32'h3f0eff9e,32'h3fa7d687,32'h3faeb043, 32'h3fa2b33a,32'h3fb3d390, 32'h3f9a230e,32'h3fbc63bc,// invsqrt(0.5586) = 1.3380 +32'h3ed8a3ed,32'h3fc0d786,32'h3fc8b686, 32'h3fbaf046,32'h3fce9dc6, 32'h3fb11985,32'h3fd87487,// invsqrt(0.4231) = 1.5373 +32'h3f80052c,32'h3f7adc36,32'h3f828cb9, 32'h3f732e49,32'h3f8663af, 32'h3f6661bf,32'h3f8cc9f5,// invsqrt(1.0002) = 0.9999 +32'h3f16d63b,32'h3fa36b3e,32'h3faa16ce, 32'h3f9e6a92,32'h3faf177a, 32'h3f96141f,32'h3fb76ded,// invsqrt(0.5892) = 1.3028 +32'h3fa66978,32'h3f5c0745,32'h3f650257, 32'h3f554af7,32'h3f6bbea5, 32'h3f4a1120,32'h3f76f87c,// invsqrt(1.3001) = 0.8770 +32'h3f93d8cc,32'h3f696f39,32'h3f72f65f, 32'h3f6249db,32'h3f7a1bbd, 32'h3f5660eb,32'h3f830257,// invsqrt(1.1551) = 0.9305 +32'h3fcdaff0,32'h3f45e8ea,32'h3f4dfcde, 32'h3f3fd9f2,32'h3f540bd6, 32'h3f35c100,32'h3f5e24c8,// invsqrt(1.6069) = 0.7889 +32'h3f1f5998,32'h3f9efe66,32'h3fa57bb8, 32'h3f9a2068,32'h3faa59b6, 32'h3f9203c1,32'h3fb2765d,// invsqrt(0.6225) = 1.2675 +32'h3e1a3f09,32'h40219a4e,32'h402832e4, 32'h401ca7de,32'h402d2554, 32'h40146923,32'h4035640f,// invsqrt(0.1506) = 2.5766 +32'h3f0097d4,32'h3fb0fd49,32'h3fb836a5, 32'h3fab9244,32'h3fbda1aa, 32'h3fa28a92,32'h3fc6a95d,// invsqrt(0.5023) = 1.4109 +32'h3f6a5b4d,32'h3f831abc,32'h3f8874a5, 32'h3f7e2e9d,32'h3f8c7813, 32'h3f70cdd8,32'h3f932876,// invsqrt(0.9155) = 1.0452 +32'h3f8cb4df,32'h3f6f48bd,32'h3f790d03, 32'h3f67f588,32'h3f80301c, 32'h3f5bc030,32'h3f864ac8,// invsqrt(1.0993) = 0.9538 +32'h40e91aeb,32'h3eb9e81f,32'h3ec17ea8, 32'h3eb43738,32'h3ec72f8e, 32'h3eaabb0c,32'h3ed0abba,// invsqrt(7.2845) = 0.3705 +32'h3f9c45fb,32'h3f630dbe,32'h3f6c5238, 32'h3f5c1a62,32'h3f734594, 32'h3f5084c8,32'h3f7edb2e,// invsqrt(1.2209) = 0.9050 +32'h4105618e,32'h3eadc89e,32'h3eb4e07a, 32'h3ea876b8,32'h3eba3260, 32'h3e9f98e4,32'h3ec31034,// invsqrt(8.3363) = 0.3463 +32'h3f367b75,32'h3f949333,32'h3f9aa3a8, 32'h3f9006db,32'h3f9f2fff, 32'h3f887248,32'h3fa6c492,// invsqrt(0.7128) = 1.1844 +32'h3f922f48,32'h3f6ac201,32'h3f7456fb, 32'h3f639244,32'h3f7b86b8, 32'h3f57980b,32'h3f83c078,// invsqrt(1.1421) = 0.9357 +32'h3f5d9b1a,32'h3f86d2cd,32'h3f8c5391, 32'h3f82b239,32'h3f907425, 32'h3f77a288,32'h3f97551a,// invsqrt(0.8656) = 1.0748 +32'h3f8236ec,32'h3f78bcce,32'h3f8171ed, 32'h3f711f83,32'h3f854092, 32'h3f646eb2,32'h3f8b98fb,// invsqrt(1.0173) = 0.9915 +32'h3ec42b52,32'h3fcaa779,32'h3fd2ed01, 32'h3fc47354,32'h3fd92126, 32'h3fba1c6a,32'h3fe37810,// invsqrt(0.3831) = 1.6155 +32'h3ffd29fd,32'h3f3263ca,32'h3f39abc8, 32'h3f2cedcc,32'h3f3f21c6, 32'h3f23d3ce,32'h3f483bc4,// invsqrt(1.9778) = 0.7111 +32'h3ee90496,32'h3fb9f107,32'h3fc187ed, 32'h3fb43fda,32'h3fc7391a, 32'h3faac33b,32'h3fd0b5b9,// invsqrt(0.4551) = 1.4823 +32'h4112f2fd,32'h3ea59106,32'h3eac5306, 32'h3ea07f86,32'h3eb16486, 32'h3e980d05,32'h3eb9d707,// invsqrt(9.1843) = 0.3300 +32'h3f446241,32'h3f8f3848,32'h3f9510c8, 32'h3f8ad5e8,32'h3f997328, 32'h3f838747,32'h3fa0c1c9,// invsqrt(0.7671) = 1.1417 +32'h41d78832,32'h3e41564a,32'h3e493a77, 32'h3e3b6b29,32'h3e4f2599, 32'h3e318df1,32'h3e5902d1,// invsqrt(26.9415) = 0.1927 +32'h3f2ca9b0,32'h3f98bdc8,32'h3f9ef9c6, 32'h3f9410ca,32'h3fa3a6c4, 32'h3f8c45cd,32'h3fab71c1,// invsqrt(0.6745) = 1.2176 +32'h3f9593db,32'h3f68147e,32'h3f718d7e, 32'h3f60f9be,32'h3f78a83e, 32'h3f55227e,32'h3f823fbf,// invsqrt(1.1686) = 0.9251 +32'h3f84a352,32'h3f767467,32'h3f8041cd, 32'h3f6ee900,32'h3f840780, 32'h3f625600,32'h3f8a5100,// invsqrt(1.0362) = 0.9824 +32'h3f60b144,32'h3f85e4eb,32'h3f8b5bf9, 32'h3f81cba0,32'h3f8f7544, 32'h3f75ed9a,32'h3f964a17,// invsqrt(0.8777) = 1.0674 +32'h3fc80db1,32'h3f48ad5b,32'h3f50de3b, 32'h3f4288b4,32'h3f5702e2, 32'h3f384b9d,32'h3f613ff9,// invsqrt(1.5629) = 0.7999 +32'h3e8736e4,32'h3ff4186a,32'h3ffe0ef6, 32'h3fec9f81,32'h4002c3f0, 32'h3fe02b52,32'h4008fe07,// invsqrt(0.2641) = 1.9459 +32'h405b84af,32'h3f077687,32'h3f0cfdfa, 32'h3f0350f0,32'h3f112390, 32'h3ef8cf40,32'h3f180ce0,// invsqrt(3.4300) = 0.5400 +32'h3f3f40f1,32'h3f9120c3,32'h3f970d33, 32'h3f8caf6e,32'h3f9b7e88, 32'h3f8547e2,32'h3fa2e614,// invsqrt(0.7471) = 1.1570 +32'h402af5b2,32'h3f198011,32'h3f1fc3fc, 32'h3f14cd1f,32'h3f2476ed, 32'h3f0cf839,32'h3f2c4bd3,// invsqrt(2.6712) = 0.6118 +32'h3f21f503,32'h3f9db577,32'h3fa4255d, 32'h3f98e18b,32'h3fa8f949, 32'h3f90d5ac,32'h3fb10528,// invsqrt(0.6326) = 1.2572 +32'h3f015bf4,32'h3fb076ea,32'h3fb7aaca, 32'h3fab1002,32'h3fbd11b2, 32'h3fa20f2b,32'h3fc61289,// invsqrt(0.5053) = 1.4068 +32'h3e60c55e,32'h4005deee,32'h400b55be, 32'h4001c5d2,32'h400f6eda, 32'h3ff5e29b,32'h4016435f,// invsqrt(0.2195) = 2.1344 +32'h3f90989a,32'h3f6c0b3b,32'h3f75ada6, 32'h3f64d16b,32'h3f7ce777, 32'h3f58c666,32'h3f84793e,// invsqrt(1.1297) = 0.9409 +32'h3ff263f0,32'h3f364faa,32'h3f3dc0a2, 32'h3f30baf1,32'h3f43555b, 32'h3f276dbc,32'h3f4ca290,// invsqrt(1.8937) = 0.7267 +32'h3d3bd349,32'h4092724d,32'h40986c84, 32'h408df6a3,32'h409ce82d, 32'h40867dde,32'h40a460f2,// invsqrt(0.0459) = 4.6698 +32'h3f7a7bed,32'h3f7da095,32'h3f83fd5d, 32'h3f75dcf9,32'h3f87df2b, 32'h3f68ec4a,32'h3f8e5783,// invsqrt(0.9785) = 1.0110 +32'h42901f90,32'h3dec6e45,32'h3df614bb, 32'h3de5316d,32'h3dfd5193, 32'h3dd9215a,32'h3e04b0d3,// invsqrt(72.0616) = 0.1178 +32'h3de5fd43,32'h403b296c,32'h4042cd12, 32'h40356eaf,32'h404887cf, 32'h402be21f,32'h4052145f,// invsqrt(0.1123) = 2.9841 +32'h3e8fd184,32'h3fecae63,32'h3ff65777, 32'h3fe56f94,32'h3ffd9646, 32'h3fd95c3c,32'h4004d4cf,// invsqrt(0.2809) = 1.8868 +32'h3f32db1e,32'h3f9612d9,32'h3f9c32f7, 32'h3f917ac3,32'h3fa0cb0d, 32'h3f89d29e,32'h3fa87332,// invsqrt(0.6987) = 1.1964 +32'h400463a8,32'h3f2e6ef2,32'h3f358d99, 32'h3f2917f6,32'h3f3ae496, 32'h3f2031a5,32'h3f43cae7,// invsqrt(2.0686) = 0.6953 +32'h3dcfacc7,32'h4044f5df,32'h404cffe9, 32'h403eee59,32'h4053076f, 32'h4034e1cd,32'h405d13fb,// invsqrt(0.1014) = 3.1403 +32'h3e7b6fc0,32'h3ffd257e,32'h4003bd4f, 32'h3ff565a7,32'h40079d3b, 32'h3fe87b40,32'h400e126e,// invsqrt(0.2455) = 2.0181 +32'h401357c1,32'h3f25585f,32'h3f2c180f, 32'h3f20489b,32'h3f3127d3, 32'h3f17d8fe,32'h3f399770,// invsqrt(2.3022) = 0.6591 +32'h3fa1a463,32'h3f5f404c,32'h3f685d0c, 32'h3f586abd,32'h3f6f329b, 32'h3f4d06ce,32'h3f7a968a,// invsqrt(1.2628) = 0.8899 +32'h3f15eb03,32'h3fa3eb3f,32'h3faa9c09, 32'h3f9ee6a9,32'h3fafa09f, 32'h3f9689ad,32'h3fb7fd9b,// invsqrt(0.5856) = 1.3068 +32'h3e00a224,32'h4030f630,32'h40382f42, 32'h402b8b63,32'h403d9a0f, 32'h4022840d,32'h4046a165,// invsqrt(0.1256) = 2.8215 +32'h400ef7a9,32'h3f27db32,32'h3f2eb520, 32'h3f22b7c1,32'h3f33d891, 32'h3f1a2758,32'h3f3c68fa,// invsqrt(2.2339) = 0.6691 +32'h4025242a,32'h3f1c2e57,32'h3f228e45, 32'h3f176664,32'h3f275638, 32'h3f0f6e79,32'h3f2f4e23,// invsqrt(2.5803) = 0.6225 +32'h3f4e72ef,32'h3f8baf5a,32'h3f9162eb, 32'h3f8768ae,32'h3f95a998, 32'h3f804839,32'h3f9cca0d,// invsqrt(0.8064) = 1.1136 +32'h3f4af89c,32'h3f8ce06a,32'h3f92a06e, 32'h3f889067,32'h3f96f071, 32'h3f816061,32'h3f9e2077,// invsqrt(0.7929) = 1.1231 +32'h403af586,32'h3f12c90e,32'h3f18c6cf, 32'h3f0e4abc,32'h3f1d4520, 32'h3f06cd89,32'h3f24c253,// invsqrt(2.9212) = 0.5851 +32'h409b4569,32'h3ee3c908,32'h3eed1528, 32'h3edccff0,32'h3ef40e40, 32'h3ed130c9,32'h3effad67,// invsqrt(4.8522) = 0.4540 +32'h400eccd4,32'h3f27f45d,32'h3f2ecf51, 32'h3f22d026,32'h3f33f388, 32'h3f1a3e75,32'h3f3c8539,// invsqrt(2.2313) = 0.6695 +32'h3f839a0f,32'h3f776c4c,32'h3f80c2cf, 32'h3f6fd94f,32'h3f848c4d, 32'h3f6339a9,32'h3f8adc20,// invsqrt(1.0281) = 0.9862 +32'h3fc64cea,32'h3f498fef,32'h3f51ca0d, 32'h3f436458,32'h3f57f5a4, 32'h3f391bb1,32'h3f623e4b,// invsqrt(1.5492) = 0.8034 +32'h3f8ad246,32'h3f70e742,32'h3f7abc74, 32'h3f69875d,32'h3f810e2d, 32'h3f5d3cdf,32'h3f87336c,// invsqrt(1.0845) = 0.9602 +32'h3e6be328,32'h4002adaa,32'h4008031e, 32'h3ffd5b24,32'h400c0336, 32'h3ff00581,32'h4012ae08,// invsqrt(0.2304) = 2.0835 +32'h3f277bc4,32'h3f9b15c9,32'h3fa16a44, 32'h3f96566c,32'h3fa629a0, 32'h3f8e6cd2,32'h3fae133a,// invsqrt(0.6542) = 1.2363 +32'h3f87b3f6,32'h3f73a7d3,32'h3f7d99c7, 32'h3f6c325c,32'h3f82879f, 32'h3f5fc3ec,32'h3f88bed7,// invsqrt(1.0602) = 0.9712 +32'h3e23c21f,32'h401cd6d0,32'h40233da0, 32'h401809b5,32'h40280abb, 32'h40100932,32'h40300b3e,// invsqrt(0.1599) = 2.5006 +32'h3f92455c,32'h3f6ab049,32'h3f74448a, 32'h3f638117,32'h3f7b73bb, 32'h3f5787c5,32'h3f83b687,// invsqrt(1.1427) = 0.9355 +32'h3f806e2b,32'h3f7a7596,32'h3f825751, 32'h3f72cace,32'h3f862cb5, 32'h3f660380,32'h3f8c905c,// invsqrt(1.0034) = 0.9983 +32'h3fa0b3b7,32'h3f5fe73a,32'h3f690aca, 32'h3f590c8f,32'h3f6fe575, 32'h3f4da01b,32'h3f7b51e9,// invsqrt(1.2555) = 0.8925 +32'h3fa47241,32'h3f5d56eb,32'h3f665fb1, 32'h3f569057,32'h3f6d2645, 32'h3f4b4560,32'h3f78713c,// invsqrt(1.2847) = 0.8823 +32'h3fcb311c,32'h3f471f13,32'h3f4f3fb1, 32'h3f41069d,32'h3f555827, 32'h3f36ddd8,32'h3f5f80ec,// invsqrt(1.5874) = 0.7937 +32'h3f430ba1,32'h3f8fb5dc,32'h3f95937c, 32'h3f8b4fa3,32'h3f99f9b5, 32'h3f83fa9b,32'h3fa14ebd,// invsqrt(0.7619) = 1.1457 +32'h3f0a3f26,32'h3faab2b7,32'h3fb1aa55, 32'h3fa578ff,32'h3fb6e40d, 32'h3f9cc379,32'h3fbf9993,// invsqrt(0.5400) = 1.3608 +32'h3ed42999,32'h3fc2ddc5,32'h3fcad1ed, 32'h3fbce6a8,32'h3fd0c90a, 32'h3fb2f576,32'h3fdaba3c,// invsqrt(0.4144) = 1.5535 +32'h3e90a483,32'h3fec0183,32'h3ff5a389, 32'h3fe4c7ff,32'h3ffcdd0d, 32'h3fd8bd79,32'h400473ca,// invsqrt(0.2825) = 1.8814 +32'h3e839ad9,32'h3ff76b8e,32'h4000c26c, 32'h3fefd897,32'h40048be8, 32'h3fe338fb,32'h400adbb6,// invsqrt(0.2570) = 1.9724 +32'h3f2ed02b,32'h3f97cc8d,32'h3f9dfeb2, 32'h3f9326f1,32'h3fa2a44d, 32'h3f8b6842,32'h3faa62fc,// invsqrt(0.6829) = 1.2101 +32'h4007d43a,32'h3f2c35e7,32'h3f333d53, 32'h3f26f055,32'h3f3882e5, 32'h3f1e270d,32'h3f414c2d,// invsqrt(2.1223) = 0.6864 +32'h3f65a4b4,32'h3f84716a,32'h3f89d94e, 32'h3f80637e,32'h3f8de73a, 32'h3f73433f,32'h3f94a918,// invsqrt(0.8970) = 1.0558 +32'h40c46f60,32'h3eca845b,32'h3ed2c874, 32'h3ec45149,32'h3ed8fb87, 32'h3eb9fc2a,32'h3ee350a6,// invsqrt(6.1386) = 0.4036 +32'h4090c30c,32'h3eebe89e,32'h3ef589a0, 32'h3ee4afdd,32'h3efcc261, 32'h3ed8a69c,32'h3f0465d1,// invsqrt(4.5238) = 0.4702 +32'h3d6f961e,32'h4081aa68,32'h4086f548, 32'h407b6481,32'h408aed70, 32'h406e2952,32'h40918b07,// invsqrt(0.0585) = 4.1347 +32'h418201b6,32'h3e78efb0,32'h3e818c68, 32'h3e7150d6,32'h3e855bd5, 32'h3e649d6d,32'h3e8bb58a,// invsqrt(16.2508) = 0.2481 +32'h3ee1f0f4,32'h3fbcd4bc,32'h3fc489d4, 32'h3fb70ceb,32'h3fca51a5, 32'h3fad6a8e,32'h3fd3f402,// invsqrt(0.4413) = 1.5053 +32'h3fbf98d6,32'h3f4d0ed7,32'h3f556d7d, 32'h3f46c7db,32'h3f5bb479, 32'h3f3c518c,32'h3f662ac8,// invsqrt(1.4969) = 0.8174 +32'h3fdcf7d1,32'h3f3ef1b2,32'h3f46bcde, 32'h3f391951,32'h3f4c953f, 32'h3f2f5b5a,32'h3f565336,// invsqrt(1.7263) = 0.7611 +32'h3db23656,32'h40549e7d,32'h405d4c24, 32'h404e1c3f,32'h4063ce61, 32'h4043432e,32'h406ea772,// invsqrt(0.0870) = 3.3900 +32'h3f9b5c96,32'h3f63b80a,32'h3f6d0378, 32'h3f5cbf77,32'h3f73fc0b, 32'h3f51212e,32'h3f7f9a54,// invsqrt(1.2138) = 0.9077 +32'h3f493425,32'h3f8d7e78,32'h3f9344ef, 32'h3f89299d,32'h3f9799c9, 32'h3f81f188,32'h3f9ed1de,// invsqrt(0.7860) = 1.1280 +32'h4007a36a,32'h3f2c54e1,32'h3f335d91, 32'h3f270e5d,32'h3f38a415, 32'h3f1e4380,32'h3f416ef2,// invsqrt(2.1193) = 0.6869 +32'h3f6247c6,32'h3f856c70,32'h3f8ade94, 32'h3f8156d5,32'h3f8ef42f, 32'h3f751050,32'h3f95c2dc,// invsqrt(0.8839) = 1.0636 +32'h409a7270,32'h3ee46467,32'h3eedb6de, 32'h3edd668d,32'h3ef4b4b7, 32'h3ed1bf78,32'h3f002de6,// invsqrt(4.8265) = 0.4552 +32'h3e84ae13,32'h3ff66a6a,32'h40003c9a, 32'h3feedf51,32'h40040226, 32'h3fe24cd4,32'h400a4b65,// invsqrt(0.2591) = 1.9644 +32'h3f837652,32'h3f778deb,32'h3f80d44e, 32'h3f6ff9e7,32'h3f849e50, 32'h3f63588a,32'h3f8aeeff,// invsqrt(1.0270) = 0.9867 +32'h3fdbaa1e,32'h3f3f8284,32'h3f47539a, 32'h3f39a5b5,32'h3f4d3069, 32'h3f2fe05a,32'h3f56f5c4,// invsqrt(1.7161) = 0.7634 +32'h3f309d41,32'h3f9705e4,32'h3f9d2fee, 32'h3f92665d,32'h3fa1cf75, 32'h3f8ab1d2,32'h3fa98400,// invsqrt(0.6899) = 1.2039 +32'h3eec59b6,32'h3fb8a041,32'h3fc02968, 32'h3fb2f963,32'h3fc5d045, 32'h3fa98df2,32'h3fcf3bb6,// invsqrt(0.4616) = 1.4718 +32'h3e7427c3,32'h4000725b,32'h4005b07e, 32'h3ff90780,32'h40099f18, 32'h3febec29,32'h40102cc4,// invsqrt(0.2384) = 2.0479 +32'h400832da,32'h3f2bfa0a,32'h3f32ff05, 32'h3f26b64d,32'h3f3842c1, 32'h3f1df013,32'h3f4108fb,// invsqrt(2.1281) = 0.6855 +32'h40adc3c0,32'h3ed752ad,32'h3ee01c94, 32'h3ed0bb3e,32'h3ee6b402, 32'h3ec5bedd,32'h3ef1b063,// invsqrt(5.4301) = 0.4291 +32'h3f6f9bfe,32'h3f81a8d1,32'h3f86f3a1, 32'h3f7b616c,32'h3f8aebbc, 32'h3f6e2667,32'h3f91893f,// invsqrt(0.9360) = 1.0336 +32'h40642d28,32'h3f04de3b,32'h3f0a4a91, 32'h3f00ccfa,32'h3f0e5bd2, 32'h3ef40b1e,32'h3f15233d,// invsqrt(3.5653) = 0.5296 +32'h3f866ecd,32'h3f74cdce,32'h3f7ecbc2, 32'h3f6d4f58,32'h3f83251c, 32'h3f60d1e7,32'h3f8963d4,// invsqrt(1.0503) = 0.9758 +32'h402a6f8c,32'h3f19bc6d,32'h3f2002d0, 32'h3f1507a4,32'h3f24b79a, 32'h3f0d2fa9,32'h3f2c8f95,// invsqrt(2.6631) = 0.6128 +32'h435a1746,32'h3d87e7d3,32'h3d8d73e7, 32'h3d83bec5,32'h3d919cf5, 32'h3d799f5b,32'h3d988c0d,// invsqrt(218.0909) = 0.0677 +32'h3f1598b2,32'h3fa41852,32'h3faacaf2, 32'h3f9f125a,32'h3fafd0ea, 32'h3f96b312,32'h3fb83032,// invsqrt(0.5844) = 1.3082 +32'h41e38dce,32'h3e3c2922,32'h3e43d738, 32'h3e366691,32'h3e4999c9, 32'h3e2cccf5,32'h3e533365,// invsqrt(28.4442) = 0.1875 +32'h3ff7a5bf,32'h3f345da3,32'h3f3bba46, 32'h3f2ed828,32'h3f413fc0, 32'h3f25a45c,32'h3f4a738c,// invsqrt(1.9347) = 0.7189 +32'h3fa66c27,32'h3f5c057e,32'h3f65007e, 32'h3f55493e,32'h3f6bbcbe, 32'h3f4a0f7e,32'h3f76f67e,// invsqrt(1.3002) = 0.8770 +32'h3d4b0348,32'h408cdcb6,32'h40929c93, 32'h40888ccf,32'h4096ec79, 32'h40815cfa,32'h409e1c4e,// invsqrt(0.0496) = 4.4918 +32'h40ea7aec,32'h3eb95c60,32'h3ec0ed34, 32'h3eb3afc0,32'h3ec699d4, 32'h3eaa3ab6,32'h3ed00ede,// invsqrt(7.3275) = 0.3694 +32'h3d9dcfeb,32'h4061f1a8,32'h406b2a8b, 32'h405b06ff,32'h40721535, 32'h404f7fe4,32'h407d9c50,// invsqrt(0.0771) = 3.6024 +32'h3f829e99,32'h3f785a03,32'h3f813e84, 32'h3f70bfbf,32'h3f850ba6, 32'h3f6413f9,32'h3f8b618a,// invsqrt(1.0205) = 0.9899 +32'h3f7771d1,32'h3f7f2e24,32'h3f84cc42, 32'h3f775e5d,32'h3f88b426, 32'h3f6a5965,32'h3f8f36a1,// invsqrt(0.9666) = 1.0171 +32'h3f5f99b6,32'h3f863884,32'h3f8bb2fc, 32'h3f821caa,32'h3f8fced6, 32'h3f768726,32'h3f96a7ed,// invsqrt(0.8734) = 1.0700 +32'h3e1f1074,32'h401f22ef,32'h4025a1c0, 32'h401a43d4,32'h402a80dc, 32'h4012254f,32'h40329f61,// invsqrt(0.1553) = 2.5373 +32'h42a54451,32'h3ddcca13,32'h3de5cd19, 32'h3dd607ce,32'h3dec8f5e, 32'h3dcac407,32'h3df7d325,// invsqrt(82.6334) = 0.1100 +32'h3d1dc1f6,32'h409fcb4c,32'h40a650fb, 32'h409ae708,32'h40ab353e, 32'h4092bfec,32'h40b35c5a,// invsqrt(0.0385) = 5.0955 +32'h3ed62e78,32'h3fc1f216,32'h3fc9dc9e, 32'h3fbc022f,32'h3fcfcc85, 32'h3fb21d04,32'h3fd9b1b0,// invsqrt(0.4183) = 1.5461 +32'h3ebe5a89,32'h3fcdba02,32'h3fd61fa4, 32'h3fc76dc8,32'h3fdc6bde, 32'h3fbceebe,32'h3fe6eae8,// invsqrt(0.3718) = 1.6400 +32'h3f3d5416,32'h3f91dd2e,32'h3f97d150, 32'h3f8d6615,32'h3f9c4869, 32'h3f85f4ec,32'h3fa3b992,// invsqrt(0.7396) = 1.1628 +32'h3fe8855d,32'h3f3a23df,32'h3f41bcd8, 32'h3f347123,32'h3f476f93, 32'h3f2af1ec,32'h3f50eecb,// invsqrt(1.8166) = 0.7419 +32'h3f8ed930,32'h3f6d7bc4,32'h3f772d39, 32'h3f6636ab,32'h3f7e7251, 32'h3f5a18d8,32'h3f854812,// invsqrt(1.1160) = 0.9466 +32'h3f553473,32'h3f89743b,32'h3f8f107c, 32'h3f853f0a,32'h3f9345ac, 32'h3f7c7771,32'h3f9a48fe,// invsqrt(0.8328) = 1.0958 +32'h3e58518b,32'h40087611,32'h400e07f3, 32'h400448a8,32'h4012355c, 32'h3ffaa49d,32'h40192bb5,// invsqrt(0.2112) = 2.1757 +32'h3f4186af,32'h3f9045fd,32'h3f96297f, 32'h3f8bdb5b,32'h3f9a9421, 32'h3f847ef8,32'h3fa1f084,// invsqrt(0.7560) = 1.1501 +32'h3fd276db,32'h3f43a6a1,32'h3f4ba2fb, 32'h3f3da95d,32'h3f51a03f, 32'h3f33aded,32'h3f5b9baf,// invsqrt(1.6443) = 0.7799 +32'h3ec52a6b,32'h3fca2435,32'h3fd26461, 32'h3fc3f414,32'h3fd89482, 32'h3fb9a3dd,32'h3fe2e4b9,// invsqrt(0.3851) = 1.6115 +32'h40e7aa88,32'h3eba7bb4,32'h3ec21844, 32'h3eb4c649,32'h3ec7cdaf, 32'h3eab4296,32'h3ed15162,// invsqrt(7.2396) = 0.3717 +32'h3f124489,32'h3fa5f3a5,32'h3facb9ab, 32'h3fa0df20,32'h3fb1ce30, 32'h3f986797,32'h3fba45b9,// invsqrt(0.5714) = 1.3230 +32'h40742a4a,32'h3f0071b0,32'h3f05afcc, 32'h3ef90636,32'h3f099e61, 32'h3eebeaf0,32'h3f102c04,// invsqrt(3.8151) = 0.5120 +32'h403ca19a,32'h3f122220,32'h3f181912, 32'h3f0da8eb,32'h3f1c9247, 32'h3f06343d,32'h3f2406f5,// invsqrt(2.9474) = 0.5825 +32'h3eaaa1ff,32'h3fd94a3e,32'h3fe228b4, 32'h3fd2a366,32'h3fe8cf8c, 32'h3fc78d53,32'h3ff3e59f,// invsqrt(0.3333) = 1.7322 +32'h3ec98131,32'h3fc7f409,32'h3fd01d57, 32'h3fc1d50e,32'h3fd63c52, 32'h3fb7a16b,32'h3fe06ff5,// invsqrt(0.3936) = 1.5940 +32'h40d595ba,32'h3ec23763,32'h3eca24bf, 32'h3ebc455d,32'h3ed016c5, 32'h3eb25ca9,32'h3ed9ff79,// invsqrt(6.6745) = 0.3871 +32'h3e1fe98e,32'h401eb6c5,32'h4025312b, 32'h4019daf8,32'h402a0cf8, 32'h4011c1f9,32'h403225f7,// invsqrt(0.1562) = 2.5305 +32'h3f570096,32'h3f88e0d6,32'h3f8e7712, 32'h3f84b028,32'h3f92a7c0, 32'h3f7b68b7,32'h3f99a38c,// invsqrt(0.8399) = 1.0912 +32'h3f8ac4eb,32'h3f70f2da,32'h3f7ac884, 32'h3f699299,32'h3f811462, 32'h3f5d4784,32'h3f8739ed,// invsqrt(1.0841) = 0.9604 +32'h3eceb1f2,32'h3fc56d3e,32'h3fcd7c26, 32'h3fbf6210,32'h3fd38754, 32'h3fb54f6d,32'h3fdd99f7,// invsqrt(0.4037) = 1.5739 +32'h40e1f217,32'h3ebcd443,32'h3ec48955, 32'h3eb70c75,32'h3eca5123, 32'h3ead6a1e,32'h3ed3f37a,// invsqrt(7.0608) = 0.3763 +32'h3ff7c131,32'h3f3453a5,32'h3f3bafdf, 32'h3f2ece78,32'h3f41350c, 32'h3f259b2f,32'h3f4a6855,// invsqrt(1.9356) = 0.7188 +32'h3f881136,32'h3f735447,32'h3f7d42d2, 32'h3f6be160,32'h3f825add, 32'h3f5f7732,32'h3f888ff4,// invsqrt(1.0630) = 0.9699 +32'h3e572427,32'h4008d585,32'h400e6b4b, 32'h4004a530,32'h40129ba0, 32'h3ffb53ee,32'h401996d9,// invsqrt(0.2101) = 2.1817 +32'h3ebacd53,32'h3fcfac4f,32'h3fd82648, 32'h3fc950d5,32'h3fde81c3, 32'h3fbeb85e,32'h3fe91a3a,// invsqrt(0.3648) = 1.6556 +32'h3f8503f2,32'h3f761ad3,32'h3f80132f, 32'h3f6e922a,32'h3f83d783, 32'h3f6203bc,32'h3f8a1eba,// invsqrt(1.0392) = 0.9810 +32'h4007ea21,32'h3f2c2806,32'h3f332ee2, 32'h3f26e2e1,32'h3f387407, 32'h3f1e1a4f,32'h3f413c99,// invsqrt(2.1237) = 0.6862 +32'h418d8362,32'h3e6e99e5,32'h3e785709, 32'h3e674c0b,32'h3e7fa4e3, 32'h3e5b1f9e,32'h3e85e8a8,// invsqrt(17.6892) = 0.2378 +32'h3e37d8e2,32'h401405be,32'h401a106c, 32'h400f7dba,32'h401e9870, 32'h4007f060,32'h402625ca,// invsqrt(0.1795) = 2.3601 +32'h3e502487,32'h400b1d90,32'h4010cb2e, 32'h4006db5a,32'h40150d64, 32'h3fff84ab,32'h401c2668,// invsqrt(0.2033) = 2.2180 +32'h3f786f96,32'h3f7eabae,32'h3f84885d, 32'h3f76dfe5,32'h3f886e42, 32'h3f69e195,32'h3f8eed69,// invsqrt(0.9705) = 1.0151 +32'h406149ca,32'h3f05b790,32'h3f0b2cc5, 32'h3f019fa9,32'h3f0f44ad, 32'h3ef59a4d,32'h3f16172f,// invsqrt(3.5201) = 0.5330 +32'h3f061499,32'h3fad546f,32'h3fb4678d, 32'h3fa80618,32'h3fb9b5e4, 32'h3f9f2e31,32'h3fc28dcb,// invsqrt(0.5238) = 1.3818 +32'h3ae21ad8,32'h41bcc33d,32'h41c4779e, 32'h41b6fbf5,32'h41ca3ee7, 32'h41ad5a7d,32'h41d3e05f,// invsqrt(0.0017) = 24.0769 +32'h3e18688a,32'h402292ff,32'h402935bb, 32'h401d98f2,32'h402e2fc8, 32'h40154d87,32'h40367b33,// invsqrt(0.1488) = 2.5921 +32'h40b0b330,32'h3ed586ea,32'h3ede3e0e, 32'h3ecefd8f,32'h3ee4c769, 32'h3ec418a3,32'h3eefac55,// invsqrt(5.5219) = 0.4256 +32'h3efec891,32'h3fb1d26c,32'h3fb9147b, 32'h3fac60e1,32'h3fbe8607, 32'h3fa34e4f,32'h3fc79899,// invsqrt(0.4976) = 1.4176 +32'h41a8590b,32'h3e5ac27a,32'h3e63b04b, 32'h3e54101e,32'h3e6a62a8, 32'h3e48e6d9,32'h3e758bed,// invsqrt(21.0435) = 0.2180 +32'h3facb414,32'h3f57fbc6,32'h3f60cc94, 32'h3f515f2b,32'h3f67692f, 32'h3f465a28,32'h3f726e32,// invsqrt(1.3492) = 0.8609 +32'h40b7af6f,32'h3ed16d75,32'h3ed9f9c3, 32'h3ecb043b,32'h3ee062fd, 32'h3ec054d9,32'h3eeb125f,// invsqrt(5.7402) = 0.4174 +32'h3fb0f480,32'h3f555f7e,32'h3f5e1506, 32'h3f4ed758,32'h3f649d2c, 32'h3f43f46f,32'h3f6f8015,// invsqrt(1.3825) = 0.8505 +32'h3f22db9f,32'h3f9d45a7,32'h3fa3b0fc, 32'h3f987527,32'h3fa8817b, 32'h3f906efc,32'h3fb087a6,// invsqrt(0.6362) = 1.2538 +32'h3f88a8f4,32'h3f72cd0a,32'h3f7cb610, 32'h3f6b5e46,32'h3f82126a, 32'h3f5efaff,32'h3f88440e,// invsqrt(1.0677) = 0.9678 +32'h3d976c5d,32'h4066a949,32'h40701375, 32'h405f99a7,32'h40772317, 32'h4053d4ef,32'h408173e7,// invsqrt(0.0739) = 3.6776 +32'h3f5a5633,32'h3f87d43c,32'h3f8d5f82, 32'h3f83abc7,32'h3f9187f7, 32'h3f797b5e,32'h3f98760f,// invsqrt(0.8529) = 1.0828 +32'h3f87e77e,32'h3f73799d,32'h3f7d69ae, 32'h3f6c0591,32'h3f826ede, 32'h3f5f997c,32'h3f88a4e8,// invsqrt(1.0618) = 0.9705 +32'h3f50ae4c,32'h3f8aef9c,32'h3f909b5a, 32'h3f86aece,32'h3f94dc28, 32'h3f7f3044,32'h3f9bf2d4,// invsqrt(0.8152) = 1.1076 +32'h3cc95ad6,32'h40c80713,32'h40d03129, 32'h40c1e783,32'h40d650b9, 32'h40b7b2e8,32'h40e08554,// invsqrt(0.0246) = 6.3784 +32'h3ef5bdfa,32'h3fb5104b,32'h3fbc7439, 32'h3faf8558,32'h3fc1ff2c, 32'h3fa6486f,32'h3fcb3c15,// invsqrt(0.4800) = 1.4434 +32'h3f98f619,32'h3f657faa,32'h3f6eddb1, 32'h3f5e7925,32'h3f75e437, 32'h3f52c39d,32'h3f80cce0,// invsqrt(1.1950) = 0.9148 +32'h3e5e6b5d,32'h4006939f,32'h400c11cf, 32'h400274fb,32'h40103073, 32'h3ff72e7d,32'h40170e30,// invsqrt(0.2172) = 2.1457 +32'h408e85c3,32'h3eedc13b,32'h3ef77587, 32'h3ee67a03,32'h3efebcbf, 32'h3eda58a4,32'h3f056f0f,// invsqrt(4.4538) = 0.4738 +32'h3e7f1b7a,32'h3ffb518d,32'h4002c9c9, 32'h3ff3a009,32'h4006a28c, 32'h3fe6cd82,32'h400d0bcf,// invsqrt(0.2491) = 2.0035 +32'h3f70b0ee,32'h3f815e24,32'h3f86a5e6, 32'h3f7ad0a3,32'h3f8a9bb8, 32'h3f6d9d3c,32'h3f91356c,// invsqrt(0.9402) = 1.0313 +32'h403f11d2,32'h3f1132a7,32'h3f171fd3, 32'h3f0cc0c7,32'h3f1b91b3, 32'h3f055850,32'h3f22fa2a,// invsqrt(2.9855) = 0.5788 +32'h3f8a56d5,32'h3f7152a5,32'h3f7b2c39, 32'h3f69ef76,32'h3f8147b4, 32'h3f5d9f7e,32'h3f876fb0,// invsqrt(1.0808) = 0.9619 +32'h3eef5b5e,32'h3fb7767b,32'h3fbef37b, 32'h3fb1d8bb,32'h3fc4913b, 32'h3fa87c7c,32'h3fcded7b,// invsqrt(0.4675) = 1.4626 +32'h41f67da0,32'h3e34c9d9,32'h3e3c2ae7, 32'h3e2f410e,32'h3e41b3b2, 32'h3e2607bd,32'h3e4aed03,// invsqrt(30.8113) = 0.1802 +32'h3fac567f,32'h3f583662,32'h3f610994, 32'h3f5197fb,32'h3f67a7fb, 32'h3f468ffc,32'h3f72affb,// invsqrt(1.3464) = 0.8618 +32'h3fa97410,32'h3f5a0b7e,32'h3f62f1d7, 32'h3f535ebc,32'h3f699e9a, 32'h3f483ecd,32'h3f74be89,// invsqrt(1.3239) = 0.8691 +32'h3f318fd7,32'h3f969e97,32'h3f9cc469, 32'h3f92023a,32'h3fa160c6, 32'h3f8a52f3,32'h3fa9100d,// invsqrt(0.6936) = 1.2007 +32'h3f84c270,32'h3f765783,32'h3f8032c4, 32'h3f6eccff,32'h3f83f806, 32'h3f623b79,32'h3f8a40ca,// invsqrt(1.0372) = 0.9819 +32'h3fa5f5a4,32'h3f5c53ff,32'h3f655234, 32'h3f559559,32'h3f6c10db, 32'h3f4a5797,32'h3f774e9d,// invsqrt(1.2966) = 0.8782 +32'h3e097dbc,32'h402b2a9d,32'h40322721, 32'h4025ed3a,32'h40376484, 32'h401d3196,32'h40402029,// invsqrt(0.1343) = 2.7291 +32'h3fcdea24,32'h3f45ccef,32'h3f4ddfbf, 32'h3f3fbed3,32'h3f53eddb, 32'h3f35a74e,32'h3f5e0560,// invsqrt(1.6087) = 0.7884 +32'h3fa2ea0b,32'h3f5e60ba,32'h3f67745a, 32'h3f579203,32'h3f6e4311, 32'h3f4c397c,32'h3f799b98,// invsqrt(1.2728) = 0.8864 +32'h3f88eda4,32'h3f72901d,32'h3f7c76a6, 32'h3f6b2336,32'h3f81f1c6, 32'h3f5ec30a,32'h3f8821dc,// invsqrt(1.0698) = 0.9668 +32'h3f8c6dd0,32'h3f6f8540,32'h3f794bfe, 32'h3f683031,32'h3f805087, 32'h3f5bf7c2,32'h3f866cbe,// invsqrt(1.0971) = 0.9547 +32'h3f80f343,32'h3f79f434,32'h3f8213fc, 32'h3f724d62,32'h3f85e765, 32'h3f658cad,32'h3f8c47bf,// invsqrt(1.0074) = 0.9963 +32'h3f318aff,32'h3f96a0a5,32'h3f9cc68c, 32'h3f920437,32'h3fa162f9, 32'h3f8a54d6,32'h3fa9125a,// invsqrt(0.6935) = 1.2008 +32'h3f08b407,32'h3faba8b6,32'h3fb2aa5f, 32'h3fa66776,32'h3fb7eb9e, 32'h3f9da563,32'h3fc0adb1,// invsqrt(0.5340) = 1.3685 +32'h3f3af101,32'h3f92cad4,32'h3f98c8a8, 32'h3f8e4c74,32'h3f9d4708, 32'h3f86cf2b,32'h3fa4c451,// invsqrt(0.7302) = 1.1702 +32'h3f3b0092,32'h3f92c4b8,32'h3f98c24c, 32'h3f8e4688,32'h3f9d407c, 32'h3f86c98f,32'h3fa4bd75,// invsqrt(0.7305) = 1.1700 +32'h3fe31a8e,32'h3f3c58da,32'h3f4408e3, 32'h3f3694d4,32'h3f49ccea, 32'h3f2cf8c9,32'h3f5368f5,// invsqrt(1.7742) = 0.7507 +32'h3f130f34,32'h3fa58123,32'h3fac427d, 32'h3fa0701f,32'h3fb15381, 32'h3f97fe6e,32'h3fb9c532,// invsqrt(0.5745) = 1.3194 +32'h3fbaeea5,32'h3f4f99cc,32'h3f581304, 32'h3f493ee3,32'h3f5e6ded, 32'h3f3ea75e,32'h3f690572,// invsqrt(1.4604) = 0.8275 +32'h3f200d53,32'h3f9ea507,32'h3fa51eb4, 32'h3f99c9c6,32'h3fa9f9f6, 32'h3f91b1ae,32'h3fb2120e,// invsqrt(0.6252) = 1.2647 +32'h4058c2cc,32'h3f085266,32'h3f0de2d2, 32'h3f042614,32'h3f120f24, 32'h3efa6319,32'h3f1903ac,// invsqrt(3.3869) = 0.5434 +32'h3f09286a,32'h3fab5fd1,32'h3fb25e81, 32'h3fa620cd,32'h3fb79d85, 32'h3f9d6272,32'h3fc05be0,// invsqrt(0.5358) = 1.3662 +32'h3f77fadc,32'h3f7ee797,32'h3f84a78b, 32'h3f7719f8,32'h3f888e5a, 32'h3f6a189a,32'h3f8f0f09,// invsqrt(0.9687) = 1.0160 +32'h40c86f27,32'h3ec87c8b,32'h3ed0ab6d, 32'h3ec25963,32'h3ed6ce95, 32'h3eb81ec9,32'h3ee1092f,// invsqrt(6.2636) = 0.3996 +32'h404bf136,32'h3f0c8a72,32'h3f1246f4, 32'h3f083d10,32'h3f169456, 32'h3f01116e,32'h3f1dbff8,// invsqrt(3.1866) = 0.5602 +32'h3efe5290,32'h3fb1fba9,32'h3fb93f67, 32'h3fac88db,32'h3fbeb235, 32'h3fa3742e,32'h3fc7c6e2,// invsqrt(0.4967) = 1.4189 +32'h42690377,32'h3e037b54,32'h3e08d92d, 32'h3dfee9e0,32'h3e0cdf90, 32'h3df17f40,32'h3e1394e0,// invsqrt(58.2534) = 0.1310 +32'h41e28869,32'h3e3c9592,32'h3e444815, 32'h3e36cfaf,32'h3e4a0df7, 32'h3e2d308b,32'h3e53ad1b,// invsqrt(28.3166) = 0.1879 +32'h39c62e5a,32'h42499f79,32'h4251da3b, 32'h42437369,32'h4258064b, 32'h423929f7,32'h42624fbd,// invsqrt(0.0004) = 51.4344 +32'h3f31b371,32'h3f968f80,32'h3f9cb4b4, 32'h3f91f399,32'h3fa1509b, 32'h3f8a4517,32'h3fa8ff1d,// invsqrt(0.6941) = 1.2003 +32'h3eb29e13,32'h3fd460b5,32'h3fdd0bd7, 32'h3fcde05c,32'h3fe38c30, 32'h3fc30a72,32'h3fee621a,// invsqrt(0.3489) = 1.6931 +32'h3feb1b55,32'h3f391d19,32'h3f40ab59, 32'h3f337269,32'h3f465609, 32'h3f2a009a,32'h3f4fc7d8,// invsqrt(1.8368) = 0.7379 +32'h3f0648eb,32'h3fad32a7,32'h3fb44465, 32'h3fa7e559,32'h3fb991b3, 32'h3f9f0f2c,32'h3fc267e0,// invsqrt(0.5246) = 1.3807 +32'h3fbce247,32'h3f4e8683,32'h3f56f47e, 32'h3f483407,32'h3f5d46fb, 32'h3f3daa8e,32'h3f67d074,// invsqrt(1.4757) = 0.8232 +32'h3ec0f485,32'h3fcc55c5,32'h3fd4acdd, 32'h3fc61473,32'h3fdaee2f, 32'h3fbba796,32'h3fe55b0c,// invsqrt(0.3769) = 1.6289 +32'h3fd7a173,32'h3f414af8,32'h3f492eae, 32'h3f3b602f,32'h3f4f1977, 32'h3f31838b,32'h3f58f61b,// invsqrt(1.6846) = 0.7705 +32'h3f4522b7,32'h3f8ef24d,32'h3f94c7f3, 32'h3f8a9212,32'h3f99282e, 32'h3f834703,32'h3fa0733d,// invsqrt(0.7701) = 1.1396 +32'h3edecddd,32'h3fbe27dd,32'h3fc5eacb, 32'h3fb855a9,32'h3fcbbcff, 32'h3faea1ff,32'h3fd570a9,// invsqrt(0.4352) = 1.5159 +32'h3eb31e3a,32'h3fd414ae,32'h3fdcbcb6, 32'h3fcd96a9,32'h3fe33abb, 32'h3fc2c4a0,32'h3fee0cc4,// invsqrt(0.3498) = 1.6907 +32'h3f85c0ad,32'h3f756cf4,32'h3f7f7166, 32'h3f6de99e,32'h3f837a5e, 32'h3f61640f,32'h3f89bd26,// invsqrt(1.0449) = 0.9783 +32'h3e8e4fef,32'h3fedee2d,32'h3ff7a44f, 32'h3fe6a594,32'h3ffeece8, 32'h3fda81eb,32'h40058848,// invsqrt(0.2780) = 1.8968 +32'h3ec3ddf9,32'h3fcacf79,32'h3fd316a3, 32'h3fc49a1a,32'h3fd94c02, 32'h3fba4126,32'h3fe3a4f6,// invsqrt(0.3826) = 1.6168 +32'h3f240f59,32'h3f9cb1e2,32'h3fa31730, 32'h3f97e5e9,32'h3fa7e329, 32'h3f8fe748,32'h3fafe1ca,// invsqrt(0.6409) = 1.2492 +32'h3e8e803e,32'h3fedc5d6,32'h3ff77a51, 32'h3fe67e78,32'h3ffec1ae, 32'h3fda5cde,32'h400571a4,// invsqrt(0.2783) = 1.8955 +32'h400ead5b,32'h3f2806e2,32'h3f2ee298, 32'h3f22e21a,32'h3f340760, 32'h3f1a4f77,32'h3f3c9a03,// invsqrt(2.2293) = 0.6698 +32'h3ea33abd,32'h3fde29bc,32'h3fe73b1c, 32'h3fd75cb3,32'h3fee0825, 32'h3fcc06fb,32'h3ff95ddd,// invsqrt(0.3188) = 1.7711 +32'h3fb16fa1,32'h3f551569,32'h3f5dc7eb, 32'h3f4e8f88,32'h3f644dcc, 32'h3f43b066,32'h3f6f2cee,// invsqrt(1.3862) = 0.8493 +32'h3f19b6ea,32'h3fa1e1cc,32'h3fa87d4c, 32'h3f9ced2b,32'h3fad71ed, 32'h3f94aacb,32'h3fb5b44d,// invsqrt(0.6004) = 1.2905 +32'h3fecaf47,32'h3f387ede,32'h3f4006a8, 32'h3f32d906,32'h3f45ac80, 32'h3f296f49,32'h3f4f163d,// invsqrt(1.8491) = 0.7354 +32'h3fb26da3,32'h3f547d87,32'h3f5d29d5, 32'h3f4dfc4c,32'h3f63ab10, 32'h3f4324e9,32'h3f6e8273,// invsqrt(1.3940) = 0.8470 +32'h3fba08a5,32'h3f5019fa,32'h3f58986c, 32'h3f49bb24,32'h3f5ef742, 32'h3f3f1d14,32'h3f699552,// invsqrt(1.4534) = 0.8295 +32'h41fe131a,32'h3e3211e2,32'h3e395688, 32'h3e2c9e66,32'h3e3eca04, 32'h3e238896,32'h3e47dfd4,// invsqrt(31.7593) = 0.1774 +32'h3fc460ca,32'h3f4a8be1,32'h3f52d048, 32'h3f445893,32'h3f590395, 32'h3f3a0312,32'h3f635916,// invsqrt(1.5342) = 0.8073 +32'h43956323,32'h3d683a53,32'h3d71b4de, 32'h3d611e6a,32'h3d78d0c6, 32'h3d55453c,32'h3d8254fa,// invsqrt(298.7745) = 0.0579 +32'h3f534e7f,32'h3f8a11ef,32'h3f8fb49f, 32'h3f85d7ea,32'h3f93eea4, 32'h3f7d9919,32'h3f9afa01,// invsqrt(0.8254) = 1.1007 +32'h3fa3e41b,32'h3f5db6d3,32'h3f66c383, 32'h3f56ed4f,32'h3f6d8d07, 32'h3f4b9d74,32'h3f78dce3,// invsqrt(1.2804) = 0.8837 +32'h3eb199a3,32'h3fd4fc34,32'h3fddadae, 32'h3fce7718,32'h3fe432ca, 32'h3fc3993f,32'h3fef10a3,// invsqrt(0.3469) = 1.6979 +32'h3fd99d16,32'h3f406900,32'h3f48437e, 32'h3f3a8522,32'h3f4e275c, 32'h3f30b406,32'h3f57f879,// invsqrt(1.7001) = 0.7669 +32'h401f6a10,32'h3f1ef62f,32'h3f25732b, 32'h3f1a1871,32'h3f2a50e9, 32'h3f11fc35,32'h3f326d25,// invsqrt(2.4908) = 0.6336 +32'h3f5b9bf1,32'h3f876f5a,32'h3f8cf682, 32'h3f8349fc,32'h3f911be0, 32'h3f78c213,32'h3f9804d3,// invsqrt(0.8578) = 1.0797 +32'h3ee06a84,32'h3fbd78b9,32'h3fc53481, 32'h3fb7abe2,32'h3fcb0158, 32'h3fae0127,32'h3fd4ac13,// invsqrt(0.4383) = 1.5105 +32'h3e9c3779,32'h3fe31849,32'h3fec5d31, 32'h3fdc249a,32'h3ff350e0, 32'h3fd08e77,32'h3ffee703,// invsqrt(0.3051) = 1.8104 +32'h404eca29,32'h3f0b91e1,32'h3f11443e, 32'h3f074c1c,32'h3f158a04, 32'h3f002d28,32'h3f1ca8f8,// invsqrt(3.2311) = 0.5563 +32'h3fa961e3,32'h3f5a1731,32'h3f62fe03, 32'h3f536a12,32'h3f69ab22, 32'h3f48498b,32'h3f74cba9,// invsqrt(1.3233) = 0.8693 +32'h3f09cd7b,32'h3faaf90f,32'h3fb1f38d, 32'h3fa5bd30,32'h3fb72f6c, 32'h3f9d0413,32'h3fbfe889,// invsqrt(0.5383) = 1.3630 +32'h3e6a433c,32'h40032178,32'h40087ba7, 32'h3ffe3bab,32'h400c7f4a, 32'h3ff0da36,32'h40133005,// invsqrt(0.2288) = 2.0907 +32'h3ef3c2ef,32'h3fb5cc39,32'h3fbd37d3, 32'h3fb03b86,32'h3fc2c886, 32'h3fa6f505,32'h3fcc0f07,// invsqrt(0.4761) = 1.4493 +32'h3f15afe4,32'h3fa40b9b,32'h3faabdb7, 32'h3f9f0607,32'h3fafc34b, 32'h3f96a765,32'h3fb821ed,// invsqrt(0.5847) = 1.3078 +32'h40446894,32'h3f0f35f9,32'h3f150e61, 32'h3f0ad3ab,32'h3f1970af, 32'h3f038528,32'h3f20bf32,// invsqrt(3.0689) = 0.5708 +32'h3f367c77,32'h3f9492ca,32'h3f9aa33a, 32'h3f900675,32'h3f9f2f8f, 32'h3f8871e8,32'h3fa6c41c,// invsqrt(0.7128) = 1.1844 +32'h3f0b4e3b,32'h3faa0c50,32'h3fb0fd24, 32'h3fa4d7b1,32'h3fb631c3, 32'h3f9c2aa7,32'h3fbedecd,// invsqrt(0.5442) = 1.3556 +32'h3f3ba8ff,32'h3f9282cc,32'h3f987db0, 32'h3f8e06a1,32'h3f9cf9db, 32'h3f868d05,32'h3fa47377,// invsqrt(0.7330) = 1.1680 +32'h3f726fdf,32'h3f80e6ae,32'h3f862990, 32'h3f79e908,32'h3f8a1bba, 32'h3f6cc1d1,32'h3f90af55,// invsqrt(0.9470) = 1.0276 +32'h3eb08214,32'h3fd5a49c,32'h3fde5cf6, 32'h3fcf1a59,32'h3fe4e739, 32'h3fc433e8,32'h3fefcdaa,// invsqrt(0.3447) = 1.7031 +32'h41b42b34,32'h3e537624,32'h3e5c17b2, 32'h3e4cfcf9,32'h3e6290dd, 32'h3e423307,32'h3e6d5acf,// invsqrt(22.5211) = 0.2107 +32'h410b4c8f,32'h3eaa0d55,32'h3eb0fe33, 32'h3ea4d8ad,32'h3eb632db, 32'h3e9c2b97,32'h3ebedff1,// invsqrt(8.7062) = 0.3389 +32'h3fc4a603,32'h3f4a6837,32'h3f52ab29, 32'h3f443601,32'h3f58dd5f, 32'h3f39e252,32'h3f63310e,// invsqrt(1.5363) = 0.8068 +32'h3e558aa8,32'h40095879,32'h400ef399, 32'h40052422,32'h401327f0, 32'h3ffc4477,32'h401a29d7,// invsqrt(0.2085) = 2.1898 +32'h3f80d3b6,32'h3f7a12ce,32'h3f8223e8, 32'h3f726b0b,32'h3f85f7c9, 32'h3f65a8c7,32'h3f8c58eb,// invsqrt(1.0065) = 0.9968 +32'h3f76dd14,32'h3f7f7af8,32'h3f84f43e, 32'h3f77a8d7,32'h3f88dd4e, 32'h3f6a9ff4,32'h3f8f61c0,// invsqrt(0.9643) = 1.0183 +32'h40302d13,32'h3f1735f1,32'h3f1d61f1, 32'h3f1294f2,32'h3f2202f0, 32'h3f0addf2,32'h3f29b9f0,// invsqrt(2.7528) = 0.6027 +32'h3f4c5433,32'h3f8c6863,32'h3f922381, 32'h3f881c0c,32'h3f966fd8, 32'h3f80f227,32'h3f9d99bd,// invsqrt(0.7982) = 1.1193 +32'h401cf4e1,32'h3f20338f,32'h3f26bd7f, 32'h3f1b4c1a,32'h3f2ba4f4, 32'h3f131fad,32'h3f33d161,// invsqrt(2.4524) = 0.6386 +32'h3eba4006,32'h3fcffb07,32'h3fd87837, 32'h3fc99d24,32'h3fded61a, 32'h3fbf00a9,32'h3fe97295,// invsqrt(0.3638) = 1.6580 +32'h435bbbdb,32'h3d876584,32'h3d8cec46, 32'h3d834073,32'h3d911157, 32'h3d78b003,32'h3d97f9c9,// invsqrt(219.7338) = 0.0675 +32'h3d8fb90c,32'h406cc288,32'h40766c6e, 32'h4065831b,32'h407dabdb, 32'h40596ebc,32'h4084e01d,// invsqrt(0.0702) = 3.7749 +32'h3ddd8db7,32'h403eb10f,32'h40467997, 32'h4038daa8,32'h404c4ffe, 32'h402f1ffe,32'h40560aa8,// invsqrt(0.1082) = 3.0404 +32'h40028b08,32'h3f2fa99a,32'h3f36d518, 32'h3f2a48fb,32'h3f3c35b7, 32'h3f21529d,32'h3f452c15,// invsqrt(2.0397) = 0.7002 +32'h4003a20e,32'h3f2eef0a,32'h3f3612eb, 32'h3f299421,32'h3f3b6dd3, 32'h3f20a747,32'h3f445aad,// invsqrt(2.0568) = 0.6973 +32'h403dfa29,32'h3f119d5f,32'h3f178ee5, 32'h3f0d283a,32'h3f1c040a, 32'h3f05ba52,32'h3f2371f2,// invsqrt(2.9684) = 0.5804 +32'h3f877ec0,32'h3f73d7a7,32'h3f7dcb8e, 32'h3f6c60b9,32'h3f82a13e, 32'h3f5fefd7,32'h3f88d9ae,// invsqrt(1.0586) = 0.9719 +32'h3ee00205,32'h3fbda4e5,32'h3fc5627b, 32'h3fb7d6b4,32'h3fcb30ac, 32'h3fae29b8,32'h3fd4dda8,// invsqrt(0.4375) = 1.5118 +32'h3fad0da9,32'h3f57c3d7,32'h3f60925d, 32'h3f5128f2,32'h3f672d42, 32'h3f4626cb,32'h3f722f69,// invsqrt(1.3520) = 0.8600 +32'h3f34d668,32'h3f953fc6,32'h3f9b5746, 32'h3f90ae26,32'h3f9fe8e6, 32'h3f8910c5,32'h3fa78647,// invsqrt(0.7064) = 1.1898 +32'h3ef90683,32'h3fb3ddb5,32'h3fbb351f, 32'h3fae5c25,32'h3fc0b6af, 32'h3fa52edf,32'h3fc9e3f5,// invsqrt(0.4864) = 1.4339 +32'h3e1bb0af,32'h4020da04,32'h40276ac0, 32'h401bed77,32'h402c574d, 32'h4013b88b,32'h40348c39,// invsqrt(0.1520) = 2.5646 +32'h3f74a748,32'h3f8050dd,32'h3f858da2, 32'h3f78c691,32'h3f897b35, 32'h3f6baea5,32'h3f90072c,// invsqrt(0.9557) = 1.0229 +32'h3fb23d8b,32'h3f549a30,32'h3f5d47aa, 32'h3f4e1814,32'h3f63c9c6, 32'h3f433f3c,32'h3f6ea29e,// invsqrt(1.3925) = 0.8474 +32'h3ebb1cc0,32'h3fcf8037,32'h3fd7f863, 32'h3fc92616,32'h3fde5284, 32'h3fbe8fdf,32'h3fe8e8bb,// invsqrt(0.3655) = 1.6542 +32'h3f9b4ae1,32'h3f63c506,32'h3f6d10fb, 32'h3f5ccc0d,32'h3f7409f3, 32'h3f512d1a,32'h3f7fa8e6,// invsqrt(1.2132) = 0.9079 +32'h412e932d,32'h3e97e70f,32'h3e9e1a49, 32'h3e9340a3,32'h3ea2c0b5, 32'h3e8b809b,32'h3eaa80bd,// invsqrt(10.9109) = 0.3027 +32'h3f364364,32'h3f94aa0b,32'h3f9abb6f, 32'h3f901d00,32'h3f9f487a, 32'h3f888744,32'h3fa6de36,// invsqrt(0.7120) = 1.1851 +32'h3f6c1afe,32'h3f829e35,32'h3f87f309, 32'h3f7d3d2e,32'h3f8bf2a7, 32'h3f6fe91e,32'h3f929caf,// invsqrt(0.9223) = 1.0413 +32'h3f1f3b63,32'h3f9f0d7a,32'h3fa58b6a, 32'h3f9a2f06,32'h3faa69de, 32'h3f92119a,32'h3fb2874a,// invsqrt(0.6220) = 1.2680 +32'h3f4928f2,32'h3f8d8268,32'h3f934908, 32'h3f892d6f,32'h3f979e01, 32'h3f81f526,32'h3f9ed64a,// invsqrt(0.7858) = 1.1281 +32'h3f4430b4,32'h3f8f4a5d,32'h3f95239a, 32'h3f8ae76e,32'h3f998688, 32'h3f8397e2,32'h3fa0d614,// invsqrt(0.7664) = 1.1423 +32'h413207f3,32'h3e966bbf,32'h3e9c8f7e, 32'h3e91d0f1,32'h3ea12a4d, 32'h3e8a2442,32'h3ea8d6fc,// invsqrt(11.1269) = 0.2998 +32'h3ee1c217,32'h3fbce855,32'h3fc49e39, 32'h3fb71fea,32'h3fca66a4, 32'h3fad7c8d,32'h3fd40a01,// invsqrt(0.4409) = 1.5060 +32'h3fc35846,32'h3f4b14d4,32'h3f535ed3, 32'h3f44dd56,32'h3f599652, 32'h3f3a80d8,32'h3f63f2d0,// invsqrt(1.5261) = 0.8095 +32'h3ed4903b,32'h3fc2aeb4,32'h3fcaa0f0, 32'h3fbcb908,32'h3fd0969c, 32'h3fb2ca3d,32'h3fda8567,// invsqrt(0.4152) = 1.5520 +32'h3fa7ed7c,32'h3f5b087e,32'h3f63f92a, 32'h3f5453fd,32'h3f6aadab, 32'h3f492725,32'h3f75da83,// invsqrt(1.3119) = 0.8731 +32'h3f9b1bd1,32'h3f63e791,32'h3f6d34ef, 32'h3f5ced8a,32'h3f742ef6, 32'h3f514cd3,32'h3f7fcfad,// invsqrt(1.2118) = 0.9084 +32'h3f01b91c,32'h3fb03782,32'h3fb768cc, 32'h3faad28b,32'h3fbccdc3, 32'h3fa1d4f0,32'h3fc5cb5e,// invsqrt(0.5067) = 1.4048 +32'h3fc09034,32'h3f4c8af7,32'h3f54e43b, 32'h3f464805,32'h3f5b272d, 32'h3f3bd870,32'h3f6596c2,// invsqrt(1.5044) = 0.8153 +32'h3fa4e34f,32'h3f5d0afd,32'h3f6610a9, 32'h3f5646bc,32'h3f6cd4ea, 32'h3f4affa4,32'h3f781c02,// invsqrt(1.2882) = 0.8811 +32'h3fc9d77b,32'h3f47c946,32'h3f4ff0d6, 32'h3f41ab9a,32'h3f560e82, 32'h3f377a26,32'h3f603ff6,// invsqrt(1.5769) = 0.7963 +32'h3fc05fd6,32'h3f4ca4ac,32'h3f54fefc, 32'h3f4660f0,32'h3f5b42b8, 32'h3f3bf00c,32'h3f65b39c,// invsqrt(1.5029) = 0.8157 +32'h3fc85748,32'h3f48887c,32'h3f50b7da, 32'h3f4264f6,32'h3f56db60, 32'h3f3829c0,32'h3f611696,// invsqrt(1.5652) = 0.7993 +32'h3fe52cc6,32'h3f3b7e7a,32'h3f43259a, 32'h3f35c123,32'h3f48e2f1, 32'h3f2c303c,32'h3f5273d8,// invsqrt(1.7904) = 0.7473 +32'h3f755fa5,32'h3f80209f,32'h3f855b6c, 32'h3f78690a,32'h3f894785, 32'h3f6b5609,32'h3f8fd106,// invsqrt(0.9585) = 1.0214 +32'h3f6f67da,32'h3f81b6ef,32'h3f870251, 32'h3f7b7cca,32'h3f8afadb, 32'h3f6e4053,32'h3f919916,// invsqrt(0.9352) = 1.0341 +32'h3f33255b,32'h3f95f3bd,32'h3f9c1296, 32'h3f915c9b,32'h3fa0a9b9, 32'h3f89b60c,32'h3fa85048,// invsqrt(0.6998) = 1.1954 +32'h3f6390b5,32'h3f850bdf,32'h3f8a7a12, 32'h3f80f939,32'h3f8e8cb9, 32'h3f745ef3,32'h3f955678,// invsqrt(0.8889) = 1.0606 +32'h41a0c673,32'h3e5fda2f,32'h3e68fd36, 32'h3e58ffe9,32'h3e6fd77b, 32'h3e4d9420,32'h3e7b4344,// invsqrt(20.0969) = 0.2231 +32'h3f5e8806,32'h3f868af4,32'h3f8c08ca, 32'h3f826c94,32'h3f90272a, 32'h3f771e91,32'h3f970475,// invsqrt(0.8693) = 1.0726 +32'h3f58a08d,32'h3f885d2c,32'h3f8dee0a, 32'h3f843086,32'h3f921ab0, 32'h3f7a76e4,32'h3f990fc4,// invsqrt(0.8462) = 1.0871 +32'h3fa44fa3,32'h3f5d6e3b,32'h3f6677f4, 32'h3f56a6ef,32'h3f6d3f3f, 32'h3f4b5ac8,32'h3f788b66,// invsqrt(1.2837) = 0.8826 +32'h41cd0d35,32'h3e463762,32'h3e4e4e8a, 32'h3e402603,32'h3e545fe9, 32'h3e360911,32'h3e5e7cdb,// invsqrt(25.6314) = 0.1975 +32'h3ee0d58d,32'h3fbd4b99,32'h3fc5058b, 32'h3fb78024,32'h3fcad100, 32'h3fadd7b7,32'h3fd4796d,// invsqrt(0.4391) = 1.5091 +32'h3dd6c5e5,32'h4041adab,32'h40499569, 32'h403bbfdd,32'h404f8337, 32'h4031de2f,32'h405964e5,// invsqrt(0.1049) = 3.0880 +32'h3f95e3b2,32'h3f67d6a6,32'h3f714d20, 32'h3f60bdcb,32'h3f7865fb, 32'h3f54e9b3,32'h3f821d0a,// invsqrt(1.1710) = 0.9241 +32'h3fb43b89,32'h3f536c8f,32'h3f5c0db9, 32'h3f4cf3af,32'h3f628699, 32'h3f422a3a,32'h3f6d500e,// invsqrt(1.4081) = 0.8427 +32'h3f37f4fb,32'h3f93fa6f,32'h3f9a04a8, 32'h3f8f72c5,32'h3f9e8c53, 32'h3f87e5fe,32'h3fa6191a,// invsqrt(0.7186) = 1.1797 +32'h3fd13f32,32'h3f44381f,32'h3f4c3a69, 32'h3f3e3667,32'h3f523c21, 32'h3f34338a,32'h3f5c3efe,// invsqrt(1.6347) = 0.7821 +32'h3eb8c953,32'h3fd0cd7a,32'h3fd95340, 32'h3fca6925,32'h3fdfb795, 32'h3fbfc1ed,32'h3fea5ecd,// invsqrt(0.3609) = 1.6646 +32'h3f5048d0,32'h3f8b1171,32'h3f90be90, 32'h3f86cf9b,32'h3f950067, 32'h3f7f6e68,32'h3f9c18ce,// invsqrt(0.8136) = 1.1086 +32'h3ef3f2a9,32'h3fb5ba70,32'h3fbd2550, 32'h3fb02a48,32'h3fc2b578, 32'h3fa6e4b0,32'h3fcbfb10,// invsqrt(0.4765) = 1.4487 +32'h3ec06c58,32'h3fcc9e06,32'h3fd4f810, 32'h3fc65a7e,32'h3fdb3b98, 32'h3fbbe9f0,32'h3fe5ac26,// invsqrt(0.3758) = 1.6312 +32'h3fe4d635,32'h3f3ba1ee,32'h3f434a80, 32'h3f35e381,32'h3f4908ed, 32'h3f2c50cb,32'h3f529ba3,// invsqrt(1.7878) = 0.7479 +32'h3e341d6f,32'h40158c55,32'h401ba6f5, 32'h4010f85d,32'h40203aed, 32'h40095714,32'h4027dc36,// invsqrt(0.1759) = 2.3844 +32'h40a4bda1,32'h3edd2442,32'h3ee62af7, 32'h3ed65f3c,32'h3eeceffe, 32'h3ecb16da,32'h3ef83860,// invsqrt(5.1481) = 0.4407 +32'h3f8ea166,32'h3f6daa31,32'h3f775d8b, 32'h3f6663ac,32'h3f7ea410, 32'h3f5a437b,32'h3f856220,// invsqrt(1.1143) = 0.9473 +32'h3f970aa2,32'h3f66f3dd,32'h3f706115, 32'h3f5fe1f3,32'h3f7772ff, 32'h3f54196d,32'h3f819dc3,// invsqrt(1.1800) = 0.9206 +32'h3fe0ed9b,32'h3f3d417a,32'h3f44fb02, 32'h3f377654,32'h3f4ac628, 32'h3f2dce6b,32'h3f546e11,// invsqrt(1.7573) = 0.7544 +32'h3fc478d4,32'h3f4a7f7c,32'h3f52c362, 32'h3f444c90,32'h3f58f64e, 32'h3f39f7b1,32'h3f634b2d,// invsqrt(1.5349) = 0.8072 +32'h3fca0370,32'h3f47b389,32'h3f4fda35, 32'h3f419687,32'h3f55f737, 32'h3f37662f,32'h3f60278f,// invsqrt(1.5782) = 0.7960 +32'h3f468919,32'h3f8e710e,32'h3f94416c, 32'h3f8a14c7,32'h3f989db3, 32'h3f82d050,32'h3f9fe22a,// invsqrt(0.7755) = 1.1355 +32'h3f4372a8,32'h3f8f8ff6,32'h3f956c0a, 32'h3f8b2ae6,32'h3f99d11a, 32'h3f83d7cd,32'h3fa12433,// invsqrt(0.7635) = 1.1445 +32'h3eaaa0c7,32'h3fd94b05,32'h3fe22983, 32'h3fd2a427,32'h3fe8d061, 32'h3fc78e0a,32'h3ff3e67e,// invsqrt(0.3333) = 1.7322 +32'h3dfc7daf,32'h4032a09e,32'h4039eb18, 32'h402d28c3,32'h403f62f3, 32'h40240bac,32'h4048800b,// invsqrt(0.1233) = 2.8480 +32'h3c535549,32'h410a0fb7,32'h410fb251, 32'h4105d5c4,32'h4113ec44, 32'h40fd9507,32'h411af784,// invsqrt(0.0129) = 8.8049 +32'h3f39972c,32'h3f935359,32'h3f9956bf, 32'h3f8ed0cb,32'h3f9dd94d, 32'h3f874c8b,32'h3fa55d8d,// invsqrt(0.7250) = 1.1745 +32'h4012d6a5,32'h3f25a100,32'h3f2c63a7, 32'h3f208f02,32'h3f3175a4, 32'h3f181bb1,32'h3f39e8f5,// invsqrt(2.2944) = 0.6602 +32'h40133a5c,32'h3f2568e0,32'h3f2c293c, 32'h3f20589a,32'h3f313982, 32'h3f17e826,32'h3f39a9f6,// invsqrt(2.3004) = 0.6593 +32'h3ecb6d92,32'h3fc7017a,32'h3fcf20e2, 32'h3fc0e9ec,32'h3fd53870, 32'h3fb6c2a9,32'h3fdf5fb3,// invsqrt(0.3973) = 1.5865 +32'h3ea6d5cf,32'h3fdbbfc8,32'h3fe4b7f0, 32'h3fd505ab,32'h3feb720d, 32'h3fc9cf79,32'h3ff6a83f,// invsqrt(0.3258) = 1.7518 +32'h408ccb53,32'h3eef35a8,32'h3ef8f926, 32'h3ee7e308,32'h3f0025e3, 32'h3edbaeaa,32'h3f064012,// invsqrt(4.3998) = 0.4767 +32'h3fa0da21,32'h3f5fcc7c,32'h3f68eef4, 32'h3f58f2a2,32'h3f6fc8ce, 32'h3f4d878c,32'h3f7b33e4,// invsqrt(1.2567) = 0.8921 +32'h3f0da4e1,32'h3fa8a378,32'h3faf8592, 32'h3fa379e5,32'h3fb4af25, 32'h3f9adf44,32'h3fbd49c6,// invsqrt(0.5533) = 1.3444 +32'h3edea75e,32'h3fbe384d,32'h3fc5fbe7, 32'h3fb86599,32'h3fcbce9b, 32'h3faeb117,32'h3fd5831d,// invsqrt(0.4349) = 1.5164 +32'h4074311d,32'h3f006fe5,32'h3f05adef, 32'h3ef902bd,32'h3f099c76, 32'h3eebe7a5,32'h3f102a01,// invsqrt(3.8155) = 0.5119 +32'h3f1e4b1d,32'h3f9f8603,32'h3fa608de, 32'h3f9aa3de,32'h3faaeb02, 32'h3f92804b,32'h3fb30e95,// invsqrt(0.6183) = 1.2717 +32'h40757452,32'h3f001b39,32'h3f0555ce, 32'h3ef85e94,32'h3f0941be, 32'h3eeb4c21,32'h3f0fcaf8,// invsqrt(3.8352) = 0.5106 +32'h3ed9a178,32'h3fc06710,32'h3fc8417a, 32'h3fba8342,32'h3fce2548, 32'h3fb0b23e,32'h3fd7f64c,// invsqrt(0.4251) = 1.5338 +32'h3ec0bdba,32'h3fcc72ce,32'h3fd4cb16, 32'h3fc63099,32'h3fdb0d4b, 32'h3fbbc240,32'h3fe57ba4,// invsqrt(0.3764) = 1.6299 +32'h3f4fcc38,32'h3f8b3b1c,32'h3f90e9ee, 32'h3f86f7fe,32'h3f952d0c, 32'h3f7fbaef,32'h3f9c4792,// invsqrt(0.8117) = 1.1099 +32'h4018855f,32'h3f2283a1,32'h3f2925bc, 32'h3f1d8a0c,32'h3f2e1f50, 32'h3f153f69,32'h3f3669f3,// invsqrt(2.3831) = 0.6478 +32'h3b7ce5be,32'h417c6a0a,32'h41835bc1, 32'h4174afef,32'h418738ce, 32'h4167cf19,32'h418da93a,// invsqrt(0.0039) = 16.0978 +32'h3e5572d3,32'h40096024,32'h400efb94, 32'h40052b91,32'h40133027, 32'h3ffc528c,32'h401a3272,// invsqrt(0.2084) = 2.1903 +32'h3d91568c,32'h406b70ca,32'h40750ce8, 32'h40643bb5,32'h407c41fd, 32'h40583890,32'h40842291,// invsqrt(0.0710) = 3.7538 +32'h3fc888cc,32'h3f486fb9,32'h3f509e14, 32'h3f424cf4,32'h3f56c0d8, 32'h3f381302,32'h3f60faca,// invsqrt(1.5667) = 0.7989 +32'h3fe7b5c2,32'h3f3a772f,32'h3f42138f, 32'h3f34c1e7,32'h3f47c8d7, 32'h3f2b3e6f,32'h3f514c4f,// invsqrt(1.8102) = 0.7432 +32'h3fae4496,32'h3f570306,32'h3f5fc9ae, 32'h3f506e09,32'h3f665eab, 32'h3f4575b7,32'h3f7156fd,// invsqrt(1.3615) = 0.8570 +32'h415df84c,32'h3e86b67c,32'h3e8c3619, 32'h3e8296c7,32'h3e9055cf, 32'h3e776e87,32'h3e973552,// invsqrt(13.8731) = 0.2685 +32'h3f2992b3,32'h3f9a2069,32'h3fa06ae1, 32'h3f956890,32'h3fa522ba, 32'h3f8d8b7b,32'h3facffcf,// invsqrt(0.6624) = 1.2287 +32'h3f57e18a,32'h3f889973,32'h3f8e2cc6, 32'h3f846af4,32'h3f925b44, 32'h3f7ae599,32'h3f99536c,// invsqrt(0.8433) = 1.0890 +32'h3f66482a,32'h3f844260,32'h3f89a85a, 32'h3f8035e5,32'h3f8db4d5, 32'h3f72ecdb,32'h3f94744d,// invsqrt(0.8995) = 1.0544 +32'h3f00810b,32'h3fb10cf9,32'h3fb846f9, 32'h3faba179,32'h3fbdb279, 32'h3fa298fa,32'h3fc6baf8,// invsqrt(0.5020) = 1.4114 +32'h3f404782,32'h3f90bd8a,32'h3f96a5ee, 32'h3f8c4f3f,32'h3f9b1439, 32'h3f84ecc3,32'h3fa276b5,// invsqrt(0.7511) = 1.1539 +32'h402bd2a1,32'h3f191d41,32'h3f1f5d25, 32'h3f146d57,32'h3f240d0f, 32'h3f0c9d7b,32'h3f2bdceb,// invsqrt(2.6847) = 0.6103 +32'h3fc83aac,32'h3f4896cf,32'h3f50c6c3, 32'h3f4272d9,32'h3f56eab9, 32'h3f3836e8,32'h3f6126aa,// invsqrt(1.5643) = 0.7995 +32'h4004ae2c,32'h3f2e3df0,32'h3f355a96, 32'h3f28e873,32'h3f3ab013, 32'h3f2004a3,32'h3f4393e3,// invsqrt(2.0731) = 0.6945 +32'h40383d72,32'h3f13dd53,32'h3f19e65b, 32'h3f0f568c,32'h3f1e6d22, 32'h3f07cb42,32'h3f25f86c,// invsqrt(2.8788) = 0.5894 +32'h3e8f0860,32'h3fed5494,32'h3ff70470, 32'h3fe610af,32'h3ffe4855, 32'h3fd9f4db,32'h40053214,// invsqrt(0.2794) = 1.8920 +32'h3ff09e27,32'h3f36fb43,32'h3f3e733b, 32'h3f316149,32'h3f440d35, 32'h3f280b52,32'h3f4d632c,// invsqrt(1.8798) = 0.7294 +32'h401e196c,32'h3f1f9f12,32'h3f2622f4, 32'h3f1abc29,32'h3f2b05dd, 32'h3f129750,32'h3f332ab7,// invsqrt(2.4703) = 0.6362 +32'h3eaf4826,32'h3fd66398,32'h3fdf23be, 32'h3fcfd37c,32'h3fe5b3da, 32'h3fc4e34d,32'h3ff0a409,// invsqrt(0.3423) = 1.7091 +32'h40907c96,32'h3eec221d,32'h3ef5c577, 32'h3ee4e79a,32'h3efcfffa, 32'h3ed8db69,32'h3f048616,// invsqrt(4.5152) = 0.4706 +32'h3fd6b81b,32'h3f41b3e3,32'h3f499be1, 32'h3f3bc5e4,32'h3f4f89e0, 32'h3f31e3e5,32'h3f596bdf,// invsqrt(1.6775) = 0.7721 +32'h3d86424b,32'h4074f65e,32'h407ef5fa, 32'h406d76aa,32'h40833ad7, 32'h4060f728,32'h40897a98,// invsqrt(0.0656) = 3.9057 +32'h3fe7e3be,32'h3f3a64b1,32'h3f420050, 32'h3f34affb,32'h3f47b507, 32'h3f2b2d74,32'h3f51378e,// invsqrt(1.8116) = 0.7430 +32'h3f248ce3,32'h3f9c7611,32'h3fa2d8ed, 32'h3f97abec,32'h3fa7a312, 32'h3f8fb059,32'h3faf9ea5,// invsqrt(0.6428) = 1.2473 +32'h3ffa2196,32'h3f3377d1,32'h3f3acb13, 32'h3f2df960,32'h3f404984, 32'h3f24d14d,32'h3f497197,// invsqrt(1.9541) = 0.7154 +32'h3ceb4c1a,32'h40b909e9,32'h40c09760, 32'h40b35fcf,32'h40c64179, 32'h40a9eefa,32'h40cfb24e,// invsqrt(0.0287) = 5.9005 +32'h3f7902e4,32'h3f7e6050,32'h3f846124, 32'h3f7696d5,32'h3f8845e2, 32'h3f699c5e,32'h3f8ec31d,// invsqrt(0.9727) = 1.0139 +32'h40a38cba,32'h3eddf205,32'h3ee7011f, 32'h3ed726b1,32'h3eedcc73, 32'h3ecbd3d0,32'h3ef91f54,// invsqrt(5.1109) = 0.4423 +32'h4006d187,32'h3f2cdad1,32'h3f33e8f9, 32'h3f279033,32'h3f393397, 32'h3f1ebe81,32'h3f420549,// invsqrt(2.1065) = 0.6890 +32'h403461f5,32'h3f156feb,32'h3f1b8962, 32'h3f10dcd1,32'h3f201c7b, 32'h3f093cfc,32'h3f27bc50,// invsqrt(2.8185) = 0.5957 +32'h3fd0f0a1,32'h3f445d00,32'h3f4c60cc, 32'h3f3e5a27,32'h3f5263a5, 32'h3f345569,32'h3f5c6863,// invsqrt(1.6323) = 0.7827 +32'h3fc8b78e,32'h3f48585f,32'h3f5085c6, 32'h3f423651,32'h3f56a7d3, 32'h3f37fd90,32'h3f60e094,// invsqrt(1.5681) = 0.7986 +32'h3f5b2475,32'h3f879441,32'h3f8d1ceb, 32'h3f836dc2,32'h3f91436a, 32'h3f7905db,32'h3f982e3f,// invsqrt(0.8560) = 1.0808 +32'h3f877959,32'h3f73dc83,32'h3f7dd09d, 32'h3f6c656f,32'h3f82a3d8, 32'h3f5ff44e,32'h3f88dc69,// invsqrt(1.0584) = 0.9720 +32'h406822b6,32'h3f03baeb,32'h3f091b5d, 32'h3eff652b,32'h3f0d23b3, 32'h3ef1f40e,32'h3f13dc41,// invsqrt(3.6271) = 0.5251 +32'h400e2501,32'h3f285766,32'h3f2f3664, 32'h3f233026,32'h3f345da4, 32'h3f1a9968,32'h3f3cf462,// invsqrt(2.2210) = 0.6710 +32'h3f82111a,32'h3f78e0f5,32'h3f8184be, 32'h3f714290,32'h3f8553f1, 32'h3f648fe6,32'h3f8bad46,// invsqrt(1.0161) = 0.9920 +32'h3f893434,32'h3f7251b5,32'h3f7c35b1, 32'h3f6ae6b7,32'h3f81d058, 32'h3f5e89bb,32'h3f87fed6,// invsqrt(1.0719) = 0.9659 +32'h3f01bd25,32'h3fb034c5,32'h3fb765f1, 32'h3faacfe3,32'h3fbccad3, 32'h3fa1d26c,32'h3fc5c84b,// invsqrt(0.5068) = 1.4047 +32'h3f882330,32'h3f734436,32'h3f7d3219, 32'h3f6bd1cc,32'h3f825242, 32'h3f5f6871,32'h3f8886f0,// invsqrt(1.0636) = 0.9697 +32'h3f4a83aa,32'h3f8d0911,32'h3f92cabe, 32'h3f88b7cf,32'h3f971bff, 32'h3f8185b6,32'h3f9e4e18,// invsqrt(0.7911) = 1.1243 +32'h3f6d442f,32'h3f824c4e,32'h3f879dca, 32'h3f7c9e64,32'h3f8b9ae6, 32'h3f6f52af,32'h3f9240c0,// invsqrt(0.9268) = 1.0387 +32'h3f6a61de,32'h3f8318e6,32'h3f8872bc, 32'h3f7e2b0d,32'h3f8c761b, 32'h3f70ca78,32'h3f932666,// invsqrt(0.9156) = 1.0451 +32'h3f3cc0f8,32'h3f9215fb,32'h3f980c6e, 32'h3f8d9d26,32'h3f9c8544, 32'h3f862916,32'h3fa3f954,// invsqrt(0.7373) = 1.1646 +32'h3fcb2118,32'h3f4726ec,32'h3f4f47dc, 32'h3f410e39,32'h3f55608f, 32'h3f36e50d,32'h3f5f89bb,// invsqrt(1.5869) = 0.7938 +32'h3fb68821,32'h3f521699,32'h3f5aa9cf, 32'h3f4ba831,32'h3f611837, 32'h3f40f02f,32'h3f6bd039,// invsqrt(1.4260) = 0.8374 +32'h3da9d531,32'h4059cd1b,32'h4062b0e8, 32'h40532242,32'h40695bc2, 32'h40480582,32'h40747882,// invsqrt(0.0829) = 3.4726 +32'h3f8483fb,32'h3f76918a,32'h3f8050f7, 32'h3f6f053f,32'h3f84171c, 32'h3f6270c3,32'h3f8a615b,// invsqrt(1.0353) = 0.9828 +32'h3f31e761,32'h3f967984,32'h3f9c9dd2, 32'h3f91de49,32'h3fa1390d, 32'h3f8a30e7,32'h3fa8e66f,// invsqrt(0.6949) = 1.1996 +32'h415bc593,32'h3e876286,32'h3e8ce928, 32'h3e833d8c,32'h3e910e22, 32'h3e78aa83,32'h3e97f66c,// invsqrt(13.7357) = 0.2698 +32'h3f74d10a,32'h3f8045eb,32'h3f85823d, 32'h3f78b159,32'h3f896f7b, 32'h3f6b9a8a,32'h3f8ffae3,// invsqrt(0.9563) = 1.0226 +32'h3f31eb8b,32'h3f9677c1,32'h3f9c9bfd, 32'h3f91dc94,32'h3fa1372a, 32'h3f8a2f49,32'h3fa8e475,// invsqrt(0.6950) = 1.1995 +32'h3f243d92,32'h3f9c9bd4,32'h3fa3003a, 32'h3f97d087,32'h3fa7cb87, 32'h3f8fd306,32'h3fafc908,// invsqrt(0.6416) = 1.2485 +32'h3f592cbb,32'h3f883123,32'h3f8dc034, 32'h3f8405d6,32'h3f91eb80, 32'h3f7a2601,32'h3f98de56,// invsqrt(0.8483) = 1.0857 +32'h3f8259a7,32'h3f789ba8,32'h3f8160ae, 32'h3f70ff62,32'h3f852ed1, 32'h3f645042,32'h3f8b8661,// invsqrt(1.0184) = 0.9909 +32'h3ffcd947,32'h3f328041,32'h3f39c968, 32'h3f2d0963,32'h3f3f4045, 32'h3f23edf2,32'h3f485bb6,// invsqrt(1.9754) = 0.7115 +32'h3e568ae8,32'h4009065b,32'h400e9e20, 32'h4004d487,32'h4012cff3, 32'h3ffbada1,32'h4019cdaa,// invsqrt(0.2095) = 2.1847 +32'h3f4ae7b7,32'h3f8ce647,32'h3f92a689, 32'h3f889616,32'h3f96f6ba, 32'h3f8165c4,32'h3f9e270c,// invsqrt(0.7926) = 1.1232 +32'h3fd7362d,32'h3f417b1e,32'h3f4960cc, 32'h3f3b8edc,32'h3f4f4d0e, 32'h3f31afc3,32'h3f592c27,// invsqrt(1.6813) = 0.7712 +32'h3d17ed16,32'h40a2d4ff,32'h40a97a6d, 32'h409dd8ed,32'h40ae767f, 32'h40958a24,32'h40b6c548,// invsqrt(0.0371) = 5.1923 +32'h3f8caa2f,32'h3f6f51d4,32'h3f79167a, 32'h3f67fe58,32'h3f8034fb, 32'h3f5bc88a,32'h3f864fe2,// invsqrt(1.0989) = 0.9539 +32'h40066b0c,32'h3f2d1ca9,32'h3f342d81, 32'h3f27d007,32'h3f397a23, 32'h3f1efaf9,32'h3f424f31,// invsqrt(2.1003) = 0.6900 +32'h3f616c85,32'h3f85ad43,32'h3f8b220c, 32'h3f8195ab,32'h3f8f39a3, 32'h3f758760,32'h3f960b9e,// invsqrt(0.8806) = 1.0657 +32'h3d914ecd,32'h406b7711,32'h4075136f, 32'h406441ca,32'h407c48b6, 32'h40583e53,32'h40842616,// invsqrt(0.0710) = 3.7542 +32'h3ebcbc91,32'h3fce9b24,32'h3fd709f7, 32'h3fc84807,32'h3fdd5d15, 32'h3fbdbd80,32'h3fe7e79c,// invsqrt(0.3686) = 1.6471 +32'h3f3cc014,32'h3f921653,32'h3f980cca, 32'h3f8d9d7b,32'h3f9c85a3, 32'h3f862967,32'h3fa3f9b7,// invsqrt(0.7373) = 1.1646 +32'h4025a7c6,32'h3f1bf040,32'h3f224da6, 32'h3f172a34,32'h3f2713b2, 32'h3f0f3574,32'h3f2f0872,// invsqrt(2.5884) = 0.6216 +32'h3faae2fa,32'h3f5920ea,32'h3f61fdb0, 32'h3f527b56,32'h3f68a344, 32'h3f47675f,32'h3f73b73b,// invsqrt(1.3351) = 0.8655 +32'h3e9e7035,32'h3fe17f41,32'h3feab377, 32'h3fda9817,32'h3ff19aa1, 32'h3fcf16d3,32'h3ffd1be5,// invsqrt(0.3094) = 1.7976 +32'h3ca3317b,32'h40de3009,32'h40e741ab, 32'h40d762cf,32'h40ee0ee5, 32'h40cc0cc4,32'h40f964f0,// invsqrt(0.0199) = 7.0851 +32'h4127762b,32'h3e9b1860,32'h3ea16cf7, 32'h3e9658f0,32'h3ea62c68, 32'h3e8e6f34,32'h3eae1624,// invsqrt(10.4663) = 0.3091 +32'h3f7cdac2,32'h3f7c6f86,32'h3f835e9b, 32'h3f74b540,32'h3f873bbe, 32'h3f67d421,32'h3f8dac4d,// invsqrt(0.9877) = 1.0062 +32'h4033bca6,32'h3f15b493,32'h3f1bd0d8, 32'h3f111f60,32'h3f20660c, 32'h3f097c0a,32'h3f280962,// invsqrt(2.8084) = 0.5967 +32'h3e84d330,32'h3ff647fa,32'h40002aaf, 32'h3feebdf0,32'h4003efb4, 32'h3fe22d34,32'h400a3812,// invsqrt(0.2594) = 1.9633 +32'h3faaa70c,32'h3f594707,32'h3f62255b, 32'h3f52a048,32'h3f68cc1a, 32'h3f478a5f,32'h3f73e203,// invsqrt(1.3332) = 0.8661 +32'h4012d31f,32'h3f25a2fd,32'h3f2c65b8, 32'h3f2090ef,32'h3f3177c5, 32'h3f181d84,32'h3f39eb30,// invsqrt(2.2941) = 0.6602 +32'h3fa7a91b,32'h3f5b3524,32'h3f6427a2, 32'h3f547f44,32'h3f6add82, 32'h3f495026,32'h3f760ca0,// invsqrt(1.3098) = 0.8738 +32'h41029cdd,32'h3eaf9d9c,32'h3eb6c89e, 32'h3eaa3d5c,32'h3ebc28de, 32'h3ea1479a,32'h3ec51ea0,// invsqrt(8.1633) = 0.3500 +32'h413a5e7d,32'h3e93047c,32'h3e9904aa, 32'h3e8e8458,32'h3e9d84ce, 32'h3e87041e,32'h3ea50508,// invsqrt(11.6481) = 0.2930 +32'h3f714ce0,32'h3f813450,32'h3f867a5e, 32'h3f7a7f8c,32'h3f8a6ee8, 32'h3f6d5069,32'h3f910679,// invsqrt(0.9426) = 1.0300 +32'h3fdee39a,32'h3f3e1e97,32'h3f45e125, 32'h3f384cac,32'h3f4bb310, 32'h3f2e997b,32'h3f556641,// invsqrt(1.7413) = 0.7578 +32'h3de4c00e,32'h403bab03,32'h404353f4, 32'h4035ec4f,32'h404912a9, 32'h402c5923,32'h4052a5d5,// invsqrt(0.1117) = 2.9922 +32'h3f165561,32'h3fa3b138,32'h3faa5fa2, 32'h3f9eae68,32'h3faf6272, 32'h3f965462,32'h3fb7bc78,// invsqrt(0.5872) = 1.3049 +32'h400bc3bb,32'h3f29c4c6,32'h3f30b2ae, 32'h3f249257,32'h3f35e51d, 32'h3f1be8f4,32'h3f3e8e80,// invsqrt(2.1838) = 0.6767 +32'h3de77543,32'h403a9128,32'h40422e98, 32'h4034db15,32'h4047e4ab, 32'h402b564a,32'h40516976,// invsqrt(0.1130) = 2.9746 +32'h40b11325,32'h3ed54d07,32'h3ede01cd, 32'h3ecec572,32'h3ee48962, 32'h3ec3e379,32'h3eef6b5b,// invsqrt(5.5336) = 0.4251 +32'h3f72030c,32'h3f8103a6,32'h3f8647b7, 32'h3f7a2131,32'h3f8a3ac4, 32'h3f6cf706,32'h3f90cfd9,// invsqrt(0.9454) = 1.0285 +32'h3f36e910,32'h3f9466a8,32'h3f9a754c, 32'h3f8fdbae,32'h3f9f0046, 32'h3f884961,32'h3fa69293,// invsqrt(0.7145) = 1.1830 +32'h3f03baf4,32'h3faede81,32'h3fb601b5, 32'h3fa9841a,32'h3fbb5c1c, 32'h3fa09818,32'h3fc4481e,// invsqrt(0.5146) = 1.3940 +32'h3f8d88a2,32'h3f6e9578,32'h3f78526e, 32'h3f6747c0,32'h3f7fa026, 32'h3f5b1b8e,32'h3f85e62c,// invsqrt(1.1057) = 0.9510 +32'h3fbbcf20,32'h3f4f1d95,32'h3f5791bb, 32'h3f48c679,32'h3f5de8d7, 32'h3f3e354a,32'h3f687a06,// invsqrt(1.4673) = 0.8256 +32'h4005d48a,32'h3f2d7de6,32'h3f3492b6, 32'h3f282e4a,32'h3f39e252, 32'h3f1f5446,32'h3f42bc56,// invsqrt(2.0911) = 0.6915 +32'h3f8ed780,32'h3f6d7d2b,32'h3f772eaf, 32'h3f663808,32'h3f7e73d2, 32'h3f5a1a22,32'h3f8548dc,// invsqrt(1.1160) = 0.9466 +32'h3eef31a1,32'h3fb7867c,32'h3fbf0422, 32'h3fb1e83e,32'h3fc4a260, 32'h3fa88b2e,32'h3fcdff71,// invsqrt(0.4672) = 1.4631 +32'h3f26701e,32'h3f9b9249,32'h3fa1ebd9, 32'h3f96cf1d,32'h3fa6af05, 32'h3f8edf29,32'h3fae9ef9,// invsqrt(0.6501) = 1.2402 +32'h40e135e0,32'h3ebd231a,32'h3ec4db64, 32'h3eb758e2,32'h3ecaa59c, 32'h3eadb286,32'h3ed44bf9,// invsqrt(7.0378) = 0.3769 +32'h3f9e50d8,32'h3f619596,32'h3f6acab6, 32'h3f5aadbe,32'h3f71b28e, 32'h3f4f2b55,32'h3f7d34f7,// invsqrt(1.2368) = 0.8992 +32'h40844384,32'h3ef6cd99,32'h3f007038, 32'h3eef3f78,32'h3f043749, 32'h3ee2a7eb,32'h3f0a8310,// invsqrt(4.1332) = 0.4919 +32'h4062675d,32'h3f056321,32'h3f0ad4e3, 32'h3f014dcf,32'h3f0eea35, 32'h3ef4ff37,32'h3f15b869,// invsqrt(3.5376) = 0.5317 +32'h3fb9ef11,32'h3f502849,32'h3f58a751, 32'h3f49c903,32'h3f5f0697, 32'h3f3f2a38,32'h3f69a562,// invsqrt(1.4526) = 0.8297 +32'h3fe53612,32'h3f3b7aad,32'h3f4321a5, 32'h3f35bd74,32'h3f48dede, 32'h3f2c2cbe,32'h3f526f94,// invsqrt(1.7907) = 0.7473 +32'h3fa8b8a2,32'h3f5a8479,32'h3f636fc2, 32'h3f53d403,32'h3f6a2039, 32'h3f48ade8,32'h3f754654,// invsqrt(1.3181) = 0.8710 +32'h4089c0ac,32'h3ef1d609,32'h3efbb4f9, 32'h3eea6ed4,32'h3f018e17, 32'h3ede1827,32'h3f07b96d,// invsqrt(4.3048) = 0.4820 +32'h3f85fbeb,32'h3f7536ab,32'h3f7f38e7, 32'h3f6db4ff,32'h3f835d4a, 32'h3f613235,32'h3f899eaf,// invsqrt(1.0468) = 0.9774 +32'h3d8e2a4e,32'h406e0da8,32'h4077c512, 32'h4066c418,32'h407f0ea2, 32'h405a9ed4,32'h408599f3,// invsqrt(0.0694) = 3.7955 +32'h3f7c6d30,32'h3f7ca649,32'h3f837b1c, 32'h3f74ea56,32'h3f875915, 32'h3f68066d,32'h3f8dcb0a,// invsqrt(0.9860) = 1.0071 +32'h3fd864c3,32'h3f40f3a9,32'h3f48d3cf, 32'h3f3b0b8c,32'h3f4ebbec, 32'h3f31335c,32'h3f58941c,// invsqrt(1.6906) = 0.7691 +32'h40bc3963,32'h3ecee317,32'h3ed754d9, 32'h3ec88dc5,32'h3eddaa2b, 32'h3ebdff92,32'h3ee8385e,// invsqrt(5.8820) = 0.4123 +32'h3fe7039f,32'h3f3abf06,32'h3f425e54, 32'h3f35078b,32'h3f4815cf, 32'h3f2b8068,32'h3f519cf2,// invsqrt(1.8048) = 0.7444 +32'h3f29b8c8,32'h3f9a0f1e,32'h3fa058e0, 32'h3f9557cc,32'h3fa51032, 32'h3f8d7b99,32'h3facec65,// invsqrt(0.6630) = 1.2281 +32'h3ff0b5e3,32'h3f36f23d,32'h3f3e69d7, 32'h3f31588a,32'h3f44038a, 32'h3f280309,32'h3f4d590b,// invsqrt(1.8806) = 0.7292 +32'h3fae1433,32'h3f5720e6,32'h3f5fe8c5, 32'h3f508afe,32'h3f667eac, 32'h3f459126,32'h3f717884,// invsqrt(1.3600) = 0.8575 +32'h3f11f586,32'h3fa62089,32'h3face865, 32'h3fa10aa4,32'h3fb1fe4a, 32'h3f9890d2,32'h3fba781d,// invsqrt(0.5702) = 1.3244 +32'h3e46d864,32'h400e54a5,32'h401423da, 32'h4009f93c,32'h40187f42, 32'h4002b639,32'h401fc245,// invsqrt(0.1942) = 2.2693 +32'h3f42d1db,32'h3f8fcb29,32'h3f95a9a9, 32'h3f8b644a,32'h3f9a1088, 32'h3f840e2b,32'h3fa166a7,// invsqrt(0.7610) = 1.1463 +32'h3edf4be4,32'h3fbdf22c,32'h3fc5b2ea, 32'h3fb8219e,32'h3fcb8378, 32'h3fae70b0,32'h3fd53466,// invsqrt(0.4361) = 1.5142 +32'h4032f7f3,32'h3f1606c2,32'h3f1c2661, 32'h3f116f0a,32'h3f20be18, 32'h3f09c782,32'h3f2865a0,// invsqrt(2.7964) = 0.5980 +32'h4010e40c,32'h3f26bd07,32'h3f2d8b46, 32'h3f21a258,32'h3f32a5f6, 32'h3f19208a,32'h3f3b27c5,// invsqrt(2.2639) = 0.6646 +32'h3ea4ab68,32'h3fdd307e,32'h3fe637b3, 32'h3fd66b18,32'h3fecfd1a, 32'h3fcb2216,32'h3ff8461c,// invsqrt(0.3216) = 1.7633 +32'h39b30129,32'h425425e6,32'h425ccea0, 32'h424da759,32'h42634d2d, 32'h4242d470,32'h426e2017,// invsqrt(0.0003) = 54.1194 +32'h3fc697c4,32'h3f4969ef,32'h3f51a281, 32'h3f433f82,32'h3f57ccee, 32'h3f38f8cc,32'h3f6213a4,// invsqrt(1.5515) = 0.8028 +32'h3eb1524e,32'h3fd52707,32'h3fddda41, 32'h3fcea09c,32'h3fe460ac, 32'h3fc3c094,32'h3fef40b4,// invsqrt(0.3463) = 1.6992 +32'h3f92c7f3,32'h3f6a47cb,32'h3f73d7c9, 32'h3f631bcd,32'h3f7b03c7, 32'h3f5727cf,32'h3f837be2,// invsqrt(1.1467) = 0.9338 +32'h406bbb1e,32'h3f02b8c3,32'h3f080eab, 32'h3efd70a8,32'h3f0c0f1a, 32'h3ef019e3,32'h3f12ba7c,// invsqrt(3.6833) = 0.5211 +32'h3e85f418,32'h3ff53dd5,32'h3fff405b, 32'h3fedbbf0,32'h40036120, 32'h3fe138c9,32'h4009a2b4,// invsqrt(0.2616) = 1.9551 +32'h3fb317b0,32'h3f54188d,32'h3f5cc0bd, 32'h3f4d9a6a,32'h3f633ee0, 32'h3f42c82e,32'h3f6e111c,// invsqrt(1.3992) = 0.8454 +32'h3f1eb5d0,32'h3f9f505a,32'h3fa5d105, 32'h3f9a6fda,32'h3faab186, 32'h3f924f05,32'h3fb2d25b,// invsqrt(0.6200) = 1.2700 +32'h40107da5,32'h3f26f813,32'h3f2dc8bb, 32'h3f21db95,32'h3f32e539, 32'h3f1956c3,32'h3f3b6a0b,// invsqrt(2.2577) = 0.6655 +32'h3eb2214c,32'h3fd4ab0b,32'h3fdd5935, 32'h3fce286b,32'h3fe3dbd5, 32'h3fc34eb6,32'h3feeb58a,// invsqrt(0.3479) = 1.6954 +32'h3f37addc,32'h3f941713,32'h3f9a2277, 32'h3f8f8e88,32'h3f9eab02, 32'h3f88004b,32'h3fa6393f,// invsqrt(0.7175) = 1.1806 +32'h3f86d94b,32'h3f746d11,32'h3f7e6712, 32'h3f6cf191,32'h3f82f149, 32'h3f607910,32'h3f892d8a,// invsqrt(1.0535) = 0.9743 +32'h3f1e6600,32'h3f9f7878,32'h3fa5fac6, 32'h3f9a96bd,32'h3faadc81, 32'h3f9273dc,32'h3fb2ff62,// invsqrt(0.6187) = 1.2713 +32'h40e3b6a7,32'h3ebc1841,32'h3ec3c5a7, 32'h3eb65635,32'h3ec987b3, 32'h3eacbd75,32'h3ed32073,// invsqrt(7.1160) = 0.3749 +32'h3fd6d68b,32'h3f41a629,32'h3f498d99, 32'h3f3bb896,32'h3f4f7b2c, 32'h3f31d74a,32'h3f595c78,// invsqrt(1.6784) = 0.7719 +32'h3f2fae2c,32'h3f976c85,32'h3f9d9abe, 32'h3f92c9d9,32'h3fa23d69, 32'h3f8b1011,32'h3fa9f731,// invsqrt(0.6863) = 1.2071 +32'h405d1bab,32'h3f06f9a1,32'h3f0c7bfc, 32'h3f02d7de,32'h3f109dc0, 32'h3ef7e9db,32'h3f1780b0,// invsqrt(3.4548) = 0.5380 +32'h3f7b379b,32'h3f7d41c6,32'h3f83cc07, 32'h3f758112,32'h3f87ac61, 32'h3f689539,32'h3f8e224e,// invsqrt(0.9813) = 1.0095 +32'h3f35fd23,32'h3f94c6ba,32'h3f9ad94a, 32'h3f9038cf,32'h3f9f6735, 32'h3f88a19b,32'h3fa6fe69,// invsqrt(0.7109) = 1.1860 +32'h401b6f6e,32'h3f20fbc4,32'h3f278de0, 32'h3f1c0e2e,32'h3f2c7b76, 32'h3f13d78a,32'h3f34b21a,// invsqrt(2.4287) = 0.6417 +32'h3f95165d,32'h3f687616,32'h3f71f312, 32'h3f615859,32'h3f7910cf, 32'h3f557c1f,32'h3f827685,// invsqrt(1.1647) = 0.9266 +32'h400bf2de,32'h3f29a82c,32'h3f3094ea, 32'h3f24769e,32'h3f35c678, 32'h3f1bceb0,32'h3f3e6e66,// invsqrt(2.1867) = 0.6762 +32'h3efe88db,32'h3fb1e8ac,32'h3fb92ba4, 32'h3fac7673,32'h3fbe9ddd, 32'h3fa362be,32'h3fc7b192,// invsqrt(0.4971) = 1.4183 +32'h3f7c637e,32'h3f7cab24,32'h3f837da2, 32'h3f74ef0b,32'h3f875bae, 32'h3f680ae2,32'h3f8dcdc3,// invsqrt(0.9859) = 1.0071 +32'h3fa9dd6f,32'h3f59c7d3,32'h3f62ab68, 32'h3f531d22,32'h3f695618, 32'h3f4800a7,32'h3f747293,// invsqrt(1.3271) = 0.8681 +32'h3fde0d21,32'h3f3e7a52,32'h3f46409e, 32'h3f38a598,32'h3f4c1558, 32'h3f2eedb9,32'h3f55cd37,// invsqrt(1.7348) = 0.7592 +32'h3f806662,32'h3f7a7d2e,32'h3f825b44, 32'h3f72d22a,32'h3f8630c6, 32'h3f660a78,32'h3f8c949f,// invsqrt(1.0031) = 0.9984 +32'h3fab14d6,32'h3f590144,32'h3f61dcbf, 32'h3f525ca8,32'h3f68815c, 32'h3f474a4f,32'h3f7393b5,// invsqrt(1.3366) = 0.8650 +32'h403738cd,32'h3f14465a,32'h3f1a53ac, 32'h3f0fbc5c,32'h3f1eddaa, 32'h3f082bb6,32'h3f266e50,// invsqrt(2.8628) = 0.5910 +32'h3ebb96e6,32'h3fcf3c9d,32'h3fd7b207, 32'h3fc8e48e,32'h3fde0a16, 32'h3fbe51ca,32'h3fe89cda,// invsqrt(0.3664) = 1.6521 +32'h3fb8dfe3,32'h3f50c0bc,32'h3f5945fe, 32'h3f4a5ccc,32'h3f5fa9ee, 32'h3f3fb63a,32'h3f6a5080,// invsqrt(1.4443) = 0.8321 +32'h3f798ed8,32'h3f7e18f2,32'h3f843c01, 32'h3f7651a7,32'h3f881fa6, 32'h3f695ad4,32'h3f8e9b10,// invsqrt(0.9748) = 1.0128 +32'h400d1c0b,32'h3f28f528,32'h3f2fda98, 32'h3f23c915,32'h3f3506ab, 32'h3f1b2a4a,32'h3f3da576,// invsqrt(2.2048) = 0.6735 +32'h3eaf4718,32'h3fd6643d,32'h3fdf2469, 32'h3fcfd41c,32'h3fe5b48a, 32'h3fc4e3e4,32'h3ff0a4c2,// invsqrt(0.3423) = 1.7091 +32'h3ecee503,32'h3fc554df,32'h3fcd62c9, 32'h3fbf4a70,32'h3fd36d38, 32'h3fb5390c,32'h3fdd7e9c,// invsqrt(0.4041) = 1.5731 +32'h3e5be781,32'h40075813,32'h400cde49, 32'h4003336c,32'h401102f0, 32'h3ff89753,32'h4017eab3,// invsqrt(0.2148) = 2.1579 +32'h3fcba77a,32'h3f46e52d,32'h3f4f036d, 32'h3f40ce7c,32'h3f551a1e, 32'h3f36a8ac,32'h3f5f3fee,// invsqrt(1.5910) = 0.7928 +32'h4064d34d,32'h3f04adf6,32'h3f0a1853, 32'h3f009e2f,32'h3f0e2819, 32'h3ef3b274,32'h3f14ed0e,// invsqrt(3.5754) = 0.5289 +32'h400659a9,32'h3f2d27dc,32'h3f343929, 32'h3f27dae3,32'h3f398623, 32'h3f1f0543,32'h3f425bc3,// invsqrt(2.0992) = 0.6902 +32'h403e5600,32'h3f117a39,32'h3f176a51, 32'h3f0d0628,32'h3f1bde62, 32'h3f059a0b,32'h3f234a7f,// invsqrt(2.9740) = 0.5799 +32'h3e3ca0ce,32'h4012226f,32'h40181964, 32'h400da938,32'h401c929c, 32'h40063486,32'h4024074e,// invsqrt(0.1842) = 2.3300 +32'h3f71e127,32'h3f810caf,32'h3f86511f, 32'h3f7a32b7,32'h3f8a4473, 32'h3f6d07a0,32'h3f90d9fe,// invsqrt(0.9448) = 1.0288 +32'h3d78ede8,32'h407e6b08,32'h408466b8, 32'h4076a139,32'h40884ba0, 32'h4069a636,32'h408ec921,// invsqrt(0.0608) = 4.0564 +32'h3e5a2eac,32'h4007e089,32'h400d6c50, 32'h4003b7b4,32'h40119526, 32'h3ff991f7,32'h401883de,// invsqrt(0.2131) = 2.1664 +32'h3e109f62,32'h4026e498,32'h402db474, 32'h4021c8b2,32'h4032d05a, 32'h401944df,32'h403b542d,// invsqrt(0.1412) = 2.6609 +32'h411313f2,32'h3ea57e78,32'h3eac3fb6, 32'h3ea06d89,32'h3eb150a5, 32'h3e97fbfb,32'h3eb9c233,// invsqrt(9.1924) = 0.3298 +32'h40843a6c,32'h3ef6d615,32'h3f0074a2, 32'h3eef47b1,32'h3f043bd4, 32'h3ee2afb5,32'h3f0a87d2,// invsqrt(4.1321) = 0.4919 +32'h3d59fbd8,32'h4087f060,32'h408d7ccc, 32'h4083c70e,32'h4091a61e, 32'h4079af0e,32'h409895a5,// invsqrt(0.0532) = 4.3348 +32'h3ff74482,32'h3f348116,32'h3f3bdf2c, 32'h3f2efa86,32'h3f4165bc, 32'h3f25c4ea,32'h3f4a9b58,// invsqrt(1.9318) = 0.7195 +32'h3eef021b,32'h3fb798ba,32'h3fbf1720, 32'h3fb1f9ee,32'h3fc4b5ec, 32'h3fa89bef,32'h3fce13eb,// invsqrt(0.4668) = 1.4636 +32'h412a71d1,32'h3e99bb67,32'h3ea001bf, 32'h3e9506a5,32'h3ea4b681, 32'h3e8d2eb8,32'h3eac8e6e,// invsqrt(10.6528) = 0.3064 +32'h3ff6b161,32'h3f34b6e2,32'h3f3c172a, 32'h3f2f2eac,32'h3f419f60, 32'h3f25f652,32'h3f4ad7ba,// invsqrt(1.9273) = 0.7203 +32'h3fecd578,32'h3f386ffd,32'h3f3ff72c, 32'h3f32ca9a,32'h3f459c90, 32'h3f2961a0,32'h3f4f058a,// invsqrt(1.8503) = 0.7352 +32'h3fba48b1,32'h3f4ff630,32'h3f58732d, 32'h3f499873,32'h3f5ed0eb, 32'h3f3efc37,32'h3f696d27,// invsqrt(1.4553) = 0.8289 +32'h3e468d3a,32'h400e6f93,32'h40143fe2, 32'h400a1357,32'h40189c1d, 32'h4002cef4,32'h401fe080,// invsqrt(0.1939) = 2.2710 +32'h40043819,32'h3f2e8bac,32'h3f35ab7e, 32'h3f2933ce,32'h3f3b035c, 32'h3f204c06,32'h3f43eb24,// invsqrt(2.0659) = 0.6957 +32'h3f9ef6fa,32'h3f611f96,32'h3f6a4fe6, 32'h3f5a3b5b,32'h3f713421, 32'h3f4ebef8,32'h3f7cb084,// invsqrt(1.2419) = 0.8973 +32'h3f96e3da,32'h3f671189,32'h3f707ff7, 32'h3f5ffeb6,32'h3f7792ca, 32'h3f5434ad,32'h3f81ae6a,// invsqrt(1.1788) = 0.9210 +32'h4028a714,32'h3f1a8bee,32'h3f20dac8, 32'h3f15d0ca,32'h3f2595ec, 32'h3f0dee38,32'h3f2d787e,// invsqrt(2.6352) = 0.6160 +32'h3eaf80a7,32'h3fd64112,32'h3fdeffcf, 32'h3fcfb205,32'h3fe58edd, 32'h3fc4c399,32'h3ff07d49,// invsqrt(0.3428) = 1.7080 +32'h3fb44530,32'h3f5366e6,32'h3f5c07d6, 32'h3f4cee33,32'h3f628089, 32'h3f422508,32'h3f6d49b4,// invsqrt(1.4084) = 0.8426 +32'h3e3d96ee,32'h4011c375,32'h4017b689, 32'h400d4d25,32'h401c2cd9, 32'h4005dd4c,32'h40239cb2,// invsqrt(0.1851) = 2.3240 +32'h3f839b1e,32'h3f776b4d,32'h3f80c24a, 32'h3f6fd858,32'h3f848bc5, 32'h3f6338bf,32'h3f8adb91,// invsqrt(1.0282) = 0.9862 +32'h3ce4195a,32'h40bbef8b,32'h40c39b47, 32'h40b62ebd,32'h40c95c15, 32'h40ac9812,32'h40d2f2c0,// invsqrt(0.0278) = 5.9928 +32'h3f0368dc,32'h3faf1518,32'h3fb63a86, 32'h3fa9b905,32'h3fbb9699, 32'h3fa0ca3a,32'h3fc48564,// invsqrt(0.5133) = 1.3957 +32'h3f833b1f,32'h3f77c5bb,32'h3f80f159, 32'h3f703001,32'h3f84bc36, 32'h3f638bcb,32'h3f8b0e51,// invsqrt(1.0252) = 0.9876 +32'h41ee6d9b,32'h3e37d1de,32'h3e3f5298, 32'h3e323152,32'h3e44f324, 32'h3e28d068,32'h3e4e540e,// invsqrt(29.8035) = 0.1832 +32'h40596f10,32'h3f081c5b,32'h3f0daa93, 32'h3f03f1b1,32'h3f11d53d, 32'h3ef9ffd6,32'h3f18c703,// invsqrt(3.3974) = 0.5425 +32'h403a5363,32'h3f1308dd,32'h3f190939, 32'h3f0e8897,32'h3f1d897f, 32'h3f070824,32'h3f2509f2,// invsqrt(2.9113) = 0.5861 +32'h3ee2f43e,32'h3fbc68bf,32'h3fc4196e, 32'h3fb6a43c,32'h3fc9ddf2, 32'h3fad0762,32'h3fd37acd,// invsqrt(0.4433) = 1.5020 +32'h402fddba,32'h3f17580a,32'h3f1d856e, 32'h3f12b5ff,32'h3f222779, 32'h3f0afd43,32'h3f29e035,// invsqrt(2.7479) = 0.6033 +32'h3ee49114,32'h3fbbbe4b,32'h3fc36805, 32'h3fb5ff00,32'h3fc92750, 32'h3fac6ad7,32'h3fd2bb79,// invsqrt(0.4464) = 1.4967 +32'h3f7a69be,32'h3f7da9ca,32'h3f840228, 32'h3f75e5e7,32'h3f87e41b, 32'h3f68f4c0,32'h3f8e5cae,// invsqrt(0.9782) = 1.0111 +32'h4181745d,32'h3e797772,32'h3e81d30f, 32'h3e71d471,32'h3e85a48f, 32'h3e651a1a,32'h3e8c01bb,// invsqrt(16.1818) = 0.2486 +32'h3ed08779,32'h3fc48e7c,32'h3fcc944d, 32'h3fbe8a20,32'h3fd298aa, 32'h3fb482db,32'h3fdc9fef,// invsqrt(0.4073) = 1.5669 +32'h4026423a,32'h3f1ba7c0,32'h3f220230, 32'h3f16e3ec,32'h3f26c604, 32'h3f0ef2df,32'h3f2eb711,// invsqrt(2.5978) = 0.6204 +32'h3f0d9f69,32'h3fa8a6b9,32'h3faf88f5, 32'h3fa37d0c,32'h3fb4b2a2, 32'h3f9ae242,32'h3fbd4d6d,// invsqrt(0.5532) = 1.3445 +32'h3da2c184,32'h405e7c68,32'h40679129, 32'h4057acd9,32'h406e60b9, 32'h404c52e8,32'h4079baaa,// invsqrt(0.0795) = 3.5473 +32'h4003f262,32'h3f2eb9c2,32'h3f35db76, 32'h3f29607b,32'h3f3b34bd, 32'h3f207659,32'h3f441edf,// invsqrt(2.0617) = 0.6965 +32'h405acce0,32'h3f07af61,32'h3f0d3927, 32'h3f03880d,32'h3f11607b, 32'h3ef937ae,32'h3f184cb1,// invsqrt(3.4188) = 0.5408 +32'h401e9cf2,32'h3f1f5cd7,32'h3f25de04, 32'h3f1a7bf4,32'h3f2abee6, 32'h3f125a7c,32'h3f32e05e,// invsqrt(2.4783) = 0.6352 +32'h3eb0412e,32'h3fd5cbee,32'h3fde85e2, 32'h3fcf4076,32'h3fe5115a, 32'h3fc45804,32'h3feff9cc,// invsqrt(0.3442) = 1.7044 +32'h3f780cdc,32'h3f7ede58,32'h3f84a2ba, 32'h3f771101,32'h3f888966, 32'h3f6a101c,32'h3f8f09d8,// invsqrt(0.9689) = 1.0159 +32'h40cee82c,32'h3ec5535d,32'h3ecd6137, 32'h3ebf48fa,32'h3ed36b9a, 32'h3eb537a9,32'h3edd7ceb,// invsqrt(6.4658) = 0.3933 +32'h3f14c6c4,32'h3fa48bef,32'h3fab4347, 32'h3f9f826d,32'h3fb04cc9, 32'h3f971d3f,32'h3fb8b1f7,// invsqrt(0.5812) = 1.3118 +32'h3ee77fc1,32'h3fba8cee,32'h3fc22a32, 32'h3fb4d6fc,32'h3fc7e024, 32'h3fab5268,32'h3fd164b8,// invsqrt(0.4521) = 1.4872 +32'h401a541a,32'h3f218f46,32'h3f282768, 32'h3f1c9d2c,32'h3f2d1982, 32'h3f145f02,32'h3f3557ad,// invsqrt(2.4114) = 0.6440 +32'h4093458b,32'h3ee9e3d0,32'h3ef36fb9, 32'h3ee2bae1,32'h3efa98a7, 32'h3ed6cbfd,32'h3f0343c5,// invsqrt(4.6022) = 0.4661 +32'h3ec54f77,32'h3fca113a,32'h3fd250a0, 32'h3fc3e1ae,32'h3fd8802c, 32'h3fb9926f,32'h3fe2cf6b,// invsqrt(0.3854) = 1.6109 +32'h3ef36e28,32'h3fb5ebdf,32'h3fbd58c3, 32'h3fb05a33,32'h3fc2ea6f, 32'h3fa71216,32'h3fcc328c,// invsqrt(0.4754) = 1.4503 +32'h42446a4c,32'h3e0f3559,32'h3e150dbb, 32'h3e0ad310,32'h3e197004, 32'h3e038496,32'h3e20be7e,// invsqrt(49.1038) = 0.1427 +32'h40479486,32'h3f0e117f,32'h3f13ddf7, 32'h3f09b825,32'h3f183751, 32'h3f02788f,32'h3f1f76e7,// invsqrt(3.1184) = 0.5663 +32'h4085f13d,32'h3ef54072,32'h3eff4313, 32'h3eedbe78,32'h3f036286, 32'h3ee13b2f,32'h3f09a42b,// invsqrt(4.1857) = 0.4888 +32'h4019a984,32'h3f21e8da,32'h3f2884a4, 32'h3f1cf402,32'h3f2d797c, 32'h3f14b146,32'h3f35bc39,// invsqrt(2.4010) = 0.6454 +32'h405609e1,32'h3f092fa2,32'h3f0ec916, 32'h3f04fc8b,32'h3f12fc2d, 32'h3efbf972,32'h3f19fbff,// invsqrt(3.3444) = 0.5468 +32'h3f63584c,32'h3f851c60,32'h3f8a8b3f, 32'h3f810938,32'h3f8e9e66, 32'h3f747d41,32'h3f9568fd,// invsqrt(0.8881) = 1.0612 +32'h3fc9728c,32'h3f47fb4d,32'h3f5024e8, 32'h3f41dc1a,32'h3f56441c, 32'h3f37a818,32'h3f60781e,// invsqrt(1.5738) = 0.7971 +32'h3eb3af44,32'h3fd3bf05,32'h3fdc638d, 32'h3fcd439f,32'h3fe2def3, 32'h3fc275f5,32'h3fedac9d,// invsqrt(0.3509) = 1.6880 +32'h3f92776f,32'h3f6a8827,32'h3f741ac5, 32'h3f635a30,32'h3f7b48bc, 32'h3f5762ea,32'h3f83a001,// invsqrt(1.1443) = 0.9348 +32'h40c06f17,32'h3ecc9c90,32'h3ed4f68b, 32'h3ec65913,32'h3edb3a07, 32'h3ebbe898,32'h3ee5aa82,// invsqrt(6.0136) = 0.4078 +32'h3f5e1403,32'h3f86ae14,32'h3f8c2d59, 32'h3f828ea1,32'h3f904ccd, 32'h3f775f16,32'h3f972be3,// invsqrt(0.8675) = 1.0737 +32'h3fecccea,32'h3f387352,32'h3f3ffaa4, 32'h3f32cdd5,32'h3f45a021, 32'h3f2964af,32'h3f4f0947,// invsqrt(1.8500) = 0.7352 +32'h4031b3fd,32'h3f168f44,32'h3f1cb476, 32'h3f11f35f,32'h3f21505b, 32'h3f0a44e0,32'h3f28feda,// invsqrt(2.7766) = 0.6001 +32'h40fc50fe,32'h3eb2b06f,32'h3eb9fb8e, 32'h3ead3819,32'h3ebf73e5, 32'h3ea41a32,32'h3ec891cc,// invsqrt(7.8849) = 0.3561 +32'h3f747d4e,32'h3f805be0,32'h3f859918, 32'h3f78dbec,32'h3f898702, 32'h3f6bc2df,32'h3f901388,// invsqrt(0.9550) = 1.0233 +32'h3f810083,32'h3f79e75d,32'h3f820d4d, 32'h3f7240ef,32'h3f85e084, 32'h3f6580e3,32'h3f8c408b,// invsqrt(1.0078) = 0.9961 +32'h3fc7073a,32'h3f493181,32'h3f5167c5, 32'h3f4308ce,32'h3f579078, 32'h3f38c4f9,32'h3f61d44d,// invsqrt(1.5549) = 0.8020 +32'h3fce9e09,32'h3f4576c1,32'h3f4d860d, 32'h3f3f6b48,32'h3f539186, 32'h3f35582a,32'h3f5da4a5,// invsqrt(1.6142) = 0.7871 +32'h3ef6ee5e,32'h3fb4a08f,32'h3fbbffed, 32'h3faf1908,32'h3fc18774, 32'h3fa5e1d1,32'h3fcabeab,// invsqrt(0.4823) = 1.4399 +32'h3d79ad62,32'h407e0967,32'h408433ea, 32'h40764296,32'h40881753, 32'h40694c8e,32'h408e9257,// invsqrt(0.0610) = 4.0503 +32'h3ffaa823,32'h3f33479f,32'h3f3a98e9, 32'h3f2dcaa7,32'h3f4015e1, 32'h3f24a50a,32'h3f493b7e,// invsqrt(1.9583) = 0.7146 +32'h3f285009,32'h3f9ab3df,32'h3fa1045b, 32'h3f95f782,32'h3fa5c0b8, 32'h3f8e12e7,32'h3fada553,// invsqrt(0.6575) = 1.2333 +32'h3fa370ca,32'h3f5e04fc,32'h3f6714dd, 32'h3f573914,32'h3f6de0c6, 32'h3f4be53c,32'h3f79349e,// invsqrt(1.2769) = 0.8850 +32'h3ebf2007,32'h3fcd4f9c,32'h3fd5b0e6, 32'h3fc706a4,32'h3fdbf9de, 32'h3fbc8d07,32'h3fe6737b,// invsqrt(0.3733) = 1.6367 +32'h3dd41a38,32'h4042e4d6,32'h404ad947, 32'h403ced80,32'h4050d09c, 32'h4032fbf3,32'h405ac229,// invsqrt(0.1036) = 3.1074 +32'h3f8e53fd,32'h3f6deaca,32'h3f77a0c8, 32'h3f66a24c,32'h3f7ee946, 32'h3f5a7ece,32'h3f858662,// invsqrt(1.1119) = 0.9483 +32'h411fa858,32'h3e9ed72b,32'h3ea552e3, 32'h3e99fa60,32'h3eaa2fae, 32'h3e91dfba,32'h3eb24a55,// invsqrt(9.9786) = 0.3166 +32'h3f92c981,32'h3f6a468d,32'h3f73d67e, 32'h3f631a99,32'h3f7b0273, 32'h3f5726ac,32'h3f837b30,// invsqrt(1.1468) = 0.9338 +32'h3f006124,32'h3fb122f7,32'h3fb85ddd, 32'h3fabb6cb,32'h3fbdca09, 32'h3fa2ad2c,32'h3fc6d3a8,// invsqrt(0.5015) = 1.4121 +32'h3f74dcb1,32'h3f8042dd,32'h3f857f10, 32'h3f78ab6f,32'h3f896c37, 32'h3f6b94f0,32'h3f8ff776,// invsqrt(0.9565) = 1.0225 +32'h3f6771c9,32'h3f83ed3b,32'h3f894fbb, 32'h3f7fc6b6,32'h3f8d599b, 32'h3f725077,32'h3f9414ba,// invsqrt(0.9041) = 1.0517 +32'h400a36ce,32'h3f2ab7de,32'h3f31afb2, 32'h3f257dfe,32'h3f36e992, 32'h3f1cc834,32'h3f3f9f5c,// invsqrt(2.1596) = 0.6805 +32'h413677e3,32'h3e9494a7,32'h3e9aa52b, 32'h3e900844,32'h3e9f318e, 32'h3e88739e,32'h3ea6c634,// invsqrt(11.4043) = 0.2961 +32'h3febf909,32'h3f38c60f,32'h3f4050c1, 32'h3f331e09,32'h3f45f8c7, 32'h3f29b0aa,32'h3f4f6626,// invsqrt(1.8435) = 0.7365 +32'h3fa8d28f,32'h3f5a73b1,32'h3f635e4b, 32'h3f53c3be,32'h3f6a0e3e, 32'h3f489e7e,32'h3f75337e,// invsqrt(1.3189) = 0.8707 +32'h400a29ba,32'h3f2abff2,32'h3f31b81b, 32'h3f2585d2,32'h3f36f23a, 32'h3f1ccf9f,32'h3f3fa86d,// invsqrt(2.1588) = 0.6806 +32'h3e1e2c2d,32'h401f959c,32'h4026191a, 32'h401ab2fd,32'h402afbb9, 32'h40128e9f,32'h40332017,// invsqrt(0.1545) = 2.5444 +32'h3e6c817e,32'h400281e4,32'h4007d590, 32'h3ffd0648,32'h400bd450, 32'h3fefb51c,32'h40127ce6,// invsqrt(0.2310) = 2.0808 +32'h3f85ad20,32'h3f757ee5,32'h3f7f8413, 32'h3f6dfb03,32'h3f8383fb, 32'h3f617489,32'h3f89c737,// invsqrt(1.0443) = 0.9785 +32'h3f30d561,32'h3f96edeb,32'h3f9d16f9, 32'h3f924f20,32'h3fa1b5c4, 32'h3f8a9bcd,32'h3fa96917,// invsqrt(0.6908) = 1.2032 +32'h3faf8c91,32'h3f5639cd,32'h3f5ef83d, 32'h3f4faaf8,32'h3f658712, 32'h3f44bceb,32'h3f70751f,// invsqrt(1.3715) = 0.8539 +32'h3ebc0fdf,32'h3fcef9eb,32'h3fd76c9c, 32'h3fc8a3e7,32'h3fddc2a1, 32'h3fbe148a,32'h3fe851fe,// invsqrt(0.3673) = 1.6500 +32'h40e6a88c,32'h3ebae3e1,32'h3ec284b0, 32'h3eb52b44,32'h3ec83d4c, 32'h3eaba241,32'h3ed1c64f,// invsqrt(7.2081) = 0.3725 +32'h3fe0cde5,32'h3f3d4ed3,32'h3f4508e6, 32'h3f378344,32'h3f4ad474, 32'h3f2ddaac,32'h3f547d0c,// invsqrt(1.7563) = 0.7546 +32'h3f9b86d1,32'h3f63991e,32'h3f6ce348, 32'h3f5ca17d,32'h3f73dae9, 32'h3f5104c8,32'h3f7f779f,// invsqrt(1.2151) = 0.9072 +32'h3f9c5dec,32'h3f62fc5c,32'h3f6c4021, 32'h3f5c0988,32'h3f7332f4, 32'h3f5074d1,32'h3f7ec7ab,// invsqrt(1.2216) = 0.9048 +32'h3fc5c166,32'h3f49d6fc,32'h3f521402, 32'h3f43a939,32'h3f5841c5, 32'h3f395cf2,32'h3f628e0c,// invsqrt(1.5450) = 0.8045 +32'h3fdf9039,32'h3f3dd523,32'h3f4594b1, 32'h3f380578,32'h3f4b645c, 32'h3f2e5606,32'h3f5513ce,// invsqrt(1.7466) = 0.7567 +32'h3e94c776,32'h3fe8b3b2,32'h3ff23332, 32'h3fe19413,32'h3ff952d1, 32'h3fd5b4b3,32'h40029918,// invsqrt(0.2906) = 1.8551 +32'h40536566,32'h3f0a0a74,32'h3f0facd6, 32'h3f05d0aa,32'h3f13e6a0, 32'h3efd8b5c,32'h3f1af19c,// invsqrt(3.3031) = 0.5502 +32'h3e5cbc79,32'h400716b9,32'h400c9a43, 32'h4002f411,32'h4010bceb, 32'h3ff81f49,32'h4017a157,// invsqrt(0.2156) = 2.1538 +32'h3ec41ead,32'h3fcaae01,32'h3fd2f3cd, 32'h3fc479a8,32'h3fd92826, 32'h3fba226a,32'h3fe37f65,// invsqrt(0.3830) = 1.6158 +32'h3f8046da,32'h3f7a9bf5,32'h3f826b49, 32'h3f72f000,32'h3f864143, 32'h3f6626bc,32'h3f8ca5e5,// invsqrt(1.0022) = 0.9989 +32'h4071c3fb,32'h3f011478,32'h3f06593a, 32'h3efa41d0,32'h3f0a4cca, 32'h3eed15ed,32'h3f10e2bc,// invsqrt(3.7776) = 0.5145 +32'h3edcfbba,32'h3fbef002,32'h3fc6bb1c, 32'h3fb917ae,32'h3fcc9370, 32'h3faf59ce,32'h3fd65151,// invsqrt(0.4316) = 1.5221 +32'h4102d9c2,32'h3eaf74ba,32'h3eb69e10, 32'h3eaa15ba,32'h3ebbfd10, 32'h3ea1220e,32'h3ec4f0bc,// invsqrt(8.1782) = 0.3497 +32'h3fb4b2d7,32'h3f5326b8,32'h3f5bc508, 32'h3f4caffb,32'h3f623bc5, 32'h3f41ea16,32'h3f6d01aa,// invsqrt(1.4117) = 0.8416 +32'h3eea6653,32'h3fb96484,32'h3fc0f5ae, 32'h3fb3b7a5,32'h3fc6a28d, 32'h3faa4230,32'h3fd01802,// invsqrt(0.4578) = 1.4779 +32'h3fab5477,32'h3f58d8f5,32'h3f61b2cb, 32'h3f523595,32'h3f68562b, 32'h3f47254a,32'h3f736676,// invsqrt(1.3385) = 0.8643 +32'h4183c66f,32'h3e77429f,32'h3e80ad1e, 32'h3e6fb0e8,32'h3e8475fa, 32'h3e631363,32'h3e8ac4bc,// invsqrt(16.4719) = 0.2464 +32'h3faa7664,32'h3f596607,32'h3f62459f, 32'h3f52be55,32'h3f68ed51, 32'h3f47a6d8,32'h3f7404cf,// invsqrt(1.3317) = 0.8665 +32'h409e8297,32'h3ee1722d,32'h3eeaa5db, 32'h3eda8b6a,32'h3ef18c9e, 32'h3ecf0ad0,32'h3efd0d38,// invsqrt(4.9534) = 0.4493 +32'h40bd16ab,32'h3ece69e5,32'h3ed6d6b5, 32'h3ec81849,32'h3edd2851, 32'h3ebd9045,32'h3ee7b055,// invsqrt(5.9090) = 0.4114 +32'h3f7abcd6,32'h3f7d7fbf,32'h3f83ec47, 32'h3f75bd24,32'h3f87cd94, 32'h3f68ce22,32'h3f8e4515,// invsqrt(0.9794) = 1.0104 +32'h3f771fa1,32'h3f7f588f,32'h3f84e255, 32'h3f77877b,32'h3f88cadf, 32'h3f6a8059,32'h3f8f4e6f,// invsqrt(0.9653) = 1.0178 +32'h3fa2101f,32'h3f5ef60c,32'h3f680fc3, 32'h3f5822c2,32'h3f6ee30c, 32'h3f4cc29d,32'h3f7a4331,// invsqrt(1.2661) = 0.8887 +32'h3f3a8713,32'h3f92f47c,32'h3f98f404, 32'h3f8e74d6,32'h3f9d73aa, 32'h3f86f56d,32'h3fa4f313,// invsqrt(0.7286) = 1.1715 +32'h3e044775,32'h402e8189,32'h4035a0f2, 32'h402929fb,32'h403af881, 32'h402042b8,32'h4043dfc4,// invsqrt(0.1292) = 2.7823 +32'h3fcbed8a,32'h3f46c2ff,32'h3f4edfdb, 32'h3f40ad5b,32'h3f54f57f, 32'h3f368948,32'h3f5f1992,// invsqrt(1.5932) = 0.7923 +32'h3fe37a1f,32'h3f3c3146,32'h3f43dfb1, 32'h3f366e75,32'h3f49a281, 32'h3f2cd46f,32'h3f533c87,// invsqrt(1.7772) = 0.7501 +32'h3f862ded,32'h3f7508f5,32'h3f7f0953, 32'h3f6d88af,32'h3f8344cc, 32'h3f61083a,32'h3f898507,// invsqrt(1.0483) = 0.9767 +32'h413bf1ba,32'h3e926670,32'h3e98602c, 32'h3e8deb24,32'h3e9cdb78, 32'h3e8672f9,32'h3ea453a3,// invsqrt(11.7465) = 0.2918 +32'h3fc3e389,32'h3f4acc98,32'h3f5313a3, 32'h3f44974f,32'h3f5948eb, 32'h3f3a3e80,32'h3f63a1ba,// invsqrt(1.5304) = 0.8084 +32'h3fb534b2,32'h3f52db02,32'h3f5b763c, 32'h3f4c6697,32'h3f61eaa7, 32'h3f41a48f,32'h3f6cacaf,// invsqrt(1.4157) = 0.8405 +32'h4069d090,32'h3f03419c,32'h3f089d1a, 32'h3efe79fa,32'h3f0ca1b9, 32'h3ef1153d,32'h3f135417,// invsqrt(3.6534) = 0.5232 +32'h3e1c8993,32'h40206a6e,32'h4026f69c, 32'h401b814b,32'h402bdfbf, 32'h40135211,32'h40340ef9,// invsqrt(0.1529) = 2.5576 +32'h3fc30108,32'h3f4b423d,32'h3f538e15, 32'h3f45095a,32'h3f59c6f8, 32'h3f3aaa8b,32'h3f6425c7,// invsqrt(1.5235) = 0.8102 +32'h3edfdc1a,32'h3fbdb4f4,32'h3fc57332, 32'h3fb7e645,32'h3fcb41e1, 32'h3fae3878,32'h3fd4efaf,// invsqrt(0.4372) = 1.5123 +32'h3f29e5cf,32'h3f99fab2,32'h3fa043a0, 32'h3f954401,32'h3fa4fa51, 32'h3f8d68d8,32'h3facd57a,// invsqrt(0.6637) = 1.2275 +32'h3f430ea6,32'h3f8fb4bf,32'h3f959253, 32'h3f8b4e8f,32'h3f99f883, 32'h3f83f995,32'h3fa14d7d,// invsqrt(0.7619) = 1.1456 +32'h3d9ed336,32'h406138ee,32'h406a6a46, 32'h405a53ec,32'h40714f48, 32'h404ed63e,32'h407cccf6,// invsqrt(0.0776) = 3.5909 +32'h3dd8ca67,32'h4040c668,32'h4048a4b6, 32'h403adfae,32'h404e8b70, 32'h403109ce,32'h40586151,// invsqrt(0.1059) = 3.0736 +32'h3fa421c2,32'h3f5d8d2b,32'h3f669827, 32'h3f56c4ed,32'h3f6d6065, 32'h3f4b7732,32'h3f78ae20,// invsqrt(1.2823) = 0.8831 +32'h402b4f6b,32'h3f1957d9,32'h3f1f9a21, 32'h3f14a624,32'h3f244bd6, 32'h3f0cd34a,32'h3f2c1eb0,// invsqrt(2.6767) = 0.6112 +32'h3e3991cf,32'h4013557a,32'h401958f7, 32'h400ed2dc,32'h401ddb94, 32'h40074e7f,32'h40255ff1,// invsqrt(0.1812) = 2.3491 +32'h3da96256,32'h405a16e7,32'h4062fdb7, 32'h405369cb,32'h4069aad3, 32'h40484947,32'h4074cb57,// invsqrt(0.0827) = 3.4772 +32'h3edc3a27,32'h3fbf43d9,32'h3fc71260, 32'h3fb968f5,32'h3fcced45, 32'h3fafa6cd,32'h3fd6af6d,// invsqrt(0.4301) = 1.5248 +32'h3f54f1ef,32'h3f8989b1,32'h3f8f26d3, 32'h3f8553d8,32'h3f935cac, 32'h3f7c9edd,32'h3f9a6115,// invsqrt(0.8318) = 1.0964 +32'h3f244669,32'h3f9c979d,32'h3fa2fbd7, 32'h3f97cc71,32'h3fa7c703, 32'h3f8fcf27,32'h3fafc44d,// invsqrt(0.6417) = 1.2483 +32'h3f07b78e,32'h3fac4817,32'h3fb35041, 32'h3fa701f7,32'h3fb89661, 32'h3f9e37c1,32'h3fc16097,// invsqrt(0.5301) = 1.3734 +32'h3fbb0c36,32'h3f4f8963,32'h3f5801ef, 32'h3f492efa,32'h3f5e5c58, 32'h3f3e984b,32'h3f68f307,// invsqrt(1.4613) = 0.8272 +32'h3f3b9d5f,32'h3f928756,32'h3f988269, 32'h3f8e0b07,32'h3f9cfeb7, 32'h3f86912f,32'h3fa4788f,// invsqrt(0.7329) = 1.1681 +32'h3f5bf281,32'h3f8754b1,32'h3f8cdac3, 32'h3f833024,32'h3f90ff50, 32'h3f78911c,32'h3f97e6e6,// invsqrt(0.8592) = 1.0788 +32'h3e9d350a,32'h3fe260da,32'h3feb9e46, 32'h3fdb72c9,32'h3ff28c57, 32'h3fcfe602,32'h3ffe191e,// invsqrt(0.3070) = 1.8047 +32'h3f616c17,32'h3f85ad63,32'h3f8b222e, 32'h3f8195cc,32'h3f8f39c6, 32'h3f75879d,32'h3f960bc4,// invsqrt(0.8806) = 1.0657 +32'h3ded57c8,32'h40383d54,32'h403fc272, 32'h4032997e,32'h40456648, 32'h40293319,32'h404eccad,// invsqrt(0.1159) = 2.9375 +32'h3ff2f7d1,32'h3f361827,32'h3f3d86db, 32'h3f308521,32'h3f4319e1, 32'h3f273ac1,32'h3f4c6441,// invsqrt(1.8982) = 0.7258 +32'h3f77b9a6,32'h3f7f0922,32'h3f84b8ff, 32'h3f773a7c,32'h3f88a052, 32'h3f6a3768,32'h3f8f21dc,// invsqrt(0.9677) = 1.0166 +32'h3d0248cb,32'h40afd63c,32'h40b7038d, 32'h40aa7440,32'h40bc658a, 32'h40a17b9b,32'h40c55e2f,// invsqrt(0.0318) = 5.6070 +32'h3f813b71,32'h3f79ae5d,32'h3f81efa3, 32'h3f7209ad,32'h3f85c1fb, 32'h3f654c89,32'h3f8c208d,// invsqrt(1.0096) = 0.9952 +32'h3dd867f5,32'h4040f23c,32'h4048d254, 32'h403b0a2b,32'h404eba65, 32'h4031320e,32'h40589282,// invsqrt(0.1057) = 3.0763 +32'h40ff0ffb,32'h3eb1b986,32'h3eb8fa90, 32'h3eac48be,32'h3ebe6b58, 32'h3ea33770,32'h3ec77ca6,// invsqrt(7.9707) = 0.3542 +32'h3ec76da0,32'h3fc8fdd4,32'h3fd131fc, 32'h3fc2d6b6,32'h3fd7591a, 32'h3fb89584,32'h3fe19a4c,// invsqrt(0.3895) = 1.6023 +32'h3f99dd5d,32'h3f64d2f0,32'h3f6e29ea, 32'h3f5dd1b4,32'h3f752b26, 32'h3f5224fc,32'h3f806bef,// invsqrt(1.2021) = 0.9121 +32'h4178ca00,32'h3e7e7d64,32'h3e847046, 32'h3e76b305,32'h3e885575, 32'h3e69b712,32'h3e8ed36f,// invsqrt(15.5493) = 0.2536 +32'h400d549f,32'h3f28d353,32'h3f2fb761, 32'h3f23a849,32'h3f34e26b, 32'h3f1b0b37,32'h3f3d7f7d,// invsqrt(2.2083) = 0.6729 +32'h4027cfcc,32'h3f1aeef0,32'h3f2141d5, 32'h3f1630c4,32'h3f260000, 32'h3f0e4925,32'h3f2de79f,// invsqrt(2.6221) = 0.6176 +32'h3fc35451,32'h3f4b16e3,32'h3f5360f7, 32'h3f44df54,32'h3f599886, 32'h3f3a82bc,32'h3f63f51e,// invsqrt(1.5260) = 0.8095 +32'h4009cc4b,32'h3f2af9cb,32'h3f31f451, 32'h3f25bde7,32'h3f373035, 32'h3f1d04c0,32'h3f3fe95c,// invsqrt(2.1531) = 0.6815 +32'h3f1443bf,32'h3fa4d493,32'h3fab8ee3, 32'h3f9fc8d8,32'h3fb09a9e, 32'h3f975ff5,32'h3fb90381,// invsqrt(0.5792) = 1.3140 +32'h3fcb96ab,32'h3f46ed63,32'h3f4f0bf9, 32'h3f40d672,32'h3f5522ea, 32'h3f36b036,32'h3f5f4926,// invsqrt(1.5905) = 0.7929 +32'h3e474493,32'h400e2dfc,32'h4013fb9e, 32'h4009d3c3,32'h401855d7, 32'h400292b8,32'h401f96e2,// invsqrt(0.1946) = 2.2669 +32'h3fa7b723,32'h3f5b2bf8,32'h3f641e18, 32'h3f547661,32'h3f6ad3af, 32'h3f4947ba,32'h3f760256,// invsqrt(1.3103) = 0.8736 +32'h3ed5a8b0,32'h3fc22ec4,32'h3fca1bc7, 32'h3fbc3d02,32'h3fd00d8a, 32'h3fb254bf,32'h3fd9f5cd,// invsqrt(0.4173) = 1.5480 +32'h406fc880,32'h3f019cc8,32'h3f06e71a, 32'h3efb4a17,32'h3f0aded7, 32'h3eee104b,32'h3f117bbc,// invsqrt(3.7466) = 0.5166 +32'h3ee76058,32'h3fba9997,32'h3fc2375f, 32'h3fb4e342,32'h3fc7edb4, 32'h3fab5e08,32'h3fd172ee,// invsqrt(0.4519) = 1.4876 +32'h3ec873af,32'h3fc87a47,32'h3fd0a911, 32'h3fc25730,32'h3fd6cc28, 32'h3fb81cb4,32'h3fe106a4,// invsqrt(0.3915) = 1.5982 +32'h3f21493d,32'h3f9e095c,32'h3fa47cae, 32'h3f9932de,32'h3fa9532c, 32'h3f9122b8,32'h3fb16352,// invsqrt(0.6300) = 1.2599 +32'h3b96b718,32'h416733d6,32'h4170a3aa, 32'h41601ff6,32'h4177b78a, 32'h4154542d,32'h4181c1aa,// invsqrt(0.0046) = 14.7451 +32'h3f965199,32'h3f6781d6,32'h3f70f4da, 32'h3f606b94,32'h3f780b1c, 32'h3f549bcf,32'h3f81ed70,// invsqrt(1.1744) = 0.9228 +32'h3e5c5dcd,32'h400733bb,32'h400cb874, 32'h4003102f,32'h4010dbff, 32'h3ff85490,32'h4017c1e6,// invsqrt(0.2152) = 2.1556 +32'h40340821,32'h3f15952e,32'h3f1bb02b, 32'h3f1100f1,32'h3f204469, 32'h3f095f35,32'h3f27e625,// invsqrt(2.8130) = 0.5962 +32'h3ef3890e,32'h3fb5e1d2,32'h3fbd4e4e, 32'h3fb05076,32'h3fc2dfaa, 32'h3fa708db,32'h3fcc2745,// invsqrt(0.4757) = 1.4500 +32'h3ec1066b,32'h3fcc4c4b,32'h3fd4a300, 32'h3fc60b44,32'h3fdae408, 32'h3fbb9ee2,32'h3fe5506a,// invsqrt(0.3770) = 1.6287 +32'h4096032c,32'h3ee7be52,32'h3ef133ce, 32'h3ee0a636,32'h3ef84bea, 32'h3ed4d35b,32'h3f020f62,// invsqrt(4.6879) = 0.4619 +32'h4019557c,32'h3f221532,32'h3f28b2cc, 32'h3f1d1eff,32'h3f2da8ff, 32'h3f14d9ff,32'h3f35edff,// invsqrt(2.3958) = 0.6461 +32'h3f02c120,32'h3faf8540,32'h3fb6af43, 32'h3faa25bf,32'h3fbc0ec5, 32'h3fa1313b,32'h3fc50349,// invsqrt(0.5108) = 1.3992 +32'h3f9ab75c,32'h3f643182,32'h3f6d81e6, 32'h3f5d3538,32'h3f747e30, 32'h3f5190bc,32'h3f801156,// invsqrt(1.2087) = 0.9096 +32'h3f2c5a3b,32'h3f98e0f9,32'h3f9f1e67, 32'h3f9432e7,32'h3fa3cc79, 32'h3f8c661e,32'h3fab9942,// invsqrt(0.6733) = 1.2187 +32'h408a8402,32'h3ef12b48,32'h3efb0340, 32'h3ee9c94d,32'h3f01329d, 32'h3edd7b57,32'h3f075999,// invsqrt(4.3286) = 0.4806 +32'h3fad9cff,32'h3f576ab3,32'h3f603596, 32'h3f50d28a,32'h3f66cdc0, 32'h3f45d4ee,32'h3f71cb5c,// invsqrt(1.3564) = 0.8586 +32'h40d0efea,32'h3ec45d56,32'h3ecc6125, 32'h3ebe5a7a,32'h3ed26400, 32'h3eb455b7,32'h3edc68c3,// invsqrt(6.5293) = 0.3914 +32'h3f6d101b,32'h3f825a9d,32'h3f87acad, 32'h3f7cba20,32'h3f8baa3a, 32'h3f6f6cf6,32'h3f9250cf,// invsqrt(0.9260) = 1.0392 +32'h3eb8ce66,32'h3fd0ca9c,32'h3fd95044, 32'h3fca665e,32'h3fdfb482, 32'h3fbfbf4b,32'h3fea5b95,// invsqrt(0.3609) = 1.6645 +32'h3e10993b,32'h4026e825,32'h402db826, 32'h4021cc23,32'h4032d427, 32'h40194821,32'h403b5829,// invsqrt(0.1412) = 2.6611 +32'h3e4e98b4,32'h400ba295,32'h401155a1, 32'h40075c4d,32'h40159be9, 32'h40003c7f,32'h401cbbb7,// invsqrt(0.2018) = 2.2263 +32'h4004a8d9,32'h3f2e416f,32'h3f355e3b, 32'h3f28ebd7,32'h3f3ab3d3, 32'h3f2007d9,32'h3f4397d1,// invsqrt(2.0728) = 0.6946 +32'h4097def8,32'h3ee65231,32'h3eefb8cf, 32'h3edf453a,32'h3ef6c5c6, 32'h3ed384f3,32'h3f014306,// invsqrt(4.7460) = 0.4590 +32'h3f851b58,32'h3f760530,32'h3f8007ed, 32'h3f6e7d32,32'h3f83cbec, 32'h3f61efde,32'h3f8a1296,// invsqrt(1.0399) = 0.9806 +32'h40541f78,32'h3f09cddb,32'h3f0f6dc5, 32'h3f0595ec,32'h3f13a5b4, 32'h3efd1c10,32'h3f1aad98,// invsqrt(3.3144) = 0.5493 +32'h40036d41,32'h3f2f122a,32'h3f36377a, 32'h3f29b62e,32'h3f3b9376, 32'h3f20c78a,32'h3f44821a,// invsqrt(2.0535) = 0.6978 +32'h40625bbb,32'h3f05668e,32'h3f0ad874, 32'h3f015121,32'h3f0eede1, 32'h3ef50582,32'h3f15bc41,// invsqrt(3.5368) = 0.5317 +32'h401f9d2f,32'h3f1edcb8,32'h3f2558ab, 32'h3f19ffc3,32'h3f2a35a1, 32'h3f11e4d3,32'h3f325091,// invsqrt(2.4940) = 0.6332 +32'h3f3b6599,32'h3f929d22,32'h3f989919, 32'h3f8e2029,32'h3f9d1613, 32'h3f86a535,32'h3fa49107,// invsqrt(0.7320) = 1.1688 +32'h3ed8e6c3,32'h3fc0b9cd,32'h3fc89797, 32'h3fbad376,32'h3fce7dee, 32'h3fb0fe3a,32'h3fd8532a,// invsqrt(0.4236) = 1.5364 +32'h40af5b00,32'h3ed65812,32'h3edf17bf, 32'h3ecfc850,32'h3ee5a780, 32'h3ec4d8b7,32'h3ef09719,// invsqrt(5.4799) = 0.4272 +32'h40b7fd11,32'h3ed14141,32'h3ed9cbc1, 32'h3ecad961,32'h3ee033a1, 32'h3ec02c41,32'h3eeae0c1,// invsqrt(5.7496) = 0.4170 +32'h3f917dbd,32'h3f6b5112,32'h3f74ebe4, 32'h3f641cf5,32'h3f7c2001, 32'h3f581b6f,32'h3f8410c4,// invsqrt(1.1366) = 0.9380 +32'h3fa4cfae,32'h3f5d1826,32'h3f661e5c, 32'h3f56537e,32'h3f6ce304, 32'h3f4b0bba,32'h3f782ac8,// invsqrt(1.2876) = 0.8813 +32'h3fe19260,32'h3f3cfc4e,32'h3f44b303, 32'h3f373347,32'h3f4a7c0b, 32'h3f2d8ee5,32'h3f54206d,// invsqrt(1.7623) = 0.7533 +32'h3ff39ef9,32'h3f35d9a4,32'h3f3d45ca, 32'h3f304887,32'h3f42d6e7, 32'h3f270158,32'h3f4c1e16,// invsqrt(1.9033) = 0.7248 +32'h420f017d,32'h3e27d56e,32'h3e2eaf1e, 32'h3e22b229,32'h3e33d263, 32'h3e1a220c,32'h3e3c6280,// invsqrt(35.7515) = 0.1672 +32'h3f219d74,32'h3f9de02a,32'h3fa451ce, 32'h3f990aef,32'h3fa92709, 32'h3f90fce3,32'h3fb13515,// invsqrt(0.6313) = 1.2586 +32'h3f10d776,32'h3fa6c446,32'h3fad92d0, 32'h3fa1a95e,32'h3fb2adb8, 32'h3f992730,32'h3fbb2fe6,// invsqrt(0.5658) = 1.3295 +32'h3d8c8330,32'h406f7307,32'h40793907, 32'h40681e87,32'h408046c4, 32'h405be706,32'h40866284,// invsqrt(0.0686) = 3.8178 +32'h3f44aa8d,32'h3f8f1df2,32'h3f94f560, 32'h3f8abc61,32'h3f9956f1, 32'h3f836f18,32'h3fa0a43a,// invsqrt(0.7682) = 1.1409 +32'h3ee081cb,32'h3fbd6ee6,32'h3fc52a48, 32'h3fb7a25c,32'h3fcaf6d2, 32'h3fadf822,32'h3fd4a10d,// invsqrt(0.4385) = 1.5101 +32'h3f1efef8,32'h3f9f2baf,32'h3fa5aadb, 32'h3f9a4c4e,32'h3faa8a3c, 32'h3f922d58,32'h3fb2a932,// invsqrt(0.6211) = 1.2689 +32'h3f41dfa6,32'h3f9024df,32'h3f960707, 32'h3f8bbb40,32'h3f9a70a6, 32'h3f84608e,32'h3fa1cb58,// invsqrt(0.7573) = 1.1491 +32'h3fc8e4e6,32'h3f4841c1,32'h3f506e3c, 32'h3f422065,32'h3f568f99, 32'h3f37e8cc,32'h3f60c732,// invsqrt(1.5695) = 0.7982 +32'h3f11d9ac,32'h3fa63065,32'h3facf8e7, 32'h3fa11a04,32'h3fb20f48, 32'h3f989f62,32'h3fba89ea,// invsqrt(0.5697) = 1.3248 +32'h3fe92954,32'h3f39e260,32'h3f4178ae, 32'h3f3431a7,32'h3f472967, 32'h3f2ab5c6,32'h3f50a548,// invsqrt(1.8216) = 0.7409 +32'h3f1eb4cd,32'h3f9f50dc,32'h3fa5d18c, 32'h3f9a7058,32'h3faab210, 32'h3f924f7c,32'h3fb2d2ec,// invsqrt(0.6199) = 1.2701 +32'h4178c67f,32'h3e7e7f2e,32'h3e847135, 32'h3e76b4c2,32'h3e88566b, 32'h3e69b8b8,32'h3e8ed470,// invsqrt(15.5485) = 0.2536 +32'h3e06788b,32'h402d13f9,32'h40342477, 32'h4027c79c,32'h403970d4, 32'h401ef2ff,32'h40424571,// invsqrt(0.1313) = 2.7595 +32'h3e3f6d95,32'h40110fd6,32'h4016fb96, 32'h400c9f06,32'h401b6c66, 32'h40053857,32'h4022d315,// invsqrt(0.1869) = 2.3128 +32'h3fa0cd0d,32'h3f5fd596,32'h3f68f86e, 32'h3f58fb75,32'h3f6fd28f, 32'h3f4d8fe8,32'h3f7b3e1c,// invsqrt(1.2563) = 0.8922 +32'h3eb5b5f2,32'h3fd28ff7,32'h3fdb2821, 32'h3fcc1dd8,32'h3fe19a40, 32'h3fc15fa4,32'h3fec5874,// invsqrt(0.3549) = 1.6786 +32'h3f648c95,32'h3f84c27b,32'h3f8a2daf, 32'h3f80b214,32'h3f8e3e16, 32'h3f73d826,32'h3f950417,// invsqrt(0.8928) = 1.0584 +32'h406a0d26,32'h3f03309e,32'h3f088b6c, 32'h3efe590a,32'h3f0c8f85, 32'h3ef0f609,32'h3f134106,// invsqrt(3.6571) = 0.5229 +32'h3f690edf,32'h3f83781c,32'h3f88d5d4, 32'h3f7ee3a4,32'h3f8cdc1e, 32'h3f717958,32'h3f939144,// invsqrt(0.9104) = 1.0481 +32'h3ee45981,32'h3fbbd523,32'h3fc37fcb, 32'h3fb61524,32'h3fc93fca, 32'h3fac7fd2,32'h3fd2d51d,// invsqrt(0.4460) = 1.4974 +32'h403be736,32'h3f126a89,32'h3f18646f, 32'h3f0def1c,32'h3f1cdfdc, 32'h3f0676bc,32'h3f24583c,// invsqrt(2.9360) = 0.5836 +32'h3faee7e8,32'h3f569e8c,32'h3f5f611a, 32'h3f500ca2,32'h3f65f304, 32'h3f451971,32'h3f70e635,// invsqrt(1.3665) = 0.8555 +32'h3f0218f0,32'h3faff690,32'h3fb72533, 32'h3faa9397,32'h3fbc882d, 32'h3fa1994b,32'h3fc58279,// invsqrt(0.5082) = 1.4028 +32'h3f90975d,32'h3f6c0c3e,32'h3f75aeb4, 32'h3f64d266,32'h3f7ce88c, 32'h3f58c753,32'h3f8479cf,// invsqrt(1.1296) = 0.9409 +32'h403830d6,32'h3f13e262,32'h3f19eba0, 32'h3f0f5b74,32'h3f1e728e, 32'h3f07cfe7,32'h3f25fe1b,// invsqrt(2.8780) = 0.5895 +32'h3fbbb588,32'h3f4f2bb4,32'h3f57a06c, 32'h3f48d429,32'h3f5df7f7, 32'h3f3e4242,32'h3f6889de,// invsqrt(1.4665) = 0.8258 +32'h407585e9,32'h3f0016a3,32'h3f055107, 32'h3ef855ae,32'h3f093cd3, 32'h3eeb43b3,32'h3f0fc5d1,// invsqrt(3.8363) = 0.5106 +32'h41cdd90a,32'h3e45d527,32'h3e4de84d, 32'h3e3fc6ca,32'h3e53f6aa, 32'h3e35aedb,32'h3e5e0e99,// invsqrt(25.7310) = 0.1971 +32'h4082cb0b,32'h3ef82fcd,32'h3f01288d, 32'h3ef096d4,32'h3f04f509, 32'h3ee3ed34,32'h3f0b49d9,// invsqrt(4.0873) = 0.4946 +32'h3f53c48e,32'h3f89eb6d,32'h3f8f8c8b, 32'h3f85b296,32'h3f93c562, 32'h3f7d525f,32'h3f9acec8,// invsqrt(0.8272) = 1.0995 +32'h41c29c24,32'h3e4b76e6,32'h3e53c4e5, 32'h3e453c67,32'h3e59ff65, 32'h3e3adae9,32'h3e6460e3,// invsqrt(24.3262) = 0.2028 +32'h3f918b5f,32'h3f6b460c,32'h3f74e06b, 32'h3f641246,32'h3f7c1432, 32'h3f581150,32'h3f840a94,// invsqrt(1.1371) = 0.9378 +32'h3f84ec4f,32'h3f7630b3,32'h3f801e91, 32'h3f6ea75f,32'h3f83e33b, 32'h3f6217d4,32'h3f8a2b01,// invsqrt(1.0385) = 0.9813 +32'h405db1a7,32'h3f06cbf1,32'h3f0c4c6e, 32'h3f02ab94,32'h3f106ccc, 32'h3ef795f0,32'h3f174d68,// invsqrt(3.4640) = 0.5373 +32'h3f929cff,32'h3f6a6a1a,32'h3f73fb7e, 32'h3f633d0f,32'h3f7b2889, 32'h3f574751,32'h3f838f23,// invsqrt(1.1454) = 0.9344 +32'h3f4b5138,32'h3f8cc1b3,32'h3f928077, 32'h3f8872a1,32'h3f96cf89, 32'h3f81442c,32'h3f9dfdfe,// invsqrt(0.7942) = 1.1221 +32'h40567642,32'h3f090cf3,32'h3f0ea4fd, 32'h3f04daec,32'h3f12d704, 32'h3efbb9be,32'h3f19d511,// invsqrt(3.3510) = 0.5463 +32'h3ecfd675,32'h3fc4e21f,32'h3fcceb59, 32'h3fbedb33,32'h3fd2f245, 32'h3fb4cfa9,32'h3fdcfdcf,// invsqrt(0.4059) = 1.5695 +32'h3f8fcd59,32'h3f6cb1d1,32'h3f765b09, 32'h3f6572e8,32'h3f7d99f2, 32'h3f595f62,32'h3f84d6bc,// invsqrt(1.1235) = 0.9435 +32'h3e11f040,32'h4026238a,32'h402ceb85, 32'h40210d8d,32'h40320181, 32'h40189393,32'h403a7b7b,// invsqrt(0.1425) = 2.6489 +32'h3f2d93ac,32'h3f9856b3,32'h3f9e8e7b, 32'h3f93acdc,32'h3fa33852, 32'h3f8be722,32'h3faafe0c,// invsqrt(0.6780) = 1.2144 +32'h3f30cf6d,32'h3f96f075,32'h3f9d199f, 32'h3f925196,32'h3fa1b87e, 32'h3f8a9e23,32'h3fa96bf1,// invsqrt(0.6907) = 1.2033 +32'h3fa0b6ab,32'h3f5fe52c,32'h3f6908a6, 32'h3f590a91,32'h3f6fe341, 32'h3f4d9e38,32'h3f7b4f9a,// invsqrt(1.2556) = 0.8924 +32'h3dd21239,32'h4043d578,32'h404bd3bc, 32'h403dd6c6,32'h4051d26e, 32'h4033d8f1,32'h405bd043,// invsqrt(0.1026) = 3.1224 +32'h3f7b0320,32'h3f7d5c3e,32'h3f83d9cd, 32'h3f759aba,32'h3f87ba8f, 32'h3f68ad88,32'h3f8e3128,// invsqrt(0.9805) = 1.0099 +32'h3fe3fec6,32'h3f3bfa7f,32'h3f43a6ad, 32'h3f36395b,32'h3f4967d1, 32'h3f2ca221,32'h3f52ff0b,// invsqrt(1.7812) = 0.7493 +32'h3f25b0cd,32'h3f9bec00,32'h3fa2493a, 32'h3f972615,32'h3fa70f25, 32'h3f8f318d,32'h3faf03ad,// invsqrt(0.6472) = 1.2430 +32'h3f0a3bd2,32'h3faab4c5,32'h3fb1ac79, 32'h3fa57afd,32'h3fb6e641, 32'h3f9cc55c,32'h3fbf9be2,// invsqrt(0.5400) = 1.3609 +32'h3f804c7b,32'h3f7a9676,32'h3f82686c, 32'h3f72eaab,32'h3f863e51, 32'h3f6621b0,32'h3f8ca2cf,// invsqrt(1.0023) = 0.9988 +32'h3ff46cb0,32'h3f358d0d,32'h3f3cf613, 32'h3f2ffe49,32'h3f4284d7, 32'h3f26bb02,32'h3f4bc81e,// invsqrt(1.9096) = 0.7237 +32'h40b1fa13,32'h3ed4c278,32'h3edd7198, 32'h3ece3f21,32'h3ee3f4ef, 32'h3ec3643a,32'h3eeecfd6,// invsqrt(5.5618) = 0.4240 +32'h3f92dad0,32'h3f6a38be,32'h3f73c81f, 32'h3f630d36,32'h3f7af3a8, 32'h3f5719fe,32'h3f837370,// invsqrt(1.1473) = 0.9336 +32'h3fa51c33,32'h3f5ce4e4,32'h3f65e902, 32'h3f5621cd,32'h3f6cac19, 32'h3f4adca8,32'h3f77f13f,// invsqrt(1.2899) = 0.8805 +32'h3f3abeaa,32'h3f92de9b,32'h3f98dd3f, 32'h3f8e5fa1,32'h3f9d5c39, 32'h3f86e155,32'h3fa4da85,// invsqrt(0.7295) = 1.1708 +32'h40854420,32'h3ef5df89,32'h3effe8a9, 32'h3eee58b1,32'h3f03b7c0, 32'h3ee1cd4a,32'h3f09fd74,// invsqrt(4.1646) = 0.4900 +32'h3f423f40,32'h3f900162,32'h3f95e218, 32'h3f8b98da,32'h3f9a4aa0, 32'h3f843ff7,32'h3fa1a383,// invsqrt(0.7588) = 1.1480 +32'h4223867a,32'h3e1cf368,32'h3e235b62, 32'h3e18256d,32'h3e28295d, 32'h3e102374,32'h3e302b56,// invsqrt(40.8813) = 0.1564 +32'h409320cd,32'h3eea0102,32'h3ef38e1c, 32'h3ee2d72e,32'h3efab7f0, 32'h3ed6e6ce,32'h3f035428,// invsqrt(4.5978) = 0.4664 +32'h3f4e64e5,32'h3f8bb41a,32'h3f9167dc, 32'h3f876d48,32'h3f95aeae, 32'h3f804c95,32'h3f9ccf61,// invsqrt(0.8062) = 1.1137 +32'h401cf945,32'h3f203151,32'h3f26bb2b, 32'h3f1b49ee,32'h3f2ba28e, 32'h3f131d9e,32'h3f33cede,// invsqrt(2.4527) = 0.6385 +32'h40778359,32'h3eff251a,32'h3f04c78d, 32'h3ef75599,32'h3f08af4e, 32'h3eea5117,32'h3f0f318e,// invsqrt(3.8674) = 0.5085 +32'h3fae170a,32'h3f571f24,32'h3f5fe6f2, 32'h3f50894a,32'h3f667ccc, 32'h3f458f8a,32'h3f71768c,// invsqrt(1.3601) = 0.8575 +32'h3f5c6747,32'h3f8730d3,32'h3f8cb56d, 32'h3f830d5e,32'h3f90d8e2, 32'h3f784f3a,32'h3f97bea3,// invsqrt(0.8610) = 1.0777 +32'h3eb170ad,32'h3fd514c8,32'h3fddc744, 32'h3fce8eec,32'h3fe44d20, 32'h3fc3afd2,32'h3fef2c3a,// invsqrt(0.3466) = 1.6987 +32'h3ffa9e98,32'h3f334b09,32'h3f3a9c77, 32'h3f2dcdf6,32'h3f40198a, 32'h3f24a82d,32'h3f493f53,// invsqrt(1.9580) = 0.7147 +32'h3f401016,32'h3f90d26b,32'h3f96bba9, 32'h3f8c637d,32'h3f9b2a97, 32'h3f84ffef,32'h3fa28e25,// invsqrt(0.7502) = 1.1545 +32'h3fa45360,32'h3f5d6bb6,32'h3f667554, 32'h3f56a47e,32'h3f6d3c8c, 32'h3f4b5878,32'h3f788892,// invsqrt(1.2838) = 0.8826 +32'h3f38c09a,32'h3f93a8cd,32'h3f99afb1, 32'h3f8f23a2,32'h3f9e34dc, 32'h3f879b06,32'h3fa5bd78,// invsqrt(0.7217) = 1.1771 +32'h3f196a9e,32'h3fa20a08,32'h3fa8a72c, 32'h3f9d142c,32'h3fad9d08, 32'h3f94cfbe,32'h3fb5e176,// invsqrt(0.5993) = 1.2918 +32'h3efa59cc,32'h3fb363aa,32'h3fbab61a, 32'h3fade5d7,32'h3fc033ed, 32'h3fa4becb,32'h3fc95af9,// invsqrt(0.4890) = 1.4301 +32'h3f8c12b9,32'h3f6fd314,32'h3f799d00, 32'h3f687ba3,32'h3f807a38, 32'h3f5c3f3c,32'h3f86986c,// invsqrt(1.0943) = 0.9559 +32'h3f858274,32'h3f75a61e,32'h3f7face6, 32'h3f6e2108,32'h3f8398fe, 32'h3f61988f,32'h3f89dd3b,// invsqrt(1.0430) = 0.9791 +32'h3f7c1586,32'h3f7cd234,32'h3f8391f6, 32'h3f7514e9,32'h3f87709c, 32'h3f682ec2,32'h3f8de3af,// invsqrt(0.9847) = 1.0077 +32'h3fa45a42,32'h3f5d6713,32'h3f667081, 32'h3f56a000,32'h3f6d3794, 32'h3f4b5436,32'h3f78835e,// invsqrt(1.2840) = 0.8825 +32'h3f95b29e,32'h3f67fca4,32'h3f7174ab, 32'h3f60e2a0,32'h3f788eb0, 32'h3f550c97,32'h3f82325c,// invsqrt(1.1695) = 0.9247 +32'h3feba52d,32'h3f38e6ec,32'h3f4072f6, 32'h3f333de5,32'h3f461bfd, 32'h3f29ced9,32'h3f4f8b09,// invsqrt(1.8410) = 0.7370 +32'h3f3cdecb,32'h3f920a72,32'h3f98006c, 32'h3f8d91f6,32'h3f9c78e8, 32'h3f861e7e,32'h3fa3ec60,// invsqrt(0.7378) = 1.1642 +32'h404db38b,32'h3f0bf047,32'h3f11a67e, 32'h3f07a79d,32'h3f15ef27, 32'h3f0083d8,32'h3f1d12ec,// invsqrt(3.2141) = 0.5578 +32'h3f3c13dc,32'h3f925927,32'h3f985257, 32'h3f8dde42,32'h3f9ccd3c, 32'h3f8666c6,32'h3fa444b8,// invsqrt(0.7347) = 1.1667 +32'h3fab394a,32'h3f58ea2a,32'h3f61c4b3, 32'h3f524642,32'h3f68689a, 32'h3f473516,32'h3f7379c6,// invsqrt(1.3377) = 0.8646 +32'h3cff954c,32'h40b18b26,32'h40b8ca4c, 32'h40ac1bc9,32'h40be39a9, 32'h40a30cda,32'h40c74898,// invsqrt(0.0312) = 5.6615 +32'h3ef92d1c,32'h3fb3cfc6,32'h3fbb269f, 32'h3fae4ea3,32'h3fc0a7c1, 32'h3fa52213,32'h3fc9d451,// invsqrt(0.4867) = 1.4334 +32'h3e5feed7,32'h40061efe,32'h400b986c, 32'h400203ec,32'h400fb37e, 32'h3ff65846,32'h40168b47,// invsqrt(0.2187) = 2.1384 +32'h3fbf31e5,32'h3f4d4604,32'h3f55a6ea, 32'h3f46fd57,32'h3f5bef97, 32'h3f3c8438,32'h3f6668b6,// invsqrt(1.4937) = 0.8182 +32'h3f29bdb2,32'h3f9a0ce3,32'h3fa0568f, 32'h3f9555a3,32'h3fa50dcf, 32'h3f8d798d,32'h3face9e5,// invsqrt(0.6631) = 1.2281 +32'h3d3addf8,32'h4092d24e,32'h4098d070, 32'h408e53b4,32'h409d4f0a, 32'h4086d609,32'h40a4ccb5,// invsqrt(0.0456) = 4.6818 +32'h415bba86,32'h3e8765ed,32'h3e8cecb3, 32'h3e8340d9,32'h3e9111c7, 32'h3e78b0c3,32'h3e97fa3e,// invsqrt(13.7330) = 0.2698 +32'h3ee31603,32'h3fbc5abd,32'h3fc40ad9, 32'h3fb696a7,32'h3fc9ceef, 32'h3facfa84,32'h3fd36b13,// invsqrt(0.4435) = 1.5016 +32'h40137798,32'h3f254684,32'h3f2c057a, 32'h3f20374c,32'h3f3114b2, 32'h3f17c899,32'h3f398365,// invsqrt(2.3042) = 0.6588 +32'h3f80ca23,32'h3f7a1c19,32'h3f8228bf, 32'h3f72740e,32'h3f85fcc4, 32'h3f65b150,32'h3f8c5e23,// invsqrt(1.0062) = 0.9969 +32'h3ee381bd,32'h3fbc2e1f,32'h3fc3dc69, 32'h3fb66b67,32'h3fc99f21, 32'h3facd18a,32'h3fd338fe,// invsqrt(0.4443) = 1.5002 +32'h3f196abe,32'h3fa209f7,32'h3fa8a71b, 32'h3f9d141c,32'h3fad9cf6, 32'h3f94cfae,32'h3fb5e164,// invsqrt(0.5993) = 1.2918 +32'h3ffe78ff,32'h3f31ee37,32'h3f393169, 32'h3f2c7bd2,32'h3f3ea3ce, 32'h3f2367d5,32'h3f47b7cb,// invsqrt(1.9881) = 0.7092 +32'h40962240,32'h3ee7a655,32'h3ef11ad5, 32'h3ee08ef4,32'h3ef83236, 32'h3ed4bd53,32'h3f0201ec,// invsqrt(4.6917) = 0.4617 +32'h3f4b761e,32'h3f8cb4ef,32'h3f92732d, 32'h3f886640,32'h3f96c1dc, 32'h3f813873,32'h3f9defa9,// invsqrt(0.7948) = 1.1217 +32'h3fc64997,32'h3f4991a0,32'h3f51cbd0, 32'h3f4365fc,32'h3f57f774, 32'h3f391d3f,32'h3f624031,// invsqrt(1.5491) = 0.8034 +32'h4306b333,32'h3dacee46,32'h3db3fd39, 32'h3da7a30f,32'h3db9486f, 32'h3d9ed05f,32'h3dc21b1f,// invsqrt(134.7000) = 0.0862 +32'h403c396d,32'h3f124a8b,32'h3f184323, 32'h3f0dd019,32'h3f1cbd95, 32'h3f06595b,32'h3f243453,// invsqrt(2.9410) = 0.5831 +32'h3f15976f,32'h3fa41903,32'h3faacbab, 32'h3f9f1306,32'h3fafd1a8, 32'h3f96b3b5,32'h3fb830f9,// invsqrt(0.5843) = 1.3082 +32'h4081d7da,32'h3ef917cd,32'h3f01a149, 32'h3ef177b9,32'h3f057152, 32'h3ee4c244,32'h3f0bcc0d,// invsqrt(4.0576) = 0.4964 +32'h3f2f518b,32'h3f979480,32'h3f9dc45c, 32'h3f92f09c,32'h3fa26840, 32'h3f8b34c9,32'h3faa2413,// invsqrt(0.6848) = 1.2084 +32'h3f02e0f6,32'h3faf6fe6,32'h3fb6990a, 32'h3faa110c,32'h3fbbf7e4, 32'h3fa11d9f,32'h3fc4eb51,// invsqrt(0.5112) = 1.3986 +32'h3eeec268,32'h3fb7b136,32'h3fbf309c, 32'h3fb211aa,32'h3fc4d028, 32'h3fa8b26b,32'h3fce2f67,// invsqrt(0.4663) = 1.4644 +32'h3f15209f,32'h3fa45a55,32'h3fab0fa7, 32'h3f9f5258,32'h3fb017a4, 32'h3f96efb1,32'h3fb87a4b,// invsqrt(0.5825) = 1.3102 +32'h3f7d48c2,32'h3f7c38af,32'h3f834212, 32'h3f748018,32'h3f871e5e, 32'h3f67a1c6,32'h3f8d8d87,// invsqrt(0.9894) = 1.0053 +32'h3f4ed94e,32'h3f8b8cc5,32'h3f913eed, 32'h3f874728,32'h3f95848a, 32'h3f802876,32'h3f9ca33c,// invsqrt(0.8080) = 1.1125 +32'h3f543186,32'h3f89c7fe,32'h3f8f67aa, 32'h3f85903d,32'h3f939f6b, 32'h3f7d114a,32'h3f9aa703,// invsqrt(0.8289) = 1.0984 +32'h413fb291,32'h3e90f5ba,32'h3e96e068, 32'h3e8c85b7,32'h3e9b506b, 32'h3e85205c,32'h3ea2b5c6,// invsqrt(11.9811) = 0.2889 +32'h3e6ef120,32'h4001d725,32'h400723d9, 32'h3ffbbb3e,32'h400b1d5f, 32'h3fee7b7e,32'h4011bd3f,// invsqrt(0.2333) = 2.0702 +32'h3e3a4a52,32'h40130c71,32'h40190cf3, 32'h400e8c10,32'h401d8d54, 32'h40070b6d,32'h40250df7,// invsqrt(0.1819) = 2.3445 +32'h3f3fba54,32'h3f90f2cb,32'h3f96dd5b, 32'h3f8c82df,32'h3f9b4d47, 32'h3f851dab,32'h3fa2b27b,// invsqrt(0.7489) = 1.1555 +32'h3f6a1165,32'h3f832f6d,32'h3f888a2e, 32'h3f7e56bb,32'h3f8c8e3f, 32'h3f70f3d9,32'h3f933faf,// invsqrt(0.9143) = 1.0458 +32'h3f1b055c,32'h3fa132cd,32'h3fa7c729, 32'h3f9c4388,32'h3facb66e, 32'h3f940a15,32'h3fb4efe1,// invsqrt(0.6056) = 1.2851 +32'h3f4a1547,32'h3f8d2f90,32'h3f92f2d0, 32'h3f88dd21,32'h3f97453f, 32'h3f81a912,32'h3f9e794e,// invsqrt(0.7894) = 1.1255 +32'h3ea2331a,32'h3fdeddff,32'h3fe7f6bb, 32'h3fd80b72,32'h3feec948, 32'h3fccac87,32'h3ffa2833,// invsqrt(0.3168) = 1.7767 +32'h3e1f8776,32'h401ee789,32'h402563ed, 32'h401a0a3e,32'h402a4138, 32'h4011eec2,32'h40325cb4,// invsqrt(0.1558) = 2.5336 +32'h40268721,32'h3f1b8789,32'h3f21e0a9, 32'h3f16c4b1,32'h3f26a381, 32'h3f0ed54a,32'h3f2e92e8,// invsqrt(2.6020) = 0.6199 +32'h3f876dde,32'h3f73e6d9,32'h3f7ddb5f, 32'h3f6c6f74,32'h3f82a962, 32'h3f5ffdcc,32'h3f88e236,// invsqrt(1.0580) = 0.9722 +32'h3f7d60cf,32'h3f7c2cb6,32'h3f833bd7, 32'h3f74747c,32'h3f8717f4, 32'h3f6796c7,32'h3f8d86cf,// invsqrt(0.9898) = 1.0052 +32'h41a7a158,32'h3e5b3a37,32'h3e642ceb, 32'h3e548430,32'h3e6ae2f2, 32'h3e4954cf,32'h3e761253,// invsqrt(20.9538) = 0.2185 +32'h404cf29a,32'h3f0c3216,32'h3f11eafd, 32'h3f07e76a,32'h3f1635aa, 32'h3f00c049,32'h3f1d5ccb,// invsqrt(3.2023) = 0.5588 +32'h3ed5b450,32'h3fc2297c,32'h3fca1648, 32'h3fbc37e4,32'h3fd007e0, 32'h3fb24fe5,32'h3fd9efdf,// invsqrt(0.4174) = 1.5478 +32'h3e906b68,32'h3fec3028,32'h3ff5d414, 32'h3fe4f536,32'h3ffd0f06, 32'h3fd8e84e,32'h40048df7,// invsqrt(0.2821) = 1.8829 +32'h3fafe9ed,32'h3f5600ed,32'h3f5ebd0b, 32'h3f4f73d6,32'h3f654a22, 32'h3f4488b0,32'h3f703548,// invsqrt(1.3743) = 0.8530 +32'h4040a16b,32'h3f109bbf,32'h3f1682c1, 32'h3f0c2e7d,32'h3f1af003, 32'h3f04cdba,32'h3f2250c6,// invsqrt(3.0099) = 0.5764 +32'h402305ea,32'h3f1d313f,32'h3f239bbf, 32'h3f18615f,32'h3f286b9f, 32'h3f105c3f,32'h3f3070bf,// invsqrt(2.5472) = 0.6266 +32'h3f9d9d61,32'h3f6215df,32'h3f6b503b, 32'h3f5b2a19,32'h3f723c01, 32'h3f4fa125,32'h3f7dc4f5,// invsqrt(1.2314) = 0.9012 +32'h3f20c0ee,32'h3f9e4c4f,32'h3fa4c25c, 32'h3f9973c4,32'h3fa99ae6, 32'h3f916033,32'h3fb1ae77,// invsqrt(0.6279) = 1.2619 +32'h3ec58d50,32'h3fc9f196,32'h3fd22fb2, 32'h3fc3c302,32'h3fd85e46, 32'h3fb97560,32'h3fe2abe8,// invsqrt(0.3858) = 1.6099 +32'h3ec0cd33,32'h3fcc6a9a,32'h3fd4c28b, 32'h3fc628a4,32'h3fdb0480, 32'h3fbbbab7,32'h3fe5726d,// invsqrt(0.3766) = 1.6296 +32'h3f492c85,32'h3f8d8126,32'h3f9347ba, 32'h3f892c37,32'h3f979ca9, 32'h3f81f3fe,32'h3f9ed4e2,// invsqrt(0.7858) = 1.1281 +32'h3fe5fff4,32'h3f3b2853,32'h3f42cbee, 32'h3f356d9f,32'h3f4886a3, 32'h3f2be11e,32'h3f521324,// invsqrt(1.7969) = 0.7460 +32'h3fae5a7f,32'h3f56f583,32'h3f5fbb9d, 32'h3f5060ef,32'h3f665031, 32'h3f45694e,32'h3f7147d2,// invsqrt(1.3621) = 0.8568 +32'h3f015290,32'h3fb07d52,32'h3fb7b175, 32'h3fab1639,32'h3fbd188f, 32'h3fa2150d,32'h3fc619bb,// invsqrt(0.5052) = 1.4070 +32'h4015bff5,32'h3f2402ce,32'h3f2ab48e, 32'h3f1efd7f,32'h3f2fb9dd, 32'h3f169f50,32'h3f38180c,// invsqrt(2.3398) = 0.6537 +32'h3fa05b15,32'h3f602513,32'h3f694b29, 32'h3f594883,32'h3f7027b9, 32'h3f4dd8e8,32'h3f7b9754,// invsqrt(1.2528) = 0.8934 +32'h3f962f15,32'h3f679c6f,32'h3f711089, 32'h3f60855c,32'h3f78279c, 32'h3f54b43c,32'h3f81fc5e,// invsqrt(1.1733) = 0.9232 +32'h3ec02eb0,32'h3fccbed6,32'h3fd51a37, 32'h3fc67a4c,32'h3fdb5ec0, 32'h3fbc0812,32'h3fe5d0fa,// invsqrt(0.3754) = 1.6322 +32'h3e236f25,32'h401cfe9c,32'h4023670a, 32'h40183048,32'h4028355e, 32'h40102dbe,32'h403037e8,// invsqrt(0.1596) = 2.5031 +32'h3d731e5a,32'h4080b864,32'h4085f963, 32'h40798f4b,32'h4089ea23, 32'h406c6cce,32'h40907b61,// invsqrt(0.0594) = 4.1046 +32'h3fd50186,32'h3f427ae7,32'h3f4a6b06, 32'h3f3c86d1,32'h3f505f1d, 32'h3f329aab,32'h3f5a4b43,// invsqrt(1.6641) = 0.7752 +32'h3feab2e6,32'h3f394643,32'h3f40d631, 32'h3f339a51,32'h3f468223, 32'h3f2a2667,32'h3f4ff60d,// invsqrt(1.8336) = 0.7385 +32'h4184ce38,32'h3e764c96,32'h3e802d14, 32'h3e6ec267,32'h3e83f22b, 32'h3e62316f,32'h3e8a3aa7,// invsqrt(16.6007) = 0.2454 +32'h40c4da03,32'h3eca4d7a,32'h3ed28f55, 32'h3ec41c15,32'h3ed8c0b9, 32'h3eb9c9c3,32'h3ee3130b,// invsqrt(6.1516) = 0.4032 +32'h3fcd7d7f,32'h3f460132,32'h3f4e1625, 32'h3f3ff17d,32'h3f5425db, 32'h3f35d74e,32'h3f5e400a,// invsqrt(1.6054) = 0.7892 +32'h3fb83cb7,32'h3f511d19,32'h3f59a61f, 32'h3f4ab654,32'h3f600ce4, 32'h3f400b0c,32'h3f6ab82c,// invsqrt(1.4394) = 0.8335 +32'h40064b37,32'h3f2d312c,32'h3f3442da, 32'h3f27e3e9,32'h3f39901d, 32'h3f1f0dd0,32'h3f426637,// invsqrt(2.0983) = 0.6903 +32'h3f8eccd0,32'h3f6d860e,32'h3f7737ef, 32'h3f6640a4,32'h3f7e7d58, 32'h3f5a224b,32'h3f854dd8,// invsqrt(1.1156) = 0.9468 +32'h3ff53e7c,32'h3f353f55,32'h3f3ca52f, 32'h3f2fb2f2,32'h3f423192, 32'h3f2673a2,32'h3f4b70e2,// invsqrt(1.9160) = 0.7224 +32'h3f8b08f8,32'h3f70b7db,32'h3f7a8b1d, 32'h3f695969,32'h3f80f4c8, 32'h3f5d1156,32'h3f8718d1,// invsqrt(1.0862) = 0.9595 +32'h3f1c5fc0,32'h3fa07fe0,32'h3fa70cee, 32'h3f9b9615,32'h3fabf6b9, 32'h3f9365c3,32'h3fb4270b,// invsqrt(0.6108) = 1.2795 +32'h4004961c,32'h3f2e4dbf,32'h3f356b0b, 32'h3f28f7c6,32'h3f3ac104, 32'h3f201328,32'h3f43a5a2,// invsqrt(2.0717) = 0.6948 +32'h3f908471,32'h3f6c1bb2,32'h3f75bec8, 32'h3f64e160,32'h3f7cf91a, 32'h3f58d584,32'h3f84827b,// invsqrt(1.1290) = 0.9411 +32'h3f078b55,32'h3fac642f,32'h3fb36d7f, 32'h3fa71d33,32'h3fb8b47b, 32'h3f9e518e,32'h3fc18020,// invsqrt(0.5295) = 1.3743 +32'h3ecc7b64,32'h3fc67e02,32'h3fce980c, 32'h3fc06a7a,32'h3fd4ab94, 32'h3fb649ed,32'h3fdecc21,// invsqrt(0.3994) = 1.5824 +32'h3fb903f8,32'h3f50ac60,32'h3f5930cc, 32'h3f4a490e,32'h3f5f941e, 32'h3f3fa387,32'h3f6a39a5,// invsqrt(1.4454) = 0.8318 +32'h40c9b711,32'h3ec7d953,32'h3ed0018b, 32'h3ec1bb29,32'h3ed61fb5, 32'h3eb788e4,32'h3ee051fa,// invsqrt(6.3036) = 0.3983 +32'h3f3b5ea5,32'h3f929fdb,32'h3f989bee, 32'h3f8e22cc,32'h3f9d18fc, 32'h3f86a7b4,32'h3fa49414,// invsqrt(0.7319) = 1.1689 +32'h3eaac4c7,32'h3fd9341c,32'h3fe211ab, 32'h3fd28df2,32'h3fe8b7d6, 32'h3fc77900,32'h3ff3ccc8,// invsqrt(0.3335) = 1.7315 +32'h3f9f2a5b,32'h3f60fb3d,32'h3f6a2a11, 32'h3f5a181f,32'h3f710d2f, 32'h3f4e9d96,32'h3f7c87b8,// invsqrt(1.2435) = 0.8968 +32'h3f03b3f4,32'h3faee327,32'h3fb6068b, 32'h3fa9889b,32'h3fbb6117, 32'h3fa09c5d,32'h3fc44d55,// invsqrt(0.5145) = 1.3942 +32'h3f93f53d,32'h3f6958c8,32'h3f72df04, 32'h3f62341a,32'h3f7a03b2, 32'h3f564c4f,32'h3f82f5be,// invsqrt(1.1559) = 0.9301 +32'h402ccabd,32'h3f18af2c,32'h3f1eea91, 32'h3f1402a0,32'h3f23971c, 32'h3f0c3861,32'h3f2b615b,// invsqrt(2.6999) = 0.6086 +32'h3f311bd4,32'h3f96cfe3,32'h3f9cf7b9, 32'h3f923204,32'h3fa19598, 32'h3f8a8039,32'h3fa94763,// invsqrt(0.6918) = 1.2023 +32'h40e67540,32'h3ebaf8ac,32'h3ec29a56, 32'h3eb53f6e,32'h3ec85394, 32'h3eabb55a,32'h3ed1dda8,// invsqrt(7.2018) = 0.3726 +32'h40a30bce,32'h3ede49b3,32'h3ee75c61, 32'h3ed77bb0,32'h3eee2a64, 32'h3ecc2456,32'h3ef981be,// invsqrt(5.0952) = 0.4430 +32'h3fb21673,32'h3f54b185,32'h3f5d5ff3, 32'h3f4e2eb3,32'h3f63e2c5, 32'h3f4354a9,32'h3f6ebccf,// invsqrt(1.3913) = 0.8478 +32'h3f9468f4,32'h3f68fdbe,32'h3f728044, 32'h3f61dbdb,32'h3f79a227, 32'h3f55f8b4,32'h3f82c2a7,// invsqrt(1.1595) = 0.9287 +32'h3f16541d,32'h3fa3b1e8,32'h3faa605a, 32'h3f9eaf13,32'h3faf632f, 32'h3f965504,32'h3fb7bd3e,// invsqrt(0.5872) = 1.3050 +32'h3ec78a40,32'h3fc8ef69,32'h3fd122fa, 32'h3fc2c8bb,32'h3fd749a7, 32'h3fb88846,32'h3fe18a1c,// invsqrt(0.3897) = 1.6018 +32'h3f28336c,32'h3f9ac107,32'h3fa1120d, 32'h3f960443,32'h3fa5ced1, 32'h3f8e1efc,32'h3fadb418,// invsqrt(0.6570) = 1.2337 +32'h3f7d26ee,32'h3f7c4988,32'h3f834ad6, 32'h3f74906c,32'h3f872764, 32'h3f67b13e,32'h3f8d96fb,// invsqrt(0.9889) = 1.0056 +32'h3fb4e61d,32'h3f5308c9,32'h3f5ba5e1, 32'h3f4c92f7,32'h3f621bb3, 32'h3f41ce99,32'h3f6ce011,// invsqrt(1.4133) = 0.8412 +32'h3a833405,32'h41f7cc70,32'h4200f4d7, 32'h41f03681,32'h4204bfce, 32'h41e391f4,32'h420b1215,// invsqrt(0.0010) = 31.6070 +32'h3fa38ab0,32'h3f5df367,32'h3f670290, 32'h3f572809,32'h3f6dcdef, 32'h3f4bd516,32'h3f7920e2,// invsqrt(1.2777) = 0.8847 +32'h3ffe3c07,32'h3f32038c,32'h3f39479c, 32'h3f2c9080,32'h3f3ebaa8, 32'h3f237b6c,32'h3f47cfbc,// invsqrt(1.9862) = 0.7096 +32'h3ea83f2b,32'h3fdad34c,32'h3fe3c1cc, 32'h3fd4206b,32'h3fea74ad, 32'h3fc8f64b,32'h3ff59ecd,// invsqrt(0.3286) = 1.7445 +32'h429f2f7a,32'h3de0f79f,32'h3dea264d, 32'h3dda149d,32'h3df1094f, 32'h3dce9a44,32'h3dfc83a8,// invsqrt(79.5927) = 0.1121 +32'h3ea1c592,32'h3fdf2966,32'h3fe84535, 32'h3fd85489,32'h3fef1a11, 32'h3fccf1c5,32'h3ffa7cd5,// invsqrt(0.3160) = 1.7790 +32'h3fb2feea,32'h3f54273a,32'h3f5cd003, 32'h3f4da8a4,32'h3f634e9a, 32'h3f42d5a9,32'h3f6e2195,// invsqrt(1.3984) = 0.8456 +32'h3f9db6b5,32'h3f6203b7,32'h3f6b3d55, 32'h3f5b187f,32'h3f72288d, 32'h3f4f9079,32'h3f7db093,// invsqrt(1.2321) = 0.9009 +32'h3f46c9a9,32'h3f8e59ea,32'h3f942957, 32'h3f89fe59,32'h3f9884e9, 32'h3f82bb11,32'h3f9fc831,// invsqrt(0.7765) = 1.1348 +32'h3e84314b,32'h3ff6de9b,32'h40007912, 32'h3fef4ff5,32'h40044066, 32'h3fe2b78a,32'h400a8c9b,// invsqrt(0.2582) = 1.9680 +32'h3ef7f7cd,32'h3fb43fc8,32'h3fbb9b34, 32'h3faebb38,32'h3fc11fc4, 32'h3fa588f1,32'h3fca520b,// invsqrt(0.4843) = 1.4369 +32'h3e313bed,32'h4016c23b,32'h401ce981, 32'h401224c6,32'h402186f6, 32'h400a73ae,32'h4029380e,// invsqrt(0.1731) = 2.4037 +32'h3ee6ce48,32'h3fbad499,32'h3fc274c9, 32'h3fb51c75,32'h3fc82ced, 32'h3fab9439,32'h3fd1b529,// invsqrt(0.4508) = 1.4894 +32'h3f671895,32'h3f8406ae,32'h3f896a38, 32'h3f7ff80e,32'h3f8d74df, 32'h3f727f35,32'h3f94314b,// invsqrt(0.9027) = 1.0525 +32'h3ed46c2d,32'h3fc2bf39,32'h3fcab221, 32'h3fbcc90b,32'h3fd0a84f, 32'h3fb2d968,32'h3fda97f2,// invsqrt(0.4149) = 1.5525 +32'h3db81907,32'h4051315d,32'h4059bb37, 32'h404ac9fa,32'h4060229a, 32'h40401da9,32'h406aceeb,// invsqrt(0.0899) = 3.3353 +32'h3dd0e4cd,32'h4044628f,32'h404c6695, 32'h403e5f8b,32'h40526999, 32'h40345a83,32'h405c6ea1,// invsqrt(0.1020) = 3.1311 +32'h3f897ac7,32'h3f72137b,32'h3f7bf4ed, 32'h3f6aaa65,32'h3f81af02, 32'h3f5e5095,32'h3f87dbe9,// invsqrt(1.0741) = 0.9649 +32'h3f47c8c3,32'h3f8dfeeb,32'h3f93caa1, 32'h3f89a622,32'h3f98236a, 32'h3f82677f,32'h3f9f620d,// invsqrt(0.7804) = 1.1320 +32'h3f450e4e,32'h3f8ef9b4,32'h3f94cfa6, 32'h3f8a993e,32'h3f99301c, 32'h3f834dcf,32'h3fa07b8b,// invsqrt(0.7697) = 1.1398 +32'h42f862f0,32'h3db418e5,32'h3dbb72ba, 32'h3dae9585,32'h3dc0f619, 32'h3da5653a,32'h3dca2664,// invsqrt(124.1932) = 0.0897 +32'h3f2cf1ea,32'h3f989de0,32'h3f9ed890, 32'h3f93f1dc,32'h3fa38494, 32'h3f8c287f,32'h3fab4df1,// invsqrt(0.6756) = 1.2167 +32'h3ed08cfb,32'h3fc48be4,32'h3fcc919a, 32'h3fbe879c,32'h3fd295e2, 32'h3fb48079,32'h3fdc9d05,// invsqrt(0.4073) = 1.5669 +32'h3f933a87,32'h3f69ec8f,32'h3f7378d4, 32'h3f62c35c,32'h3f7aa208, 32'h3f56d407,32'h3f8348af,// invsqrt(1.1502) = 0.9324 +32'h3dfc3e71,32'h4032b701,32'h403a0265, 32'h402d3e77,32'h403f7aef, 32'h4024203b,32'h4048992b,// invsqrt(0.1232) = 2.8494 +32'h3f79dec7,32'h3f7df04a,32'h3f8426d9, 32'h3f762a3e,32'h3f8809df, 32'h3f69357e,32'h3f8e843f,// invsqrt(0.9761) = 1.0122 +32'h403d9cd7,32'h3f11c130,32'h3f17b42c, 32'h3f0d4af2,32'h3f1c2a6a, 32'h3f05db36,32'h3f239a26,// invsqrt(2.9627) = 0.5810 +32'h3f9b9de9,32'h3f63883a,32'h3f6cd1b4, 32'h3f5c911e,32'h3f73c8d0, 32'h3f50f545,32'h3f7f64a9,// invsqrt(1.2158) = 0.9069 +32'h3f06aa93,32'h3facf3cf,32'h3fb402fd, 32'h3fa7a86e,32'h3fb94e5e, 32'h3f9ed575,32'h3fc22157,// invsqrt(0.5260) = 1.3788 +32'h3eb468fe,32'h3fd351eb,32'h3fdbf1ff, 32'h3fccd9dc,32'h3fe26a0e, 32'h3fc211c3,32'h3fed3227,// invsqrt(0.3524) = 1.6846 +32'h3f05f71a,32'h3fad6783,32'h3fb47b69, 32'h3fa81896,32'h3fb9ca56, 32'h3f9f3fb7,32'h3fc2a335,// invsqrt(0.5233) = 1.3824 +32'h3f053347,32'h3fade6cb,32'h3fb4ffe3, 32'h3fa893f9,32'h3fba52b5, 32'h3f9fb49b,32'h3fc33213,// invsqrt(0.5203) = 1.3863 +32'h3f9967f8,32'h3f652a6d,32'h3f6e84f9, 32'h3f5e2684,32'h3f7588e2, 32'h3f527554,32'h3f809d09,// invsqrt(1.1985) = 0.9134 +32'h3f88737a,32'h3f72fc9a,32'h3f7ce790, 32'h3f6b8c61,32'h3f822be5, 32'h3f5f26ac,32'h3f885ebf,// invsqrt(1.0660) = 0.9685 +32'h3f6e26ad,32'h3f820e49,32'h3f875d3d, 32'h3f7c2626,32'h3f8b5873, 32'h3f6ee0c5,32'h3f91fb23,// invsqrt(0.9303) = 1.0368 +32'h3ebef0b9,32'h3fcd6909,32'h3fd5cb5d, 32'h3fc71f4a,32'h3fdc151c, 32'h3fbca461,32'h3fe69005,// invsqrt(0.3729) = 1.6375 +32'h3f871b81,32'h3f743126,32'h3f7e28b4, 32'h3f6cb77b,32'h3f82d130, 32'h3f604208,32'h3f890be9,// invsqrt(1.0555) = 0.9733 +32'h4024f7c6,32'h3f1c4359,32'h3f22a423, 32'h3f177ac1,32'h3f276cbb, 32'h3f0f81c5,32'h3f2f65b7,// invsqrt(2.5776) = 0.6229 +32'h3ff22373,32'h3f3667f0,32'h3f3dd9e4, 32'h3f30d278,32'h3f436f5c, 32'h3f278406,32'h3f4cbdce,// invsqrt(1.8917) = 0.7271 +32'h3d131a09,32'h40a57b0b,32'h40ac3c25, 32'h40a06a37,32'h40b14cf9, 32'h4097f8d6,32'h40b9be5a,// invsqrt(0.0359) = 5.2768 +32'h3f49096e,32'h3f8d8d7f,32'h3f935493, 32'h3f89382f,32'h3f97a9e3, 32'h3f81ff55,32'h3f9ee2bd,// invsqrt(0.7853) = 1.1284 +32'h3d54e816,32'h40898cdf,32'h408f2a21, 32'h408556ed,32'h40936013, 32'h407ca4b3,32'h409a64a6,// invsqrt(0.0520) = 4.3862 +32'h3e2a082a,32'h4019eb23,32'h4020336d, 32'h401534eb,32'h4024e9a5, 32'h400d5a8e,32'h402cc402,// invsqrt(0.1660) = 2.4541 +32'h3e841dfb,32'h3ff6f0a6,32'h40008275, 32'h3fef6171,32'h40044a0f, 32'h3fe2c81a,32'h400a96bb,// invsqrt(0.2580) = 1.9686 +32'h3fe668a6,32'h3f3afdc9,32'h3f429fa7, 32'h3f354462,32'h3f48590e, 32'h3f2bba0c,32'h3f51e364,// invsqrt(1.8001) = 0.7453 +32'h3f13df7d,32'h3fa50c6b,32'h3fabc901, 32'h3f9ffefa,32'h3fb0d672, 32'h3f97933d,32'h3fb9422f,// invsqrt(0.5776) = 1.3158 +32'h3edcd409,32'h3fbf012a,32'h3fc6ccf7, 32'h3fb9284f,32'h3fcca5d1, 32'h3faf698e,32'h3fd66492,// invsqrt(0.4313) = 1.5227 +32'h3fc928f9,32'h3f481fdc,32'h3f504af5, 32'h3f41ff8a,32'h3f566b48, 32'h3f37c9ab,32'h3f60a127,// invsqrt(1.5716) = 0.7977 +32'h3f470f52,32'h3f8e4100,32'h3f940f68, 32'h3f89e631,32'h3f986a37, 32'h3f82a42f,32'h3f9fac39,// invsqrt(0.7776) = 1.1340 +32'h400abfd3,32'h3f2a637d,32'h3f3157e1, 32'h3f252c33,32'h3f368f2b, 32'h3f1c7ab7,32'h3f3f40a7,// invsqrt(2.1680) = 0.6792 +32'h408d354a,32'h3eeedbd6,32'h3ef89baa, 32'h3ee78bf6,32'h3effeb8a, 32'h3edb5c2d,32'h3f060daa,// invsqrt(4.4128) = 0.4760 +32'h3e7c299f,32'h3ffcc820,32'h40038cb8, 32'h3ff50b24,32'h40076b36, 32'h3fe82581,32'h400dde08,// invsqrt(0.2463) = 2.0152 +32'h417e9c37,32'h3e7b9054,32'h3e82ea74, 32'h3e73dce3,32'h3e86c42d, 32'h3e670728,32'h3e8d2f0a,// invsqrt(15.9131) = 0.2507 +32'h3fe5f929,32'h3f3b2b17,32'h3f42cecf, 32'h3f35704d,32'h3f488999, 32'h3f2be3a8,32'h3f52163f,// invsqrt(1.7967) = 0.7460 +32'h3c2c7c6a,32'h4118d1d3,32'h411f0ea2, 32'h41142437,32'h4123bc3d, 32'h410c5834,32'h412b8840,// invsqrt(0.0105) = 9.7461 +32'h3f93ac57,32'h3f699259,32'h3f731aef, 32'h3f626be9,32'h3f7a415f, 32'h3f56812d,32'h3f83160d,// invsqrt(1.1537) = 0.9310 +32'h3f024cef,32'h3fafd371,32'h3fb700a5, 32'h3faa718a,32'h3fbc628c, 32'h3fa1790a,32'h3fc55b0c,// invsqrt(0.5090) = 1.4017 +32'h3fba0ede,32'h3f50167f,32'h3f5894cd, 32'h3f49b7c4,32'h3f5ef388, 32'h3f3f19e2,32'h3f69916a,// invsqrt(1.4536) = 0.8294 +32'h3e7b02c4,32'h3ffd5c6d,32'h4003d9e5, 32'h3ff59ae7,32'h4007baa8, 32'h3fe8adb3,32'h400e3143,// invsqrt(0.2451) = 2.0198 +32'h42ba0586,32'h3dd01bb8,32'h3dd89a3d, 32'h3dc9bcd5,32'h3ddef921, 32'h3dbf1eaf,32'h3de99747,// invsqrt(93.0108) = 0.1037 +32'h4299e0dd,32'h3de4d056,32'h3dee2734, 32'h3dddcf2e,32'h3df5285c, 32'h3dd22298,32'h3e006a79,// invsqrt(76.9392) = 0.1140 +32'h3e91ff5c,32'h3feae885,32'h3ff47f12, 32'h3fe3b79a,32'h3ffbaffc, 32'h3fd7bb6a,32'h4003d616,// invsqrt(0.2852) = 1.8727 +32'h3fafcf0d,32'h3f561147,32'h3f5ece11, 32'h3f4f83b0,32'h3f655ba8, 32'h3f4497b4,32'h3f7047a4,// invsqrt(1.3735) = 0.8533 +32'h3fb4f60f,32'h3f52ff7d,32'h3f5b9c33, 32'h3f4c89f4,32'h3f6211bc, 32'h3f41c60f,32'h3f6cd5a1,// invsqrt(1.4138) = 0.8410 +32'h3f2765b9,32'h3f9b1fff,32'h3fa174e4, 32'h3f966052,32'h3fa63490, 32'h3f8e7632,32'h3fae1eb0,// invsqrt(0.6539) = 1.2366 +32'h3f2b1752,32'h3f9970fa,32'h3f9fb448, 32'h3f94be7f,32'h3fa466c3, 32'h3f8cea5e,32'h3fac3ae4,// invsqrt(0.6683) = 1.2232 +32'h3f775feb,32'h3f7f375f,32'h3f84d110, 32'h3f776750,32'h3f88b918, 32'h3f6a61e0,32'h3f8f3bd0,// invsqrt(0.9663) = 1.0173 +32'h3d08ddb2,32'h40ab8e92,32'h40b28f2b, 32'h40a64e21,32'h40b7cf9d, 32'h409d8d62,32'h40c0905c,// invsqrt(0.0334) = 5.4706 +32'h3e9fcc2a,32'h3fe08938,32'h3fe9b364, 32'h3fd9a997,32'h3ff09305, 32'h3fce34e0,32'h3ffc07bc,// invsqrt(0.3121) = 1.7900 +32'h4021a0c1,32'h3f1dde8d,32'h3f245020, 32'h3f19095f,32'h3f29254f, 32'h3f10fb68,32'h3f313346,// invsqrt(2.5254) = 0.6293 +32'h3ee2e19b,32'h3fbc707c,32'h3fc4217c, 32'h3fb6abbc,32'h3fc9e63c, 32'h3fad0e7c,32'h3fd3837c,// invsqrt(0.4431) = 1.5022 +32'h3f359b66,32'h3f94eebd,32'h3f9b02ef, 32'h3f905f98,32'h3f9f9214, 32'h3f88c65a,32'h3fa72b52,// invsqrt(0.7094) = 1.1873 +32'h3f94290e,32'h3f692ff7,32'h3f72b489, 32'h3f620c8a,32'h3f79d7f6, 32'h3f5626d3,32'h3f82ded6,// invsqrt(1.1575) = 0.9295 +32'h3e7df2f3,32'h3ffbe41c,32'h4003160f, 32'h3ff42e1c,32'h4006f110, 32'h3fe7541b,32'h400d5e10,// invsqrt(0.2480) = 2.0081 +32'h3ea5035e,32'h3fdcf583,32'h3fe5fa4f, 32'h3fd631ea,32'h3fecbde8, 32'h3fcaebeb,32'h3ff803e7,// invsqrt(0.3223) = 1.7615 +32'h3f157cbb,32'h3fa427ab,32'h3faadaeb, 32'h3f9f213a,32'h3fafe15c, 32'h3f96c12a,32'h3fb8416c,// invsqrt(0.5839) = 1.3086 +32'h3eacfe97,32'h3fd7cd3d,32'h3fe09c25, 32'h3fd1320f,32'h3fe73753, 32'h3fc62f6c,32'h3ff239f6,// invsqrt(0.3379) = 1.7204 +32'h3f6e74f2,32'h3f81f8f0,32'h3f874704, 32'h3f7bfcc1,32'h3f8b4193, 32'h3f6eb98f,32'h3f91e32d,// invsqrt(0.9315) = 1.0361 +32'h4049c84a,32'h3f0d4a7d,32'h3f130ed5, 32'h3f08f73a,32'h3f176218, 32'h3f01c1cb,32'h3f1e9787,// invsqrt(3.1528) = 0.5632 +32'h40c14944,32'h3ecc28f4,32'h3ed47e38, 32'h3ec5e902,32'h3edabe2a, 32'h3ebb7e6d,32'h3ee528bf,// invsqrt(6.0402) = 0.4069 +32'h3f49e5ce,32'h3f8d4029,32'h3f930415, 32'h3f88ed37,32'h3f975707, 32'h3f81b84f,32'h3f9e8bef,// invsqrt(0.7887) = 1.1260 +32'h3ee233e8,32'h3fbcb8c8,32'h3fc46cbc, 32'h3fb6f1d2,32'h3fca33b2, 32'h3fad50e2,32'h3fd3d4a2,// invsqrt(0.4418) = 1.5045 +32'h3f577379,32'h3f88bc52,32'h3f8e5112, 32'h3f848cc3,32'h3f9280a1, 32'h3f7b25a7,32'h3f997a91,// invsqrt(0.8416) = 1.0900 +32'h3f2816c1,32'h3f9ace39,32'h3fa11fc8, 32'h3f96110d,32'h3fa5dcf3, 32'h3f8e2b1a,32'h3fadc2e6,// invsqrt(0.6566) = 1.2341 +32'h3e25294a,32'h401c2bea,32'h40228bc0, 32'h4017640a,32'h402753a0, 32'h400f6c40,32'h402f4b6a,// invsqrt(0.1613) = 2.4900 +32'h3f21eda4,32'h3f9db90e,32'h3fa4291a, 32'h3f98e506,32'h3fa8fd22, 32'h3f90d8f8,32'h3fb10930,// invsqrt(0.6325) = 1.2574 +32'h3f0bb6f1,32'h3fa9cc8b,32'h3fb0bac5, 32'h3fa499e0,32'h3fb5ed70, 32'h3f9bf017,32'h3fbe9739,// invsqrt(0.5458) = 1.3536 +32'h3f33ed94,32'h3f95a037,32'h3f9bbba7, 32'h3f910ba3,32'h3fa0503b, 32'h3f896957,32'h3fa7f287,// invsqrt(0.7028) = 1.1928 +32'h3f824c61,32'h3f78a852,32'h3f816745, 32'h3f710ba8,32'h3f85359a, 32'h3f645be3,32'h3f8b8d7c,// invsqrt(1.0180) = 0.9911 +32'h3f58c590,32'h3f885187,32'h3f8de1eb, 32'h3f84253d,32'h3f920e35, 32'h3f7a6180,32'h3f9902b2,// invsqrt(0.8468) = 1.0867 +32'h3fefd642,32'h3f374774,32'h3f3ec288, 32'h3f31ab24,32'h3f445ed8, 32'h3f28514b,32'h3f4db8b1,// invsqrt(1.8737) = 0.7305 +32'h3f9d830b,32'h3f6228c5,32'h3f6b63e7, 32'h3f5b3c6b,32'h3f725041, 32'h3f4fb281,32'h3f7dda2b,// invsqrt(1.2306) = 0.9015 +32'h40058793,32'h3f2dafde,32'h3f34c6b8, 32'h3f285eba,32'h3f3a17dc, 32'h3f1f822a,32'h3f42f46c,// invsqrt(2.0864) = 0.6923 +32'h3f75f313,32'h3f7ff465,32'h3f85336e, 32'h3f781e8b,32'h3f891e5a, 32'h3f6b0f76,32'h3f8fa5e5,// invsqrt(0.9607) = 1.0202 +32'h3f312329,32'h3f96ccc4,32'h3f9cf478, 32'h3f922efc,32'h3fa19240, 32'h3f8a7d5b,32'h3fa943e1,// invsqrt(0.6919) = 1.2022 +32'h3f48f70c,32'h3f8d93f8,32'h3f935b50, 32'h3f893e75,32'h3f97b0d3, 32'h3f820547,32'h3f9eea01,// invsqrt(0.7850) = 1.1287 +32'h3f9e07c5,32'h3f61c9b8,32'h3f6b00f8, 32'h3f5ae047,32'h3f71ea69, 32'h3f4f5b36,32'h3f7d6f7a,// invsqrt(1.2346) = 0.9000 +32'h3f124342,32'h3fa5f45e,32'h3facba6c, 32'h3fa0dfd3,32'h3fb1cef7, 32'h3f986841,32'h3fba4689,// invsqrt(0.5713) = 1.3230 +32'h3f428c9d,32'h3f8fe4bd,32'h3f95c448, 32'h3f8b7d16,32'h3f9a2bf0, 32'h3f8425a9,32'h3fa1835d,// invsqrt(0.7600) = 1.1471 +32'h3f2c50e3,32'h3f98e51e,32'h3f9f22b7, 32'h3f9436ec,32'h3fa3d0ea, 32'h3f8c69ed,32'h3fab9de9,// invsqrt(0.6731) = 1.2189 +32'h3de774e2,32'h403a914f,32'h40422ec0, 32'h4034db3b,32'h4047e4d5, 32'h402b566e,32'h405169a2,// invsqrt(0.1130) = 2.9746 +32'h41437fc0,32'h3e8f8b27,32'h3e956709, 32'h3e8b263d,32'h3e99cbf3, 32'h3e83d362,32'h3ea11ece,// invsqrt(12.2187) = 0.2861 +32'h407f55b0,32'h3efb34e5,32'h3f02bae0, 32'h3ef38441,32'h3f069331, 32'h3ee6b330,32'h3f0cfbba,// invsqrt(3.9896) = 0.5007 +32'h3fad616c,32'h3f578fb3,32'h3f605c18, 32'h3f50f666,32'h3f66f564, 32'h3f45f6e8,32'h3f71f4e2,// invsqrt(1.3545) = 0.8592 +32'h4063027a,32'h3f053587,32'h3f0aa56d, 32'h3f01219a,32'h3f0eb95a, 32'h3ef4ab75,32'h3f158539,// invsqrt(3.5470) = 0.5310 +32'h419bba70,32'h3e637362,32'h3e6cbc02, 32'h3e5c7ce9,32'h3e73b27b, 32'h3e50e220,32'h3e7f4d44,// invsqrt(19.4660) = 0.2267 +32'h3f58482a,32'h3f887906,32'h3f8e0b06, 32'h3f844b86,32'h3f923886, 32'h3f7aaa0b,32'h3f992f07,// invsqrt(0.8449) = 1.0880 +32'h3c98ab2c,32'h40e5b7f4,32'h40ef1848, 32'h40deafb6,32'h40f62086, 32'h40d2f74e,32'h4100ec77,// invsqrt(0.0186) = 7.3252 +32'h3faaa21e,32'h3f594a2b,32'h3f62289f, 32'h3f52a353,32'h3f68cf77, 32'h3f478d41,32'h3f73e589,// invsqrt(1.3331) = 0.8661 +32'h40bd5875,32'h3ece4606,32'h3ed6b15f, 32'h3ec7f583,32'h3edd01e1, 32'h3ebd6f53,32'h3ee78811,// invsqrt(5.9170) = 0.4111 +32'h4091cd50,32'h3eeb10d2,32'h3ef4a905, 32'h3ee3dead,32'h3efbdb2b, 32'h3ed7e06e,32'h3f03ecb5,// invsqrt(4.5563) = 0.4685 +32'h416d96a6,32'h3e8235af,32'h3e87863e, 32'h3e7c7288,32'h3e8b82aa, 32'h3e6f2923,32'h3e92275c,// invsqrt(14.8493) = 0.2595 +32'h3fe6340d,32'h3f3b1324,32'h3f42b5e2, 32'h3f355916,32'h3f486ff0, 32'h3f2bcda9,32'h3f51fb5d,// invsqrt(1.7985) = 0.7457 +32'h3f288b9a,32'h3f9a9886,32'h3fa0e7e4, 32'h3f95dcff,32'h3fa5a36b, 32'h3f8df9c9,32'h3fad86a1,// invsqrt(0.6584) = 1.2324 +32'h40ff0e59,32'h3eb1ba17,32'h3eb8fb28, 32'h3eac494b,32'h3ebe6bf5, 32'h3ea337f6,32'h3ec77d4a,// invsqrt(7.9705) = 0.3542 +32'h3e52308c,32'h400a6fba,32'h4010163e, 32'h400632d6,32'h40145322, 32'h3ffe455f,32'h401b6348,// invsqrt(0.2053) = 2.2072 +32'h3af92392,32'h41b3d337,32'h41bb2a35, 32'h41ae51fa,32'h41c0ab72, 32'h41a5253d,32'h41c9d82f,// invsqrt(0.0019) = 22.9369 +32'h3ef21c77,32'h3fb66a91,32'h3fbddca1, 32'h3fb0d505,32'h3fc3722d, 32'h3fa78670,32'h3fccc0c2,// invsqrt(0.4729) = 1.4542 +32'h3f97e3af,32'h3f664e9d,32'h3f6fb517, 32'h3f5f41c2,32'h3f76c1f2, 32'h3f5381ab,32'h3f814105,// invsqrt(1.1866) = 0.9180 +32'h3fda12f7,32'h3f4034f8,32'h3f480d56, 32'h3f3a52b2,32'h3f4def9c, 32'h3f30843d,32'h3f57be11,// invsqrt(1.7037) = 0.7661 +32'h3ac34fd5,32'h41cb1938,32'h41d36364, 32'h41c4e197,32'h41d99b05, 32'h41ba84e0,32'h41e3f7bc,// invsqrt(0.0015) = 25.9054 +32'h4111d66a,32'h3ea63240,32'h3eacfad5, 32'h3ea11bd1,32'h3eb21145, 32'h3e98a117,32'h3eba8bff,// invsqrt(9.1148) = 0.3312 +32'h401b4087,32'h3f211413,32'h3f27a72d, 32'h3f1c25be,32'h3f2c9582, 32'h3f13eddd,32'h3f34cd63,// invsqrt(2.4258) = 0.6421 +32'h4492e8ba,32'h3cea2da7,32'h3cf3bc93, 32'h3ce30275,32'h3cfae7c5, 32'h3cd70fcd,32'h3d036d36,// invsqrt(1175.2727) = 0.0292 +32'h4002aa1f,32'h3f2f94b3,32'h3f36bf57, 32'h3f2a34b8,32'h3f3c1f52, 32'h3f213f6b,32'h3f45149f,// invsqrt(2.0416) = 0.6999 +32'h407949f9,32'h3efe3c09,32'h3f044e43, 32'h3ef673ab,32'h3f083273, 32'h3ee97b0e,32'h3f0eaec1,// invsqrt(3.8951) = 0.5067 +32'h3ffa5a10,32'h3f336391,32'h3f3ab600, 32'h3f2de5bf,32'h3f4033d3, 32'h3f24beb5,32'h3f495add,// invsqrt(1.9559) = 0.7150 +32'h3f4e20b1,32'h3f8bcb35,32'h3f917fe9, 32'h3f8783ae,32'h3f95c770, 32'h3f8061ce,32'h3f9ce950,// invsqrt(0.8052) = 1.1144 +32'h405bc7c8,32'h3f0761d8,32'h3f0ce873, 32'h3f033ce3,32'h3f110d67, 32'h3ef8a943,32'h3f17f5a9,// invsqrt(3.4341) = 0.5396 +32'h3f19b0a3,32'h3fa1e51a,32'h3fa880bc, 32'h3f9cf05f,32'h3fad7577, 32'h3f94add4,32'h3fb5b803,// invsqrt(0.6004) = 1.2906 +32'h3f999f90,32'h3f6500f2,32'h3f6e59cd, 32'h3f5dfe4e,32'h3f755c72, 32'h3f524f3d,32'h3f8085c2,// invsqrt(1.2002) = 0.9128 +32'h40818c93,32'h3ef96021,32'h3f01c6ed, 32'h3ef1bdd7,32'h3f059812, 32'h3ee504b0,32'h3f0bf4a5,// invsqrt(4.0484) = 0.4970 +32'h3eacfd6c,32'h3fd7cdf8,32'h3fe09ce8, 32'h3fd132c4,32'h3fe7381c, 32'h3fc63018,32'h3ff23ac8,// invsqrt(0.3379) = 1.7204 +32'h3fb31929,32'h3f5417ae,32'h3f5cbfd4, 32'h3f4d9991,32'h3f633df1, 32'h3f42c761,32'h3f6e1021,// invsqrt(1.3992) = 0.8454 +32'h3d9804c2,32'h4066358e,32'h406f9b02, 32'h405f2978,32'h4076a718, 32'h40536aa7,32'h408132f4,// invsqrt(0.0742) = 3.6704 +32'h3fd84c97,32'h3f40fe70,32'h3f48df07, 32'h3f3b15ff,32'h3f4ec779, 32'h3f313d43,32'h3f58a035,// invsqrt(1.6898) = 0.7693 +32'h3f5691d3,32'h3f890425,32'h3f8e9bd3, 32'h3f84d263,32'h3f92cd95, 32'h3f7ba992,32'h3f99cb2f,// invsqrt(0.8382) = 1.0923 +32'h41403847,32'h3e90c346,32'h3e96abe6, 32'h3e8c54ce,32'h3e9b1a5e, 32'h3e84f207,32'h3ea27d25,// invsqrt(12.0137) = 0.2885 +32'h3e8f7004,32'h3fecfec6,32'h3ff6ab22, 32'h3fe5bd81,32'h3ffdec67, 32'h3fd9a60f,32'h400501ed,// invsqrt(0.2802) = 1.8893 +32'h403af707,32'h3f12c876,32'h3f18c632, 32'h3f0e4a29,32'h3f1d447f, 32'h3f06ccff,32'h3f24c1a9,// invsqrt(2.9213) = 0.5851 +32'h3f7421e1,32'h3f8073e7,32'h3f85b21a, 32'h3f790a80,32'h3f89a0c0, 32'h3f6bef00,32'h3f902e80,// invsqrt(0.9536) = 1.0240 +32'h3f8ff435,32'h3f6c91dd,32'h3f7639c7, 32'h3f6553ee,32'h3f7d77b6, 32'h3f59420a,32'h3f84c4cd,// invsqrt(1.1246) = 0.9430 +32'h3f027930,32'h3fafb59d,32'h3fb6e199, 32'h3faa54a0,32'h3fbc4296, 32'h3fa15da5,32'h3fc53991,// invsqrt(0.5097) = 1.4007 +32'h3cfbd5ef,32'h40b2dc13,32'h40ba28f9, 32'h40ad6266,32'h40bfa2a6, 32'h40a44245,32'h40c8c2c7,// invsqrt(0.0307) = 5.7034 +32'h3f6ff5d1,32'h3f81908a,32'h3f86da5c, 32'h3f7b325a,32'h3f8ad1b9, 32'h3f6df9cf,32'h3f916dfe,// invsqrt(0.9373) = 1.0329 +32'h40073d97,32'h3f2c95b5,32'h3f33a10a, 32'h3f274d34,32'h3f38e98a, 32'h3f1e7f09,32'h3f41b7b5,// invsqrt(2.1131) = 0.6879 +32'h3f18ef60,32'h3fa24b44,32'h3fa8eb13, 32'h3f9d536a,32'h3fade2ee, 32'h3f950ba8,32'h3fb62ab0,// invsqrt(0.5974) = 1.2938 +32'h3f2a0873,32'h3f99eb02,32'h3fa0334c, 32'h3f9534cb,32'h3fa4e983, 32'h3f8d5a70,32'h3facc3de,// invsqrt(0.6642) = 1.2270 +32'h40ca0c71,32'h3ec7af16,32'h3ecfd594, 32'h3ec19237,32'h3ed5f273, 32'h3eb76219,32'h3ee02291,// invsqrt(6.3140) = 0.3980 +32'h403801c2,32'h3f13f54c,32'h3f19ff50, 32'h3f0f6dca,32'h3f1e86d2, 32'h3f07e146,32'h3f261356,// invsqrt(2.8751) = 0.5898 +32'h3f845fa4,32'h3f76b360,32'h3f806292, 32'h3f6f260c,32'h3f84293c, 32'h3f628fd5,32'h3f8a7458,// invsqrt(1.0342) = 0.9833 +32'h3f82286b,32'h3f78caa9,32'h3f817924, 32'h3f712cf2,32'h3f8547ff, 32'h3f647b6c,32'h3f8ba0c2,// invsqrt(1.0169) = 0.9917 +32'h3f8850f8,32'h3f731b59,32'h3f7d0791, 32'h3f6baa2f,32'h3f823c5d, 32'h3f5f42e9,32'h3f887000,// invsqrt(1.0650) = 0.9690 +32'h3c8d2564,32'h40eee949,32'h40f8a9ab, 32'h40e79901,32'h40fff9f3, 32'h40db6887,32'h41061536,// invsqrt(0.0172) = 7.6183 +32'h3f836f6d,32'h3f779469,32'h3f80d7af, 32'h3f700032,32'h3f84a1cb, 32'h3f635e80,32'h3f8af2a4,// invsqrt(1.0268) = 0.9868 +32'h3f8dd424,32'h3f6e55ed,32'h3f78104b, 32'h3f670a27,32'h3f7f5c11, 32'h3f5ae133,32'h3f85c283,// invsqrt(1.1080) = 0.9500 +32'h3f6df0d3,32'h3f821d00,32'h3f876c8e, 32'h3f7c42ad,32'h3f8b6837, 32'h3f6efbcd,32'h3f920ba8,// invsqrt(0.9295) = 1.0373 +32'h3fc7ccc4,32'h3f48cdf3,32'h3f510027, 32'h3f42a84c,32'h3f5725ce, 32'h3f38698c,32'h3f61648e,// invsqrt(1.5609) = 0.8004 +32'h4141dab8,32'h3e9026b4,32'h3e9608f0, 32'h3e8bbd08,32'h3e9a729c, 32'h3e84623d,32'h3ea1cd67,// invsqrt(12.1159) = 0.2873 +32'h3dc663ac,32'h4049845f,32'h4051be05, 32'h40435923,32'h4057e941, 32'h40391113,32'h40623151,// invsqrt(0.0969) = 3.2130 +32'h3f40e72d,32'h3f908197,32'h3f966788, 32'h3f8c1521,32'h3f9ad3fd, 32'h3f84b5b4,32'h3fa2336a,// invsqrt(0.7535) = 1.1520 +32'h40b793e0,32'h3ed17d2c,32'h3eda0a1e, 32'h3ecb1376,32'h3ee073d4, 32'h3ec06348,32'h3eeb2402,// invsqrt(5.7368) = 0.4175 +32'h3f0b88fd,32'h3fa9e87e,32'h3fb0d7dc, 32'h3fa4b4f8,32'h3fb60b62, 32'h3f9c09c2,32'h3fbeb698,// invsqrt(0.5451) = 1.3545 +32'h40226d3f,32'h3f1d7b0d,32'h3f23e891, 32'h3f18a8eb,32'h3f28bab3, 32'h3f10a007,32'h3f30c397,// invsqrt(2.5379) = 0.6277 +32'h3fb16dbc,32'h3f55168c,32'h3f5dc91a, 32'h3f4e90a2,32'h3f644f04, 32'h3f43b171,32'h3f6f2e35,// invsqrt(1.3862) = 0.8494 +32'h3e784ed9,32'h3ffebc78,32'h4004911a, 32'h3ff6f02b,32'h40087740, 32'h3fe9f101,32'h400ef6d6,// invsqrt(0.2425) = 2.0307 +32'h3e4a6bd5,32'h400d115e,32'h4012d362, 32'h4008bfdb,32'h401724e5, 32'h40018d56,32'h401e576a,// invsqrt(0.1977) = 2.2492 +32'h3f828e0f,32'h3f7869be,32'h3f8146b4, 32'h3f70ceff,32'h3f851414, 32'h3f64226b,32'h3f8b6a5e,// invsqrt(1.0200) = 0.9902 +32'h3f66b388,32'h3f842395,32'h3f89884d, 32'h3f80180b,32'h3f8d93d7, 32'h3f72b44b,32'h3f9451bc,// invsqrt(0.9012) = 1.0534 +32'h3fc64426,32'h3f499464,32'h3f51ceb1, 32'h3f4368aa,32'h3f57fa6a, 32'h3f391fc9,32'h3f62434b,// invsqrt(1.5490) = 0.8035 +32'h3f4914f6,32'h3f8d8970,32'h3f93505a, 32'h3f893440,32'h3f97a58a, 32'h3f81fb9b,32'h3f9ede2f,// invsqrt(0.7855) = 1.1283 +32'h3f816140,32'h3f7989de,32'h3f81dca6, 32'h3f71e64e,32'h3f85ae6e, 32'h3f652b06,32'h3f8c0c12,// invsqrt(1.0108) = 0.9947 +32'h40cc333a,32'h3ec6a112,32'h3ecebc8a, 32'h3ec08c77,32'h3ed4d125, 32'h3eb66a20,32'h3edef37c,// invsqrt(6.3813) = 0.3959 +32'h3f628f2d,32'h3f855768,32'h3f8ac8b0, 32'h3f814272,32'h3f8edda6, 32'h3f74e9af,32'h3f95ab40,// invsqrt(0.8850) = 1.0630 +32'h3ea63116,32'h3fdc2c94,32'h3fe5292d, 32'h3fd56f23,32'h3febe69f, 32'h3fca3364,32'h3ff7225e,// invsqrt(0.3246) = 1.7552 +32'h3bdb7333,32'h413f9a79,32'h41476c89, 32'h4139bcee,32'h414d4a14, 32'h412ff65a,32'h415710a8,// invsqrt(0.0067) = 12.2196 +32'h3ed4cb08,32'h3fc293cd,32'h3fca84ef, 32'h3fbc9ef3,32'h3fd079c9, 32'h3fb2b188,32'h3fda6734,// invsqrt(0.4156) = 1.5512 +32'h3fa00e58,32'h3f605ac8,32'h3f69830e, 32'h3f597c92,32'h3f706144, 32'h3f4e0a3a,32'h3f7bd39c,// invsqrt(1.2504) = 0.8943 +32'h3fb0f52c,32'h3f555f17,32'h3f5e149b, 32'h3f4ed6f5,32'h3f649cbd, 32'h3f43f410,32'h3f6f7fa2,// invsqrt(1.3825) = 0.8505 +32'h3fc65e9f,32'h3f4986f0,32'h3f51c0b0, 32'h3f435b9f,32'h3f57ec01, 32'h3f39136e,32'h3f623432,// invsqrt(1.5498) = 0.8033 +32'h3f5b2409,32'h3f879463,32'h3f8d1d0e, 32'h3f836de2,32'h3f91438e, 32'h3f790618,32'h3f982e64,// invsqrt(0.8560) = 1.0808 +32'h3fdc6ef2,32'h3f3f2cf1,32'h3f46fa87, 32'h3f3952bf,32'h3f4cd4b9, 32'h3f2f91c3,32'h3f5695b5,// invsqrt(1.7221) = 0.7620 +32'h3f9cdc08,32'h3f62a10b,32'h3f6be115, 32'h3f5bb102,32'h3f72d11e, 32'h3f5020f5,32'h3f7e612b,// invsqrt(1.2255) = 0.9033 +32'h3f584e5a,32'h3f887713,32'h3f8e08fe, 32'h3f8449a1,32'h3f92366f, 32'h3f7aa675,32'h3f992cd6,// invsqrt(0.8449) = 1.0879 +32'h3f687650,32'h3f83a339,32'h3f8902b3, 32'h3f7f373a,32'h3f8d0a4f, 32'h3f71c888,32'h3f93c1a8,// invsqrt(0.9081) = 1.0494 +32'h3ff0ca49,32'h3f36ea7e,32'h3f3e61c7, 32'h3f315107,32'h3f43fb3d, 32'h3f27fbeb,32'h3f4d5059,// invsqrt(1.8812) = 0.7291 +32'h3fd2d4b8,32'h3f437b0e,32'h3f4b75a1, 32'h3f3d7f20,32'h3f517190, 32'h3f3385e9,32'h3f5b6ac7,// invsqrt(1.6471) = 0.7792 +32'h3de81ade,32'h403a4e8e,32'h4041e945, 32'h40349a84,32'h40479d4e, 32'h402b191e,32'h40511eb4,// invsqrt(0.1133) = 2.9705 +32'h41056807,32'h3eadc466,32'h3eb4dc17, 32'h3ea872a2,32'h3eba2ddc, 32'h3e9f9506,32'h3ec30b79,// invsqrt(8.3379) = 0.3463 +32'h3d8b0f1c,32'h4070b28a,32'h407a8595, 32'h40695442,32'h4080f1ef, 32'h405d0c75,32'h408715d6,// invsqrt(0.0679) = 3.8377 +32'h3e6ad6ea,32'h4002f837,32'h400850b6, 32'h3ffdebad,32'h400c5316, 32'h3ff08e6e,32'h401301b5,// invsqrt(0.2293) = 2.0882 +32'h3fac7dfa,32'h3f581da2,32'h3f60efd2, 32'h3f517ffe,32'h3f678d76, 32'h3f467941,32'h3f729433,// invsqrt(1.3476) = 0.8614 +32'h3fc18885,32'h3f4c0794,32'h3f545b7b, 32'h3f45c8a8,32'h3f5a9a68, 32'h3f3b5fc7,32'h3f650349,// invsqrt(1.5120) = 0.8133 +32'h3fa731bf,32'h3f5b8355,32'h3f647905, 32'h3f54cb11,32'h3f6b3149, 32'h3f4997f5,32'h3f766465,// invsqrt(1.3062) = 0.8750 +32'h3e18f5c4,32'h402247e1,32'h4028e78c, 32'h401d5020,32'h402ddf4c, 32'h4015088a,32'h403626e2,// invsqrt(0.1494) = 2.5874 +32'h3f080499,32'h3fac1745,32'h3fb31d71, 32'h3fa6d2a3,32'h3fb86213, 32'h3f9e0aec,32'h3fc129cb,// invsqrt(0.5313) = 1.3719 +32'h3f0be1c6,32'h3fa9b28a,32'h3fb09fb4, 32'h3fa480aa,32'h3fb5d194, 32'h3f9bd836,32'h3fbe7a09,// invsqrt(0.5464) = 1.3528 +32'h3e8e7c44,32'h3fedc927,32'h3ff77dc5, 32'h3fe681b0,32'h3ffec53c, 32'h3fda5fea,32'h40057381,// invsqrt(0.2783) = 1.8956 +32'h4001b097,32'h3f303d4c,32'h3f376ed2, 32'h3f2ad828,32'h3f3cd3f6, 32'h3f21da41,32'h3f45d1dd,// invsqrt(2.0264) = 0.7025 +32'h3f5797b0,32'h3f88b0d6,32'h3f8e451e, 32'h3f8481a1,32'h3f927453, 32'h3f7b108f,32'h3f996dad,// invsqrt(0.8422) = 1.0897 +32'h3f7d124c,32'h3f7c53d1,32'h3f835031, 32'h3f749a66,32'h3f872ce7, 32'h3f67bab1,32'h3f8d9cc2,// invsqrt(0.9886) = 1.0058 +32'h3f7f1946,32'h3f7b52a3,32'h3f82ca5a, 32'h3f73a117,32'h3f86a321, 32'h3f66ce81,32'h3f8d0c6b,// invsqrt(0.9965) = 1.0018 +32'h3f8d5504,32'h3f6ec105,32'h3f787fc1, 32'h3f6771f8,32'h3f7fcece, 32'h3f5b438c,32'h3f85fe9d,// invsqrt(1.1042) = 0.9517 +32'h3f826b66,32'h3f788abe,32'h3f8157e0, 32'h3f70eefc,32'h3f8525c1, 32'h3f6440b9,32'h3f8b7ce3,// invsqrt(1.0189) = 0.9907 +32'h40420715,32'h3f101639,32'h3f15f7c8, 32'h3f0bad0d,32'h3f1a60f3, 32'h3f04531a,32'h3f21bae6,// invsqrt(3.0317) = 0.5743 +32'h3d915eaf,32'h406b6a33,32'h4075060b, 32'h40643551,32'h407c3aed, 32'h40583282,32'h40841ede,// invsqrt(0.0710) = 3.7534 +32'h3e808e7f,32'h3ffa5616,32'h400246ed, 32'h3ff2ac45,32'h40061bd5, 32'h3fe5e692,32'h400c7eaf,// invsqrt(0.2511) = 1.9957 +32'h3f88fa8f,32'h3f7284ac,32'h3f7c6abe, 32'h3f6b181f,32'h3f81eba5, 32'h3f5eb889,32'h3f881b70,// invsqrt(1.0701) = 0.9667 +32'h3f68a565,32'h3f8395e6,32'h3f88f4d6, 32'h3f7f1d66,32'h3f8cfc09, 32'h3f71b010,32'h3f93b2b4,// invsqrt(0.9088) = 1.0490 +32'h3f9363eb,32'h3f69cbb5,32'h3f7356a2, 32'h3f62a382,32'h3f7a7ed4, 32'h3f56b5da,32'h3f83363e,// invsqrt(1.1515) = 0.9319 +32'h3f3bd22c,32'h3f9272bc,32'h3f986cf8, 32'h3f8df70f,32'h3f9ce8a5, 32'h3f867e44,32'h3fa46170,// invsqrt(0.7337) = 1.1675 +32'h4015d368,32'h3f23f828,32'h3f2aa978, 32'h3f1ef32c,32'h3f2fae74, 32'h3f169588,32'h3f380c18,// invsqrt(2.3410) = 0.6536 +32'h3f1e8b1f,32'h3f9f65cc,32'h3fa5e756, 32'h3f9a84a3,32'h3faac87f, 32'h3f9262b6,32'h3fb2ea6c,// invsqrt(0.6193) = 1.2707 +32'h3fce63a4,32'h3f4592ae,32'h3f4da31e, 32'h3f3f865a,32'h3f53af72, 32'h3f3571cf,32'h3f5dc3fd,// invsqrt(1.6124) = 0.7875 +32'h3f6d666b,32'h3f8242e8,32'h3f879402, 32'h3f7c8c2b,32'h3f8b90d4, 32'h3f6f416c,32'h3f923634,// invsqrt(0.9273) = 1.0384 +32'h3f757459,32'h3f801b38,32'h3f8555cc, 32'h3f785e91,32'h3f8941bc, 32'h3f6b4c1d,32'h3f8fcaf5,// invsqrt(0.9588) = 1.0213 +32'h3ed71f78,32'h3fc18554,32'h3fc96b6c, 32'h3fbb98c2,32'h3fcf57fe, 32'h3fb1b923,32'h3fd9379d,// invsqrt(0.4202) = 1.5427 +32'h3f836347,32'h3f779fdb,32'h3f80dda4, 32'h3f700b4a,32'h3f84a7ec, 32'h3f636902,32'h3f8af910,// invsqrt(1.0265) = 0.9870 +32'h4128b2b8,32'h3e9a8699,32'h3ea0d53b, 32'h3e95cb9e,32'h3ea59036, 32'h3e8de953,32'h3ead7281,// invsqrt(10.5436) = 0.3080 +32'h3fa257d6,32'h3f5ec4c7,32'h3f67dc7b, 32'h3f57f2ff,32'h3f6eae43, 32'h3f4c955e,32'h3f7a0be4,// invsqrt(1.2683) = 0.8879 +32'h3e3fe73b,32'h4010e1d4,32'h4016cbb3, 32'h400c726d,32'h401b3b1b, 32'h40050e17,32'h40229f71,// invsqrt(0.1874) = 2.3100 +32'h3f53ebf1,32'h3f89de9b,32'h3f8f7f33, 32'h3f85a628,32'h3f93b7a6, 32'h3f7d3ad3,32'h3f9ac064,// invsqrt(0.8278) = 1.0991 +32'h40f0ded5,32'h3eb6e2b0,32'h3ebe59a8, 32'h3eb14977,32'h3ec3f2e1, 32'h3ea7f4c1,32'h3ecd4797,// invsqrt(7.5272) = 0.3645 +32'h3ed67706,32'h3fc1d144,32'h3fc9ba76, 32'h3fbbe25f,32'h3fcfa95b, 32'h3fb1fee0,32'h3fd98cda,// invsqrt(0.4189) = 1.5451 +32'h400bf660,32'h3f29a60c,32'h3f3092b4, 32'h3f24748e,32'h3f35c432, 32'h3f1bccbd,32'h3f3e6c03,// invsqrt(2.1869) = 0.6762 +32'h3f76a006,32'h3f7f9a96,32'h3f8504b1, 32'h3f77c77c,32'h3f88ee3e, 32'h3f6abcfc,32'h3f8f737e,// invsqrt(0.9634) = 1.0188 +32'h3f4a4246,32'h3f8d1fdb,32'h3f92e277, 32'h3f88cde7,32'h3f97346b, 32'h3f819aa5,32'h3f9e67ad,// invsqrt(0.7901) = 1.1250 +32'h3c916fab,32'h40eb5c74,32'h40f4f7bc, 32'h40e427fd,32'h40fc2c33, 32'h40d825e2,32'h41041727,// invsqrt(0.0178) = 7.5051 +32'h3f1243f7,32'h3fa5f3f8,32'h3facba02, 32'h3fa0df70,32'h3fb1ce8a, 32'h3f9867e4,32'h3fba4616,// invsqrt(0.5713) = 1.3230 +32'h3f0cff85,32'h3fa9063e,32'h3fafec60, 32'h3fa3d9a5,32'h3fb518f9, 32'h3f9b39fa,32'h3fbdb8a4,// invsqrt(0.5508) = 1.3475 +32'h3ec50416,32'h3fca37de,32'h3fd278d8, 32'h3fc40723,32'h3fd8a993, 32'h3fb9b5ec,32'h3fe2facb,// invsqrt(0.3848) = 1.6121 +32'h3f3c7c7c,32'h3f923083,32'h3f98280b, 32'h3f8db6dd,32'h3f9ca1b1, 32'h3f864173,32'h3fa4171b,// invsqrt(0.7363) = 1.1654 +32'h3e8e1e1e,32'h3fee17dd,32'h3ff7cfb2, 32'h3fe6cdfe,32'h3fff1992, 32'h3fdaa834,32'h40059fae,// invsqrt(0.2776) = 1.8981 +32'h3fdd6c7b,32'h3f3ebf5e,32'h3f46887c, 32'h3f38e888,32'h3f4c5f52, 32'h3f2f2d22,32'h3f561ab8,// invsqrt(1.7299) = 0.7603 +32'h3f540102,32'h3f89d7c1,32'h3f8f7813, 32'h3f859f85,32'h3f93b04f, 32'h3f7d2e3f,32'h3f9ab8b5,// invsqrt(0.8281) = 1.0989 +32'h4158e467,32'h3e8847d6,32'h3e8dd7d4, 32'h3e841bd7,32'h3e9203d3, 32'h3e7a4fb3,32'h3e98f7d1,// invsqrt(13.5558) = 0.2716 +32'h3e11a104,32'h402650b6,32'h402d1a8a, 32'h40213958,32'h403231e8, 32'h4018bd10,32'h403aae30,// invsqrt(0.1422) = 2.6517 +32'h3fac24e3,32'h3f585587,32'h3f6129ff, 32'h3f51b62c,32'h3f67c95a, 32'h3f46ac96,32'h3f72d2f0,// invsqrt(1.3449) = 0.8623 +32'h422a0000,32'h3e19eed5,32'h3e203747, 32'h3e153880,32'h3e24ed9c, 32'h3e0d5df3,32'h3e2cc829,// invsqrt(42.5000) = 0.1534 +32'h3dbaee9c,32'h404f99d1,32'h40581309, 32'h40493ee8,32'h405e6df2, 32'h403ea762,32'h40690578,// invsqrt(0.0913) = 3.3100 +32'h4044bf90,32'h3f0f164e,32'h3f14ed6b, 32'h3f0ab4f7,32'h3f194ec1, 32'h3f036813,32'h3f209ba5,// invsqrt(3.0742) = 0.5703 +32'h4089459e,32'h3ef24256,32'h3efc25b2, 32'h3eead7d1,32'h3f01c81c, 32'h3ede7b9d,32'h3f07f635,// invsqrt(4.2897) = 0.4828 +32'h3f484894,32'h3f8dd195,32'h3f939b71, 32'h3f897a30,32'h3f97f2d6, 32'h3f823ddc,32'h3f9f2f2a,// invsqrt(0.7824) = 1.1306 +32'h404917e8,32'h3f0d8866,32'h3f134f46, 32'h3f09333e,32'h3f17a46e, 32'h3f01faa7,32'h3f1edd05,// invsqrt(3.1421) = 0.5641 +32'h3e6c97f7,32'h40027bb1,32'h4007cf1c, 32'h3ffcfa44,32'h400bcdac, 32'h3fefa9b9,32'h401275f1,// invsqrt(0.2310) = 2.0804 +32'h41380dd1,32'h3e93f073,32'h3e99fa43, 32'h3e8f6916,32'h3e9e81a0, 32'h3e87dcd2,32'h3ea60de4,// invsqrt(11.5034) = 0.2948 +32'h3f945c24,32'h3f6907cd,32'h3f728abb, 32'h3f61e59a,32'h3f79acee, 32'h3f5601f0,32'h3f82c84c,// invsqrt(1.1591) = 0.9289 +32'h3f125ca2,32'h3fa5e5fb,32'h3facab73, 32'h3fa0d1e1,32'h3fb1bf8d, 32'h3f985b0b,32'h3fba3663,// invsqrt(0.5717) = 1.3225 +32'h3d78627e,32'h407eb265,32'h40848bdc, 32'h4076e667,32'h408871db, 32'h4069e7c0,32'h408ef12e,// invsqrt(0.0606) = 4.0609 +32'h3e63c993,32'h4004fb43,32'h400a68c8, 32'h4000e91e,32'h400e7aec, 32'h3ff4406f,32'h401543d2,// invsqrt(0.2224) = 2.1202 +32'h40949fb7,32'h3ee8d2ce,32'h3ef25392, 32'h3ee1b23a,32'h3ef97426, 32'h3ed5d145,32'h3f02aa8e,// invsqrt(4.6445) = 0.4640 +32'h4053350c,32'h3f0a1a40,32'h3f0fbd48, 32'h3f05dffa,32'h3f13f78e, 32'h3efda861,32'h3f1b0358,// invsqrt(3.3001) = 0.5505 +32'h4026dda0,32'h3f1b5f34,32'h3f21b6af, 32'h3f169d99,32'h3f26784b, 32'h3f0eb040,32'h3f2e65a4,// invsqrt(2.6073) = 0.6193 +32'h413ce184,32'h3e920965,32'h3e97ff54, 32'h3e8d90f1,32'h3e9c77c7, 32'h3e861d86,32'h3ea3eb32,// invsqrt(11.8051) = 0.2910 +32'h3e598687,32'h40081503,32'h400da2ef, 32'h4003ea93,32'h4011cd5f, 32'h3ff9f25a,32'h4018bec5,// invsqrt(0.2124) = 2.1697 +32'h3f925ca2,32'h3f6a9d9f,32'h3f74311d, 32'h3f636f00,32'h3f7b5fbc, 32'h3f5776a1,32'h3f83ac0d,// invsqrt(1.1435) = 0.9352 +32'h3da7772c,32'h405b55d0,32'h406449a4, 32'h40549ef1,32'h406b0083, 32'h40496e27,32'h4076314d,// invsqrt(0.0818) = 3.4971 +32'h3fa28fa6,32'h3f5e9e86,32'h3f67b4aa, 32'h3f57cdea,32'h3f6e8546, 32'h3f4c723c,32'h3f79e0f4,// invsqrt(1.2700) = 0.8874 +32'h3f794bb4,32'h3f7e3b27,32'h3f844dce, 32'h3f7672d0,32'h3f8831fa, 32'h3f697a3f,32'h3f8eae43,// invsqrt(0.9738) = 1.0134 +32'h3f827b34,32'h3f787bb0,32'h3f81500b, 32'h3f70e064,32'h3f851db1, 32'h3f6432e6,32'h3f8b7470,// invsqrt(1.0194) = 0.9904 +32'h3fd67906,32'h3f41d05d,32'h3f49b985, 32'h3f3be17f,32'h3f4fa863, 32'h3f31fe0c,32'h3f598bd6,// invsqrt(1.6756) = 0.7725 +32'h3fc7ead0,32'h3f48bedc,32'h3f50f072, 32'h3f4299ab,32'h3f5715a3, 32'h3f385bb0,32'h3f61539e,// invsqrt(1.5619) = 0.8002 +32'h3e8cf240,32'h3fef149d,32'h3ff8d6c3, 32'h3fe7c301,32'h40001430, 32'h3fdb9052,32'h40062d87,// invsqrt(0.2753) = 1.9059 +32'h3f8f34d9,32'h3f6d2fb7,32'h3f76de13, 32'h3f65ecf3,32'h3f7e20d7, 32'h3f59d301,32'h3f851d64,// invsqrt(1.1188) = 0.9454 +32'h3f727a75,32'h3f80e3dd,32'h3f8626a3, 32'h3f79e393,32'h3f8a18b6, 32'h3f6cbca6,32'h3f90ac2d,// invsqrt(0.9472) = 1.0275 +32'h3f8eae0b,32'h3f6d9fa9,32'h3f775295, 32'h3f665977,32'h3f7e98c7, 32'h3f5a39cf,32'h3f855c37,// invsqrt(1.1147) = 0.9472 +32'h3f9f5818,32'h3f60daf1,32'h3f6a0873, 32'h3f59f8d0,32'h3f70ea94, 32'h3f4e7fed,32'h3f7c6377,// invsqrt(1.2449) = 0.8963 +32'h3fc8fb1d,32'h3f4836b0,32'h3f5062b7, 32'h3f4215aa,32'h3f5683bc, 32'h3f37dea1,32'h3f60bac5,// invsqrt(1.5702) = 0.7980 +32'h3fade6bc,32'h3f573d03,32'h3f600609, 32'h3f50a63f,32'h3f669ccd, 32'h3f45aaf9,32'h3f719813,// invsqrt(1.3586) = 0.8579 +32'h40aea9be,32'h3ed6c4ba,32'h3edf88d6, 32'h3ed031a4,32'h3ee61bec, 32'h3ec53c81,32'h3ef1110f,// invsqrt(5.4582) = 0.4280 +32'h3df719d0,32'h403490ad,32'h403bef66, 32'h402f09a3,32'h40417671, 32'h4025d33c,32'h404aacd8,// invsqrt(0.1207) = 2.8789 +32'h3f0c9dd5,32'h3fa940ea,32'h3fb02970, 32'h3fa41284,32'h3fb557d6, 32'h3f9b6fdc,32'h3fbdfa7e,// invsqrt(0.5493) = 1.3493 +32'h3dbe051a,32'h404de83c,32'h40564fc1, 32'h40479a98,32'h405c9d64, 32'h403d1931,32'h40671ecb,// invsqrt(0.0928) = 3.2830 +32'h3ebef31f,32'h3fcd67bf,32'h3fd5ca05, 32'h3fc71e0a,32'h3fdc13ba, 32'h3fbca332,32'h3fe68e92,// invsqrt(0.3729) = 1.6375 +32'h3f1ef349,32'h3f9f3189,32'h3fa5b0f1, 32'h3f9a51fa,32'h3faa9080, 32'h3f9232b7,32'h3fb2afc3,// invsqrt(0.6209) = 1.2691 +32'h3eaf6684,32'h3fd65108,32'h3fdf106c, 32'h3fcfc17e,32'h3fe59ff6, 32'h3fc4d241,32'h3ff08f33,// invsqrt(0.3426) = 1.7085 +32'h3fe9ffdf,32'h3f398d16,32'h3f411fe8, 32'h3f33def9,32'h3f46ce05, 32'h3f2a6772,32'h3f50458c,// invsqrt(1.8281) = 0.7396 +32'h3d9c8180,32'h4062e28d,32'h406c2545, 32'h405bf084,32'h4073174e, 32'h40505d1e,32'h407eaab4,// invsqrt(0.0764) = 3.6174 +32'h3fa7c99c,32'h3f5b1fe7,32'h3f641188, 32'h3f546aaf,32'h3f6ac6c1, 32'h3f493ca6,32'h3f75f4ca,// invsqrt(1.3108) = 0.8734 +32'h3f33bfd4,32'h3f95b341,32'h3f9bcf77, 32'h3f911e17,32'h3fa064a1, 32'h3f897ad3,32'h3fa807e5,// invsqrt(0.7021) = 1.1934 +32'h4043bc9a,32'h3f0f74d5,32'h3f154fcf, 32'h3f0b109b,32'h3f19b409, 32'h3f03bee3,32'h3f2105c1,// invsqrt(3.0584) = 0.5718 +32'h3e321c7e,32'h40166312,32'h401c8676, 32'h4011c887,32'h40212101, 32'h400a1c4a,32'h4028cd3e,// invsqrt(0.1739) = 2.3978 +32'h3eec9fb7,32'h3fb884ef,32'h3fc00cf9, 32'h3fb2dee8,32'h3fc5b300, 32'h3fa974dc,32'h3fcf1d0c,// invsqrt(0.4622) = 1.4710 +32'h3e4f1da6,32'h400b75bd,32'h401126f3, 32'h400730d4,32'h40156bdc, 32'h4000134f,32'h401c8961,// invsqrt(0.2023) = 2.2235 +32'h3f333371,32'h3f95edd8,32'h3f9c0c74, 32'h3f9156e4,32'h3fa0a368, 32'h3f89b0a2,32'h3fa849aa,// invsqrt(0.7000) = 1.1952 +32'h3d366c11,32'h40949977,32'h409aaa2d, 32'h40900cee,32'h409f36b6, 32'h4088780a,32'h40a6cb9a,// invsqrt(0.0445) = 4.7385 +32'h3f89dd08,32'h3f71bd28,32'h3f7b9b14, 32'h3f6a56b6,32'h3f8180c3, 32'h3f5e014e,32'h3f87ab77,// invsqrt(1.0771) = 0.9636 +32'h3fe2868d,32'h3f3c9658,32'h3f4448e4, 32'h3f36d070,32'h3f4a0ecc, 32'h3f2d3141,32'h3f53adfb,// invsqrt(1.7697) = 0.7517 +32'h3f6599f2,32'h3f847484,32'h3f89dc8a, 32'h3f806680,32'h3f8dea8e, 32'h3f7348f3,32'h3f94ac94,// invsqrt(0.8969) = 1.0559 +32'h3f301166,32'h3f9741d3,32'h3f9d6e4f, 32'h3f92a077,32'h3fa20fab, 32'h3f8ae8dc,32'h3fa9c746,// invsqrt(0.6878) = 1.2058 +32'h3f6c9a94,32'h3f827af9,32'h3f87ce5b, 32'h3f7cf8dd,32'h3f8bcce6, 32'h3f6fa865,32'h3f927521,// invsqrt(0.9242) = 1.0402 +32'h3f8ac706,32'h3f70f106,32'h3f7ac69e, 32'h3f6990d4,32'h3f811368, 32'h3f5d45d7,32'h3f8738e7,// invsqrt(1.0842) = 0.9604 +32'h3ebb7ac7,32'h3fcf4c27,32'h3fd7c234, 32'h3fc8f39f,32'h3fde1abd, 32'h3fbe6010,32'h3fe8ae4c,// invsqrt(0.3662) = 1.6526 +32'h3f99077c,32'h3f6572a0,32'h3f6ed01f, 32'h3f5e6c82,32'h3f75d63e, 32'h3f52b7a3,32'h3f80c58e,// invsqrt(1.1955) = 0.9146 +32'h3f838e49,32'h3f77775e,32'h3f80c891, 32'h3f6fe40a,32'h3f84923b, 32'h3f6343d3,32'h3f8ae256,// invsqrt(1.0278) = 0.9864 +32'h3ef41a24,32'h3fb5abbd,32'h3fbd1603, 32'h3fb01c08,32'h3fc2a5b8, 32'h3fa6d730,32'h3fcbea90,// invsqrt(0.4768) = 1.4483 +32'h3fb3af4c,32'h3f53bf00,32'h3f5c6388, 32'h3f4d439a,32'h3f62deee, 32'h3f4275f0,32'h3f6dac98,// invsqrt(1.4038) = 0.8440 +32'h3f35fafa,32'h3f94c79c,32'h3f9ada34, 32'h3f9039a9,32'h3f9f6827, 32'h3f88a26a,32'h3fa6ff66,// invsqrt(0.7109) = 1.1861 +32'h3e19c481,32'h4021daa4,32'h402875da, 32'h401ce63c,32'h402d6a42, 32'h4014a439,32'h4035ac45,// invsqrt(0.1502) = 2.5806 +32'h3dad9391,32'h4057708d,32'h40603bad, 32'h4050d835,32'h4066d405, 32'h4045da4d,32'h4071d1ed,// invsqrt(0.0848) = 3.4349 +32'h3fa6806e,32'h3f5bf818,32'h3f64f28c, 32'h3f553c41,32'h3f6bae63, 32'h3f4a0330,32'h3f76e774,// invsqrt(1.3008) = 0.8768 +32'h3f129aa9,32'h3fa5c2df,32'h3fac86e8, 32'h3fa0afd8,32'h3fb199ee, 32'h3f983acc,32'h3fba0efa,// invsqrt(0.5727) = 1.3214 +32'h3df6ed8b,32'h4034a0dc,32'h403c003e, 32'h402f1953,32'h404187c7, 32'h4025e218,32'h404abf02,// invsqrt(0.1206) = 2.8799 +32'h3eb09077,32'h3fd59be8,32'h3fde53e6, 32'h3fcf11e8,32'h3fe4dde6, 32'h3fc42bea,32'h3fefc3e5,// invsqrt(0.3449) = 1.7029 +32'h4188a03a,32'h3e72d4cb,32'h3e7cbe22, 32'h3e6b65cb,32'h3e821692, 32'h3e5f021e,32'h3e884868,// invsqrt(17.0782) = 0.2420 +32'h3f474140,32'h3f8e2f2c,32'h3f93fcda, 32'h3f89d4e9,32'h3f98571d, 32'h3f8293d0,32'h3f9f9836,// invsqrt(0.7783) = 1.1335 +32'h3fb26e67,32'h3f547d12,32'h3f5d295c, 32'h3f4dfbdb,32'h3f63aa93, 32'h3f43247e,32'h3f6e81f0,// invsqrt(1.3940) = 0.8470 +32'h3ebbeb10,32'h3fcf0e30,32'h3fd781b4, 32'h3fc8b78c,32'h3fddd858, 32'h3fbe2727,32'h3fe868bd,// invsqrt(0.3670) = 1.6506 +32'h3f494a8a,32'h3f8d7698,32'h3f933cbe, 32'h3f8921fc,32'h3f97915a, 32'h3f81ea4d,32'h3f9ec909,// invsqrt(0.7863) = 1.1277 +32'h3f36b66d,32'h3f947b37,32'h3f9a8ab1, 32'h3f8fef9b,32'h3f9f164d, 32'h3f885c42,32'h3fa6a9a6,// invsqrt(0.7137) = 1.1837 +32'h41653dac,32'h3e848f2a,32'h3e89f846, 32'h3e808055,32'h3e8e071b, 32'h3e7379e5,32'h3e94ca7e,// invsqrt(14.3276) = 0.2642 +32'h3ed3951e,32'h3fc32219,32'h3fcb190b, 32'h3fbd28e4,32'h3fd11240, 32'h3fb33437,32'h3fdb06ed,// invsqrt(0.4132) = 1.5556 +32'h402db2af,32'h3f184919,32'h3f1e8053, 32'h3f139fad,32'h3f2329bf, 32'h3f0bdaa4,32'h3f2aeec8,// invsqrt(2.7140) = 0.6070 +32'h40dbb707,32'h3ebf7ce4,32'h3ec74dbe, 32'h3eb9a040,32'h3ecd2a62, 32'h3eafdb2f,32'h3ed6ef73,// invsqrt(6.8661) = 0.3816 +32'h3e64a2a9,32'h4004bc12,32'h400a2703, 32'h4000abdd,32'h400e3737, 32'h3ff3cc5f,32'h4014fce5,// invsqrt(0.2233) = 2.1163 +32'h3f50c4a0,32'h3f8ae82e,32'h3f90939e, 32'h3f86a79a,32'h3f94d432, 32'h3f7f229e,32'h3f9bea7d,// invsqrt(0.8155) = 1.1074 +32'h3f1e80ad,32'h3f9f6b0c,32'h3fa5ecce, 32'h3f9a89bb,32'h3faace1f, 32'h3f926788,32'h3fb2f052,// invsqrt(0.6192) = 1.2709 +32'h3ecb9f99,32'h3fc6e906,32'h3fcf076e, 32'h3fc0d237,32'h3fd51e3d, 32'h3fb6ac34,32'h3fdf4440,// invsqrt(0.3977) = 1.5857 +32'h3f69a6d7,32'h3f834d54,32'h3f88a94c, 32'h3f7e90b2,32'h3f8cae47, 32'h3f712ac3,32'h3f93613e,// invsqrt(0.9127) = 1.0467 +32'h4082d0d6,32'h3ef82a4e,32'h3f0125b1, 32'h3ef09180,32'h3f04f218, 32'h3ee3e829,32'h3f0b46c4,// invsqrt(4.0880) = 0.4946 +32'h404f1abb,32'h3f0b76b9,32'h3f1127fa, 32'h3f0731c8,32'h3f156cea, 32'h3f001436,32'h3f1c8a7c,// invsqrt(3.2360) = 0.5559 +32'h402af337,32'h3f19812e,32'h3f1fc525, 32'h3f14ce34,32'h3f24781e, 32'h3f0cf93e,32'h3f2c4d14,// invsqrt(2.6711) = 0.6119 +32'h3f87e4f4,32'h3f737be4,32'h3f7d6c0c, 32'h3f6c07c5,32'h3f827015, 32'h3f5f9b92,32'h3f88a62f,// invsqrt(1.0617) = 0.9705 +32'h411c10a0,32'h3ea0a88b,32'h3ea73742, 32'h3e9bbd81,32'h3eac224b, 32'h3e938b1c,32'h3eb454b0,// invsqrt(9.7541) = 0.3202 +32'h3e0ddd79,32'h402881d1,32'h402f628b, 32'h40235945,32'h40348b17, 32'h401ac05d,32'h403d23ff,// invsqrt(0.1385) = 2.6867 +32'h3f7c2c44,32'h3f7cc6cd,32'h3f838c07, 32'h3f7509dc,32'h3f876a80, 32'h3f682449,32'h3f8ddd49,// invsqrt(0.9851) = 1.0076 +32'h405668d3,32'h3f09113e,32'h3f0ea975, 32'h3f04df16,32'h3f12db9e, 32'h3efbc1a2,32'h3f19d9e3,// invsqrt(3.3501) = 0.5463 +32'h3f44dc09,32'h3f8f0bf4,32'h3f94e2a6, 32'h3f8aaaf0,32'h3f9943aa, 32'h3f835e92,32'h3fa09008,// invsqrt(0.7690) = 1.1404 +32'h404a88fd,32'h3f0d0736,32'h3f12c8d0, 32'h3f08b603,32'h3f171a03, 32'h3f018403,32'h3f1e4c03,// invsqrt(3.1646) = 0.5621 +32'h3faf7ba0,32'h3f564424,32'h3f5f0300, 32'h3f4fb4fe,32'h3f659226, 32'h3f44c66a,32'h3f7080ba,// invsqrt(1.3710) = 0.8541 +32'h3ef8a4f6,32'h3fb400fa,32'h3fbb59d5, 32'h3fae7e55,32'h3fc0dc79, 32'h3fa54f43,32'h3fca0b8b,// invsqrt(0.4856) = 1.4350 +32'h3f2d3703,32'h3f987f6c,32'h3f9eb8de, 32'h3f93d456,32'h3fa363f4, 32'h3f8c0c88,32'h3fab2bc2,// invsqrt(0.6766) = 1.2157 +32'h3f62aba2,32'h3f854f09,32'h3f8abff9, 32'h3f813a54,32'h3f8ed4ae, 32'h3f74da4f,32'h3f95a1db,// invsqrt(0.8854) = 1.0627 +32'h3cff06be,32'h40b1bcbe,32'h40b8fdea, 32'h40ac4bdd,32'h40be6ecb, 32'h40a33a65,32'h40c78043,// invsqrt(0.0311) = 5.6676 +32'h3f15f679,32'h3fa3e4fc,32'h3faa9583, 32'h3f9ee095,32'h3faf99e9, 32'h3f9683ec,32'h3fb7f692,// invsqrt(0.5858) = 1.3066 +32'h3d57d397,32'h40889ddd,32'h408e315e, 32'h40846f3c,32'h40925ffe, 32'h407aedb4,32'h40995860,// invsqrt(0.0527) = 4.3564 +32'h3e74993b,32'h4000548c,32'h40059178, 32'h3ff8cdb7,32'h40097f28, 32'h3febb56a,32'h40100b4f,// invsqrt(0.2389) = 2.0461 +32'h40380aa6,32'h3f13f1b9,32'h3f19fb97, 32'h3f0f6a53,32'h3f1e82fd, 32'h3f07ddfe,32'h3f260f52,// invsqrt(2.8756) = 0.5897 +32'h3f341edb,32'h3f958bbe,32'h3f9ba658, 32'h3f90f7ca,32'h3fa03a4c, 32'h3f89568a,32'h3fa7db8c,// invsqrt(0.7036) = 1.1922 +32'h3ead55a8,32'h3fd79703,32'h3fe063b5, 32'h3fd0fd7e,32'h3fe6fd3a, 32'h3fc5fda0,32'h3ff1fd18,// invsqrt(0.3385) = 1.7187 +32'h3f7678b0,32'h3f7faefb,32'h3f850f4e, 32'h3f77db42,32'h3f88f92b, 32'h3f6acfb8,32'h3f8f7ef0,// invsqrt(0.9628) = 1.0191 +32'h40c6c583,32'h3ec952c1,32'h3ed18a60, 32'h3ec32909,32'h3ed7b417, 32'h3eb8e382,32'h3ee1f99e,// invsqrt(6.2116) = 0.4012 +32'h42f067e2,32'h3db70fe9,32'h3dbe88b9, 32'h3db1754d,32'h3dc42355, 32'h3da81e49,32'h3dcd7a59,// invsqrt(120.2029) = 0.0912 +32'h3e287910,32'h401aa107,32'h4020f0bf, 32'h4015e53e,32'h4025ac88, 32'h400e0199,32'h402d902d,// invsqrt(0.1645) = 2.4654 +32'h3fea0686,32'h3f398a73,32'h3f411d29, 32'h3f33dc6a,32'h3f46cb32, 32'h3f2a6506,32'h3f504296,// invsqrt(1.8283) = 0.7396 +32'h3fa820c6,32'h3f5ae713,32'h3f63d662, 32'h3f543397,32'h3f6a89dd, 32'h3f490874,32'h3f75b500,// invsqrt(1.3135) = 0.8725 +32'h3f2ee6e0,32'h3f97c2b2,32'h3f9df470, 32'h3f931d63,32'h3fa299bf, 32'h3f8b5f36,32'h3faa57ec,// invsqrt(0.6832) = 1.2098 +32'h3f58d739,32'h3f884bfa,32'h3f8ddc24, 32'h3f841fdb,32'h3f920843, 32'h3f7a574e,32'h3f98fc77,// invsqrt(0.8470) = 1.0865 +32'h3fa864ed,32'h3f5abac2,32'h3f63a842, 32'h3f5408a2,32'h3f6a5a62, 32'h3f48dfc2,32'h3f758342,// invsqrt(1.3156) = 0.8718 +32'h401d9833,32'h3f1fe076,32'h3f266703, 32'h3f1afb8d,32'h3f2b4bed, 32'h3f12d35d,32'h3f33741d,// invsqrt(2.4624) = 0.6373 +32'h3feb0de1,32'h3f392265,32'h3f40b0dc, 32'h3f33778b,32'h3f465bb5, 32'h3f2a0576,32'h3f4fcdca,// invsqrt(1.8364) = 0.7379 +32'h3f80b864,32'h3f7a2d56,32'h3f8231b7, 32'h3f7284c4,32'h3f860600, 32'h3f65c125,32'h3f8c67d0,// invsqrt(1.0056) = 0.9972 +32'h3f16d476,32'h3fa36c33,32'h3faa17cd, 32'h3f9e6b80,32'h3faf1880, 32'h3f961500,32'h3fb76f00,// invsqrt(0.5892) = 1.3028 +32'h40566a61,32'h3f0910bf,32'h3f0ea8f1, 32'h3f04de9a,32'h3f12db16, 32'h3efbc0b8,32'h3f19d954,// invsqrt(3.3502) = 0.5463 +32'h3ece9494,32'h3fc57b46,32'h3fcd8ac0, 32'h3fbf6fa9,32'h3fd3965d, 32'h3fb55c50,32'h3fdda9b7,// invsqrt(0.4035) = 1.5743 +32'h3f931855,32'h3f6a07bf,32'h3f73951f, 32'h3f62ddb6,32'h3f7abf28, 32'h3f56ecfe,32'h3f8357f0,// invsqrt(1.1492) = 0.9328 +32'h3dce54de,32'h404599c1,32'h404daa7a, 32'h403f8d35,32'h4053b705, 32'h4035784d,32'h405dcbed,// invsqrt(0.1007) = 3.1505 +32'h3fc8b974,32'h3f48576c,32'h3f5084ca, 32'h3f423566,32'h3f56a6d0, 32'h3f37fcb2,32'h3f60df84,// invsqrt(1.5682) = 0.7986 +32'h3e5a5266,32'h4007d56b,32'h400d60bd, 32'h4003aced,32'h4011893b, 32'h3ff97d8a,32'h40187763,// invsqrt(0.2132) = 2.1657 +32'h3d2a5141,32'h4099ca19,32'h40a0110b, 32'h409514e4,32'h40a4c640, 32'h408d3c37,32'h40ac9eed,// invsqrt(0.0416) = 4.9040 +32'h3e929d58,32'h3fea69d3,32'h3ff3fb34, 32'h3fe33cc9,32'h3ffb283d, 32'h3fd74710,32'h40038efb,// invsqrt(0.2864) = 1.8687 +32'h401af55b,32'h3f213b20,32'h3f27cfd3, 32'h3f1c4b9a,32'h3f2cbf5a, 32'h3f1411bb,32'h3f34f939,// invsqrt(2.4212) = 0.6427 +32'h3f6668eb,32'h3f8438f9,32'h3f899e90, 32'h3f802cc7,32'h3f8daac1, 32'h3f72db94,32'h3f9469be,// invsqrt(0.9000) = 1.0541 +32'h40761a91,32'h3effdfdb,32'h3f0528bd, 32'h3ef80aa2,32'h3f091359, 32'h3eeafc99,32'h3f0f9a5e,// invsqrt(3.8454) = 0.5100 +32'h3ea4b7f0,32'h3fdd2814,32'h3fe62ef1, 32'h3fd662f0,32'h3fecf416, 32'h3fcb1a5c,32'h3ff83caa,// invsqrt(0.3217) = 1.7630 +32'h3e932010,32'h3fea0199,32'h3ff38eb9, 32'h3fe2d7c1,32'h3ffab891, 32'h3fd6e758,32'h4003547d,// invsqrt(0.2874) = 1.8655 +32'h3fd9cac2,32'h3f4054d2,32'h3f482e7d, 32'h3f3a7193,32'h3f4e11bd, 32'h3f30a17e,32'h3f57e1d2,// invsqrt(1.7015) = 0.7666 +32'h3f130e06,32'h3fa581cd,32'h3fac432f, 32'h3fa070c4,32'h3fb15438, 32'h3f97ff0b,32'h3fb9c5f1,// invsqrt(0.5744) = 1.3194 +32'h3f91cdab,32'h3f6b1089,32'h3f74a8b9, 32'h3f63de66,32'h3f7bdadc, 32'h3f57e02a,32'h3f83ec8c,// invsqrt(1.1391) = 0.9370 +32'h3e7f02a7,32'h3ffb5dc8,32'h4002d026, 32'h3ff3abe3,32'h4006a918, 32'h3fe6d8bc,32'h400d12ac,// invsqrt(0.2490) = 2.0039 +32'h3eb88fb1,32'h3fd0ee12,32'h3fd9752c, 32'h3fca88bd,32'h3fdfda81, 32'h3fbfdfdc,32'h3fea8362,// invsqrt(0.3605) = 1.6656 +32'h3fbf5e62,32'h3f4d2e26,32'h3f558e12, 32'h3f46e634,32'h3f5bd604, 32'h3f3c6e4c,32'h3f664dec,// invsqrt(1.4951) = 0.8178 +32'h3f11266c,32'h3fa696e3,32'h3fad6394, 32'h3fa17d5f,32'h3fb27d19, 32'h3f98fd83,32'h3fbafcf5,// invsqrt(0.5670) = 1.3280 +32'h3f71e6f2,32'h3f810b24,32'h3f864f83, 32'h3f7a2fb7,32'h3f8a42ca, 32'h3f6d04c9,32'h3f90d842,// invsqrt(0.9449) = 1.0287 +32'h3e6729d6,32'h400401c1,32'h40096517, 32'h3fffee80,32'h400d6f98, 32'h3ff27629,32'h40142bc4,// invsqrt(0.2257) = 2.1047 +32'h3fabbf45,32'h3f58957d,32'h3f616c92, 32'h3f51f42e,32'h3f680de2, 32'h3f46e754,32'h3f731abc,// invsqrt(1.3418) = 0.8633 +32'h3fec0215,32'h3f38c284,32'h3f404d11, 32'h3f331a9a,32'h3f45f4fa, 32'h3f29ad69,32'h3f4f622b,// invsqrt(1.8438) = 0.7364 +32'h3f4b8d4c,32'h3f8cacec,32'h3f926ad6, 32'h3f885e7c,32'h3f96b946, 32'h3f813117,32'h3f9de6ab,// invsqrt(0.7951) = 1.1215 +32'h411a0081,32'h3ea1bb1a,32'h3ea85506, 32'h3e9cc7a9,32'h3ead4877, 32'h3e948742,32'h3eb588de,// invsqrt(9.6251) = 0.3223 +32'h3cbb7597,32'h40cf4f06,32'h40d7c530, 32'h40c8f666,32'h40de1dd0, 32'h40be62b2,32'h40e8b184,// invsqrt(0.0229) = 6.6106 +32'h3fabd016,32'h3f588ae4,32'h3f61618a, 32'h3f51e9e7,32'h3f680287, 32'h3f46dd98,32'h3f730ed6,// invsqrt(1.3423) = 0.8631 +32'h3fdfd310,32'h3f3db8c8,32'h3f45772e, 32'h3f37e9fb,32'h3f4b45fb, 32'h3f2e3bfc,32'h3f54f3fb,// invsqrt(1.7486) = 0.7562 +32'h40184d2d,32'h3f22a199,32'h3f2944ee, 32'h3f1da71a,32'h3f2e3f6e, 32'h3f155af0,32'h3f368b98,// invsqrt(2.3797) = 0.6482 +32'h3ee68d43,32'h3fbaeeef,32'h3fc29033, 32'h3fb535fd,32'h3fc84925, 32'h3fabac69,32'h3fd1d2b9,// invsqrt(0.4503) = 1.4902 +32'h3f17245e,32'h3fa340fb,32'h3fa9ead1, 32'h3f9e419a,32'h3faeea32, 32'h3f95ed4f,32'h3fb73e7d,// invsqrt(0.5904) = 1.3014 +32'h3f1d46eb,32'h3fa009c1,32'h3fa691fd, 32'h3f9b2394,32'h3fab782a, 32'h3f92f949,32'h3fb3a275,// invsqrt(0.6144) = 1.2758 +32'h3e048523,32'h402e58e8,32'h403576a8, 32'h40290298,32'h403accf8, 32'h40201d67,32'h4043b229,// invsqrt(0.1294) = 2.7798 +32'h3f683da0,32'h3f83b348,32'h3f89136b, 32'h3f7f565e,32'h3f8d1b85, 32'h3f71e608,32'h3f93d3b0,// invsqrt(0.9072) = 1.0499 +32'h3f14570b,32'h3fa4c9da,32'h3fab83ba, 32'h3f9fbe73,32'h3fb08f21, 32'h3f97561c,32'h3fb8f778,// invsqrt(0.5795) = 1.3137 +32'h400f03de,32'h3f27d408,32'h3f2eadaa, 32'h3f22b0ce,32'h3f33d0e4, 32'h3f1a20c3,32'h3f3c60ef,// invsqrt(2.2346) = 0.6690 +32'h3f0a63ea,32'h3faa9c08,32'h3fb192ba, 32'h3fa56303,32'h3fb6cbbf, 32'h3f9caea4,32'h3fbf801e,// invsqrt(0.5406) = 1.3601 +32'h3e8a4a6e,32'h3ff15d77,32'h3ffb377c, 32'h3fe9f9f4,32'h40014d80, 32'h3fdda96e,32'h400775c3,// invsqrt(0.2701) = 1.9241 +32'h3f2c23e0,32'h3f98f91b,32'h3f9f3784, 32'h3f944a4b,32'h3fa3e653, 32'h3f8c7c47,32'h3fabb457,// invsqrt(0.6724) = 1.2195 +32'h4006cae3,32'h3f2cdf13,32'h3f33ed68, 32'h3f279454,32'h3f393828, 32'h3f1ec26b,32'h3f420a11,// invsqrt(2.1061) = 0.6891 +32'h3ecc4d1a,32'h3fc6947d,32'h3fceaf73, 32'h3fc08045,32'h3fd4c3ab, 32'h3fb65e92,32'h3fdee55e,// invsqrt(0.3990) = 1.5831 +32'h3f801554,32'h3f7acc63,32'h3f82847d, 32'h3f731ef3,32'h3f865b35, 32'h3f665337,32'h3f8cc113,// invsqrt(1.0007) = 0.9997 +32'h3f0ef528,32'h3fa7dcab,32'h3faeb6a7, 32'h3fa2b92d,32'h3fb3da25, 32'h3f9a28b2,32'h3fbc6aa0,// invsqrt(0.5584) = 1.3382 +32'h4033b824,32'h3f15b674,32'h3f1bd2cc, 32'h3f112132,32'h3f20680e, 32'h3f097dc3,32'h3f280b7d,// invsqrt(2.8081) = 0.5968 +32'h3fc117a3,32'h3f4c432f,32'h3f549985, 32'h3f46026f,32'h3f5ada45, 32'h3f3b9684,32'h3f654630,// invsqrt(1.5085) = 0.8142 +32'h3f240fbe,32'h3f9cb1b2,32'h3fa316fd, 32'h3f97e5b9,32'h3fa7e2f5, 32'h3f8fe71b,32'h3fafe193,// invsqrt(0.6409) = 1.2492 +32'h3f43fa2f,32'h3f8f5e4a,32'h3f953857, 32'h3f8afabf,32'h3f999be1, 32'h3f83aa2e,32'h3fa0ec72,// invsqrt(0.7655) = 1.1429 +32'h3fc14280,32'h3f4c2c87,32'h3f5481ef, 32'h3f45ec78,32'h3f5ac1fe, 32'h3f3b81b5,32'h3f652cc1,// invsqrt(1.5098) = 0.8138 +32'h4024cda3,32'h3f1c5751,32'h3f22b8ec, 32'h3f178e1d,32'h3f278221, 32'h3f0f941c,32'h3f2f7c22,// invsqrt(2.5751) = 0.6232 +32'h401191f5,32'h3f265950,32'h3f2d237d, 32'h3f2141ae,32'h3f323b1e, 32'h3f18c4f5,32'h3f3ab7d7,// invsqrt(2.2745) = 0.6631 +32'h3f9aca04,32'h3f6423c1,32'h3f6d7395, 32'h3f5d27e2,32'h3f746f74, 32'h3f51841a,32'h3f80099e,// invsqrt(1.2093) = 0.9094 +32'h3eca066c,32'h3fc7b20f,32'h3fcfd8ad, 32'h3fc19519,32'h3fd5f5a3, 32'h3fb764d5,32'h3fe025e7,// invsqrt(0.3946) = 1.5920 +32'h40959671,32'h3ee8127c,32'h3ef18b67, 32'h3ee0f7cc,32'h3ef8a618, 32'h3ed520a7,32'h3f023e9f,// invsqrt(4.6746) = 0.4625 +32'h3ea57db7,32'h3fdca3c6,32'h3fe5a53c, 32'h3fd5e2ae,32'h3fec6654, 32'h3fcaa0da,32'h3ff7a828,// invsqrt(0.3232) = 1.7589 +32'h3ef18c04,32'h3fb6a114,32'h3fbe155e, 32'h3fb109dd,32'h3fc3ac95, 32'h3fa7b880,32'h3fccfdf2,// invsqrt(0.4718) = 1.4559 +32'h3d08a572,32'h40abb1de,32'h40b2b3e8, 32'h40a67058,32'h40b7f56e, 32'h409dadcc,32'h40c0b7fa,// invsqrt(0.0334) = 5.4750 +32'h3f8e07c0,32'h3f6e2a9c,32'h3f77e334, 32'h3f66e029,32'h3f7f2da7, 32'h3f5ab96a,32'h3f85aa33,// invsqrt(1.1096) = 0.9493 +32'h3eba7337,32'h3fcfde78,32'h3fd85a7c, 32'h3fc98174,32'h3fdeb780, 32'h3fbee66e,32'h3fe95286,// invsqrt(0.3642) = 1.6571 +32'h4015810c,32'h3f24254c,32'h3f2ad874, 32'h3f1f1eee,32'h3f2fded2, 32'h3f16befd,32'h3f383ec3,// invsqrt(2.3360) = 0.6543 +32'h3f528a8f,32'h3f8a521f,32'h3f8ff76f, 32'h3f861623,32'h3f94336b, 32'h3f7e0f00,32'h3f9b420e,// invsqrt(0.8224) = 1.1027 +32'h3f69cef0,32'h3f834211,32'h3f889d95, 32'h3f7e7ade,32'h3f8ca237, 32'h3f711615,32'h3f93549b,// invsqrt(0.9133) = 1.0464 +32'h3f9759da,32'h3f66b764,32'h3f702224, 32'h3f5fa754,32'h3f773234, 32'h3f53e1e4,32'h3f817bd2,// invsqrt(1.1824) = 0.9196 +32'h3f945683,32'h3f690c39,32'h3f728f55, 32'h3f61e9e3,32'h3f79b1ab, 32'h3f560600,32'h3f82cac7,// invsqrt(1.1589) = 0.9289 +32'h3f09971c,32'h3fab1ad3,32'h3fb216b2, 32'h3fa5ddec,32'h3fb7539a, 32'h3f9d2316,32'h3fc00e70,// invsqrt(0.5375) = 1.3640 +32'h3fae697b,32'h3f56ec47,32'h3f5fb201, 32'h3f5057fc,32'h3f66464c, 32'h3f4560d4,32'h3f713d74,// invsqrt(1.3626) = 0.8567 +32'h3f902432,32'h3f6c6a78,32'h3f7610c6, 32'h3f652dbd,32'h3f7d4d81, 32'h3f591ddc,32'h3f84aeb1,// invsqrt(1.1261) = 0.9423 +32'h40581ec2,32'h3f088618,32'h3f0e18a1, 32'h3f045832,32'h3f124688, 32'h3efac20e,32'h3f193db3,// invsqrt(3.3769) = 0.5442 +32'h3f40358e,32'h3f90c44c,32'h3f96acf7, 32'h3f8c55cd,32'h3f9b1b77, 32'h3f84f2f8,32'h3fa27e4c,// invsqrt(0.7508) = 1.1541 +32'h3e22ec15,32'h401d3db5,32'h4023a8b7, 32'h40186d73,32'h402878f9, 32'h401067b1,32'h40307ebb,// invsqrt(0.1591) = 2.5070 +32'h40e65546,32'h3ebb05a6,32'h3ec2a7d6, 32'h3eb54c01,32'h3ec8617b, 32'h3eabc145,32'h3ed1ec37,// invsqrt(7.1979) = 0.3727 +32'h3eca3ca2,32'h3fc7974a,32'h3fcfbccf, 32'h3fc17b25,32'h3fd5d8f3, 32'h3fb74c3e,32'h3fe007da,// invsqrt(0.3950) = 1.5911 +32'h3ecb6e81,32'h3fc70105,32'h3fcf2068, 32'h3fc0e97a,32'h3fd537f2, 32'h3fb6c23d,32'h3fdf5f2f,// invsqrt(0.3973) = 1.5864 +32'h40591e7e,32'h3f08359a,32'h3f0dc4da, 32'h3f040a2a,32'h3f11f04a, 32'h3efa2e35,32'h3f18e359,// invsqrt(3.3925) = 0.5429 +32'h3fac0faf,32'h3f5862db,32'h3f6137df, 32'h3f51c318,32'h3f67d7a2, 32'h3f46b8d4,32'h3f72e1e6,// invsqrt(1.3442) = 0.8625 +32'h3a8c7e0d,32'h41ef7768,32'h41f93d96, 32'h41e822c5,32'h4200491c, 32'h41dbeb0c,32'h420664f9,// invsqrt(0.0011) = 30.5442 +32'h3f17a14a,32'h3fa2fdad,32'h3fa9a4c3, 32'h3f9e005c,32'h3faea214, 32'h3f95af7f,32'h3fb6f2f1,// invsqrt(0.5923) = 1.2994 +32'h3ea52eaf,32'h3fdcd888,32'h3fe5dc26, 32'h3fd615d3,32'h3fec9edb, 32'h3fcad14e,32'h3ff7e360,// invsqrt(0.3226) = 1.7606 +32'h3f9411e2,32'h3f694235,32'h3f72c785, 32'h3f621e38,32'h3f79eb82, 32'h3f563794,32'h3f82e913,// invsqrt(1.1568) = 0.9298 +32'h3f5c669c,32'h3f873107,32'h3f8cb5a4, 32'h3f830d91,32'h3f90d919, 32'h3f784f99,32'h3f97bede,// invsqrt(0.8609) = 1.0777 +32'h3f888e14,32'h3f72e4ee,32'h3f7cceed, 32'h3f6b756e,32'h3f821f36, 32'h3f5f10ef,32'h3f885176,// invsqrt(1.0668) = 0.9682 +32'h42900fac,32'h3dec7b4f,32'h3df6224d, 32'h3de53e10,32'h3dfd5f8c, 32'h3dd92d53,32'h3e04b824,// invsqrt(72.0306) = 0.1178 +32'h3e2d0991,32'h40189371,32'h401ecdb5, 32'h4013e7bf,32'h40237967, 32'h400c1eeb,32'h402b423b,// invsqrt(0.1690) = 2.4327 +32'h4001a747,32'h3f3043a0,32'h3f377568, 32'h3f2ade4a,32'h3f3cdabe, 32'h3f21e010,32'h3f45d8f8,// invsqrt(2.0258) = 0.7026 +32'h3f03aae8,32'h3faee929,32'h3fb60ccc, 32'h3fa98e6e,32'h3fbb6786, 32'h3fa0a1e1,32'h3fc45413,// invsqrt(0.5143) = 1.3944 +32'h3f2085ce,32'h3f9e6973,32'h3fa4e0b1, 32'h3f999004,32'h3fa9ba20, 32'h3f917af7,32'h3fb1cf2d,// invsqrt(0.6270) = 1.2629 +32'h3f6317b7,32'h3f852f4c,32'h3f8a9ef1, 32'h3f811b90,32'h3f8eb2ac, 32'h3f74a003,32'h3f957e3b,// invsqrt(0.8871) = 1.0617 +32'h3f4341dc,32'h3f8fa1e5,32'h3f957eb5, 32'h3f8b3c49,32'h3f99e451, 32'h3f83e845,32'h3fa13855,// invsqrt(0.7627) = 1.1450 +32'h40fbb841,32'h3eb2e69e,32'h3eba33f2, 32'h3ead6c9e,32'h3ebfadf2, 32'h3ea44bf4,32'h3ec8ce9c,// invsqrt(7.8662) = 0.3565 +32'h401b8cd9,32'h3f20ec8a,32'h3f277e08, 32'h3f1bff6c,32'h3f2c6b26, 32'h3f13c98e,32'h3f34a104,// invsqrt(2.4305) = 0.6414 +32'h3fb31c96,32'h3f5415a7,32'h3f5cbdb9, 32'h3f4d979a,32'h3f633bc6, 32'h3f42c585,32'h3f6e0ddb,// invsqrt(1.3993) = 0.8454 +32'h3fad35f9,32'h3f57aaba,32'h3f60783a, 32'h3f51109a,32'h3f67125a, 32'h3f460fbb,32'h3f721339,// invsqrt(1.3532) = 0.8596 +32'h3fccfc89,32'h3f463f71,32'h3f4e56ee, 32'h3f402dd4,32'h3f54688c, 32'h3f361078,32'h3f5e85e8,// invsqrt(1.6015) = 0.7902 +32'h4057d6f0,32'h3f089ccd,32'h3f0e3043, 32'h3f046e34,32'h3f125edc, 32'h3efaebc2,32'h3f19572f,// invsqrt(3.3725) = 0.5445 +32'h3fab40ff,32'h3f58e548,32'h3f61bf9e, 32'h3f524187,32'h3f68635f, 32'h3f47309b,32'h3f73744b,// invsqrt(1.3379) = 0.8645 +32'h3f634f18,32'h3f851f12,32'h3f8a8e0d, 32'h3f810bd5,32'h3f8ea149, 32'h3f748235,32'h3f956c04,// invsqrt(0.8879) = 1.0612 +32'h4010ce7b,32'h3f26c971,32'h3f2d9832, 32'h3f21ae61,32'h3f32b343, 32'h3f192bf0,32'h3f3b35b4,// invsqrt(2.2626) = 0.6648 +32'h3b83c084,32'h4177482d,32'h4180b003, 32'h416fb64b,32'h418478f4, 32'h4163187d,32'h418ac7db,// invsqrt(0.0040) = 15.7705 +32'h3eb93e5c,32'h3fd08b7a,32'h3fd90e8e, 32'h3fca292a,32'h3fdf70de, 32'h3fbf8550,32'h3fea14b8,// invsqrt(0.3618) = 1.6625 +32'h3ef04a80,32'h3fb71b1a,32'h3fbe9460, 32'h3fb18027,32'h3fc42f53, 32'h3fa82890,32'h3fcd86ea,// invsqrt(0.4693) = 1.4597 +32'h3e400d56,32'h4010d374,32'h4016bcbc, 32'h400c647d,32'h401b2bb3, 32'h400500e2,32'h40228f4e,// invsqrt(0.1876) = 2.3091 +32'h3f0deec3,32'h3fa8778e,32'h3faf57dc, 32'h3fa34f52,32'h3fb48018, 32'h3f9ab6f0,32'h3fbd187a,// invsqrt(0.5544) = 1.3430 +32'h3ed735cb,32'h3fc17b4a,32'h3fc960fa, 32'h3fbb8f07,32'h3fcf4d3d, 32'h3fb1afeb,32'h3fd92c59,// invsqrt(0.4203) = 1.5424 +32'h3f1ee434,32'h3f9f3917,32'h3fa5b8cf, 32'h3f9a594d,32'h3faa9899, 32'h3f9239a8,32'h3fb2b83f,// invsqrt(0.6207) = 1.2693 +32'h3f79e2e2,32'h3f7dee34,32'h3f8425c2, 32'h3f762837,32'h3f8808c0, 32'h3f693393,32'h3f8e8313,// invsqrt(0.9761) = 1.0122 +32'h3f2a1375,32'h3f99e607,32'h3fa02e1c, 32'h3f952ff7,32'h3fa4e42b, 32'h3f8d55dc,32'h3facbe46,// invsqrt(0.6644) = 1.2269 +32'h3d4ae7fd,32'h408ce62f,32'h4092a66f, 32'h408895fe,32'h4096f6a0, 32'h408165ae,32'h409e26f0,// invsqrt(0.0495) = 4.4930 +32'h3f43a5d3,32'h3f8f7d2f,32'h3f95587f, 32'h3f8b18b3,32'h3f99bcfb, 32'h3f83c68e,32'h3fa10f20,// invsqrt(0.7642) = 1.1439 +32'h3f1d0c9b,32'h3fa02774,32'h3fa6b0e6, 32'h3f9b405e,32'h3fab97fc, 32'h3f93148f,32'h3fb3c3cb,// invsqrt(0.6135) = 1.2767 +32'h3fde65e6,32'h3f3e544a,32'h3f46190a, 32'h3f3880bb,32'h3f4bec99, 32'h3f2ecacc,32'h3f55a288,// invsqrt(1.7375) = 0.7586 +32'h3e7c91ea,32'h3ffc93ea,32'h4003718d, 32'h3ff4d888,32'h40074f3e, 32'h3fe7f58f,32'h400dc0bb,// invsqrt(0.2467) = 2.0135 +32'h3fbf1204,32'h3f4d5723,32'h3f55b8bb, 32'h3f470df0,32'h3f5c01ee, 32'h3f3c93f1,32'h3f667bed,// invsqrt(1.4927) = 0.8185 +32'h3fb6ee40,32'h3f51dbed,32'h3f5a6cbd, 32'h3f4b6f51,32'h3f60d959, 32'h3f40ba4c,32'h3f6b8e5e,// invsqrt(1.4291) = 0.8365 +32'h3f3d660e,32'h3f91d643,32'h3f97ca1b, 32'h3f8d5f60,32'h3f9c40fe, 32'h3f85ee91,32'h3fa3b1cd,// invsqrt(0.7398) = 1.1626 +32'h3f2b4212,32'h3f995dd2,32'h3f9fa058, 32'h3f94abee,32'h3fa4523c, 32'h3f8cd8c6,32'h3fac2564,// invsqrt(0.6690) = 1.2226 +32'h4014503f,32'h3f24cda1,32'h3f2b87a7, 32'h3f1fc21c,32'h3f30932c, 32'h3f175994,32'h3f38fbb4,// invsqrt(2.3174) = 0.6569 +32'h405e1927,32'h3f06ac85,32'h3f0c2bb9, 32'h3f028d1d,32'h3f104b21, 32'h3ef75c38,32'h3f172a22,// invsqrt(3.4703) = 0.5368 +32'h3f9a34ab,32'h3f649220,32'h3f6de675, 32'h3f5d92e1,32'h3f74e5b5, 32'h3f51e977,32'h3f804790,// invsqrt(1.2047) = 0.9111 +32'h40011c24,32'h3f30a281,32'h3f37d828, 32'h3f2b3a43,32'h3f3d4065, 32'h3f223732,32'h3f464376,// invsqrt(2.0173) = 0.7041 +32'h4058ad9c,32'h3f085910,32'h3f0de9c2, 32'h3f042c8a,32'h3f121648, 32'h3efa6f57,32'h3f190b27,// invsqrt(3.3856) = 0.5435 +32'h3f510178,32'h3f8ad3f5,32'h3f907e91, 32'h3f869400,32'h3f94be86, 32'h3f7efd79,32'h3f9bd3ca,// invsqrt(0.8164) = 1.1067 +32'h3d990e4e,32'h40656d84,32'h406ecacd, 32'h405e678c,32'h4075d0c4, 32'h4052b2f1,32'h4080c2b0,// invsqrt(0.0747) = 3.6580 +32'h3f4145e5,32'h3f905e2a,32'h3f9642a9, 32'h3f8bf2ca,32'h3f9aae08, 32'h3f84952b,32'h3fa20ba7,// invsqrt(0.7550) = 1.1509 +32'h3f8d6213,32'h3f6eb5fe,32'h3f787448, 32'h3f676748,32'h3f7fc2fe, 32'h3f5b396c,32'h3f85f86d,// invsqrt(1.1046) = 0.9515 +32'h40d07cbf,32'h3ec4938b,32'h3ecc9991, 32'h3ebe8f07,32'h3ed29e15, 32'h3eb48780,32'h3edca59c,// invsqrt(6.5152) = 0.3918 +32'h3fc002d9,32'h3f4cd634,32'h3f55328a, 32'h3f4690f4,32'h3f5b77ca, 32'h3f3c1d89,32'h3f65eb35,// invsqrt(1.5001) = 0.8165 +32'h3ec4919a,32'h3fca72b9,32'h3fd2b619, 32'h3fc44031,32'h3fd8e8a1, 32'h3fb9ebf8,32'h3fe33cda,// invsqrt(0.3839) = 1.6139 +32'h3f8179c9,32'h3f797239,32'h3f81d057, 32'h3f71cf60,32'h3f85a1c3, 32'h3f65154e,32'h3f8bfecc,// invsqrt(1.0115) = 0.9943 +32'h3eb7b348,32'h3fd16b43,32'h3fd9f77a, 32'h3fcb021a,32'h3fe060a4, 32'h3fc052d6,32'h3feb0fe9,// invsqrt(0.3588) = 1.6695 +32'h3f02bd9a,32'h3faf879e,32'h3fb6b1ba, 32'h3faa280a,32'h3fbc114e, 32'h3fa13368,32'h3fc505f0,// invsqrt(0.5107) = 1.3993 +32'h3fabe3e3,32'h3f587e6b,32'h3f61548e, 32'h3f51ddcf,32'h3f67f529, 32'h3f46d223,32'h3f7300d5,// invsqrt(1.3429) = 0.8629 +32'h3eb59713,32'h3fd2a1dc,32'h3fdb3ac0, 32'h3fcc2f30,32'h3fe1ad6c, 32'h3fc17013,32'h3fec6c89,// invsqrt(0.3547) = 1.6791 +32'h3fc49b15,32'h3f4a6dd7,32'h3f52b105, 32'h3f443b75,32'h3f58e367, 32'h3f39e77d,32'h3f63375f,// invsqrt(1.5360) = 0.8069 +32'h407cba00,32'h3efc7fe1,32'h3f03671f, 32'h3ef4c51c,32'h3f074482, 32'h3ee7e328,32'h3f0db57c,// invsqrt(3.9489) = 0.5032 +32'h400a442b,32'h3f2aaf9d,32'h3f31a71c, 32'h3f2575ff,32'h3f36e0bb, 32'h3f1cc0a0,32'h3f3f961a,// invsqrt(2.1604) = 0.6803 +32'h4188e8b2,32'h3e72947e,32'h3e7c7b35, 32'h3e6b2776,32'h3e81f41f, 32'h3e5ec711,32'h3e882452,// invsqrt(17.1136) = 0.2417 +32'h3e988c9b,32'h3fe5cef7,32'h3fef303b, 32'h3fdec605,32'h3ff6392d, 32'h3fd30c70,32'h4000f961,// invsqrt(0.2979) = 1.8320 +32'h3ec7205f,32'h3fc924cd,32'h3fd15a8d, 32'h3fc2fc7e,32'h3fd782dc, 32'h3fb8b94f,32'h3fe1c60b,// invsqrt(0.3889) = 1.6035 +32'h3f658798,32'h3f8479cf,32'h3f89e20c, 32'h3f806ba2,32'h3f8df03a, 32'h3f7352ac,32'h3f94b286,// invsqrt(0.8966) = 1.0561 +32'h3fc9038b,32'h3f48327d,32'h3f505e59, 32'h3f421199,32'h3f567f3d, 32'h3f37dac7,32'h3f60b60f,// invsqrt(1.5704) = 0.7980 +32'h3fb7a9e4,32'h3f51709e,32'h3f59fd0c, 32'h3f4b074a,32'h3f606660, 32'h3f4057c0,32'h3f6b15ea,// invsqrt(1.4349) = 0.8348 +32'h3e52fce2,32'h400a2ca0,32'h400fd068, 32'h4005f1ca,32'h40140b3e, 32'h3ffdca21,32'h401b17f8,// invsqrt(0.2060) = 2.2030 +32'h403bf3bf,32'h3f1265a7,32'h3f185f5a, 32'h3f0dea60,32'h3f1cdaa0, 32'h3f067240,32'h3f2452c0,// invsqrt(2.9368) = 0.5835 +32'h3e919100,32'h3feb4180,32'h3ff4dbae, 32'h3fe40ddc,32'h3ffc0f52, 32'h3fd80d22,32'h40040806,// invsqrt(0.2843) = 1.8754 +32'h3e7de88a,32'h3ffbe946,32'h400318bf, 32'h3ff4331d,32'h4006f3d3, 32'h3fe758d8,32'h400d60f6,// invsqrt(0.2480) = 2.0082 +32'h3f97c443,32'h3f666674,32'h3f6fcde6, 32'h3f5f58de,32'h3f76db7c, 32'h3f53978f,32'h3f814e66,// invsqrt(1.1857) = 0.9184 +32'h3ef76622,32'h3fb474d1,32'h3fbbd267, 32'h3faeeea1,32'h3fc15897, 32'h3fa5b9a6,32'h3fca8d92,// invsqrt(0.4832) = 1.4386 +32'h3fc02f95,32'h3f4cbe5c,32'h3f5519b8, 32'h3f4679d6,32'h3f5b5e3e, 32'h3f3c07a3,32'h3f65d071,// invsqrt(1.5015) = 0.8161 +32'h3f829e62,32'h3f785a37,32'h3f813e9f, 32'h3f70bff2,32'h3f850bc2, 32'h3f641428,32'h3f8b61a7,// invsqrt(1.0205) = 0.9899 +32'h4101c4f7,32'h3eb02f76,32'h3eb7606b, 32'h3eaacabe,32'h3ebcc522, 32'h3ea1cd8b,32'h3ec5c255,// invsqrt(8.1106) = 0.3511 +32'h3faf32a1,32'h3f5670c2,32'h3f5f3172, 32'h3f4fe03f,32'h3f65c1f5, 32'h3f44ef64,32'h3f70b2d0,// invsqrt(1.3687) = 0.8548 +32'h3f529cf4,32'h3f8a4c14,32'h3f8ff125, 32'h3f861048,32'h3f942cf2, 32'h3f7e03e7,32'h3f9b3b46,// invsqrt(0.8227) = 1.1025 +32'h411e81b5,32'h3e9f6a87,32'h3ea5ec44, 32'h3e9a893a,32'h3eaacd92, 32'h3e92670f,32'h3eb2efbd,// invsqrt(9.9067) = 0.3177 +32'h3f77f835,32'h3f7ee8f4,32'h3f84a840, 32'h3f771b4a,32'h3f888f15, 32'h3f6a19db,32'h3f8f0fcd,// invsqrt(0.9686) = 1.0161 +32'h3f8ccd40,32'h3f6f3405,32'h3f78f773, 32'h3f67e173,32'h3f802503, 32'h3f5bad29,32'h3f863f27,// invsqrt(1.1000) = 0.9535 +32'h3febf5f2,32'h3f38c744,32'h3f405203, 32'h3f331f35,32'h3f45fa13, 32'h3f29b1c7,32'h3f4f6781,// invsqrt(1.8434) = 0.7365 +32'h3f365a42,32'h3f94a0b8,32'h3f9ab1ba, 32'h3f9013f6,32'h3f9f3e7c, 32'h3f887eb3,32'h3fa6d3bf,// invsqrt(0.7123) = 1.1849 +32'h3f9b821f,32'h3f639c8d,32'h3f6ce6dc, 32'h3f5ca4d2,32'h3f73de98, 32'h3f5107f0,32'h3f7f7b7a,// invsqrt(1.2149) = 0.9073 +32'h3d9e2350,32'h4061b60d,32'h406aec81, 32'h405acd37,32'h4071d557, 32'h404f4926,32'h407d5968,// invsqrt(0.0772) = 3.5987 +32'h3f935828,32'h3f69d509,32'h3f736058, 32'h3f62ac8f,32'h3f7a88d3, 32'h3f56be6c,32'h3f833b7b,// invsqrt(1.1511) = 0.9320 +32'h3f58eec7,32'h3f884494,32'h3f8dd470, 32'h3f8418af,32'h3f920055, 32'h3f7a49b7,32'h3f98f429,// invsqrt(0.8474) = 1.0863 +32'h3d9dba0e,32'h40620151,32'h406b3ad7, 32'h405b162d,32'h407225fb, 32'h404f8e45,32'h407dade3,// invsqrt(0.0770) = 3.6034 +32'h3dc58e68,32'h4049f107,32'h40522f1d, 32'h4043c278,32'h40585dac, 32'h403974dd,32'h4062ab47,// invsqrt(0.0965) = 3.2197 +32'h3df1239f,32'h4036c898,32'h403e3e7f, 32'h4031302b,32'h4043d6ed, 32'h4027dccb,32'h404d2a4d,// invsqrt(0.1177) = 2.9143 +32'h3f63b326,32'h3f8501cf,32'h3f8a6f99, 32'h3f80ef78,32'h3f8e81f0, 32'h3f744c77,32'h3f954b2c,// invsqrt(0.8895) = 1.0603 +32'h3f91379f,32'h3f6b89db,32'h3f7526fe, 32'h3f645400,32'h3f7c5cd8, 32'h3f584f94,32'h3f8430a2,// invsqrt(1.1345) = 0.9388 +32'h3e52a17f,32'h400a4a96,32'h400fef97, 32'h40060ed6,32'h40142b58, 32'h3ffe0129,32'h401b3999,// invsqrt(0.2057) = 2.2049 +32'h40a433a6,32'h3edd8119,32'h3ee68b97, 32'h3ed6b93a,32'h3eed5376, 32'h3ecb6c1c,32'h3ef8a094,// invsqrt(5.1313) = 0.4415 +32'h3f17e44d,32'h3fa2d9b5,32'h3fa97f53, 32'h3f9ddd7d,32'h3fae7b8b, 32'h3f958e77,32'h3fb6ca91,// invsqrt(0.5933) = 1.2982 +32'h4051dc6f,32'h3f0a8b75,32'h3f10331b, 32'h3f064db8,32'h3f1470d8, 32'h3efe784e,32'h3f1b8269,// invsqrt(3.2791) = 0.5522 +32'h3fa9fcaa,32'h3f59b3d0,32'h3f629695, 32'h3f5309bd,32'h3f6940a9, 32'h3f47ee48,32'h3f745c1f,// invsqrt(1.3280) = 0.8678 +32'h3f818ce6,32'h3f795fd1,32'h3f81c6c3, 32'h3f71bd8a,32'h3f8597e7, 32'h3f650468,32'h3f8bf478,// invsqrt(1.0121) = 0.9940 +32'h4040233c,32'h3f10cb33,32'h3f16b425, 32'h3f0c5c7d,32'h3f1b22db, 32'h3f04f94e,32'h3f22860a,// invsqrt(3.0022) = 0.5771 +32'h3f252938,32'h3f9c2bf3,32'h3fa28bc9, 32'h3f976413,32'h3fa753a9, 32'h3f8f6c48,32'h3faf4b74,// invsqrt(0.6452) = 1.2450 +32'h3fbe1155,32'h3f4de19c,32'h3f5648dc, 32'h3f47942c,32'h3f5c964c, 32'h3f3d131c,32'h3f67175c,// invsqrt(1.4849) = 0.8206 +32'h3e95bcaf,32'h3fe7f4d8,32'h3ff16c8e, 32'h3fe0db10,32'h3ff88656, 32'h3fd5056e,32'h40022dfc,// invsqrt(0.2925) = 1.8491 +32'h3ee34d64,32'h3fbc43c9,32'h3fc3f2f6, 32'h3fb68068,32'h3fc9b658, 32'h3face570,32'h3fd35150,// invsqrt(0.4439) = 1.5008 +32'h3f0bfe41,32'h3fa9a146,32'h3fb08dbc, 32'h3fa46fee,32'h3fb5bf14, 32'h3f9bc85a,32'h3fbe66a8,// invsqrt(0.5468) = 1.3523 +32'h3e4f1199,32'h400b79cc,32'h40112b2d, 32'h400734c3,32'h40157035, 32'h40001709,32'h401c8def,// invsqrt(0.2022) = 2.2238 +32'h40433537,32'h3f0fa68c,32'h3f15838c, 32'h3f0b40cb,32'h3f19e94d, 32'h3f03ec8b,32'h3f213d8d,// invsqrt(3.0501) = 0.5726 +32'h3e85d7d6,32'h3ff557b7,32'h3fff5b4b, 32'h3fedd507,32'h40036efd, 32'h3fe1508e,32'h4009b13a,// invsqrt(0.2614) = 1.9559 +32'h3fb77917,32'h3f518c77,32'h3f5a1a08, 32'h3f4b2249,32'h3f608435, 32'h3f407152,32'h3f6b352c,// invsqrt(1.4334) = 0.8353 +32'h3f02c767,32'h3faf810a,32'h3fb6aae0, 32'h3faa21a9,32'h3fbc0a41, 32'h3fa12d5c,32'h3fc4fe8e,// invsqrt(0.5109) = 1.3991 +32'h3fb0d82e,32'h3f557094,32'h3f5e26ce, 32'h3f4ee7e8,32'h3f64af7a, 32'h3f44041f,32'h3f6f9343,// invsqrt(1.3816) = 0.8508 +32'h3f56449e,32'h3f891cd3,32'h3f8eb583, 32'h3f84ea4f,32'h3f92e807, 32'h3f7bd6e7,32'h3f99e6e2,// invsqrt(0.8370) = 1.0931 +32'h3ae121dc,32'h41bd2b82,32'h41c4e424, 32'h41b76108,32'h41caae9e, 32'h41adba3e,32'h41d45568,// invsqrt(0.0017) = 24.1288 +32'h3e676e15,32'h4003ee49,32'h400950d3, 32'h3fffc8c1,32'h400d5abc, 32'h3ff25266,32'h401415e9,// invsqrt(0.2260) = 2.1035 +32'h3e99daa9,32'h3fe4d4f3,32'h3fee2c02, 32'h3fddd3a7,32'h3ff52d4d, 32'h3fd226d4,32'h40006d10,// invsqrt(0.3005) = 1.8242 +32'h3f35f121,32'h3f94cba3,32'h3f9ade65, 32'h3f903d91,32'h3f9f6c77, 32'h3f88a61d,32'h3fa703eb,// invsqrt(0.7107) = 1.1862 +32'h3f718967,32'h3f81241e,32'h3f866983, 32'h3f7a6027,32'h3f8a5d8f, 32'h3f6d32ab,32'h3f90f44c,// invsqrt(0.9435) = 1.0295 +32'h3fe92cf9,32'h3f39e0ec,32'h3f41772a, 32'h3f34303e,32'h3f4727d8, 32'h3f2ab470,32'h3f50a3a6,// invsqrt(1.8217) = 0.7409 +32'h3f26c3d6,32'h3f9b6b37,32'h3fa1c32f, 32'h3f96a93d,32'h3fa68529, 32'h3f8ebb48,32'h3fae731e,// invsqrt(0.6514) = 1.2390 +32'h3fa08a76,32'h3f6003fd,32'h3f6928b9, 32'h3f592870,32'h3f700446, 32'h3f4dba85,32'h3f7b7231,// invsqrt(1.2542) = 0.8929 +32'h3ff1447f,32'h3f36bc24,32'h3f3e3188, 32'h3f312418,32'h3f43c994, 32'h3f27d15a,32'h3f4d1c52,// invsqrt(1.8849) = 0.7284 +32'h3f89523c,32'h3f723734,32'h3f7c1a1c, 32'h3f6acd06,32'h3f81c225, 32'h3f5e7164,32'h3f87eff6,// invsqrt(1.0728) = 0.9655 +32'h3e919d87,32'h3feb3761,32'h3ff4d127, 32'h3fe4040d,32'h3ffc047b, 32'h3fd803d7,32'h40040259,// invsqrt(0.2844) = 1.8751 +32'h3fa8c266,32'h3f5a7e26,32'h3f63692d, 32'h3f53cde2,32'h3f6a1972, 32'h3f48a819,32'h3f753f3b,// invsqrt(1.3184) = 0.8709 +32'h4035e42e,32'h3f14d0ee,32'h3f1ae3e9, 32'h3f1042b3,32'h3f1f7225, 32'h3f08aafb,32'h3f2709dd,// invsqrt(2.8421) = 0.5932 +32'h3f530835,32'h3f8a28eb,32'h3f8fcc8d, 32'h3f85ee33,32'h3f940745, 32'h3f7dc352,32'h3f9b13cf,// invsqrt(0.8243) = 1.1014 +32'h42ea2222,32'h3db97f82,32'h3dc111c6, 32'h3db3d1cf,32'h3dc6bf79, 32'h3daa5afa,32'h3dd0364e,// invsqrt(117.0667) = 0.0924 +32'h3e076fd3,32'h402c75b0,32'h40337fb7, 32'h40272e2a,32'h4038c73c, 32'h401e61a1,32'h404193c5,// invsqrt(0.1323) = 2.7497 +32'h3dc94dff,32'h40480d74,32'h405037cc, 32'h4041edb2,32'h4056578e, 32'h4037b8c3,32'h40608c7d,// invsqrt(0.0983) = 3.1896 +32'h3f288dff,32'h3f9a976d,32'h3fa0e6bf, 32'h3f95dbee,32'h3fa5a23e, 32'h3f8df8c7,32'h3fad8565,// invsqrt(0.6584) = 1.2324 +32'h3f215607,32'h3f9e0319,32'h3fa47629, 32'h3f992ccc,32'h3fa94c76, 32'h3f911cf7,32'h3fb15c4b,// invsqrt(0.6302) = 1.2597 +32'h3ca69678,32'h40dbe98b,32'h40e4e367, 32'h40d52e26,32'h40eb9ecc, 32'h40c9f5d3,32'h40f6d71f,// invsqrt(0.0203) = 7.0125 +32'h3fff33cb,32'h3f31ad0d,32'h3f38ed95, 32'h3f2c3ca7,32'h3f3e5dfb, 32'h3f232bfc,32'h3f476ea6,// invsqrt(1.9938) = 0.7082 +32'h3e82abf0,32'h3ff84d56,32'h400137eb, 32'h3ff0b375,32'h400504dc, 32'h3fe40854,32'h400b5a6c,// invsqrt(0.2552) = 1.9794 +32'h40228a67,32'h3f1d6ced,32'h3f23d9dd, 32'h3f189b3a,32'h3f28ab90, 32'h3f10930e,32'h3f30b3bc,// invsqrt(2.5397) = 0.6275 +32'h3f8ebbca,32'h3f6d9437,32'h3f7746ad, 32'h3f664e60,32'h3f7e8c84, 32'h3f5a2f4d,32'h3f8555cc,// invsqrt(1.1151) = 0.9470 +32'h3ff43ada,32'h3f359f92,32'h3f3d095a, 32'h3f30103d,32'h3f4298af, 32'h3f26cc04,32'h3f4bdce8,// invsqrt(1.9080) = 0.7239 +32'h3ee7266a,32'h3fbab0f8,32'h3fc24fb3, 32'h3fb4f9ea,32'h3fc806c0, 32'h3fab7380,32'h3fd18d2a,// invsqrt(0.4515) = 1.4883 +32'h3f81ff1b,32'h3f78f22e,32'h3f818db5, 32'h3f715342,32'h3f855d2b, 32'h3f649fb8,32'h3f8bb6f0,// invsqrt(1.0156) = 0.9923 +32'h3fb3f616,32'h3f539557,32'h3f5c382b, 32'h3f4d1b37,32'h3f62b24b, 32'h3f424fae,32'h3f6d7dd4,// invsqrt(1.4059) = 0.8434 +32'h3f5121b0,32'h3f8ac943,32'h3f90736f, 32'h3f8689a1,32'h3f94b311, 32'h3f7ee9d3,32'h3f9bc7c8,// invsqrt(0.8169) = 1.1064 +32'h4017ca93,32'h3f22e781,32'h3f298db1, 32'h3f1deade,32'h3f2e8a54, 32'h3f159b23,32'h3f36da0f,// invsqrt(2.3717) = 0.6493 +32'h3e640971,32'h4004e8a2,32'h400a5564, 32'h4000d710,32'h400e66f6, 32'h3ff41e39,32'h40152eea,// invsqrt(0.2227) = 2.1191 +32'h3eec2ec2,32'h3fb8b10a,32'h3fc03ae0, 32'h3fb309a9,32'h3fc5e241, 32'h3fa99d5c,32'h3fcf4e8e,// invsqrt(0.4613) = 1.4723 +32'h3f615957,32'h3f85b2f3,32'h3f8b27f7, 32'h3f819b2f,32'h3f8f3fbb, 32'h3f7591d3,32'h3f961201,// invsqrt(0.8803) = 1.0658 +32'h3eff5e4c,32'h3fb19e43,32'h3fb8de31, 32'h3fac2e51,32'h3fbe4e23, 32'h3fa31e67,32'h3fc75e0d,// invsqrt(0.4988) = 1.4160 +32'h3fa693fa,32'h3f5beb30,32'h3f64e51d, 32'h3f552fbe,32'h3f6ba08e, 32'h3f49f755,32'h3f76d8f7,// invsqrt(1.3014) = 0.8766 +32'h3e0199ef,32'h40304cb3,32'h40377ed9, 32'h402ae716,32'h403ce476, 32'h4021e865,32'h4045e327,// invsqrt(0.1266) = 2.8109 +32'h3f2aa3b8,32'h3f99a4eb,32'h3f9fea58, 32'h3f94f0da,32'h3fa49e6a, 32'h3f8d1a12,32'h3fac7532,// invsqrt(0.6666) = 1.2248 +32'h3f9a4224,32'h3f648825,32'h3f6ddc11, 32'h3f5d8933,32'h3f74db03, 32'h3f51e04c,32'h3f8041f5,// invsqrt(1.2051) = 0.9109 +32'h3f7c91fb,32'h3f7c93e2,32'h3f837187, 32'h3f74d87f,32'h3f874f39, 32'h3f67f586,32'h3f8dc0b5,// invsqrt(0.9866) = 1.0068 +32'h3ff4ff8e,32'h3f35569a,32'h3f3cbd67, 32'h3f2fc981,32'h3f424a81, 32'h3f268901,32'h3f4b8b01,// invsqrt(1.9140) = 0.7228 +32'h411c8b09,32'h3ea069ae,32'h3ea6f5d4, 32'h3e9b8091,32'h3eabdef1, 32'h3e935161,32'h3eb40e21,// invsqrt(9.7839) = 0.3197 +32'h3fbbee0e,32'h3f4f0c8a,32'h3f577ffd, 32'h3f48b5f3,32'h3f5dd693, 32'h3f3e25a3,32'h3f6866e3,// invsqrt(1.4682) = 0.8253 +32'h401b6235,32'h3f21029d,32'h3f279501, 32'h3f1c14d1,32'h3f2c82cd, 32'h3f13ddd4,32'h3f34b9ca,// invsqrt(2.4279) = 0.6418 +32'h40a5eb8d,32'h3edc5ab2,32'h3ee5592c, 32'h3ed59bd6,32'h3eec1808, 32'h3eca5dbe,32'h3ef75621,// invsqrt(5.1850) = 0.4392 +32'h4006ee92,32'h3f2cc836,32'h3f33d59c, 32'h3f277e2a,32'h3f391fa8, 32'h3f1ead6b,32'h3f41f067,// invsqrt(2.1083) = 0.6887 +32'h3f85c3a1,32'h3f756a3e,32'h3f7f6e94, 32'h3f6de6fd,32'h3f8378ea, 32'h3f616192,32'h3f89bba0,// invsqrt(1.0450) = 0.9782 +32'h3ed831d7,32'h3fc10a61,32'h3fc8eb74, 32'h3fbb2192,32'h3fced442, 32'h3fb14839,32'h3fd8ad9b,// invsqrt(0.4223) = 1.5389 +32'h40defe60,32'h3ebe132d,32'h3ec5d543, 32'h3eb8419c,32'h3ecba6d4, 32'h3eae8eff,32'h3ed55971,// invsqrt(6.9686) = 0.3788 +32'h3f39560f,32'h3f936d38,32'h3f9971ad, 32'h3f8ee9e0,32'h3f9df504, 32'h3f87644d,32'h3fa57a97,// invsqrt(0.7240) = 1.1753 +32'h3f9f0b28,32'h3f61114d,32'h3f6a4107, 32'h3f5a2d82,32'h3f7124d2, 32'h3f4eb1d9,32'h3f7ca07b,// invsqrt(1.2425) = 0.8971 +32'h3f424d8c,32'h3f8ffc16,32'h3f95dc94, 32'h3f8b93b7,32'h3f9a44f3, 32'h3f843b19,32'h3fa19d91,// invsqrt(0.7590) = 1.1478 +32'h3f398d7f,32'h3f935730,32'h3f995ac0, 32'h3f8ed485,32'h3f9ddd6b, 32'h3f875012,32'h3fa561de,// invsqrt(0.7248) = 1.1746 +32'h3e971374,32'h3fe6ed1f,32'h3ff05a11, 32'h3fdfdb6a,32'h3ff76bc6, 32'h3fd4133c,32'h400199fa,// invsqrt(0.2951) = 1.8409 +32'h4008bf04,32'h3f2ba1d0,32'h3f32a332, 32'h3f2660c7,32'h3f37e43b, 32'h3f1d9f0e,32'h3f40a5f4,// invsqrt(2.1367) = 0.6841 +32'h3e2c41ed,32'h4018ebc2,32'h401f29a0, 32'h40143d5b,32'h4023d807, 32'h400c7006,32'h402ba55c,// invsqrt(0.1682) = 2.4382 +32'h3faaf3f9,32'h3f59161f,32'h3f61f273, 32'h3f5270df,32'h3f6897b3, 32'h3f475d75,32'h3f73ab1d,// invsqrt(1.3356) = 0.8653 +32'h3e8ae5d6,32'h3ff0d64b,32'h3ffaaacb, 32'h3fe976ea,32'h40010516, 32'h3fdd2d4a,32'h400729e6,// invsqrt(0.2713) = 1.9199 +32'h3fdd9182,32'h3f3eaf6d,32'h3f4677e5, 32'h3f38d914,32'h3f4c4e3e, 32'h3f2f1e7e,32'h3f5608d4,// invsqrt(1.7310) = 0.7601 +32'h3f2eeead,32'h3f97bf4f,32'h3f9df0ea, 32'h3f931a1c,32'h3fa2961e, 32'h3f8b5c1a,32'h3faa5420,// invsqrt(0.6833) = 1.2097 +32'h3f1bb7b8,32'h3fa0d661,32'h3fa766f7, 32'h3f9be9f0,32'h3fac5368, 32'h3f93b534,32'h3fb48824,// invsqrt(0.6083) = 1.2822 +32'h3fc5af59,32'h3f49e033,32'h3f521d99, 32'h3f43b227,32'h3f584ba5, 32'h3f396569,32'h3f629863,// invsqrt(1.5444) = 0.8047 +32'h3ff596b1,32'h3f351ec5,32'h3f3c834b, 32'h3f2f9361,32'h3f420eaf, 32'h3f2655ba,32'h3f4b4c56,// invsqrt(1.9187) = 0.7219 +32'h3f1a1f40,32'h3fa1aaf7,32'h3fa8443b, 32'h3f9cb805,32'h3fad372d, 32'h3f947870,32'h3fb576c2,// invsqrt(0.6020) = 1.2888 +32'h3ec00487,32'h3fccd54e,32'h3fd5319b, 32'h3fc69016,32'h3fdb76d4, 32'h3fbc1cb6,32'h3fe5ea34,// invsqrt(0.3750) = 1.6329 +32'h3e8ee992,32'h3fed6e26,32'h3ff71f0e, 32'h3fe62979,32'h3ffe63bb, 32'h3fda0c57,32'h4005406e,// invsqrt(0.2791) = 1.8928 +32'h3fcbd523,32'h3f46cee5,32'h3f4eec3c, 32'h3f40b8e2,32'h3f55023e, 32'h3f369435,32'h3f5f26eb,// invsqrt(1.5924) = 0.7924 +32'h4376c1f0,32'h3d7f8905,32'h3d84fb8d, 32'h3d77b676,32'h3d88e4d5, 32'h3d6aacdb,32'h3d8f69a2,// invsqrt(246.7576) = 0.0637 +32'h3e01c5e1,32'h40302ed7,32'h40375fc5, 32'h402aca24,32'h403cc478, 32'h4021ccf9,32'h4045c1a3,// invsqrt(0.1267) = 2.8090 +32'h3f10cd42,32'h3fa6ca26,32'h3fad98ee, 32'h3fa1af10,32'h3fb2b404, 32'h3f992c96,32'h3fbb367e,// invsqrt(0.5656) = 1.3296 +32'h412eeccf,32'h3e97c01f,32'h3e9df1c2, 32'h3e931ae4,32'h3ea296fc, 32'h3e8b5cd8,32'h3eaa5508,// invsqrt(10.9328) = 0.3024 +32'h3e4d9d64,32'h400bf7d0,32'h4011ae56, 32'h4007aeec,32'h4015f73a, 32'h40008ac4,32'h401d1b62,// invsqrt(0.2008) = 2.2316 +32'h40408495,32'h3f10a693,32'h3f168e07, 32'h3f0c38fc,32'h3f1afb9e, 32'h3f04d7ac,32'h3f225cee,// invsqrt(3.0081) = 0.5766 +32'h3e5a3e7e,32'h4007db9d,32'h400d6730, 32'h4003b2ee,32'h40118fde, 32'h3ff988eb,32'h40187e57,// invsqrt(0.2131) = 2.1661 +32'h400eb3e4,32'h3f280309,32'h3f2ede97, 32'h3f22de5f,32'h3f340341, 32'h3f1a4bee,32'h3f3c95b2,// invsqrt(2.2297) = 0.6697 +32'h3f3a58ed,32'h3f9306ae,32'h3f9906f4, 32'h3f8e867a,32'h3f9d8728, 32'h3f870622,32'h3fa50780,// invsqrt(0.7279) = 1.1721 +32'h3f52f60b,32'h3f8a2ede,32'h3f8fd2bd, 32'h3f85f3f6,32'h3f940da4, 32'h3f7dce3e,32'h3f9b1a7b,// invsqrt(0.8241) = 1.1016 +32'h40547340,32'h3f09b2ad,32'h3f0f517a, 32'h3f057b92,32'h3f138894, 32'h3efcea22,32'h3f1a8f15,// invsqrt(3.3195) = 0.5489 +32'h3f63678b,32'h3f8517e9,32'h3f8a869a, 32'h3f8104e5,32'h3f8e999f, 32'h3f747510,32'h3f9563fc,// invsqrt(0.8883) = 1.0610 +32'h3eb2245a,32'h3fd4a938,32'h3fdd574f, 32'h3fce26a6,32'h3fe3d9e0, 32'h3fc34d09,32'h3feeb37d,// invsqrt(0.3479) = 1.6953 +32'h409bf673,32'h3ee3479b,32'h3eec8e73, 32'h3edc527a,32'h3ef38394, 32'h3ed0b9ed,32'h3eff1c21,// invsqrt(4.8738) = 0.4530 +32'h3e8814d7,32'h3ff35109,32'h3ffd3f71, 32'h3febde3a,32'h40025920, 32'h3fdf7437,32'h40088e22,// invsqrt(0.2658) = 1.9397 +32'h3f47d525,32'h3f8dfa85,32'h3f93c60d, 32'h3f89a1df,32'h3f981eb3, 32'h3f826375,32'h3f9f5d1d,// invsqrt(0.7806) = 1.1318 +32'h40c5ccb5,32'h3ec9d137,32'h3ed20e00, 32'h3ec3a3a1,32'h3ed83b97, 32'h3eb957a6,32'h3ee28792,// invsqrt(6.1812) = 0.4022 +32'h3f5a863a,32'h3f87c54e,32'h3f8d4ff8, 32'h3f839d4e,32'h3f9177f8, 32'h3f795ff2,32'h3f98654d,// invsqrt(0.8536) = 1.0824 +32'h3f8f2ea0,32'h3f6d34de,32'h3f76e36f, 32'h3f65f1f2,32'h3f7e265c, 32'h3f59d7bd,32'h3f852049,// invsqrt(1.1186) = 0.9455 +32'h3e83a8a4,32'h3ff75e98,32'h4000bbad, 32'h3fefcc06,32'h400484f6, 32'h3fe32d13,32'h400ad46f,// invsqrt(0.2571) = 1.9720 +32'h40030f9c,32'h3f2f50ab,32'h3f367888, 32'h3f29f2c5,32'h3f3bd66d, 32'h3f2100f0,32'h3f44c842,// invsqrt(2.0478) = 0.6988 +32'h3edbcc76,32'h3fbf738d,32'h3fc74406, 32'h3fb99733,32'h3fcd2061, 32'h3fafd29c,32'h3fd6e4f8,// invsqrt(0.4293) = 1.5262 +32'h3f478664,32'h3f8e1687,32'h3f93e334, 32'h3f89bd06,32'h3f983cb6, 32'h3f827d2e,32'h3f9f7c8e,// invsqrt(0.7794) = 1.1327 +32'h3dbc39ad,32'h404ee2ee,32'h405754ae, 32'h40488d9d,32'h405da9ff, 32'h403dff6d,32'h4068382f,// invsqrt(0.0919) = 3.2986 +32'h3f492bd9,32'h3f8d8162,32'h3f9347f9, 32'h3f892c72,32'h3f979cea, 32'h3f81f436,32'h3f9ed526,// invsqrt(0.7858) = 1.1281 +32'h3f4238c6,32'h3f9003c9,32'h3f95e497, 32'h3f8b9b2e,32'h3f9a4d32, 32'h3f84422b,32'h3fa1a635,// invsqrt(0.7587) = 1.1481 +32'h404b86aa,32'h3f0caf37,32'h3f126d39, 32'h3f0860b5,32'h3f16bbbb, 32'h3f013332,32'h3f1de93e,// invsqrt(3.1801) = 0.5608 +32'h407d14fd,32'h3efc527a,32'h3f034f7e, 32'h3ef49917,32'h3f072c2e, 32'h3ee7b974,32'h3f0d9c00,// invsqrt(3.9544) = 0.5029 +32'h3ed9d6c4,32'h3fc04f85,32'h3fc828f9, 32'h3fba6c6f,32'h3fce0c0f, 32'h3fb09c9f,32'h3fd7dbdf,// invsqrt(0.4255) = 1.5331 +32'h3f8150e4,32'h3f7999a7,32'h3f81e4dc, 32'h3f71f599,32'h3f85b6e2, 32'h3f653984,32'h3f8c14ed,// invsqrt(1.0103) = 0.9949 +32'h40d4a7ff,32'h3ec2a3d3,32'h3eca959d, 32'h3ebcae7c,32'h3ed08af4, 32'h3eb2c03f,32'h3eda7931,// invsqrt(6.6455) = 0.3879 +32'h3f40016e,32'h3f90d7f2,32'h3f96c169, 32'h3f8c68d8,32'h3f9b3082, 32'h3f850502,32'h3fa29458,// invsqrt(0.7500) = 1.1547 +32'h3f966c33,32'h3f676d5d,32'h3f70df8b, 32'h3f6057bb,32'h3f77f52d, 32'h3f548902,32'h3f81e1f3,// invsqrt(1.1752) = 0.9225 +32'h4138d0fb,32'h3e93a242,32'h3e99a8e2, 32'h3e8f1d4b,32'h3e9e2dd9, 32'h3e879503,32'h3ea5b621,// invsqrt(11.5510) = 0.2942 +32'h3ebc209f,32'h3fcef0b4,32'h3fd76304, 32'h3fc89af7,32'h3fddb8c1, 32'h3fbe0c13,32'h3fe847a5,// invsqrt(0.3674) = 1.6497 +32'h3f87adb1,32'h3f73ad75,32'h3f7d9fa3, 32'h3f6c37d2,32'h3f828aa3, 32'h3f5fc918,32'h3f88c200,// invsqrt(1.0600) = 0.9713 +32'h3fa1466e,32'h3f5f814b,32'h3f68a0b1, 32'h3f58a9be,32'h3f6f783e, 32'h3f4d427e,32'h3f7adf7e,// invsqrt(1.2600) = 0.8909 +32'h3d5f9777,32'h40863931,32'h408bb3b0, 32'h40821d51,32'h408fcf8f, 32'h40768863,32'h4096a8ae,// invsqrt(0.0546) = 4.2801 +32'h3be8f9b8,32'h4139f55d,32'h41418c71, 32'h4134440f,32'h41473dbf, 32'h412ac736,32'h4150ba98,// invsqrt(0.0071) = 11.8596 +32'h3f0b339b,32'h3faa1c92,32'h3fb10e10, 32'h3fa4e773,32'h3fb6432f, 32'h3f9c3996,32'h3fbef10c,// invsqrt(0.5438) = 1.3561 +32'h3e1bb2cf,32'h4020d8eb,32'h4027699b, 32'h401bec66,32'h402c5620, 32'h4013b789,32'h40348afd,// invsqrt(0.1520) = 2.5645 +32'h3e7e68f2,32'h3ffba9ac,32'h4002f7a5, 32'h3ff3f574,32'h4006d1c0, 32'h3fe71e6e,32'h400d3d43,// invsqrt(0.2484) = 2.0062 +32'h40055a77,32'h3f2dcd3c,32'h3f34e549, 32'h3f287b33,32'h3f3a3753, 32'h3f1f9d23,32'h3f431563,// invsqrt(2.0836) = 0.6928 +32'h3f1d2402,32'h3fa01b87,32'h3fa6a47d, 32'h3f9b34cf,32'h3fab8b35, 32'h3f93099b,32'h3fb3b669,// invsqrt(0.6138) = 1.2764 +32'h3db4616c,32'h4053565a,32'h405bf69c, 32'h404cde28,32'h40626ece, 32'h404215d5,32'h406d3721,// invsqrt(0.0881) = 3.3695 +32'h3fba9e05,32'h3f4fc6a0,32'h3f5841ac, 32'h3f496a57,32'h3f5e9df5, 32'h3f3ed089,32'h3f6937c3,// invsqrt(1.4579) = 0.8282 +32'h3f9bbd9c,32'h3f637111,32'h3f6cb999, 32'h3f5c7aaa,32'h3f73b000, 32'h3f50e000,32'h3f7f4aaa,// invsqrt(1.2167) = 0.9066 +32'h3f8f66dc,32'h3f6d0657,32'h3f76b302, 32'h3f65c4d8,32'h3f7df482, 32'h3f59ad02,32'h3f85062c,// invsqrt(1.1203) = 0.9448 +32'h3f199808,32'h3fa1f211,32'h3fa88e3b, 32'h3f9cfcf1,32'h3fad835b, 32'h3f94b9bc,32'h3fb5c690,// invsqrt(0.6000) = 1.2910 +32'h3f2b4a52,32'h3f995a21,32'h3f9f9c81, 32'h3f94a85a,32'h3fa44e48, 32'h3f8cd562,32'h3fac2140,// invsqrt(0.6691) = 1.2225 +32'h3fbbbccd,32'h3f4f27b1,32'h3f579c40, 32'h3f48d045,32'h3f5df3ab, 32'h3f3e3e92,32'h3f68855e,// invsqrt(1.4667) = 0.8257 +32'h3ccebde5,32'h40c56789,32'h40cd7635, 32'h40bf5c87,32'h40d38137, 32'h40b54a2f,32'h40dd938f,// invsqrt(0.0252) = 6.2948 +32'h401ab1e1,32'h3f215e46,32'h3f27f468, 32'h3f1c6dac,32'h3f2ce502, 32'h3f143202,32'h3f3520ad,// invsqrt(2.4171) = 0.6432 +32'h3f845a82,32'h3f76b828,32'h3f806510, 32'h3f6f2aaf,32'h3f842bcc, 32'h3f62943a,32'h3f8a7707,// invsqrt(1.0340) = 0.9834 +32'h3f21fda1,32'h3f9db145,32'h3fa420ff, 32'h3f98dd7a,32'h3fa8f4ca, 32'h3f90d1d2,32'h3fb10072,// invsqrt(0.6328) = 1.2571 +32'h3f0c182e,32'h3fa99193,32'h3fb07d65, 32'h3fa460b6,32'h3fb5ae42, 32'h3f9bb9f0,32'h3fbe5508,// invsqrt(0.5472) = 1.3518 +32'h3f716e62,32'h3f812b58,32'h3f867108, 32'h3f7a6e28,32'h3f8a654c, 32'h3f6d3ff0,32'h3f90fc68,// invsqrt(0.9431) = 1.0297 +32'h40118ecc,32'h3f265b1e,32'h3f2d255e, 32'h3f21436e,32'h3f323d0e, 32'h3f18c69e,32'h3f3ab9de,// invsqrt(2.2743) = 0.6631 +32'h3f4ebf49,32'h3f8b958d,32'h3f914810, 32'h3f874faa,32'h3f958df2, 32'h3f803086,32'h3f9cad16,// invsqrt(0.8076) = 1.1128 +32'h3d1aaa92,32'h40a16216,32'h40a7f860, 32'h409c715e,32'h40ace918, 32'h40943582,32'h40b524f4,// invsqrt(0.0378) = 5.1461 +32'h3f8e7c04,32'h3f6dc95c,32'h3f777dfd, 32'h3f6681e4,32'h3f7ec576, 32'h3f5a601c,32'h3f85739f,// invsqrt(1.1132) = 0.9478 +32'h408e7b59,32'h3eedc9eb,32'h3ef77e91, 32'h3ee6826e,32'h3efec60e, 32'h3eda609e,32'h3f0573ef,// invsqrt(4.4526) = 0.4739 +32'h3fceabb4,32'h3f457039,32'h3f4d7f41, 32'h3f3f64f4,32'h3f538a86, 32'h3f35522a,32'h3f5d9d50,// invsqrt(1.6146) = 0.7870 +32'h3f2fe4d6,32'h3f9754fb,32'h3f9d823f, 32'h3f92b308,32'h3fa22432, 32'h3f8afa74,32'h3fa9dcc6,// invsqrt(0.6871) = 1.2064 +32'h3d424237,32'h40900049,32'h4095e0f3, 32'h408b97c9,32'h409a4973, 32'h40843ef5,32'h40a1a247,// invsqrt(0.0474) = 4.5919 +32'h3f01dbbd,32'h3fb02002,32'h3fb75056, 32'h3faabbc3,32'h3fbcb495, 32'h3fa1bf5b,32'h3fc5b0fd,// invsqrt(0.5073) = 1.4041 +32'h41a843f4,32'h3e5ad02f,32'h3e63be8f, 32'h3e541d67,32'h3e6a7157, 32'h3e48f36f,32'h3e759b4f,// invsqrt(21.0332) = 0.2180 +32'h3ed0db8b,32'h3fc466e9,32'h3fcc6b1d, 32'h3fbe63c3,32'h3fd26e43, 32'h3fb45e83,32'h3fdc7383,// invsqrt(0.4079) = 1.5657 +32'h3e76e010,32'h3fff796d,32'h4004f370, 32'h3ff7a757,32'h4008dc7a, 32'h3fea9e89,32'h400f60e2,// invsqrt(0.2411) = 2.0366 +32'h3f7b673e,32'h3f7d29c6,32'h3f83bf89, 32'h3f7569cd,32'h3f879f86, 32'h3f687f2e,32'h3f8e14d5,// invsqrt(0.9820) = 1.0091 +32'h3f870528,32'h3f74455a,32'h3f7e3dbc, 32'h3f6ccb11,32'h3f82dc03, 32'h3f605497,32'h3f891740,// invsqrt(1.0548) = 0.9737 +32'h40d7344c,32'h3ec17bf6,32'h3ec961ad, 32'h3ebb8fae,32'h3ecf4df6, 32'h3eb1b08a,32'h3ed92d1a,// invsqrt(6.7251) = 0.3856 +32'h4165dba5,32'h3e846195,32'h3e89c8d4, 32'h3e805425,32'h3e8dd643, 32'h3e73262a,32'h3e949753,// invsqrt(14.3661) = 0.2638 +32'h402dcc36,32'h3f183de9,32'h3f1e74af, 32'h3f1394d5,32'h3f231dc3, 32'h3f0bd05e,32'h3f2ae23a,// invsqrt(2.7156) = 0.6068 +32'h3e0b5fd4,32'h402a0193,32'h4030f1f7, 32'h4024cd48,32'h40362642, 32'h401c20cb,32'h403ed2bf,// invsqrt(0.1361) = 2.7106 +32'h3e45502a,32'h400ee1d6,32'h4014b6cf, 32'h400a821b,32'h40191689, 32'h400337e3,32'h402060c1,// invsqrt(0.1927) = 2.2781 +32'h3fb46517,32'h3f535434,32'h3f5bf460, 32'h3f4cdc13,32'h3f626c81, 32'h3f4213dc,32'h3f6d34b8,// invsqrt(1.4093) = 0.8424 +32'h3fa212ac,32'h3f5ef44a,32'h3f680df0, 32'h3f58210f,32'h3f6ee12b, 32'h3f4cc100,32'h3f7a413a,// invsqrt(1.2662) = 0.8887 +32'h3f3778b7,32'h3f942c84,32'h3f9a38c8, 32'h3f8fa351,32'h3f9ec1fb, 32'h3f8813fc,32'h3fa65150,// invsqrt(0.7167) = 1.1812 +32'h3fa3dee5,32'h3f5dba59,32'h3f66c72e, 32'h3f56f0ba,32'h3f6d90ce, 32'h3f4ba0b0,32'h3f78e0d8,// invsqrt(1.2802) = 0.8838 +32'h3e8f62ce,32'h3fed09b1,32'h3ff6b67f, 32'h3fe5c817,32'h3ffdf819, 32'h3fd9b016,32'h4005080d,// invsqrt(0.2801) = 1.8897 +32'h3fb53923,32'h3f52d86c,32'h3f5b738b, 32'h3f4c6416,32'h3f61e7e2, 32'h3f41a230,32'h3f6ca9c8,// invsqrt(1.4158) = 0.8404 +32'h4002d573,32'h3f2f779e,32'h3f36a112, 32'h3f2a1887,32'h3f3c0029, 32'h3f2124b6,32'h3f44f3fa,// invsqrt(2.0443) = 0.6994 +32'h3c88a229,32'h40f2d313,32'h40fcbc58, 32'h40eb6420,32'h410215a6, 32'h40df008a,32'h41084771,// invsqrt(0.0167) = 7.7431 +32'h3e8f0c71,32'h3fed5134,32'h3ff700ee, 32'h3fe60d6a,32'h3ffe44b8, 32'h3fd9f1c2,32'h40053030,// invsqrt(0.2794) = 1.8919 +32'h3f0637ee,32'h3fad3d9d,32'h3fb44fcd, 32'h3fa7eff9,32'h3fb99d71, 32'h3f9f193c,32'h3fc2742e,// invsqrt(0.5243) = 1.3811 +32'h3eb11087,32'h3fd54e9b,32'h3fde0372, 32'h3fcec6f9,32'h3fe48b13, 32'h3fc3e4ec,32'h3fef6d20,// invsqrt(0.3458) = 1.7005 +32'h3f4a3cd0,32'h3f8d21c3,32'h3f92e473, 32'h3f88cfc0,32'h3f973676, 32'h3f819c65,32'h3f9e69d1,// invsqrt(0.7900) = 1.1251 +32'h3fb2c1bd,32'h3f544b85,32'h3f5cf5c9, 32'h3f4dcbd2,32'h3f63757c, 32'h3f42f6fd,32'h3f6e4a51,// invsqrt(1.3965) = 0.8462 +32'h3ecde942,32'h3fc5cd5c,32'h3fcde030, 32'h3fbfbf3c,32'h3fd3ee50, 32'h3fb5a7b2,32'h3fde05da,// invsqrt(0.4022) = 1.5769 +32'h4030cc72,32'h3f16f1bb,32'h3f1d1af1, 32'h3f1252d2,32'h3f21b9da, 32'h3f0a9f4d,32'h3f296d5f,// invsqrt(2.7625) = 0.6017 +32'h3f31b897,32'h3f968d51,32'h3f9cb26f, 32'h3f91f17b,32'h3fa14e45, 32'h3f8a4316,32'h3fa8fcaa,// invsqrt(0.6942) = 1.2002 +32'h3fff28cd,32'h3f31b0e1,32'h3f38f191, 32'h3f2c405d,32'h3f3e6215, 32'h3f232f80,32'h3f4772f2,// invsqrt(1.9934) = 0.7083 +32'h400d996f,32'h3f28aa48,32'h3f2f8caa, 32'h3f238080,32'h3f34b672, 32'h3f1ae586,32'h3f3d516c,// invsqrt(2.2125) = 0.6723 +32'h3faa36c8,32'h3f598ea3,32'h3f626fe3, 32'h3f52e5b3,32'h3f6918d3, 32'h3f47cc23,32'h3f743263,// invsqrt(1.3298) = 0.8672 +32'h3ede0d59,32'h3fbe7a39,32'h3fc64085, 32'h3fb8a581,32'h3fcc153d, 32'h3faeeda2,32'h3fd5cd1c,// invsqrt(0.4337) = 1.5185 +32'h3f86a43a,32'h3f749d38,32'h3f7e992f, 32'h3f6d203d,32'h3f830b14, 32'h3f60a548,32'h3f89488f,// invsqrt(1.0519) = 0.9750 +32'h3fa7358d,32'h3f5b80d5,32'h3f64766b, 32'h3f54c8a5,32'h3f6b2e9b, 32'h3f4995aa,32'h3f766196,// invsqrt(1.3063) = 0.8749 +32'h3f9d6d58,32'h3f62385a,32'h3f6b7420, 32'h3f5b4b87,32'h3f7260f3, 32'h3f4fc0d0,32'h3f7debaa,// invsqrt(1.2299) = 0.9017 +32'h3fe96cb6,32'h3f39c78a,32'h3f415cbe, 32'h3f3417a2,32'h3f470ca6, 32'h3f2a9d20,32'h3f508728,// invsqrt(1.8236) = 0.7405 +32'h3fb0d5bf,32'h3f55720c,32'h3f5e2855, 32'h3f4ee954,32'h3f64b10c, 32'h3f440578,32'h3f6f94e8,// invsqrt(1.3815) = 0.8508 +32'h3ec8dbe0,32'h3fc84641,32'h3fd072eb, 32'h3fc224c2,32'h3fd6946a, 32'h3fb7eced,32'h3fe0cc3f,// invsqrt(0.3923) = 1.5966 +32'h3e9bee4e,32'h3fe34d8a,32'h3fec94a0, 32'h3fdc583a,32'h3ff389f0, 32'h3fd0bf60,32'h3fff22ca,// invsqrt(0.3046) = 1.8120 +32'h4094f0e2,32'h3ee89354,32'h3ef21182, 32'h3ee174b2,32'h3ef93024, 32'h3ed596fa,32'h3f0286ee,// invsqrt(4.6544) = 0.4635 +32'h3eeb37c7,32'h3fb911e7,32'h3fc09fb1, 32'h3fb3678f,32'h3fc64a09, 32'h3fa9f651,32'h3fcfbb47,// invsqrt(0.4594) = 1.4754 +32'h41967627,32'h3e6765b5,32'h3e70d793, 32'h3e60504f,32'h3e77ecf9, 32'h3e5481fa,32'h3e81dda7,// invsqrt(18.8077) = 0.2306 +32'h3e91f3b1,32'h3feaf1e8,32'h3ff488d8, 32'h3fe3c0b5,32'h3ffbba0b, 32'h3fd7c40a,32'h4003db5b,// invsqrt(0.2851) = 1.8730 +32'h3facecee,32'h3f57d842,32'h3f60a79e, 32'h3f513cbe,32'h3f674322, 32'h3f46398b,32'h3f724655,// invsqrt(1.3510) = 0.8604 +32'h400bc77a,32'h3f29c27f,32'h3f30b050, 32'h3f249023,32'h3f35e2ad, 32'h3f1be6de,32'h3f3e8bf2,// invsqrt(2.1841) = 0.6767 +32'h3f693c07,32'h3f836b61,32'h3f88c894, 32'h3f7ecaf6,32'h3f8cce7b, 32'h3f7161f7,32'h3f9382fa,// invsqrt(0.9111) = 1.0477 +32'h3e9bce41,32'h3fe364ea,32'h3fecacf4, 32'h3fdc6ee3,32'h3ff3a2fb, 32'h3fd0d4d7,32'h3fff3d07,// invsqrt(0.3043) = 1.8128 +32'h3f169170,32'h3fa3908f,32'h3faa3da4, 32'h3f9e8ebe,32'h3faf3f74, 32'h3f963663,32'h3fb797cf,// invsqrt(0.5882) = 1.3039 +32'h3fc9a966,32'h3f47e019,32'h3f500897, 32'h3f41c1ba,32'h3f5626f6, 32'h3f378f1c,32'h3f605994,// invsqrt(1.5755) = 0.7967 +32'h4060239e,32'h3f060f33,32'h3f0b87fb, 32'h3f01f49c,32'h3f0fa292, 32'h3ef63b43,32'h3f16798c,// invsqrt(3.5022) = 0.5344 +32'h3e046557,32'h402e6dd7,32'h40358c72, 32'h402916e2,32'h403ae366, 32'h402030a0,32'h4043c9a8,// invsqrt(0.1293) = 2.7811 +32'h3f258d02,32'h3f9bfcda,32'h3fa25ac4, 32'h3f97366b,32'h3fa72133, 32'h3f8f4107,32'h3faf1697,// invsqrt(0.6467) = 1.2435 +32'h406cf332,32'h3f026290,32'h3f07b4f4, 32'h3efcc98a,32'h3f0bb2bf, 32'h3eef7b90,32'h3f1259bc,// invsqrt(3.7023) = 0.5197 +32'h3eaed17a,32'h3fd6ac50,32'h3fdf6f6e, 32'h3fd019fa,32'h3fe601c4, 32'h3fc52616,32'h3ff0f5a9,// invsqrt(0.3414) = 1.7114 +32'h3f2375ee,32'h3f9cfb5a,32'h3fa363a6, 32'h3f982d20,32'h3fa831e0, 32'h3f902ac0,32'h3fb03440,// invsqrt(0.6385) = 1.2514 +32'h3fec4565,32'h3f38a830,32'h3f4031aa, 32'h3f330114,32'h3f45d8c6, 32'h3f29953c,32'h3f4f449e,// invsqrt(1.8459) = 0.7360 +32'h4020bdb6,32'h3f1e4de4,32'h3f24c402, 32'h3f19754d,32'h3f299c99, 32'h3f1161a8,32'h3f31b03f,// invsqrt(2.5116) = 0.6310 +32'h3fc370a9,32'h3f4b0829,32'h3f5351a2, 32'h3f44d10d,32'h3f5988bd, 32'h3f3a7534,32'h3f63e496,// invsqrt(1.5269) = 0.8093 +32'h3f0bd36b,32'h3fa9bb40,32'h3fb0a8c5, 32'h3fa4891c,32'h3fb5dae8, 32'h3f9be035,32'h3fbe83cf,// invsqrt(0.5462) = 1.3531 +32'h3f93858f,32'h3f69b10b,32'h3f733ae1, 32'h3f6289aa,32'h3f7a6242, 32'h3f569d5d,32'h3f832747,// invsqrt(1.1525) = 0.9315 +32'h3e8c6062,32'h3fef90b4,32'h3ff957eb, 32'h3fe83b4c,32'h400056aa, 32'h3fdc0248,32'h4006732c,// invsqrt(0.2742) = 1.9098 +32'h3f7afac7,32'h3f7d6075,32'h3f83dbfe, 32'h3f759ecf,32'h3f87bcd1, 32'h3f68b166,32'h3f8e3385,// invsqrt(0.9804) = 1.0100 +32'h3efd4c6f,32'h3fb257a9,32'h3fb99f28, 32'h3face209,32'h3fbf14c7, 32'h3fa3c8aa,32'h3fc82e26,// invsqrt(0.4947) = 1.4217 +32'h3f645446,32'h3f84d2d9,32'h3f8a3eb8, 32'h3f80c1f1,32'h3f8e4f9f, 32'h3f73f635,32'h3f951676,// invsqrt(0.8919) = 1.0589 +32'h3f996f37,32'h3f652504,32'h3f6e7f58, 32'h3f5e2145,32'h3f758317, 32'h3f52705d,32'h3f809a00,// invsqrt(1.1987) = 0.9134 +32'h3eabc764,32'h3fd8905f,32'h3fe1673e, 32'h3fd1ef37,32'h3fe80865, 32'h3fc6e2a0,32'h3ff314fc,// invsqrt(0.3355) = 1.7264 +32'h3efa5581,32'h3fb36534,32'h3fbab7b3, 32'h3fade754,32'h3fc03592, 32'h3fa4c034,32'h3fc95cb2,// invsqrt(0.4889) = 1.4301 +32'h3f93bb3f,32'h3f698690,32'h3f730eab, 32'h3f62607c,32'h3f7a34c0, 32'h3f56765b,32'h3f830f70,// invsqrt(1.1542) = 0.9308 +32'h3f1242f7,32'h3fa5f489,32'h3facba99, 32'h3fa0dffd,32'h3fb1cf25, 32'h3f986869,32'h3fba46b9,// invsqrt(0.5713) = 1.3230 +32'h4014febb,32'h3f246d05,32'h3f2b231b, 32'h3f1f6476,32'h3f302baa, 32'h3f1700db,32'h3f388f45,// invsqrt(2.3280) = 0.6554 +32'h40fbec93,32'h3eb2d409,32'h3eba209b, 32'h3ead5a9b,32'h3ebf9a09, 32'h3ea43ae3,32'h3ec8b9c1,// invsqrt(7.8726) = 0.3564 +32'h3f311c52,32'h3f96cfae,32'h3f9cf780, 32'h3f9231cf,32'h3fa1955f, 32'h3f8a8008,32'h3fa94726,// invsqrt(0.6918) = 1.2023 +32'h408b2e80,32'h3ef09764,32'h3efa6954, 32'h3ee939f1,32'h3f00e364, 32'h3edcf386,32'h3f070699,// invsqrt(4.3494) = 0.4795 +32'h3f91ca77,32'h3f6b131e,32'h3f74ab68, 32'h3f63e0e6,32'h3f7bdda0, 32'h3f57e289,32'h3f83edfe,// invsqrt(1.1390) = 0.9370 +32'h4054a8b1,32'h3f09a15e,32'h3f0f3f77, 32'h3f056acc,32'h3f13760a, 32'h3efcca5a,32'h3f1a7ba9,// invsqrt(3.3228) = 0.5486 +32'h3e153a21,32'h40244c49,32'h402b0108, 32'h401f44b9,32'h40300897, 32'h4016e2ca,32'h40386a86,// invsqrt(0.1457) = 2.6195 +32'h41fdc5ac,32'h3e322d0a,32'h3e3972cc, 32'h3e2cb8b9,32'h3e3ee71d, 32'h3e23a187,32'h3e47fe4f,// invsqrt(31.7215) = 0.1776 +32'h3d510690,32'h408ad244,32'h40907cce, 32'h4086925c,32'h4094bcb6, 32'h407efa5d,32'h409bd1e4,// invsqrt(0.0510) = 4.4267 +32'h3f1f2f8c,32'h3f9f1364,32'h3fa59192, 32'h3f9a34c2,32'h3faa7034, 32'h3f921708,32'h3fb28dee,// invsqrt(0.6218) = 1.2681 +32'h3f5c78d0,32'h3f872b72,32'h3f8cafd4, 32'h3f830828,32'h3f90d31e, 32'h3f784559,32'h3f97b89a,// invsqrt(0.8612) = 1.0776 +32'h41cf4189,32'h3e4528ce,32'h3e4d34ec, 32'h3e3f1fb8,32'h3e533e02, 32'h3e351094,32'h3e5d4d26,// invsqrt(25.9070) = 0.1965 +32'h3f66a209,32'h3f842898,32'h3f898d84, 32'h3f801ce7,32'h3f8d9935, 32'h3f72bd80,32'h3f94575c,// invsqrt(0.9009) = 1.0536 +32'h3f027a74,32'h3fafb4c3,32'h3fb6e0b6, 32'h3faa53cc,32'h3fbc41ac, 32'h3fa15cdc,32'h3fc5389c,// invsqrt(0.5097) = 1.4007 +32'h3f4c3573,32'h3f8c72f5,32'h3f922e81, 32'h3f88264b,32'h3f967b2b, 32'h3f80fbdc,32'h3f9da59a,// invsqrt(0.7977) = 1.1197 +32'h3f7dbd39,32'h3f7bfec6,32'h3f8323ef, 32'h3f7447f4,32'h3f86ff58, 32'h3f676c97,32'h3f8d6d07,// invsqrt(0.9912) = 1.0044 +32'h3f3271e7,32'h3f963f11,32'h3f9c60fc, 32'h3f91a59f,32'h3fa0fa6d, 32'h3f89fb39,32'h3fa8a4d3,// invsqrt(0.6971) = 1.1978 +32'h404dbede,32'h3f0bec6d,32'h3f11a27b, 32'h3f07a3e1,32'h3f15eb07, 32'h3f00804f,32'h3f1d0e99,// invsqrt(3.2148) = 0.5577 +32'h3f08041d,32'h3fac1794,32'h3fb31dc3, 32'h3fa6d2ef,32'h3fb86267, 32'h3f9e0b34,32'h3fc12a23,// invsqrt(0.5313) = 1.3719 +32'h3f0140de,32'h3fb08967,32'h3fb7be07, 32'h3fab21ee,32'h3fbd2580, 32'h3fa22025,32'h3fc62749,// invsqrt(0.5049) = 1.4073 +32'h40106a4e,32'h3f270340,32'h3f2dd45d, 32'h3f21e66b,32'h3f32f133, 32'h3f196107,32'h3f3b7697,// invsqrt(2.2565) = 0.6657 +32'h40aba818,32'h3ed8a41c,32'h3ee17bca, 32'h3ed2025a,32'h3ee81d8c, 32'h3ec6f4c1,32'h3ef32b25,// invsqrt(5.3643) = 0.4318 +32'h3f316153,32'h3f96b255,32'h3f9cd8f5, 32'h3f92155d,32'h3fa175ed, 32'h3f8a6514,32'h3fa92636,// invsqrt(0.6929) = 1.2013 +32'h3f747ef2,32'h3f805b72,32'h3f8598a6, 32'h3f78db17,32'h3f89868d, 32'h3f6bc216,32'h3f90130d,// invsqrt(0.9551) = 1.0233 +32'h3f48e885,32'h3f8d9916,32'h3f9360a4, 32'h3f89436c,32'h3f97b64e, 32'h3f8209fa,32'h3f9eefc0,// invsqrt(0.7848) = 1.1288 +32'h3f12e9a0,32'h3fa5964c,32'h3fac5884, 32'h3fa084a3,32'h3fb16a2d, 32'h3f9811de,32'h3fb9dcf2,// invsqrt(0.5739) = 1.3201 +32'h3f4f59d5,32'h3f8b617e,32'h3f9111e2, 32'h3f871d34,32'h3f95562c, 32'h3f8000b8,32'h3f9c72a8,// invsqrt(0.8100) = 1.1111 +32'h3ccbc721,32'h40c6d5ba,32'h40cef359, 32'h40c0bf82,32'h40d50990, 32'h40b69a7b,32'h40df2e97,// invsqrt(0.0249) = 6.3404 +32'h3f75ab59,32'h3f800ce0,32'h3f8546de, 32'h3f7842c1,32'h3f89325d, 32'h3f6b31c5,32'h3f8fbadc,// invsqrt(0.9596) = 1.0208 +32'h3f6924ee,32'h3f8371e4,32'h3f88cf5a, 32'h3f7ed794,32'h3f8cd574, 32'h3f716deb,32'h3f938a48,// invsqrt(0.9107) = 1.0479 +32'h3d9e3062,32'h4061acba,32'h406ae2cc, 32'h405ac42c,32'h4071cb5a, 32'h404f4096,32'h407d4ef0,// invsqrt(0.0772) = 3.5981 +32'h40af3f1f,32'h3ed6691e,32'h3edf297d, 32'h3ecfd8d6,32'h3ee5b9c4, 32'h3ec4e85f,32'h3ef0aa3b,// invsqrt(5.4765) = 0.4273 +32'h406e718b,32'h3f01f9dd,32'h3f0747fb, 32'h3efbfe8d,32'h3f0b4291, 32'h3eeebb42,32'h3f11e437,// invsqrt(3.7257) = 0.5181 +32'h3f876281,32'h3f73f115,32'h3f7de607, 32'h3f6c7960,32'h3f82aede, 32'h3f600733,32'h3f88e7f4,// invsqrt(1.0577) = 0.9723 +32'h3ea50abf,32'h3fdcf092,32'h3fe5f52a, 32'h3fd62d20,32'h3fecb89c, 32'h3fcae761,32'h3ff7fe5b,// invsqrt(0.3223) = 1.7613 +32'h3ff63912,32'h3f34e303,32'h3f3c4518, 32'h3f2f5973,32'h3f41cea7, 32'h3f261ed8,32'h3f4b0942,// invsqrt(1.9236) = 0.7210 +32'h40449c9d,32'h3f0f2305,32'h3f14faa7, 32'h3f0ac14b,32'h3f195c61, 32'h3f0373c1,32'h3f20a9eb,// invsqrt(3.0721) = 0.5705 +32'h4187319f,32'h3e741d2b,32'h3e7e13e9, 32'h3e6ca41d,32'h3e82c67c, 32'h3e602faf,32'h3e8900b2,// invsqrt(16.8992) = 0.2433 +32'h3f34a04b,32'h3f95561f,32'h3f9b6e89, 32'h3f90c3d0,32'h3fa000d8, 32'h3f89254c,32'h3fa79f5c,// invsqrt(0.7056) = 1.1905 +32'h3fd92779,32'h3f409d14,32'h3f4879b2, 32'h3f3ab79e,32'h3f4e5f28, 32'h3f30e3d9,32'h3f5832ed,// invsqrt(1.6965) = 0.7678 +32'h3fbb818f,32'h3f4f4868,32'h3f57be4c, 32'h3f48effc,32'h3f5e16b8, 32'h3f3e5c9e,32'h3f68aa16,// invsqrt(1.4649) = 0.8262 +32'h4033bf7d,32'h3f15b365,32'h3f1bcf9d, 32'h3f111e3b,32'h3f2064c7, 32'h3f097af4,32'h3f28080e,// invsqrt(2.8086) = 0.5967 +32'h3fc6489e,32'h3f49921e,32'h3f51cc54, 32'h3f436676,32'h3f57f7fc, 32'h3f391db3,32'h3f6240bf,// invsqrt(1.5491) = 0.8035 +32'h3fac89ba,32'h3f581646,32'h3f60e82a, 32'h3f5178dc,32'h3f678594, 32'h3f46727f,32'h3f728bf1,// invsqrt(1.3480) = 0.8613 +32'h3ea65b36,32'h3fdc10b2,32'h3fe50c28, 32'h3fd5541b,32'h3febc8bf, 32'h3fca19c8,32'h3ff70312,// invsqrt(0.3249) = 1.7543 +32'h3fbd9900,32'h3f4e22e7,32'h3f568cd1, 32'h3f47d377,32'h3f5cdc41, 32'h3f3d4f13,32'h3f6760a5,// invsqrt(1.4812) = 0.8217 +32'h3f6a41e2,32'h3f8321d9,32'h3f887c0b, 32'h3f7e3c65,32'h3f8c7fb1, 32'h3f70dae7,32'h3f933071,// invsqrt(0.9151) = 1.0454 +32'h3f06d0fe,32'h3facdb29,32'h3fb3e955, 32'h3fa79089,32'h3fb933f5, 32'h3f9ebed2,32'h3fc205ac,// invsqrt(0.5266) = 1.3780 +32'h4023069d,32'h3f1d30e9,32'h3f239b65, 32'h3f18610b,32'h3f286b43, 32'h3f105bf0,32'h3f30705e,// invsqrt(2.5473) = 0.6266 +32'h3f091a20,32'h3fab68bf,32'h3fb267cd, 32'h3fa62976,32'h3fb7a716, 32'h3f9d6aa5,32'h3fc065e7,// invsqrt(0.5356) = 1.3665 +32'h3bf848c3,32'h41342263,32'h413b7c9b, 32'h412e9eb9,32'h41410045, 32'h41256df2,32'h414a310c,// invsqrt(0.0076) = 11.4882 +32'h3f8e42e0,32'h3f6df919,32'h3f77afac, 32'h3f66b02a,32'h3f7ef89a, 32'h3f5a8bf2,32'h3f858e69,// invsqrt(1.1114) = 0.9486 +32'h3e0603b2,32'h402d5f5d,32'h403472ed, 32'h402810b0,32'h4039c19a, 32'h401f383b,32'h40429a0f,// invsqrt(0.1309) = 2.7642 +32'h3d737d25,32'h40809f53,32'h4085df4c, 32'h40795eb2,32'h4089cf47, 32'h406c3ec3,32'h40905f3e,// invsqrt(0.0594) = 4.1015 +32'h3fefde78,32'h3f374451,32'h3f3ebf45, 32'h3f31a81a,32'h3f445b7c, 32'h3f284e6a,32'h3f4db52c,// invsqrt(1.8740) = 0.7305 +32'h3f0e387b,32'h3fa84bdf,32'h3faf2a65, 32'h3fa324fa,32'h3fb4514a, 32'h3f9a8ed2,32'h3fbce772,// invsqrt(0.5555) = 1.3416 +32'h3ed2969e,32'h3fc397df,32'h3fcb939f, 32'h3fbd9b0f,32'h3fd1906f, 32'h3fb3a05f,32'h3fdb8b1f,// invsqrt(0.4113) = 1.5593 +32'h3ed6dc31,32'h3fc1a39e,32'h3fc98af2, 32'h3fbbb61e,32'h3fcf7872, 32'h3fb1d4f4,32'h3fd9599c,// invsqrt(0.4196) = 1.5437 +32'h41bf7ce5,32'h3e4d1dcd,32'h3e557d0f, 32'h3e46d65c,32'h3e5bc480, 32'h3e3c5f49,32'h3e663b93,// invsqrt(23.9360) = 0.2044 +32'h3f3fafbc,32'h3f90f6cc,32'h3f96e186, 32'h3f8c86c1,32'h3f9b5191, 32'h3f852158,32'h3fa2b6fa,// invsqrt(0.7488) = 1.1556 +32'h3fbc5bd9,32'h3f4ed029,32'h3f574125, 32'h3f487b6b,32'h3f5d95e3, 32'h3f3dee30,32'h3f68231e,// invsqrt(1.4716) = 0.8244 +32'h3e9985cb,32'h3fe5142a,32'h3fee6dce, 32'h3fde10ef,32'h3ff57109, 32'h3fd260e3,32'h4000908b,// invsqrt(0.2998) = 1.8262 +32'h3fa4aefd,32'h3f5d2e17,32'h3f663532, 32'h3f5668c2,32'h3f6cfa86, 32'h3f4b1fe0,32'h3f784368,// invsqrt(1.2866) = 0.8816 +32'h3f6367b7,32'h3f8517dc,32'h3f8a868d, 32'h3f8104d9,32'h3f8e9991, 32'h3f7474f9,32'h3f9563ee,// invsqrt(0.8883) = 1.0610 +32'h406002b8,32'h3f06190b,32'h3f0b923b, 32'h3f01fe28,32'h3f0fad1e, 32'h3ef64d59,32'h3f16849a,// invsqrt(3.5002) = 0.5345 +32'h3ef8c6cb,32'h3fb3f4bc,32'h3fbb4d18, 32'h3fae7278,32'h3fc0cf5c, 32'h3fa54406,32'h3fc9fdce,// invsqrt(0.4859) = 1.4346 +32'h3e86aae0,32'h3ff4972e,32'h3ffe92e6, 32'h3fed1a63,32'h400307d8, 32'h3fe09fbc,32'h4009452c,// invsqrt(0.2630) = 1.9499 +32'h3ec833b7,32'h3fc89a4c,32'h3fd0ca64, 32'h3fc2763a,32'h3fd6ee76, 32'h3fb83a1c,32'h3fe12a94,// invsqrt(0.3910) = 1.5992 +32'h3ead750c,32'h3fd78381,32'h3fe04f66, 32'h3fd0ea94,32'h3fe6e852, 32'h3fc5ebb4,32'h3ff1e732,// invsqrt(0.3388) = 1.7181 +32'h3ecbe5b9,32'h3fc6c6ce,32'h3fcee3d2, 32'h3fc0b10c,32'h3fd4f994, 32'h3fb68cc8,32'h3fdf1dd8,// invsqrt(0.3982) = 1.5846 +32'h40d01329,32'h3ec4c565,32'h3ecccd73, 32'h3ebebf5a,32'h3ed2d37e, 32'h3eb4b548,32'h3edcdd90,// invsqrt(6.5023) = 0.3922 +32'h3f4f3ee7,32'h3f8b6a8c,32'h3f911b4e, 32'h3f8725fb,32'h3f955fdf, 32'h3f800908,32'h3f9c7cd2,// invsqrt(0.8096) = 1.1114 +32'h400862ac,32'h3f2bdbe1,32'h3f32dfa1, 32'h3f269911,32'h3f382271, 32'h3f1dd461,32'h3f40e721,// invsqrt(2.1310) = 0.6850 +32'h4044c6b6,32'h3f0f13b4,32'h3f14eab6, 32'h3f0ab272,32'h3f194bf8, 32'h3f0365b0,32'h3f2098ba,// invsqrt(3.0746) = 0.5703 +32'h3fa92b7c,32'h3f5a3a3f,32'h3f632281, 32'h3f538c0e,32'h3f69d0b2, 32'h3f4869bd,32'h3f74f303,// invsqrt(1.3216) = 0.8698 +32'h3fc7806e,32'h3f48f45b,32'h3f512820, 32'h3f42cd87,32'h3f574ef3, 32'h3f388cd0,32'h3f618faa,// invsqrt(1.5586) = 0.8010 +32'h3eef04dc,32'h3fb797ab,32'h3fbf1605, 32'h3fb1f8e7,32'h3fc4b4c9, 32'h3fa89af6,32'h3fce12ba,// invsqrt(0.4668) = 1.4636 +32'h3f81fa1a,32'h3f78f6f9,32'h3f819033, 32'h3f7157e7,32'h3f855fbc, 32'h3f64a41e,32'h3f8bb9a1,// invsqrt(1.0154) = 0.9924 +32'h3f58c27c,32'h3f88527f,32'h3f8de2ed, 32'h3f84262d,32'h3f920f3f, 32'h3f7a6348,32'h3f9903c8,// invsqrt(0.8467) = 1.0868 +32'h3f50bac7,32'h3f8aeb75,32'h3f909707, 32'h3f86aac8,32'h3f94d7b4, 32'h3f7f28a3,32'h3f9bee2b,// invsqrt(0.8153) = 1.1075 +32'h3f5aa238,32'h3f87bc9d,32'h3f8d46ed, 32'h3f8394e2,32'h3f916ea8, 32'h3f794ffc,32'h3f985b8c,// invsqrt(0.8540) = 1.0821 +32'h413fedf3,32'h3e90df4b,32'h3e96c90f, 32'h3e8c6ff8,32'h3e9b3862, 32'h3e850bc2,32'h3ea29c98,// invsqrt(11.9956) = 0.2887 +32'h3fff737c,32'h3f3196e5,32'h3f38d686, 32'h3f2c272d,32'h3f3e463f, 32'h3f2317a4,32'h3f4755c8,// invsqrt(1.9957) = 0.7079 +32'h3ddd1746,32'h403ee41c,32'h4046aeba, 32'h40390c26,32'h404c86b0, 32'h402f4ee0,32'h405643f6,// invsqrt(0.1080) = 3.0435 +32'h3f3dd4c5,32'h3f91abb5,32'h3f979dd1, 32'h3f8d3620,32'h3f9c1366, 32'h3f85c77c,32'h3fa3820a,// invsqrt(0.7415) = 1.1613 +32'h3f815ce7,32'h3f798e10,32'h3f81ded4, 32'h3f71ea5e,32'h3f85b0ad, 32'h3f652ee0,32'h3f8c0e6c,// invsqrt(1.0106) = 0.9947 +32'h3f1230b3,32'h3fa5fee7,32'h3facc563, 32'h3fa0ea0a,32'h3fb1da40, 32'h3f9871ee,32'h3fba525c,// invsqrt(0.5711) = 1.3233 +32'h3cc756d8,32'h40c90950,32'h40d13df0, 32'h40c2e1d8,32'h40d76568, 32'h40b8a010,32'h40e1a730,// invsqrt(0.0243) = 6.4106 +32'h423e18ad,32'h3e1191ae,32'h3e1782ba, 32'h3e0d1ce5,32'h3e1bf783, 32'h3e05af95,32'h3e2364d3,// invsqrt(47.5241) = 0.1451 +32'h3f6f5a5f,32'h3f81ba96,32'h3f87061f, 32'h3f7b83e0,32'h3f8afec6, 32'h3f6e470a,32'h3f919d31,// invsqrt(0.9350) = 1.0342 +32'h4008b029,32'h3f2bab23,32'h3f32ace6, 32'h3f2669d2,32'h3f37ee38, 32'h3f1da79e,32'h3f40b06c,// invsqrt(2.1358) = 0.6843 +32'h3eac0911,32'h3fd86704,32'h3fe13c34, 32'h3fd1c721,32'h3fe7dc17, 32'h3fc6bca6,32'h3ff2e692,// invsqrt(0.3360) = 1.7251 +32'h4049e66e,32'h3f0d3ff1,32'h3f1303db, 32'h3f08ed01,32'h3f1756cb, 32'h3f01b81c,32'h3f1e8bb0,// invsqrt(3.1547) = 0.5630 +32'h40809dde,32'h3efa4720,32'h3f023f23, 32'h3ef29dc4,32'h3f0613d1, 32'h3ee5d8d4,32'h3f0c7649,// invsqrt(4.0193) = 0.4988 +32'h40fb0370,32'h3eb32701,32'h3eba76f7, 32'h3eadab09,32'h3ebff2ef, 32'h3ea48716,32'h3ec916e2,// invsqrt(7.8442) = 0.3570 +32'h3fcef03d,32'h3f454f84,32'h3f4d5d36, 32'h3f3f453f,32'h3f53677b, 32'h3f353420,32'h3f5d789a,// invsqrt(1.6167) = 0.7865 +32'h3ef927e5,32'h3fb3d1a8,32'h3fbb2894, 32'h3fae5076,32'h3fc0a9c6, 32'h3fa523ce,32'h3fc9d66e,// invsqrt(0.4866) = 1.4335 +32'h3d13dd14,32'h40a50dc3,32'h40abca67, 32'h40a00047,32'h40b0d7e3, 32'h40979479,32'h40b943b1,// invsqrt(0.0361) = 5.2632 +32'h3e3dc469,32'h4011b1fd,32'h4017a45a, 32'h400d3c36,32'h401c1a20, 32'h4005cd40,32'h40238916,// invsqrt(0.1853) = 2.3229 +32'h3e0be5ac,32'h4029b02c,32'h40309d3e, 32'h40247e5f,32'h4035cf0b, 32'h401bd609,32'h403e7761,// invsqrt(0.1366) = 2.7055 +32'h402fb6d0,32'h3f1768cb,32'h3f1d96de, 32'h3f12c63e,32'h3f22396c, 32'h3f0b0ca6,32'h3f29f304,// invsqrt(2.7455) = 0.6035 +32'h413958c0,32'h3e936c26,32'h3e997090, 32'h3e8ee8d6,32'h3e9df3e0, 32'h3e876352,32'h3ea57964,// invsqrt(11.5842) = 0.2938 +32'h3ebb1f54,32'h3fcf7ec9,32'h3fd7f6e6, 32'h3fc924b3,32'h3fde50fb, 32'h3fbe8e8e,32'h3fe8e720,// invsqrt(0.3655) = 1.6541 +32'h4009bbd9,32'h3f2b0400,32'h3f31fef0, 32'h3f25c7cc,32'h3f373b24, 32'h3f1d0e1f,32'h3f3ff4d1,// invsqrt(2.1521) = 0.6817 +32'h3f9e38e8,32'h3f61a6a6,32'h3f6adc78, 32'h3f5abe48,32'h3f71c4d6, 32'h3f4f3b01,32'h3f7d481d,// invsqrt(1.2361) = 0.8994 +32'h3f3a6262,32'h3f9302f3,32'h3f990311, 32'h3f8e82dc,32'h3f9d8328, 32'h3f8702b5,32'h3fa5034f,// invsqrt(0.7281) = 1.1720 +32'h3f25b64e,32'h3f9be969,32'h3fa24687, 32'h3f972392,32'h3fa70c5e, 32'h3f8f2f2c,32'h3faf00c4,// invsqrt(0.6473) = 1.2429 +32'h3f4cb768,32'h3f8c465a,32'h3f920014, 32'h3f87fb0e,32'h3f964b60, 32'h3f80d2e5,32'h3f9d7389,// invsqrt(0.7997) = 1.1183 +32'h3fc57142,32'h3f49ffef,32'h3f523e9f, 32'h3f43d0ea,32'h3f586da4, 32'h3f39828d,32'h3f62bc01,// invsqrt(1.5425) = 0.8052 +32'h3f89a5a6,32'h3f71edc5,32'h3f7bcdad, 32'h3f6a85d6,32'h3f819ace, 32'h3f5e2df3,32'h3f87c6bf,// invsqrt(1.0754) = 0.9643 +32'h3d769a57,32'h407f9d88,32'h4085063a, 32'h4077ca58,32'h4088efd2, 32'h406abfb1,32'h408f7525,// invsqrt(0.0602) = 4.0755 +32'h3f21980b,32'h3f9de2cf,32'h3fa4548e, 32'h3f990d7f,32'h3fa929dd, 32'h3f90ff50,32'h3fb1380c,// invsqrt(0.6312) = 1.2587 +32'h3db62933,32'h40524d50,32'h405ae2c0, 32'h404bdd3b,32'h406152d5, 32'h4041226e,32'h406c0da2,// invsqrt(0.0889) = 3.3530 +32'h3ef19b30,32'h3fb69b58,32'h3fbe0f66, 32'h3fb1044d,32'h3fc3a671, 32'h3fa7b33c,32'h3fccf782,// invsqrt(0.4719) = 1.4557 +32'h3e0a6078,32'h402a9e28,32'h403194f0, 32'h40256512,32'h4036ce06, 32'h401cb098,32'h403f8280,// invsqrt(0.1351) = 2.7203 +32'h40747463,32'h3f005e37,32'h3f059b88, 32'h3ef8e076,32'h3f098985, 32'h3eebc72d,32'h3f10162a,// invsqrt(3.8196) = 0.5117 +32'h3eaaa1c1,32'h3fd94a66,32'h3fe228dd, 32'h3fd2a38c,32'h3fe8cfb6, 32'h3fc78d77,32'h3ff3e5cb,// invsqrt(0.3333) = 1.7322 +32'h3de7fb66,32'h403a5b30,32'h4041f66c, 32'h4034a6c4,32'h4047aad8, 32'h402b24b9,32'h40512ce3,// invsqrt(0.1133) = 2.9712 +32'h3f6d1d96,32'h3f8256e8,32'h3f87a8d2, 32'h3f7cb2f1,32'h3f8ba642, 32'h3f6f6627,32'h3f924ca6,// invsqrt(0.9262) = 1.0391 +32'h3fcd1045,32'h3f4635e7,32'h3f4e4d01, 32'h3f402495,32'h3f545e53, 32'h3f3607b5,32'h3f5e7b33,// invsqrt(1.6021) = 0.7901 +32'h3fdca293,32'h3f3f1691,32'h3f46e33f, 32'h3f393d10,32'h3f4cbcc0, 32'h3f2f7d37,32'h3f567c99,// invsqrt(1.7237) = 0.7617 +32'h42272938,32'h3e1b3c0f,32'h3e21921a, 32'h3e167b86,32'h3e2652a2, 32'h3e0e8ff8,32'h3e2e3e30,// invsqrt(41.7903) = 0.1547 +32'h42440000,32'h3e0f5c29,32'h3e153621, 32'h3e0af8b0,32'h3e19999a, 32'h3e03a83b,32'h3e20ea0f,// invsqrt(49.0000) = 0.1429 +32'h3fd77e5b,32'h3f415ab4,32'h3f493f0f, 32'h3f3b6f70,32'h3f4f2a54, 32'h3f3191ff,32'h3f5907c5,// invsqrt(1.6835) = 0.7707 +32'h3e95a05d,32'h3fe80aca,32'h3ff18365, 32'h3fe0f057,32'h3ff89dd9, 32'h3fd51996,32'h40023a4d,// invsqrt(0.2922) = 1.8498 +32'h3ff710b4,32'h3f349402,32'h3f3bf2dd, 32'h3f2f0cdd,32'h3f417a01, 32'h3f25d64a,32'h3f4ab094,// invsqrt(1.9302) = 0.7198 +32'h3f8b58e3,32'h3f7072ca,32'h3f7a433a, 32'h3f691675,32'h3f80cfc7, 32'h3f5cd1e8,32'h3f86f20e,// invsqrt(1.0887) = 0.9584 +32'h3f70093d,32'h3f818b4c,32'h3f86d4e7, 32'h3f7b2831,32'h3f8acc1b, 32'h3f6df02f,32'h3f91681d,// invsqrt(0.9376) = 1.0327 +32'h3fbe586e,32'h3f4dbb25,32'h3f5620d3, 32'h3f476ee3,32'h3f5c6d15, 32'h3f3cefc9,32'h3f66ec2f,// invsqrt(1.4871) = 0.8200 +32'h3ffa2ff7,32'h3f3372a9,32'h3f3ac5b5, 32'h3f2df460,32'h3f4043fe, 32'h3f24cc91,32'h3f496bcd,// invsqrt(1.9546) = 0.7153 +32'h3e24f089,32'h401c46c6,32'h4022a7b4, 32'h40177e14,32'h40277066, 32'h400f84ea,32'h402f6990,// invsqrt(0.1611) = 2.4917 +32'h3f720c7f,32'h3f810121,32'h3f864517, 32'h3f7a1c4f,32'h3f8a3811, 32'h3f6cf266,32'h3f90cd05,// invsqrt(0.9455) = 1.0284 +32'h3f9795f0,32'h3f6689a5,32'h3f6ff287, 32'h3f5f7afb,32'h3f770131, 32'h3f53b7e1,32'h3f816226,// invsqrt(1.1843) = 0.9189 +32'h3e889885,32'h3ff2dba5,32'h3ffcc543, 32'h3feb6c6e,32'h40021a3d, 32'h3fdf0868,32'h40084c40,// invsqrt(0.2668) = 1.9360 +32'h3f4f8879,32'h3f8b51d4,32'h3f910194, 32'h3f870e05,32'h3f954563, 32'h3f7fe4aa,32'h3f9c6113,// invsqrt(0.8107) = 1.1106 +32'h3f80034d,32'h3f7ade0c,32'h3f828dad, 32'h3f733010,32'h3f8664ab, 32'h3f66636e,32'h3f8ccafc,// invsqrt(1.0001) = 0.9999 +32'h3f1daf14,32'h3f9fd4dd,32'h3fa65af0, 32'h3f9af04e,32'h3fab3f7e, 32'h3f92c8b5,32'h3fb36717,// invsqrt(0.6160) = 1.2742 +32'h3fc5009e,32'h3f4a39a6,32'h3f527ab2, 32'h3f4408dd,32'h3f58ab7b, 32'h3f39b78e,32'h3f62fcca,// invsqrt(1.5391) = 0.8061 +32'h3ea5dd59,32'h3fdc6421,32'h3fe562fe, 32'h3fd5a4fc,32'h3fec2224, 32'h3fca6668,32'h3ff760b8,// invsqrt(0.3240) = 1.7569 +32'h3f944510,32'h3f6919ef,32'h3f729d9b, 32'h3f61f72e,32'h3f79c05c, 32'h3f561298,32'h3f82d279,// invsqrt(1.1584) = 0.9291 +32'h3f4d6c71,32'h3f8c087d,32'h3f91bfb1, 32'h3f87bf16,32'h3f960918, 32'h3f809a15,32'h3f9d2e19,// invsqrt(0.8024) = 1.1163 +32'h3efa8e2a,32'h3fb350ea,32'h3fbaa296, 32'h3fadd3aa,32'h3fc01fd6, 32'h3fa4ad93,32'h3fc945ed,// invsqrt(0.4894) = 1.4295 +32'h3f79b255,32'h3f7e06e3,32'h3f84329b, 32'h3f764025,32'h3f8815fa, 32'h3f694a3e,32'h3f8e90ed,// invsqrt(0.9754) = 1.0125 +32'h3f7d32f9,32'h3f7c4388,32'h3f8347b8, 32'h3f748a9c,32'h3f87242e, 32'h3f67abbc,32'h3f8d939e,// invsqrt(0.9891) = 1.0055 +32'h4034669d,32'h3f156dfd,32'h3f1b8761, 32'h3f10daf3,32'h3f201a6b, 32'h3f093b37,32'h3f27ba27,// invsqrt(2.8188) = 0.5956 +32'h4080657e,32'h3efa7e0c,32'h3f025bb8, 32'h3ef2d301,32'h3f06313d, 32'h3ee60b45,32'h3f0c951c,// invsqrt(4.0124) = 0.4992 +32'h3fb187e5,32'h3f5506d9,32'h3f5db8c2, 32'h3f4e8169,32'h3f643e31, 32'h3f43a305,32'h3f6f1c95,// invsqrt(1.3870) = 0.8491 +32'h3f19af7e,32'h3fa1e5b4,32'h3fa8815e, 32'h3f9cf0f5,32'h3fad761d, 32'h3f94ae62,32'h3fb5b8b0,// invsqrt(0.6003) = 1.2906 +32'h3e73e036,32'h40008531,32'h4005c419, 32'h3ff92c06,32'h4009b347, 32'h3fec0ec3,32'h401041e9,// invsqrt(0.2382) = 2.0491 +32'h3f460db0,32'h3f8e9d68,32'h3f946f96, 32'h3f8a3fc5,32'h3f98cd39, 32'h3f82f90c,32'h3fa013f2,// invsqrt(0.7736) = 1.1369 +32'h3d3b09c9,32'h4092c11a,32'h4098be88, 32'h408e4307,32'h409d3c9b, 32'h4086c63c,32'h40a4b966,// invsqrt(0.0457) = 4.6797 +32'h40055b65,32'h3f2dcca1,32'h3f34e4a7, 32'h3f287a9c,32'h3f3a36ac, 32'h3f1f9c94,32'h3f4314b4,// invsqrt(2.0837) = 0.6928 +32'h418ad2fa,32'h3e70e6a6,32'h3e7abbd2, 32'h3e6986c6,32'h3e810dd9, 32'h3e5d3c50,32'h3e873314,// invsqrt(17.3530) = 0.2401 +32'h3ff3bc44,32'h3f35ceb6,32'h3f3d3a6a, 32'h3f303def,32'h3f42cb31, 32'h3f26f74e,32'h3f4c11d2,// invsqrt(1.9042) = 0.7247 +32'h40253bcf,32'h3f1c2329,32'h3f2282a3, 32'h3f175b8e,32'h3f274a3e, 32'h3f0f6435,32'h3f2f4197,// invsqrt(2.5818) = 0.6224 +32'h3f966d4c,32'h3f676c85,32'h3f70dea9, 32'h3f6056e9,32'h3f77f445, 32'h3f54883b,32'h3f81e179,// invsqrt(1.1752) = 0.9224 +32'h3f3d7076,32'h3f91d241,32'h3f97c5f1, 32'h3f8d5b7e,32'h3f9c3cb4, 32'h3f85eae3,32'h3fa3ad4f,// invsqrt(0.7400) = 1.1625 +32'h3f4eb467,32'h3f8b9939,32'h3f914be3, 32'h3f87533a,32'h3f9591e2, 32'h3f8033e6,32'h3f9cb136,// invsqrt(0.8074) = 1.1129 +32'h407c13b3,32'h3efcd31e,32'h3f039271, 32'h3ef515cd,32'h3f07711a, 32'h3ee82f9a,32'h3f0de433,// invsqrt(3.9387) = 0.5039 +32'h3f9754f9,32'h3f66bb1c,32'h3f702602, 32'h3f5faaee,32'h3f773630, 32'h3f53e54e,32'h3f817de8,// invsqrt(1.1823) = 0.9197 +32'h3f857f2c,32'h3f75a923,32'h3f7fb00a, 32'h3f6e23f5,32'h3f839a9c, 32'h3f619b54,32'h3f89deec,// invsqrt(1.0429) = 0.9792 +32'h3da9e5f7,32'h4059c25b,32'h4062a5b7, 32'h405317d5,32'h4069503d, 32'h4047fba2,32'h40746c70,// invsqrt(0.0830) = 3.4719 +32'h4024fe14,32'h3f1c405c,32'h3f22a107, 32'h3f1777dc,32'h3f276988, 32'h3f0f7f07,32'h3f2f625d,// invsqrt(2.5780) = 0.6228 +32'h3f5def3f,32'h3f86b93c,32'h3f8c38f5, 32'h3f829970,32'h3f9058c0, 32'h3f777392,32'h3f973867,// invsqrt(0.8669) = 1.0740 +32'h40b0b1a4,32'h3ed587d9,32'h3ede3f07, 32'h3ecefe77,32'h3ee4c869, 32'h3ec4197e,32'h3eefad62,// invsqrt(5.5217) = 0.4256 +32'h3fb2ef39,32'h3f543088,32'h3f5cd9b2, 32'h3f4db1a8,32'h3f635892, 32'h3f42de34,32'h3f6e2c06,// invsqrt(1.3979) = 0.8458 +32'h40159503,32'h3f241a57,32'h3f2acd0d, 32'h3f1f1450,32'h3f2fd314, 32'h3f16b4ed,32'h3f383277,// invsqrt(2.3372) = 0.6541 +32'h3f5c6de8,32'h3f872eca,32'h3f8cb350, 32'h3f830b66,32'h3f90d6b4, 32'h3f784b7e,32'h3f97bc5b,// invsqrt(0.8611) = 1.0777 +32'h3e83aebe,32'h3ff758dd,32'h4000b8b2, 32'h3fefc678,32'h400481e4, 32'h3fe327d0,32'h400ad138,// invsqrt(0.2572) = 1.9718 +32'h3f8ff411,32'h3f6c91fa,32'h3f7639e5, 32'h3f65540a,32'h3f7d77d6, 32'h3f594225,32'h3f84c4de,// invsqrt(1.1246) = 0.9430 +32'h3fda80d0,32'h3f4004a2,32'h3f47db06, 32'h3f3a23d6,32'h3f4dbbd2, 32'h3f3057d8,32'h3f5787d0,// invsqrt(1.7071) = 0.7654 +32'h3f8a2467,32'h3f717eae,32'h3f7b5a0d, 32'h3f6a1a25,32'h3f815f4a, 32'h3f5dc7ed,32'h3f878866,// invsqrt(1.0792) = 0.9626 +32'h3f87c747,32'h3f73967e,32'h3f7d87bc, 32'h3f6c218f,32'h3f827e56, 32'h3f5fb400,32'h3f88b51d,// invsqrt(1.0608) = 0.9709 +32'h40f9e7cd,32'h3eb38c8f,32'h3ebae0aa, 32'h3eae0d7c,32'h3ec05fbe, 32'h3ea4e45a,32'h3ec988e0,// invsqrt(7.8095) = 0.3578 +32'h408b6fa0,32'h3ef05f2e,32'h3efa2ed2, 32'h3ee90373,32'h3f00c546, 32'h3edcbfe6,32'h3f06e70d,// invsqrt(4.3574) = 0.4791 +32'h3f94ff8c,32'h3f6887e2,32'h3f720598, 32'h3f61699a,32'h3f7923e0, 32'h3f558c77,32'h3f828082,// invsqrt(1.1640) = 0.9269 +32'h3f3c0a8f,32'h3f925cc5,32'h3f98561b, 32'h3f8de1c4,32'h3f9cd11c, 32'h3f866a18,32'h3fa448c8,// invsqrt(0.7345) = 1.1668 +32'h3f44ca65,32'h3f8f125d,32'h3f94e951, 32'h3f8ab126,32'h3f994a88, 32'h3f836475,32'h3fa09739,// invsqrt(0.7687) = 1.1406 +32'h3f8c2c7f,32'h3f6fbd07,32'h3f79860d, 32'h3f686643,32'h3f806e68, 32'h3f5c2afc,32'h3f868c0c,// invsqrt(1.0951) = 0.9556 +32'h3e3e03cd,32'h401199ad,32'h40178b0d, 32'h400d24a5,32'h401c0015, 32'h4005b6ed,32'h40236dcd,// invsqrt(0.1856) = 2.3214 +32'h3ed51790,32'h3fc270d9,32'h3fca608e, 32'h3fbc7d10,32'h3fd05456, 32'h3fb2916e,32'h3fda3ff8,// invsqrt(0.4162) = 1.5501 +32'h3ef9507f,32'h3fb3c303,32'h3fbb1957, 32'h3fae4244,32'h3fc09a16, 32'h3fa5165c,32'h3fc9c5fe,// invsqrt(0.4869) = 1.4330 +32'h3f57f199,32'h3f88945e,32'h3f8e277c, 32'h3f846608,32'h3f9255d2, 32'h3f7adc44,32'h3f994db8,// invsqrt(0.8435) = 1.0888 +32'h408c747f,32'h3eef7f8d,32'h3ef94610, 32'h3ee82aaa,32'h3f004d79, 32'h3edbf286,32'h3f06698b,// invsqrt(4.3892) = 0.4773 +32'h408b4d68,32'h3ef07cb2,32'h3efa4d8a, 32'h3ee92010,32'h3f00d516, 32'h3edcdb01,32'h3f06f79d,// invsqrt(4.3532) = 0.4793 +32'h3e0ada2a,32'h402a5353,32'h4031470d, 32'h40251c87,32'h40367dd9, 32'h401c6bde,32'h403f2e82,// invsqrt(0.1356) = 2.7156 +32'h411b8e39,32'h3ea0ebd4,32'h3ea77d4a, 32'h3e9bfebb,32'h3eac6a63, 32'h3e93c8e7,32'h3eb4a037,// invsqrt(9.7222) = 0.3207 +32'h4004adeb,32'h3f2e3e1b,32'h3f355ac3, 32'h3f28e89d,32'h3f3ab041, 32'h3f2004ca,32'h3f439414,// invsqrt(2.0731) = 0.6945 +32'h3f216da5,32'h3f9df789,32'h3fa46a21, 32'h3f992197,32'h3fa94013, 32'h3f911259,32'h3fb14f51,// invsqrt(0.6306) = 1.2593 +32'h3f8f0f85,32'h3f6d4ea7,32'h3f76fe45, 32'h3f660af0,32'h3f7e41fc, 32'h3f59ef6a,32'h3f852ec1,// invsqrt(1.1177) = 0.9459 +32'h4089e788,32'h3ef1b3f4,32'h3efb9180, 32'h3eea4dca,32'h3f017bd5, 32'h3eddf8db,32'h3f07a64d,// invsqrt(4.3095) = 0.4817 +32'h4004b12b,32'h3f2e3bf8,32'h3f35588a, 32'h3f28e68b,32'h3f3aadf7, 32'h3f2002d4,32'h3f4391ae,// invsqrt(2.0733) = 0.6945 +32'h3f1fadd0,32'h3f9ed473,32'h3fa5500f, 32'h3f99f7be,32'h3faa2cc4, 32'h3f91dd3a,32'h3fb24748,// invsqrt(0.6237) = 1.2662 +32'h3f7eb330,32'h3f7b84fb,32'h3f82e48d, 32'h3f73d1e3,32'h3f86be18, 32'h3f66fcbc,32'h3f8d28ac,// invsqrt(0.9949) = 1.0025 +32'h400b703e,32'h3f29f791,32'h3f30e78d, 32'h3f24c395,32'h3f361b89, 32'h3f1c179a,32'h3f3ec784,// invsqrt(2.1787) = 0.6775 +32'h3e8bc517,32'h3ff015a6,32'h3ff9e249, 32'h3fe8bc2b,32'h40009de2, 32'h3fdc7c5e,32'h4006bdc8,// invsqrt(0.2730) = 1.9139 +32'h3f3518d6,32'h3f952464,32'h3f9b3ac6, 32'h3f90939a,32'h3f9fcb90, 32'h3f88f7a0,32'h3fa7678a,// invsqrt(0.7074) = 1.1890 +32'h3f67749e,32'h3f83ec6c,32'h3f894ee4, 32'h3f7fc526,32'h3f8d58bd, 32'h3f724efb,32'h3f9413d2,// invsqrt(0.9041) = 1.0517 +32'h40357c18,32'h3f14fb95,32'h3f1b104d, 32'h3f106c0b,32'h3f1f9fd7, 32'h3f08d226,32'h3f2739bc,// invsqrt(2.8357) = 0.5938 +32'h408e3b47,32'h3eedff74,32'h3ef7b64a, 32'h3ee6b654,32'h3efeff6a, 32'h3eda91c9,32'h3f0591fb,// invsqrt(4.4447) = 0.4743 +32'h3f25c348,32'h3f9be34f,32'h3fa2402d, 32'h3f971da8,32'h3fa705d4, 32'h3f8f2991,32'h3faef9eb,// invsqrt(0.6475) = 1.2427 +32'h3ee2bc9b,32'h3fbc7fdc,32'h3fc4317c, 32'h3fb6baa3,32'h3fc9f6b5, 32'h3fad1c9b,32'h3fd394bd,// invsqrt(0.4428) = 1.5027 +32'h3f200ed7,32'h3f9ea447,32'h3fa51deb, 32'h3f99c90b,32'h3fa9f927, 32'h3f91b0fd,32'h3fb21135,// invsqrt(0.6252) = 1.2647 +32'h3caa14ad,32'h40d9a472,32'h40e28696, 32'h40d2fad7,32'h40e93031, 32'h40c7e02a,32'h40f44ade,// invsqrt(0.0208) = 6.9401 +32'h3f807161,32'h3f7a7275,32'h3f8255b0, 32'h3f72c7c5,32'h3f862b08, 32'h3f66009f,32'h3f8c8e9a,// invsqrt(1.0035) = 0.9983 +32'h3f4cc769,32'h3f8c40de,32'h3f91fa60, 32'h3f87f5bd,32'h3f964581, 32'h3f80cddc,32'h3f9d6d62,// invsqrt(0.7999) = 1.1181 +32'h3f998e7f,32'h3f650dac,32'h3f6e670c, 32'h3f5e0aa4,32'h3f756a14, 32'h3f525aec,32'h3f808ce6,// invsqrt(1.1997) = 0.9130 +32'h3f3e6dbb,32'h3f917128,32'h3f9760e1, 32'h3f8cfd5e,32'h3f9bd4ac, 32'h3f8591b8,32'h3fa34052,// invsqrt(0.7439) = 1.1595 +32'h3f1e1393,32'h3f9fa206,32'h3fa62606, 32'h3f9abf06,32'h3fab0906, 32'h3f929a05,32'h3fb32e07,// invsqrt(0.6175) = 1.2726 +32'h3f3e7136,32'h3f916fd4,32'h3f975f7e, 32'h3f8cfc14,32'h3f9bd33e, 32'h3f85907e,32'h3fa33ed4,// invsqrt(0.7439) = 1.1594 +32'h3f9bbbbc,32'h3f63726f,32'h3f6cbb06, 32'h3f5c7bfe,32'h3f73b178, 32'h3f50e142,32'h3f7f4c34,// invsqrt(1.2167) = 0.9066 +32'h3feb2ffa,32'h3f3914f9,32'h3f40a2e3, 32'h3f336a88,32'h3f464d54, 32'h3f29f923,32'h3f4fbeb9,// invsqrt(1.8374) = 0.7377 +32'h41840581,32'h3e770789,32'h3e808e5f, 32'h3e6f77a1,32'h3e845652, 32'h3e62dd20,32'h3e8aa393,// invsqrt(16.5027) = 0.2462 +32'h3f92c619,32'h3f6a4945,32'h3f73d953, 32'h3f631d3b,32'h3f7b055d, 32'h3f57292b,32'h3f837cb7,// invsqrt(1.1467) = 0.9339 +32'h3f3043c1,32'h3f972c37,32'h3f9d57d1, 32'h3f928b84,32'h3fa1f884, 32'h3f8ad504,32'h3fa9af04,// invsqrt(0.6885) = 1.2051 +32'h40ae0ba2,32'h3ed72631,32'h3edfee47, 32'h3ed0901f,32'h3ee68459, 32'h3ec59603,32'h3ef17e75,// invsqrt(5.4389) = 0.4288 +32'h3ffd1fc1,32'h3f326766,32'h3f39af89, 32'h3f2cf14b,32'h3f3f25a3, 32'h3f23d71e,32'h3f483fd0,// invsqrt(1.9775) = 0.7111 +32'h3e45d0f6,32'h400eb34a,32'h4014865d, 32'h400a54fd,32'h4018e4ab, 32'h40030d25,32'h40202c83,// invsqrt(0.1932) = 2.2752 +32'h3fd080df,32'h3f449199,32'h3f4c978b, 32'h3f3e8d24,32'h3f529c00, 32'h3f3485b7,32'h3f5ca36d,// invsqrt(1.6289) = 0.7835 +32'h3f60c0dd,32'h3f85e045,32'h3f8b5724, 32'h3f81c71f,32'h3f8f704b, 32'h3f75e513,32'h3f9644e1,// invsqrt(0.8779) = 1.0673 +32'h3f54fb50,32'h3f8986aa,32'h3f8f23ac, 32'h3f8550e9,32'h3f93596d, 32'h3f7c994d,32'h3f9a5daf,// invsqrt(0.8320) = 1.0963 +32'h3f940dc0,32'h3f694576,32'h3f72cae9, 32'h3f622161,32'h3f79eeff, 32'h3f563a92,32'h3f82eae7,// invsqrt(1.1567) = 0.9298 +32'h3dd87028,32'h4040ee94,32'h4048ce86, 32'h403b06a0,32'h404eb67a, 32'h40312eb2,32'h40588e68,// invsqrt(0.1057) = 3.0761 +32'h403baeff,32'h3f128074,32'h3f187b40, 32'h3f0e045c,32'h3f1cf758, 32'h3f068ade,32'h3f2470d6,// invsqrt(2.9326) = 0.5840 +32'h3eddec55,32'h3fbe8864,32'h3fc64f44, 32'h3fb8b33d,32'h3fcc246b, 32'h3faefaa5,32'h3fd5dd03,// invsqrt(0.4334) = 1.5189 +32'h3f5db7fc,32'h3f86ca05,32'h3f8c4a6d, 32'h3f82a9b6,32'h3f906abc, 32'h3f779267,32'h3f974b3f,// invsqrt(0.8661) = 1.0745 +32'h414a0739,32'h3e8d347a,32'h3e92f7ec, 32'h3e88e1e4,32'h3e974a82, 32'h3e81ad94,32'h3e9e7ed2,// invsqrt(12.6268) = 0.2814 +32'h3cbcae45,32'h40cea2f8,32'h40d7121c, 32'h40c84f9d,32'h40dd6577, 32'h40bdc4af,32'h40e7f065,// invsqrt(0.0230) = 6.5892 +32'h3f3d208f,32'h3f91f10c,32'h3f97e5fc, 32'h3f8d7957,32'h3f9c5db1, 32'h3f86072a,32'h3fa3cfde,// invsqrt(0.7388) = 1.1634 +32'h3fc3b81a,32'h3f4ae317,32'h3f532b0d, 32'h3f44ad1e,32'h3f596106, 32'h3f3a532a,32'h3f63bafa,// invsqrt(1.5291) = 0.8087 +32'h3fa23e79,32'h3f5ed630,32'h3f67ee9a, 32'h3f5803e0,32'h3f6ec0ea, 32'h3f4ca55b,32'h3f7a1f6f,// invsqrt(1.2675) = 0.8882 +32'h3ef1ebc1,32'h3fb67cee,32'h3fbdefbe, 32'h3fb0e6d2,32'h3fc385da, 32'h3fa7974d,32'h3fccd55f,// invsqrt(0.4725) = 1.4548 +32'h3e63a0fd,32'h4005071d,32'h400a751f, 32'h4000f49c,32'h400e87a0, 32'h3ff45636,32'h40155121,// invsqrt(0.2223) = 2.1210 +32'h41fa1fa7,32'h3e337882,32'h3e3acbcc, 32'h3e2dfa0b,32'h3e404a43, 32'h3e24d1f0,32'h3e49725e,// invsqrt(31.2655) = 0.1788 +32'h4224e2d4,32'h3e1c4d45,32'h3e22ae77, 32'h3e178460,32'h3e27775c, 32'h3e0f8ae1,32'h3e2f70db,// invsqrt(41.2215) = 0.1558 +32'h3f9b1279,32'h3f63ee6f,32'h3f6d3c15, 32'h3f5cf432,32'h3f743652, 32'h3f515322,32'h3f7fd762,// invsqrt(1.2115) = 0.9085 +32'h3f114b86,32'h3fa6819d,32'h3fad4d6f, 32'h3fa168bf,32'h3fb2664d, 32'h3f98e9f9,32'h3fbae513,// invsqrt(0.5676) = 1.3274 +32'h3f799550,32'h3f7e15a7,32'h3f843a4a, 32'h3f764e76,32'h3f881de3, 32'h3f6957ce,32'h3f8e9937,// invsqrt(0.9749) = 1.0128 +32'h3f1b1077,32'h3fa12d08,32'h3fa7c127, 32'h3f9c3def,32'h3facb03f, 32'h3f9404c8,32'h3fb4e966,// invsqrt(0.6057) = 1.2849 +32'h3f3cf617,32'h3f920171,32'h3f97f70d, 32'h3f8d893c,32'h3f9c6f42, 32'h3f861639,32'h3fa3e245,// invsqrt(0.7381) = 1.1639 +32'h3f2d2afc,32'h3f9884b8,32'h3f9ebe61, 32'h3f93d978,32'h3fa369a0, 32'h3f8c1164,32'h3fab31b4,// invsqrt(0.6764) = 1.2159 +32'h3eaff94c,32'h3fd5f794,32'h3fdeb350, 32'h3fcf6ac6,32'h3fe5401e, 32'h3fc4801a,32'h3ff02aca,// invsqrt(0.3437) = 1.7057 +32'h3fe2effc,32'h3f3c6a84,32'h3f441b46, 32'h3f36a5f3,32'h3f49dfd7, 32'h3f2d0901,32'h3f537cc9,// invsqrt(1.7729) = 0.7510 +32'h3f88f2fa,32'h3f728b63,32'h3f7c71bb, 32'h3f6b1ea1,32'h3f81ef3e, 32'h3f5ebeb4,32'h3f881f35,// invsqrt(1.0699) = 0.9668 +32'h3f859ac4,32'h3f758fc3,32'h3f7f95a1, 32'h3f6e0b5c,32'h3f838d04, 32'h3f618407,32'h3f89d0af,// invsqrt(1.0438) = 0.9788 +32'h3f892438,32'h3f725fd4,32'h3f7c4464, 32'h3f6af467,32'h3f81d7e8, 32'h3f5e96b3,32'h3f8806c3,// invsqrt(1.0714) = 0.9661 +32'h3fd4ecfa,32'h3f428449,32'h3f4a74ca, 32'h3f3c8fea,32'h3f50692a, 32'h3f32a349,32'h3f5a55cb,// invsqrt(1.6635) = 0.7753 +32'h3e26e880,32'h401b5a25,32'h4021b16a, 32'h401698b0,32'h402672de, 32'h400eab9a,32'h402e5ff4,// invsqrt(0.1630) = 2.4769 +32'h3dcf7f6a,32'h40450b66,32'h404d1650, 32'h403f0336,32'h40531e80, 32'h4034f592,32'h405d2c24,// invsqrt(0.1013) = 3.1417 +32'h3f49665c,32'h3f8d6cd3,32'h3f933292, 32'h3f891883,32'h3f9786e1, 32'h3f81e153,32'h3f9ebe11,// invsqrt(0.7867) = 1.1274 +32'h3f0a7d59,32'h3faa8c5d,32'h3fb1826b, 32'h3fa553d2,32'h3fb6baf6, 32'h3f9ca040,32'h3fbf6e88,// invsqrt(0.5410) = 1.3596 +32'h3f231328,32'h3f9d2add,32'h3fa3951b, 32'h3f985b2f,32'h3fa864c9, 32'h3f905663,32'h3fb06995,// invsqrt(0.6370) = 1.2529 +32'h3f40777b,32'h3f90ab7f,32'h3f969327, 32'h3f8c3dc2,32'h3f9b00e4, 32'h3f84dc31,32'h3fa26275,// invsqrt(0.7518) = 1.1533 +32'h3f69215f,32'h3f8372e4,32'h3f88d066, 32'h3f7ed986,32'h3f8cd687, 32'h3f716fc3,32'h3f938b69,// invsqrt(0.9107) = 1.0479 +32'h3d9df570,32'h4061d6d1,32'h406b0e9b, 32'h405aecfa,32'h4071f872, 32'h404f673d,32'h407d7e2f,// invsqrt(0.0771) = 3.6008 +32'h3ec223a9,32'h3fcbb5ff,32'h3fd40691, 32'h3fc57991,32'h3fda42ff, 32'h3fbb14da,32'h3fe4a7b6,// invsqrt(0.3792) = 1.6240 +32'h41941370,32'h3e6940fb,32'h3e72c63f, 32'h3e621d08,32'h3e79ea32, 32'h3e563674,32'h3e82e863,// invsqrt(18.5095) = 0.2324 +32'h3ed6e36e,32'h3fc1a05b,32'h3fc9878d, 32'h3fbbb2f5,32'h3fcf74f3, 32'h3fb1d1f5,32'h3fd955f3,// invsqrt(0.4197) = 1.5436 +32'h3fe59740,32'h3f3b52fb,32'h3f42f854, 32'h3f3596f9,32'h3f48b457, 32'h3f2c084a,32'h3f524306,// invsqrt(1.7937) = 0.7467 +32'h3fbd4f35,32'h3f4e4b10,32'h3f56b69e, 32'h3f47fa66,32'h3f5d0748, 32'h3f3d73f5,32'h3f678db9,// invsqrt(1.4790) = 0.8223 +32'h400f2413,32'h3f27c126,32'h3f2e9a02, 32'h3f229e80,32'h3f33bca8, 32'h3f1a0f6c,32'h3f3c4bbc,// invsqrt(2.2366) = 0.6687 +32'h3ddf5c44,32'h403deb36,32'h4045abab, 32'h40381ade,32'h404b7c02, 32'h402e6a4b,32'h40552c95,// invsqrt(0.1091) = 3.0280 +32'h3f8b4618,32'h3f708302,32'h3f7a541c, 32'h3f69262e,32'h3f80d878, 32'h3f5ce0ce,32'h3f86fb28,// invsqrt(1.0881) = 0.9587 +32'h3f00dd01,32'h3fb0cdc1,32'h3fb8052c, 32'h3fab6430,32'h3fbd6ebc, 32'h3fa25eea,32'h3fc67402,// invsqrt(0.5034) = 1.4095 +32'h41bd4bc8,32'h3e4e4cee,32'h3e56b88f, 32'h3e47fc34,32'h3e5d0948, 32'h3e3d75ab,32'h3e678fd1,// invsqrt(23.6620) = 0.2056 +32'h3f901e8b,32'h3f6c6f1b,32'h3f761599, 32'h3f65323c,32'h3f7d5278, 32'h3f59221e,32'h3f84b14b,// invsqrt(1.1259) = 0.9424 +32'h3ea5a83a,32'h3fdc8775,32'h3fe587c3, 32'h3fd5c73b,32'h3fec47fd, 32'h3fca86d9,32'h3ff7885f,// invsqrt(0.3235) = 1.7580 +32'h3f9db1a8,32'h3f620755,32'h3f6b411a, 32'h3f5b1c02,32'h3f722c6e, 32'h3f4f93cc,32'h3f7db4a4,// invsqrt(1.2320) = 0.9009 +32'h3f9085a2,32'h3f6c1ab8,32'h3f75bdc5, 32'h3f64e06f,32'h3f7cf80f, 32'h3f58d49f,32'h3f8481ef,// invsqrt(1.1291) = 0.9411 +32'h4082c951,32'h3ef83171,32'h3f012967, 32'h3ef0986a,32'h3f04f5ea, 32'h3ee3eeb6,32'h3f0b4ac4,// invsqrt(4.0871) = 0.4946 +32'h406340dd,32'h3f05233c,32'h3f0a9264, 32'h3f010fdf,32'h3f0ea5c1, 32'h3ef489dd,32'h3f1570b2,// invsqrt(3.5508) = 0.5307 +32'h41288d64,32'h3e9a97b4,32'h3ea0e70a, 32'h3e95dc34,32'h3ea5a28a, 32'h3e8df909,32'h3ead85b5,// invsqrt(10.5345) = 0.3081 +32'h3cb26006,32'h40d485a3,32'h40dd3246, 32'h40ce0428,32'h40e3b3c0, 32'h40c32c5c,32'h40ee8b8c,// invsqrt(0.0218) = 6.7768 +32'h3f207edb,32'h3f9e6ce1,32'h3fa4e443, 32'h3f999358,32'h3fa9bdcc, 32'h3f917e1d,32'h3fb1d307,// invsqrt(0.6269) = 1.2630 +32'h41305c41,32'h3e9721b6,32'h3e9d4ce2, 32'h3e928155,32'h3ea1ed43, 32'h3e8acb5e,32'h3ea9a33a,// invsqrt(11.0225) = 0.3012 +32'h3e552c23,32'h400976e9,32'h400f1346, 32'h400541a3,32'h4013488b, 32'h3ffc7c5d,32'h401a4c00,// invsqrt(0.2082) = 2.1917 +32'h3fb59ec1,32'h3f529d68,32'h3f5b361e, 32'h3f4c2ae0,32'h3f61a8a6, 32'h3f416bfc,32'h3f6c678a,// invsqrt(1.4189) = 0.8395 +32'h3f312376,32'h3f96cca3,32'h3f9cf457, 32'h3f922edd,32'h3fa1921d, 32'h3f8a7d3d,32'h3fa943bd,// invsqrt(0.6919) = 1.2022 +32'h3ed98a3a,32'h3fc07157,32'h3fc84c2b, 32'h3fba8d37,32'h3fce304b, 32'h3fb0bbae,32'h3fd801d4,// invsqrt(0.4249) = 1.5341 +32'h3eb5404a,32'h3fd2d443,32'h3fdb6f37, 32'h3fcc600d,32'h3fe1e36d, 32'h3fc19e5d,32'h3feca51d,// invsqrt(0.3540) = 1.6807 +32'h3e9f0cc0,32'h3fe1102d,32'h3fea3fdb, 32'h3fda2c6a,32'h3ff1239e, 32'h3fceb0d0,32'h3ffc9f38,// invsqrt(0.3106) = 1.7942 +32'h3faaf939,32'h3f5912ca,32'h3f61eefc, 32'h3f526da4,32'h3f689422, 32'h3f475a66,32'h3f73a760,// invsqrt(1.3357) = 0.8652 +32'h400fed83,32'h3f274b98,32'h3f2e1fa8, 32'h3f222c8b,32'h3f333eb5, 32'h3f19a376,32'h3f3bc7ca,// invsqrt(2.2489) = 0.6668 +32'h3fce0fee,32'h3f45bacb,32'h3f4dccde, 32'h3f3fad3e,32'h3f53da6c, 32'h3f3596a6,32'h3f5df104,// invsqrt(1.6099) = 0.7881 +32'h3f801e9e,32'h3f7ac34c,32'h3f827fc1, 32'h3f731622,32'h3f865656, 32'h3f664add,32'h3f8cbbf9,// invsqrt(1.0009) = 0.9995 +32'h4115ad40,32'h3ea40d0d,32'h3eaabf38, 32'h3e9f076e,32'h3eafc4d8, 32'h3e96a8b9,32'h3eb8238d,// invsqrt(9.3548) = 0.3270 +32'h4200a8cb,32'h3e30f19d,32'h3e382a7f, 32'h3e2b86f4,32'h3e3d9528, 32'h3e227fd9,32'h3e469c43,// invsqrt(32.1648) = 0.1763 +32'h3f6405b9,32'h3f84e9b7,32'h3f8a5685, 32'h3f80d81c,32'h3f8e6820, 32'h3f742036,32'h3f953021,// invsqrt(0.8907) = 1.0596 +32'h402836bb,32'h3f1abf81,32'h3f211077, 32'h3f1602c9,32'h3f25cd2f, 32'h3f0e1d96,32'h3f2db262,// invsqrt(2.6283) = 0.6168 +32'h3efc521f,32'h3fb2b009,32'h3fb9fb23, 32'h3fad37b5,32'h3fbf7377, 32'h3fa419d4,32'h3fc89158,// invsqrt(0.4928) = 1.4245 +32'h3fd1ab55,32'h3f44057f,32'h3f4c05b9, 32'h3f3e0554,32'h3f5205e4, 32'h3f34050c,32'h3f5c062c,// invsqrt(1.6380) = 0.7813 +32'h3ec53c52,32'h3fca1b09,32'h3fd25ad5, 32'h3fc3eb30,32'h3fd88aae, 32'h3fb99b71,32'h3fe2da6d,// invsqrt(0.3852) = 1.6112 +32'h3f9e2c56,32'h3f61af9d,32'h3f6ae5cd, 32'h3f5ac6f9,32'h3f71ce71, 32'h3f4f433c,32'h3f7d522e,// invsqrt(1.2357) = 0.8996 +32'h3f5654a9,32'h3f8917b1,32'h3f8eb02b, 32'h3f84e555,32'h3f92e287, 32'h3f7bcd79,32'h3f99e11f,// invsqrt(0.8372) = 1.0929 +32'h3fe4a266,32'h3f3bb72f,32'h3f43609f, 32'h3f35f81b,32'h3f491fb3, 32'h3f2c6450,32'h3f52b37e,// invsqrt(1.7862) = 0.7482 +32'h3e0b6e5f,32'h4029f8b5,32'h4030e8bd, 32'h4024c4b0,32'h40361cc2, 32'h401c18a6,32'h403ec8cc,// invsqrt(0.1362) = 2.7100 +32'h3f081577,32'h3fac0c9a,32'h3fb31258, 32'h3fa6c84d,32'h3fb856a5, 32'h3f9e0120,32'h3fc11dd2,// invsqrt(0.5316) = 1.3716 +32'h40034702,32'h3f2f2ba9,32'h3f365203, 32'h3f29cee5,32'h3f3baec7, 32'h3f20def4,32'h3f449eb8,// invsqrt(2.0512) = 0.6982 +32'h3f2c7159,32'h3f98d6ba,32'h3f9f13bc, 32'h3f9428f8,32'h3fa3c17e, 32'h3f8c5cb5,32'h3fab8dc1,// invsqrt(0.6736) = 1.2184 +32'h40b61e58,32'h3ed25394,32'h3edae947, 32'h3ecbe34f,32'h3ee1598d, 32'h3ec12830,32'h3eec14ac,// invsqrt(5.6912) = 0.4192 +32'h4004b1a7,32'h3f2e3ba7,32'h3f355835, 32'h3f28e63c,32'h3f3aada0, 32'h3f200289,32'h3f439153,// invsqrt(2.0733) = 0.6945 +32'h3fb4d292,32'h3f531430,32'h3f5bb1c0, 32'h3f4c9e05,32'h3f6227eb, 32'h3f41d912,32'h3f6cecde,// invsqrt(1.4127) = 0.8414 +32'h3f9c7ec9,32'h3f62e485,32'h3f6c2751, 32'h3f5bf26c,32'h3f73196a, 32'h3f505eed,32'h3f7eace9,// invsqrt(1.2226) = 0.9044 +32'h403b5752,32'h3f12a2b8,32'h3f189eea, 32'h3f0e2593,32'h3f1d1c0f, 32'h3f06aa56,32'h3f24974c,// invsqrt(2.9272) = 0.5845 +32'h40024158,32'h3f2fdb43,32'h3f3708c9, 32'h3f2a791f,32'h3f3c6aed, 32'h3f218039,32'h3f4563d3,// invsqrt(2.0352) = 0.7010 +32'h3fc54233,32'h3f4a1805,32'h3f5257b2, 32'h3f43e844,32'h3f588774, 32'h3f3998ac,32'h3f62d70c,// invsqrt(1.5411) = 0.8055 +32'h3fd8f122,32'h3f40b532,32'h3f4892cc, 32'h3f3aceff,32'h3f4e78ff, 32'h3f30f9ff,32'h3f584dff,// invsqrt(1.6949) = 0.7681 +32'h3f88c02d,32'h3f72b86c,32'h3f7ca09a, 32'h3f6b4a49,32'h3f82075e, 32'h3f5ee810,32'h3f88387b,// invsqrt(1.0684) = 0.9675 +32'h416d5555,32'h3e824799,32'h3e8798e3, 32'h3e7c9543,32'h3e8b95db, 32'h3e6f4a09,32'h3e923b77,// invsqrt(14.8333) = 0.2596 +32'h407b4d39,32'h3efd36e1,32'h3f03c65b, 32'h3ef57682,32'h3f07a68b, 32'h3ee88b38,32'h3f0e1c30,// invsqrt(3.9266) = 0.5047 +32'h422af000,32'h3e19829f,32'h3e1fc6a5, 32'h3e14cf9a,32'h3e2479aa, 32'h3e0cfa92,32'h3e2c4eb2,// invsqrt(42.7344) = 0.1530 +32'h3fe4fe80,32'h3f3b916b,32'h3f433951, 32'h3f35d380,32'h3f48f73c, 32'h3f2c41a1,32'h3f52891b,// invsqrt(1.7890) = 0.7476 +32'h4124daf9,32'h3e9c50fe,32'h3ea2b257, 32'h3e9787fc,32'h3ea77b5a, 32'h3e8f8e4d,32'h3eaf7509,// invsqrt(10.3035) = 0.3115 +32'h3f8c1515,32'h3f6fd10f,32'h3f799ae6, 32'h3f6879ae,32'h3f807924, 32'h3f5c3d62,32'h3f86974a,// invsqrt(1.0944) = 0.9559 +32'h40817cc3,32'h3ef96f5b,32'h3f01ced9, 32'h3ef1cc99,32'h3f05a03a, 32'h3ee512ac,32'h3f0bfd30,// invsqrt(4.0465) = 0.4971 +32'h3db61e7e,32'h4052537e,32'h405ae930, 32'h404be339,32'h40615975, 32'h4041281b,32'h406c1493,// invsqrt(0.0889) = 3.3534 +32'h3f85bfe8,32'h3f756da8,32'h3f7f7222, 32'h3f6dea4d,32'h3f837abf, 32'h3f6164b4,32'h3f89bd8b,// invsqrt(1.0449) = 0.9783 +32'h3e27937d,32'h401b0ace,32'h40215ed7, 32'h40164bc8,32'h40261dde, 32'h400e62be,32'h402e06e8,// invsqrt(0.1636) = 2.4720 +32'h3ef155e2,32'h3fb6b58f,32'h3fbe2aaf, 32'h3fb11db7,32'h3fc3c287, 32'h3fa7cb4f,32'h3fcd14ef,// invsqrt(0.4714) = 1.4565 +32'h3fe42a25,32'h3f3be8a0,32'h3f439414, 32'h3f362809,32'h3f4954ab, 32'h3f2c91b7,32'h3f52eafd,// invsqrt(1.7825) = 0.7490 +32'h3edb7cd0,32'h3fbf9647,32'h3fc7682b, 32'h3fb9b8dd,32'h3fcd4595, 32'h3faff280,32'h3fd70bf2,// invsqrt(0.4287) = 1.5273 +32'h3f92291a,32'h3f6ac6f7,32'h3f745c26, 32'h3f639715,32'h3f7b8c09, 32'h3f579c9a,32'h3f83c342,// invsqrt(1.1419) = 0.9358 +32'h3f79d23d,32'h3f7df6a9,32'h3f842a29, 32'h3f76306b,32'h3f880d49, 32'h3f693b58,32'h3f8e87d2,// invsqrt(0.9759) = 1.0123 +32'h3fb15da2,32'h3f552038,32'h3f5dd32a, 32'h3f4e9a02,32'h3f645960, 32'h3f43ba52,32'h3f6f3910,// invsqrt(1.3857) = 0.8495 +32'h3ee091f4,32'h3fbd6815,32'h3fc5232f, 32'h3fb79bc0,32'h3fcaef84, 32'h3fadf1df,32'h3fd49965,// invsqrt(0.4386) = 1.5099 +32'h3ead07b5,32'h3fd7c78e,32'h3fe0963a, 32'h3fd12c8c,32'h3fe7313c, 32'h3fc62a34,32'h3ff23394,// invsqrt(0.3379) = 1.7202 +32'h3e949440,32'h3fe8dbc9,32'h3ff25ceb, 32'h3fe1baef,32'h3ff97dc5, 32'h3fd5d984,32'h4002af98,// invsqrt(0.2902) = 1.8563 +32'h3ffb92d0,32'h3f32f3ed,32'h3f3a41cd, 32'h3f2d7985,32'h3f3fbc35, 32'h3f24582d,32'h3f48dd8d,// invsqrt(1.9654) = 0.7133 +32'h3b1b22f7,32'h41a1236b,32'h41a7b727, 32'h419c349f,32'h41aca5f3, 32'h4193fbf5,32'h41b4de9d,// invsqrt(0.0024) = 20.5534 +32'h41f1b9ff,32'h3e368fb5,32'h3e3e0349, 32'h3e30f905,32'h3e4399f9, 32'h3e27a88c,32'h3e4cea72,// invsqrt(30.2158) = 0.1819 +32'h3fa6e1bf,32'h3f5bb7ec,32'h3f64afc2, 32'h3f54fe0c,32'h3f6b69a2, 32'h3f49c842,32'h3f769f6d,// invsqrt(1.3038) = 0.8758 +32'h3ead24f6,32'h3fd7b552,32'h3fe08340, 32'h3fd11adf,32'h3fe71db3, 32'h3fc61975,32'h3ff21f1d,// invsqrt(0.3382) = 1.7196 +32'h3fa88ff8,32'h3f5a9ed3,32'h3f638b2f, 32'h3f53ed8e,32'h3f6a3c74, 32'h3f48c61a,32'h3f7563e8,// invsqrt(1.3169) = 0.8714 +32'h3ef404e1,32'h3fb5b3a7,32'h3fbd1e41, 32'h3fb023b5,32'h3fc2ae33, 32'h3fa6de75,32'h3fcbf373,// invsqrt(0.4766) = 1.4485 +32'h3f2ebf80,32'h3f97d3ca,32'h3f9e063a, 32'h3f932df5,32'h3fa2ac0f, 32'h3f8b6ee8,32'h3faa6b1c,// invsqrt(0.6826) = 1.2104 +32'h3ea33a10,32'h3fde2a31,32'h3fe73b97, 32'h3fd75d25,32'h3fee08a3, 32'h3fcc0767,32'h3ff95e61,// invsqrt(0.3188) = 1.7711 +32'h40439589,32'h3f0f8328,32'h3f155eb7, 32'h3f0b1e7e,32'h3f19c362, 32'h3f03cc0b,32'h3f2115d5,// invsqrt(3.0560) = 0.5720 +32'h3f43d960,32'h3f8f6a4b,32'h3f9544d6, 32'h3f8b0663,32'h3f99a8bf, 32'h3f83b536,32'h3fa0f9ec,// invsqrt(0.7650) = 1.1433 +32'h3e6fcd3c,32'h40019b81,32'h4006e5c5, 32'h3ffb479c,32'h400add78, 32'h3fee0df2,32'h40117a4d,// invsqrt(0.2342) = 2.0664 +32'h3fcbd712,32'h3f46cdf3,32'h3f4eeb41, 32'h3f40b7f9,32'h3f55013b, 32'h3f369357,32'h3f5f25dd,// invsqrt(1.5925) = 0.7924 +32'h40007180,32'h3f3117af,32'h3f38521f, 32'h3f2babdb,32'h3f3dbdf3, 32'h3f22a2d0,32'h3f46c6fe,// invsqrt(2.0069) = 0.7059 +32'h3f9f90d3,32'h3f60b2f5,32'h3f69ded5, 32'h3f59d20d,32'h3f70bfbd, 32'h3f4e5b34,32'h3f7c3696,// invsqrt(1.2466) = 0.8956 +32'h3f92c908,32'h3f6a46ee,32'h3f73d6e2, 32'h3f631af6,32'h3f7b02da, 32'h3f572704,32'h3f837b66,// invsqrt(1.1468) = 0.9338 +32'h3fe26775,32'h3f3ca34b,32'h3f44565d, 32'h3f36dcfd,32'h3f4a1cab, 32'h3f2d3d25,32'h3f53bc83,// invsqrt(1.7688) = 0.7519 +32'h3e9349a5,32'h3fe9e08e,32'h3ff36c56, 32'h3fe2b7b9,32'h3ffa952b, 32'h3fd6c900,32'h400341f2,// invsqrt(0.2877) = 1.8645 +32'h3eb94325,32'h3fd088c9,32'h3fd90bc1, 32'h3fca268e,32'h3fdf6dfc, 32'h3fbf82d8,32'h3fea11b2,// invsqrt(0.3618) = 1.6624 +32'h3fa29507,32'h3f5e9ad7,32'h3f67b0d5, 32'h3f57ca58,32'h3f6e8154, 32'h3f4c6eda,32'h3f79dcd2,// invsqrt(1.2702) = 0.8873 +32'h3f5af253,32'h3f87a3c6,32'h3f8d2d12, 32'h3f837ccd,32'h3f91540b, 32'h3f79225c,32'h3f983faa,// invsqrt(0.8553) = 1.0813 +32'h3fd951c1,32'h3f408a56,32'h3f486630, 32'h3f3aa573,32'h3f4e4b13, 32'h3f30d2a3,32'h3f581de3,// invsqrt(1.6978) = 0.7675 +32'h3f2e2db1,32'h3f981349,32'h3f9e4851, 32'h3f936b83,32'h3fa2f017, 32'h3f8ba938,32'h3faab262,// invsqrt(0.6804) = 1.2123 +32'h3fbc3c63,32'h3f4ee170,32'h3f575322, 32'h3f488c2c,32'h3f5da866, 32'h3f3dfe0e,32'h3f683684,// invsqrt(1.4706) = 0.8246 +32'h3f66a27c,32'h3f842878,32'h3f898d62, 32'h3f801cc8,32'h3f8d9912, 32'h3f72bd44,32'h3f945738,// invsqrt(0.9009) = 1.0536 +32'h3f963de5,32'h3f679104,32'h3f7104a6, 32'h3f607a4a,32'h3f781b60, 32'h3f54a9c0,32'h3f81f5f5,// invsqrt(1.1738) = 0.9230 +32'h3a78f5de,32'h41fe66f7,32'h4204649b, 32'h41f69d48,32'h42084972, 32'h41e9a27a,32'h420ec6d9,// invsqrt(0.0009) = 32.4493 +32'h3f03cb6f,32'h3faed392,32'h3fb5f654, 32'h3fa97980,32'h3fbb5066, 32'h3fa08e0e,32'h3fc43bd8,// invsqrt(0.5148) = 1.3937 +32'h3f6410cc,32'h3f84e67d,32'h3f8a5329, 32'h3f80d4fc,32'h3f8e64aa, 32'h3f741a49,32'h3f952c82,// invsqrt(0.8909) = 1.0595 +32'h3f1d23d3,32'h3fa01b9f,32'h3fa6a495, 32'h3f9b34e6,32'h3fab8b4e, 32'h3f9309b1,32'h3fb3b683,// invsqrt(0.6138) = 1.2764 +32'h3ff2fa07,32'h3f361753,32'h3f3d85fd, 32'h3f308453,32'h3f4318fd, 32'h3f2739fe,32'h3f4c6352,// invsqrt(1.8983) = 0.7258 +32'h3f1bc79f,32'h3fa0ce2c,32'h3fa75e6c, 32'h3f9be1fb,32'h3fac4a9d, 32'h3f93adab,32'h3fb47eed,// invsqrt(0.6085) = 1.2819 +32'h3f8c6935,32'h3f6f892d,32'h3f795015, 32'h3f6833ff,32'h3f8052a1, 32'h3f5bfb5e,32'h3f866ef2,// invsqrt(1.0970) = 0.9548 +32'h3e1dcf41,32'h401fc491,32'h402649fa, 32'h401ae082,32'h402b2e08, 32'h4012b9be,32'h403354cc,// invsqrt(0.1541) = 2.5473 +32'h3f1ab177,32'h3fa15e7e,32'h3fa7f4a2, 32'h3f9c6de2,32'h3face53e, 32'h3f943235,32'h3fb520eb,// invsqrt(0.6043) = 1.2864 +32'h3f0e775a,32'h3fa826b8,32'h3faf03ba, 32'h3fa300f6,32'h3fb4297c, 32'h3f9a6cb3,32'h3fbcbdbf,// invsqrt(0.5565) = 1.3405 +32'h3fefc051,32'h3f374fd7,32'h3f3ecb43, 32'h3f31b346,32'h3f4467d4, 32'h3f2858ff,32'h3f4dc21b,// invsqrt(1.8731) = 0.7307 +32'h3eaa7353,32'h3fd967fc,32'h3fe247a8, 32'h3fd2c03b,32'h3fe8ef69, 32'h3fc7a8a3,32'h3ff40701,// invsqrt(0.3329) = 1.7331 +32'h3f3a9156,32'h3f92f072,32'h3f98efd0, 32'h3f8e70ec,32'h3f9d6f56, 32'h3f86f1b7,32'h3fa4ee8b,// invsqrt(0.7288) = 1.1714 +32'h3f78ed78,32'h3f7e6b41,32'h3f8466d7, 32'h3f76a172,32'h3f884bbf, 32'h3f69a66c,32'h3f8ec942,// invsqrt(0.9724) = 1.0141 +32'h3f7ad9df,32'h3f7d7113,32'h3f83e4a4, 32'h3f75aeec,32'h3f87c5b8, 32'h3f68c0a9,32'h3f8e3cd9,// invsqrt(0.9799) = 1.0102 +32'h40712d9f,32'h3f013caf,32'h3f068314, 32'h3efa8fc5,32'h3f0a77df, 32'h3eed5fc8,32'h3f110fde,// invsqrt(3.7684) = 0.5151 +32'h4108f989,32'h3eab7d22,32'h3eb27d04, 32'h3ea63d38,32'h3eb7bcee, 32'h3e9d7d5e,32'h3ec07cc8,// invsqrt(8.5609) = 0.3418 +32'h3fa917b7,32'h3f5a4701,32'h3f632fc7, 32'h3f53986c,32'h3f69de5c, 32'h3f487574,32'h3f750154,// invsqrt(1.3210) = 0.8700 +32'h3f527320,32'h3f8a59d2,32'h3f8fff72, 32'h3f861d9a,32'h3f943baa, 32'h3f7e1d24,32'h3f9b4ab2,// invsqrt(0.8221) = 1.1029 +32'h3e090b16,32'h402b7227,32'h40327196, 32'h40263293,32'h4037b129, 32'h401d7348,32'h40407074,// invsqrt(0.1338) = 2.7335 +32'h4039d846,32'h3f133989,32'h3f193be2, 32'h3f0eb7c6,32'h3f1dbda4, 32'h3f0734d6,32'h3f254094,// invsqrt(2.9038) = 0.5868 +32'h3ee7ba91,32'h3fba7540,32'h3fc2118c, 32'h3fb4c007,32'h3fc7c6c5, 32'h3fab3ca9,32'h3fd14a23,// invsqrt(0.4526) = 1.4864 +32'h3f16eba4,32'h3fa35fa6,32'h3faa0abc, 32'h3f9e5f55,32'h3faf0b0d, 32'h3f960979,32'h3fb760e9,// invsqrt(0.5895) = 1.3024 +32'h3fded397,32'h3f3e256b,32'h3f45e841, 32'h3f38534b,32'h3f4bba61, 32'h3f2e9fc1,32'h3f556deb,// invsqrt(1.7408) = 0.7579 +32'h3e1b7af2,32'h4020f5cd,32'h402787ac, 32'h401c0867,32'h402c7513, 32'h4013d210,32'h4034ab6a,// invsqrt(0.1518) = 2.5663 +32'h3ee035fd,32'h3fbd8ee9,32'h3fc54b99, 32'h3fb7c164,32'h3fcb191e, 32'h3fae1587,32'h3fd4c4fb,// invsqrt(0.4379) = 1.5111 +32'h3eed097b,32'h3fb85bc0,32'h3fbfe21c, 32'h3fb2b6fc,32'h3fc586e0, 32'h3fa94f09,32'h3fceeed3,// invsqrt(0.4630) = 1.4697 +32'h4051300c,32'h3f0ac47f,32'h3f106e7a, 32'h3f068504,32'h3f14adf6, 32'h3efee114,32'h3f1bc270,// invsqrt(3.2686) = 0.5531 +32'h3f567b59,32'h3f890b53,32'h3f8ea34c, 32'h3f84d959,32'h3f92d547, 32'h3f7bb6c3,32'h3f99d33e,// invsqrt(0.8378) = 1.0925 +32'h3f209f38,32'h3f9e5cea,32'h3fa4d3a6, 32'h3f9983de,32'h3fa9acb2, 32'h3f916f74,32'h3fb1c11c,// invsqrt(0.6274) = 1.2625 +32'h3f142835,32'h3fa4e3e4,32'h3fab9ed4, 32'h3f9fd7b1,32'h3fb0ab07, 32'h3f976e06,32'h3fb914b2,// invsqrt(0.5787) = 1.3145 +32'h3f96bccc,32'h3f672f76,32'h3f709f1c, 32'h3f601bb9,32'h3f77b2d9, 32'h3f545028,32'h3f81bf35,// invsqrt(1.1776) = 0.9215 +32'h3f2a9d83,32'h3f99a7b7,32'h3f9fed41, 32'h3f94f38f,32'h3fa4a169, 32'h3f8d1ca3,32'h3fac7855,// invsqrt(0.6665) = 1.2249 +32'h3d8f1de3,32'h406d42bd,32'h4076f1df, 32'h4065ff64,32'h407e3538, 32'h4059e479,32'h40852811,// invsqrt(0.0699) = 3.7829 +32'h3f461ae6,32'h3f8e98a7,32'h3f946aa3, 32'h3f8a3b2a,32'h3f98c820, 32'h3f82f4ae,32'h3fa00e9c,// invsqrt(0.7738) = 1.1368 +32'h3f07b452,32'h3fac4a24,32'h3fb35264, 32'h3fa703f4,32'h3fb89894, 32'h3f9e39a4,32'h3fc162e4,// invsqrt(0.5301) = 1.3735 +32'h3f46eb10,32'h3f8e4df6,32'h3f941ce6, 32'h3f89f2c2,32'h3f98781a, 32'h3f82b016,32'h3f9fbac6,// invsqrt(0.7770) = 1.1344 +32'h3d4fe436,32'h408b3313,32'h4090e191, 32'h4086f034,32'h40952470, 32'h407fac2d,32'h409c3e8d,// invsqrt(0.0508) = 4.4388 +32'h3ef4232b,32'h3fb5a861,32'h3fbd1285, 32'h3fb018c7,32'h3fc2a21f, 32'h3fa6d41b,32'h3fcbe6cb,// invsqrt(0.4768) = 1.4482 +32'h40b16950,32'h3ed51934,32'h3eddcbde, 32'h3ece9335,32'h3ee451dd, 32'h3ec3b3e2,32'h3eef3130,// invsqrt(5.5441) = 0.4247 +32'h3fa91033,32'h3f5a4bdb,32'h3f6334d4, 32'h3f539d1f,32'h3f69e38f, 32'h3f4879e8,32'h3f7506c6,// invsqrt(1.3208) = 0.8701 +32'h426f9783,32'h3e01aa08,32'h3e06f4e3, 32'h3dfb63c5,32'h3e0aed08, 32'h3dee289f,32'h3e118a9a,// invsqrt(59.8980) = 0.1292 +32'h3e83263c,32'h3ff7d975,32'h4000fb9e, 32'h3ff04320,32'h4004c6c8, 32'h3fe39de9,32'h400b1964,// invsqrt(0.2562) = 1.9758 +32'h3d3870e4,32'h4093c8b2,32'h4099d0e4, 32'h408f428e,32'h409e5708, 32'h4087b850,32'h40a5e146,// invsqrt(0.0450) = 4.7125 +32'h3f65b743,32'h3f846c10,32'h3f89d3bd, 32'h3f805e4e,32'h3f8de17e, 32'h3f73396b,32'h3f94a317,// invsqrt(0.8973) = 1.0557 +32'h3dba7375,32'h404fde55,32'h40585a59, 32'h40498153,32'h405eb75b, 32'h403ee64e,32'h40695260,// invsqrt(0.0910) = 3.3142 +32'h3f8605be,32'h3f752dae,32'h3f7f2f8c, 32'h3f6dac48,32'h3f835879, 32'h3f6129f4,32'h3f8999a3,// invsqrt(1.0471) = 0.9773 +32'h3f27bdaf,32'h3f9af74d,32'h3fa14a89, 32'h3f9638df,32'h3fa608f7, 32'h3f8e50d4,32'h3fadf102,// invsqrt(0.6552) = 1.2354 +32'h4194e062,32'h3e68a037,32'h3e721eeb, 32'h3e618130,32'h3e793df2, 32'h3e55a2cf,32'h3e828e29,// invsqrt(18.6096) = 0.2318 +32'h3f3f6eee,32'h3f910f53,32'h3f96fb0d, 32'h3f8c9e87,32'h3f9b6bd9, 32'h3f8537de,32'h3fa2d282,// invsqrt(0.7478) = 1.1564 +32'h40919a7a,32'h3eeb39d8,32'h3ef4d3b6, 32'h3ee40670,32'h3efc071e, 32'h3ed8061a,32'h3f0403ba,// invsqrt(4.5501) = 0.4688 +32'h3f175e92,32'h3fa32195,32'h3fa9ca23, 32'h3f9e232b,32'h3faec88d, 32'h3f95d079,32'h3fb71b3f,// invsqrt(0.5913) = 1.3005 +32'h3fb518c0,32'h3f52eb46,32'h3f5b872a, 32'h3f4c765c,32'h3f61fc14, 32'h3f41b37f,32'h3f6cbef1,// invsqrt(1.4148) = 0.8407 +32'h3f42e7e7,32'h3f8fc307,32'h3f95a131, 32'h3f8b5c67,32'h3f9a07d1, 32'h3f8406b3,32'h3fa15d85,// invsqrt(0.7614) = 1.1461 +32'h3f19e8ea,32'h3fa1c77e,32'h3fa861ec, 32'h3f9cd3ac,32'h3fad55be, 32'h3f9492a3,32'h3fb596c7,// invsqrt(0.6012) = 1.2897 +32'h3e216aa4,32'h401df901,32'h40246ba9, 32'h40192304,32'h402941a6, 32'h401113b3,32'h403150f7,// invsqrt(0.1576) = 2.5187 +32'h3ec8a15c,32'h3fc86374,32'h3fd0914e, 32'h3fc2410f,32'h3fd6b3b3, 32'h3fb807be,32'h3fe0ed04,// invsqrt(0.3919) = 1.5975 +32'h3e422176,32'h40100c6e,32'h4015ed98, 32'h400ba390,32'h401a5676, 32'h40044a1c,32'h4021afea,// invsqrt(0.1896) = 2.2967 +32'h3fb59f1c,32'h3f529d33,32'h3f5b35e7, 32'h3f4c2aac,32'h3f61a86e, 32'h3f416bcc,32'h3f6c674e,// invsqrt(1.4189) = 0.8395 +32'h407bf2f0,32'h3efce38d,32'h3f039afe, 32'h3ef525ba,32'h3f0779e7, 32'h3ee83eb0,32'h3f0ded6c,// invsqrt(3.9367) = 0.5040 +32'h3fdc4333,32'h3f3f3fec,32'h3f470e49, 32'h3f396526,32'h3f4ce90e, 32'h3f2fa331,32'h3f56ab03,// invsqrt(1.7208) = 0.7623 +32'h401faa96,32'h3f1ed60d,32'h3f2551ba, 32'h3f19f94c,32'h3f2a2e7c, 32'h3f11deb4,32'h3f324914,// invsqrt(2.4948) = 0.6331 +32'h3f1d4272,32'h3fa00c08,32'h3fa6945b, 32'h3f9b25c8,32'h3fab7a9a, 32'h3f92fb5f,32'h3fb3a503,// invsqrt(0.6143) = 1.2759 +32'h3e14fda4,32'h40246d9f,32'h402b23bb, 32'h401f650b,32'h40302c4f, 32'h40170168,32'h40388ff2,// invsqrt(0.1455) = 2.6216 +32'h3e3e7933,32'h40116cc7,32'h40175c52, 32'h400cf920,32'h401bcffa, 32'h40058db2,32'h40233b68,// invsqrt(0.1860) = 2.3186 +32'h3e17a6c5,32'h4022fabb,32'h4029a1b3, 32'h401dfd81,32'h402e9eed, 32'h4015accb,32'h4036efa3,// invsqrt(0.1481) = 2.5985 +32'h3f4c19b4,32'h3f8c7c81,32'h3f923871, 32'h3f882f8d,32'h3f968565, 32'h3f8104a0,32'h3f9db052,// invsqrt(0.7973) = 1.1199 +32'h3f25b63d,32'h3f9be971,32'h3fa24690, 32'h3f97239b,32'h3fa70c67, 32'h3f8f2f34,32'h3faf00ce,// invsqrt(0.6473) = 1.2429 +32'h3fd4f43c,32'h3f4280f9,32'h3f4a7157, 32'h3f3c8cb3,32'h3f50659d, 32'h3f32a03e,32'h3f5a5212,// invsqrt(1.6637) = 0.7753 +32'h3f59407f,32'h3f882af0,32'h3f8db9c1, 32'h3f83ffd5,32'h3f91e4dd, 32'h3f7a1aa0,32'h3f98d762,// invsqrt(0.8486) = 1.0855 +32'h3fa566cb,32'h3f5cb30f,32'h3f65b525, 32'h3f55f17f,32'h3f6c76b5, 32'h3f4aaee4,32'h3f77b950,// invsqrt(1.2922) = 0.8797 +32'h3e667cc8,32'h40043346,32'h400998a2, 32'h40002741,32'h400da4a7, 32'h3ff2d11e,32'h40146359,// invsqrt(0.2251) = 2.1078 +32'h3f6c3b83,32'h3f829537,32'h3f87e9ad, 32'h3f7d2bbf,32'h3f8be904, 32'h3f6fd89a,32'h3f929297,// invsqrt(0.9228) = 1.0410 +32'h3f2e4970,32'h3f98072e,32'h3f9e3bb8, 32'h3f935fc7,32'h3fa2e31f, 32'h3f8b9e1b,32'h3faaa4cb,// invsqrt(0.6808) = 1.2120 +32'h41075038,32'h3eac89d3,32'h3eb394ad, 32'h3ea741b0,32'h3eb8dcd0, 32'h3e9e7420,32'h3ec1aa60,// invsqrt(8.4571) = 0.3439 +32'h3ea13f51,32'h3fdf8639,32'h3fe8a5d3, 32'h3fd8ae86,32'h3fef7d86, 32'h3fcd4705,32'h3ffae507,// invsqrt(0.3149) = 1.7819 +32'h3f933f5b,32'h3f69e8ba,32'h3f7374d6, 32'h3f62bfa4,32'h3f7a9dec, 32'h3f56d081,32'h3f834688,// invsqrt(1.1504) = 0.9324 +32'h409295c0,32'h3eea6fe5,32'h3ef40185, 32'h3ee342ac,32'h3efb2ebe, 32'h3ed74ca3,32'h3f039264,// invsqrt(4.5808) = 0.4672 +32'h40624ca9,32'h3f056aff,32'h3f0add13, 32'h3f01556f,32'h3f0ef2a3, 32'h3ef50daa,32'h3f15c13d,// invsqrt(3.5359) = 0.5318 +32'h3f02936b,32'h3fafa3f6,32'h3fb6cf3a, 32'h3faa4384,32'h3fbc2fac, 32'h3fa14d6f,32'h3fc525c1,// invsqrt(0.5101) = 1.4002 +32'h3fb27579,32'h3f5478dd,32'h3f5d24fb, 32'h3f4df7c7,32'h3f63a611, 32'h3f4320a1,32'h3f6e7d37,// invsqrt(1.3942) = 0.8469 +32'h3f60a72f,32'h3f85e7ec,32'h3f8b5f1a, 32'h3f81ce89,32'h3f8f787d, 32'h3f75f31f,32'h3f964d76,// invsqrt(0.8776) = 1.0675 +32'h3f755502,32'h3f802366,32'h3f855e50, 32'h3f786e6d,32'h3f894a80, 32'h3f6b5b24,32'h3f8fd424,// invsqrt(0.9583) = 1.0215 +32'h3eeef7e7,32'h3fb79ca5,32'h3fbf1b34, 32'h3fb1fdbb,32'h3fc4ba1f, 32'h3fa89f88,32'h3fce1852,// invsqrt(0.4667) = 1.4637 +32'h40d154df,32'h3ec42df6,32'h3ecc2fd6, 32'h3ebe2c8e,32'h3ed2313e, 32'h3eb42a35,32'h3edc3397,// invsqrt(6.5416) = 0.3910 +32'h3fa93fd9,32'h3f5a2d1e,32'h3f6314d6, 32'h3f537f54,32'h3f69c2a0, 32'h3f485dae,32'h3f74e446,// invsqrt(1.3223) = 0.8696 +32'h3e4cf772,32'h400c306e,32'h4011e944, 32'h4007e5ce,32'h401633e4, 32'h4000bec3,32'h401d5aef,// invsqrt(0.2002) = 2.2352 +32'h401cc6c0,32'h3f204b1e,32'h3f26d606, 32'h3f1b62f1,32'h3f2bbe33, 32'h3f133550,32'h3f33ebd4,// invsqrt(2.4496) = 0.6389 +32'h40ad0a03,32'h3ed7c61e,32'h3ee094bc, 32'h3ed12b28,32'h3ee72fb2, 32'h3ec628e2,32'h3ef231f8,// invsqrt(5.4075) = 0.4300 +32'h40f01376,32'h3eb73016,32'h3ebeaa36, 32'h3eb1947e,32'h3ec445ce, 32'h3ea83bd5,32'h3ecd9e77,// invsqrt(7.5024) = 0.3651 +32'h403af3eb,32'h3f12c9af,32'h3f18c777, 32'h3f0e4b58,32'h3f1d45ce, 32'h3f06ce1e,32'h3f24c308,// invsqrt(2.9211) = 0.5851 +32'h404e7463,32'h3f0baedc,32'h3f116268, 32'h3f076834,32'h3f15a910, 32'h3f0047c5,32'h3f1cc97f,// invsqrt(3.2259) = 0.5568 +32'h3f832f16,32'h3f77d118,32'h3f80f744, 32'h3f703b06,32'h3f84c24d, 32'h3f63963b,32'h3f8b14b2,// invsqrt(1.0249) = 0.9878 +32'h402cc9f9,32'h3f18af83,32'h3f1eeaeb, 32'h3f1402f4,32'h3f23977a, 32'h3f0c38b2,32'h3f2b61bc,// invsqrt(2.6998) = 0.6086 +32'h4037f633,32'h3f13f9f2,32'h3f1a0426, 32'h3f0f724b,32'h3f1e8bcd, 32'h3f07e58b,32'h3f26188d,// invsqrt(2.8744) = 0.5898 +32'h3f4943fe,32'h3f8d78e5,32'h3f933f23, 32'h3f892437,32'h3f9793d1, 32'h3f81ec6a,32'h3f9ecb9e,// invsqrt(0.7862) = 1.1278 +32'h3f9d6778,32'h3f623c93,32'h3f6b7885, 32'h3f5b4f9f,32'h3f726579, 32'h3f4fc4b1,32'h3f7df067,// invsqrt(1.2297) = 0.9018 +32'h3f7cd5f4,32'h3f7c71ec,32'h3f835fdc, 32'h3f74b794,32'h3f873d08, 32'h3f67d657,32'h3f8dada7,// invsqrt(0.9876) = 1.0062 +32'h3f42b786,32'h3f8fd4e2,32'h3f95b3c6, 32'h3f8b6db6,32'h3f9a1af2, 32'h3f841718,32'h3fa17190,// invsqrt(0.7606) = 1.1466 +32'h3fe9f681,32'h3f3990cd,32'h3f4123c5, 32'h3f33e292,32'h3f46d200, 32'h3f2a6adb,32'h3f5049b7,// invsqrt(1.8278) = 0.7397 +32'h3e9bbfcb,32'h3fe36f79,32'h3fecb7f0, 32'h3fdc791e,32'h3ff3ae4a, 32'h3fd0de88,32'h3fff48e0,// invsqrt(0.3042) = 1.8131 +32'h3e8402c0,32'h3ff70a1c,32'h40008fb6, 32'h3fef7a21,32'h400457b4, 32'h3fe2df7d,32'h400aa505,// invsqrt(0.2578) = 1.9694 +32'h408ce010,32'h3eef240c,32'h3ef8e6d2, 32'h3ee7d1f6,32'h3f001c74, 32'h3edb9e7e,32'h3f063630,// invsqrt(4.4024) = 0.4766 +32'h3f859209,32'h3f7597c9,32'h3f7f9dfb, 32'h3f6e1324,32'h3f839150, 32'h3f618b65,32'h3f89d530,// invsqrt(1.0435) = 0.9789 +32'h3f5e79b1,32'h3f868f4a,32'h3f8c0d4c, 32'h3f8270c7,32'h3f902bcf, 32'h3f772687,32'h3f970952,// invsqrt(0.8690) = 1.0727 +32'h3eaa7d7a,32'h3fd96183,32'h3fe240eb, 32'h3fd2b9f4,32'h3fe8e87a, 32'h3fc7a2b2,32'h3ff3ffbd,// invsqrt(0.3330) = 1.7329 +32'h3f59f0b9,32'h3f87f3d8,32'h3f8d8068, 32'h3f83ca6b,32'h3f91a9d5, 32'h3f79b56d,32'h3f98998a,// invsqrt(0.8513) = 1.0838 +32'h3fec7e22,32'h3f389208,32'h3f401a9b, 32'h3f32eb9b,32'h3f45c109, 32'h3f2980e3,32'h3f4f2bc1,// invsqrt(1.8476) = 0.7357 +32'h40d7260c,32'h3ec1825f,32'h3ec96858, 32'h3ebb95e3,32'h3ecf54d3, 32'h3eb1b66c,32'h3ed9344b,// invsqrt(6.7234) = 0.3857 +32'h3ead5c97,32'h3fd792b4,32'h3fe05f38, 32'h3fd0f950,32'h3fe6f89c, 32'h3fc5f9aa,32'h3ff1f842,// invsqrt(0.3386) = 1.7185 +32'h3fbc6dbf,32'h3f4ec656,32'h3f5736ec, 32'h3f4871e6,32'h3f5d8b5c, 32'h3f3de52a,32'h3f681818,// invsqrt(1.4721) = 0.8242 +32'h3e79cec6,32'h3ffdf86c,32'h40042b14, 32'h3ff63220,32'h40080e3a, 32'h3fe93cf6,32'h400e88cf,// invsqrt(0.2440) = 2.0246 +32'h4066007a,32'h3f0456fb,32'h3f09bdcb, 32'h3f0049de,32'h3f0dcae8, 32'h3ef312b2,32'h3f148b6d,// invsqrt(3.5938) = 0.5275 +32'h3e3c56f4,32'h40123f13,32'h40183733, 32'h400dc4fb,32'h401cb14b, 32'h40064ed3,32'h40242773,// invsqrt(0.1839) = 2.3317 +32'h3db32c30,32'h40540c6b,32'h405cb41b, 32'h404d8ea6,32'h406331e0, 32'h4042bd09,32'h406e037d,// invsqrt(0.0875) = 3.3809 +32'h40c956c4,32'h3ec80919,32'h3ed03343, 32'h3ec1e978,32'h3ed652e4, 32'h3eb7b4c3,32'h3ee08799,// invsqrt(6.2918) = 0.3987 +32'h3ec39a39,32'h3fcaf295,32'h3fd33b2e, 32'h3fc4bc24,32'h3fd971a0, 32'h3fba6165,32'h3fe3cc5f,// invsqrt(0.3820) = 1.6179 +32'h40b5dc62,32'h3ed279b5,32'h3edb10f6, 32'h3ecc0845,32'h3ee18267, 32'h3ec14b34,32'h3eec3f78,// invsqrt(5.6832) = 0.4195 +32'h3f4910f2,32'h3f8d8ada,32'h3f9351d3, 32'h3f89359e,32'h3f97a70e, 32'h3f81fce7,32'h3f9edfc5,// invsqrt(0.7854) = 1.1284 +32'h3fcd0452,32'h3f463bae,32'h3f4e5304, 32'h3f402a2e,32'h3f546484, 32'h3f360d03,32'h3f5e81af,// invsqrt(1.6017) = 0.7902 +32'h3eaa4abc,32'h3fd981e3,32'h3fe2629e, 32'h3fd2d957,32'h3fe90b2b, 32'h3fc7c06e,32'h3ff42414,// invsqrt(0.3326) = 1.7340 +32'h3f7a6799,32'h3f7daae0,32'h3f8402b8, 32'h3f75e6f3,32'h3f87e4af, 32'h3f68f5be,32'h3f8e5d49,// invsqrt(0.9781) = 1.0111 +32'h3f4505fb,32'h3f8efcb9,32'h3f94d2cb, 32'h3f8a9c2c,32'h3f993358, 32'h3f835095,32'h3fa07eef,// invsqrt(0.7696) = 1.1399 +32'h3e488c43,32'h400db9a4,32'h40138286, 32'h400962fa,32'h4017d930, 32'h400227e0,32'h401f144a,// invsqrt(0.1958) = 2.2596 +32'h3e00c663,32'h4030dd47,32'h40381555, 32'h402b733d,32'h403d7f5f, 32'h40226d2d,32'h4046856f,// invsqrt(0.1258) = 2.8199 +32'h3e4cdc36,32'h400c39bf,32'h4011f2f6, 32'h4007eed6,32'h40163de0, 32'h4000c752,32'h401d6564,// invsqrt(0.2001) = 2.2357 +32'h3e0cb344,32'h40293405,32'h40301c05, 32'h40240605,32'h40354a05, 32'h401b6404,32'h403dec06,// invsqrt(0.1374) = 2.6978 +32'h3dd95788,32'h404087c7,32'h40486386, 32'h403aa2f8,32'h404e4856, 32'h4030d04a,32'h40581b05,// invsqrt(0.1061) = 3.0697 +32'h3f3317c0,32'h3f95f96f,32'h3f9c1883, 32'h3f916220,32'h3fa0afd2, 32'h3f89bb46,32'h3fa856ac,// invsqrt(0.6996) = 1.1956 +32'h3f9e23e1,32'h3f61b5a6,32'h3f6aec15, 32'h3f5accd2,32'h3f71d4e8, 32'h3f4f48c7,32'h3f7d58f3,// invsqrt(1.2355) = 0.8997 +32'h414f0d5b,32'h3e8b7b3a,32'h3e912caa, 32'h3e873626,32'h3e9571be, 32'h3e80185a,32'h3e9c8f8a,// invsqrt(12.9408) = 0.2780 +32'h3f75a9b0,32'h3f800d4e,32'h3f854752, 32'h3f784398,32'h3f8932d4, 32'h3f6b3290,32'h3f8fbb58,// invsqrt(0.9596) = 1.0208 +32'h3fbf517b,32'h3f4d3511,32'h3f559546, 32'h3f46ecea,32'h3f5bdd6e, 32'h3f3c74a8,32'h3f6655b0,// invsqrt(1.4947) = 0.8180 +32'h408693c5,32'h3ef4ac2c,32'h3efea8c0, 32'h3eed2ebd,32'h3f031318, 32'h3ee0b304,32'h3f0950f4,// invsqrt(4.2055) = 0.4876 +32'h3f0d0ecd,32'h3fa8fd16,32'h3fafe2d8, 32'h3fa3d0c4,32'h3fb50f2a, 32'h3f9b3192,32'h3fbdae5d,// invsqrt(0.5510) = 1.3472 +32'h3edc1b07,32'h3fbf515f,32'h3fc72073, 32'h3fb97611,32'h3fccfbc1, 32'h3fafb338,32'h3fd6be9a,// invsqrt(0.4299) = 1.5252 +32'h3f6e013a,32'h3f821884,32'h3f8767e3, 32'h3f7c39fc,32'h3f8b636a, 32'h3f6ef391,32'h3f9206a0,// invsqrt(0.9297) = 1.0371 +32'h40248d66,32'h3f1c75d2,32'h3f22d8ac, 32'h3f17abaf,32'h3f27a2cf, 32'h3f0fb01f,32'h3f2f9e5f,// invsqrt(2.5711) = 0.6236 +32'h400de9fc,32'h3f287a63,32'h3f2f5ad0, 32'h3f235212,32'h3f348322, 32'h3f1ab98b,32'h3f3d1ba9,// invsqrt(2.2174) = 0.6715 +32'h3fa6820f,32'h3f5bf705,32'h3f64f16d, 32'h3f553b36,32'h3f6bad3c, 32'h3f4a0233,32'h3f76e63f,// invsqrt(1.3008) = 0.8768 +32'h418a9944,32'h3e7118c8,32'h3e7af000, 32'h3e69b75f,32'h3e8128b4, 32'h3e5d6a5a,32'h3e874f37,// invsqrt(17.3248) = 0.2403 +32'h3fc39da9,32'h3f4af0cd,32'h3f533953, 32'h3f44ba69,32'h3f596fb7, 32'h3f3a5fc2,32'h3f63ca5e,// invsqrt(1.5282) = 0.8089 +32'h3f1c6db7,32'h3fa078b6,32'h3fa7057a, 32'h3f9b8f24,32'h3fabef0c, 32'h3f935f2f,32'h3fb41f01,// invsqrt(0.6110) = 1.2793 +32'h3fdbe75d,32'h3f3f67d7,32'h3f4737d5, 32'h3f398bd8,32'h3f4d13d4, 32'h3f2fc7da,32'h3f56d7d2,// invsqrt(1.7180) = 0.7629 +32'h4000bcb1,32'h3f30e3f0,32'h3f381c42, 32'h3f2b79b1,32'h3f3d8681, 32'h3f22734a,32'h3f468ce8,// invsqrt(2.0115) = 0.7051 +32'h3f0b4eb1,32'h3faa0c08,32'h3fb0fcd9, 32'h3fa4d76a,32'h3fb63176, 32'h3f9c2a65,32'h3fbede7b,// invsqrt(0.5442) = 1.3556 +32'h3f82184a,32'h3f78da15,32'h3f81812a, 32'h3f713be6,32'h3f855042, 32'h3f648996,32'h3f8ba96a,// invsqrt(1.0164) = 0.9919 +32'h3f7ee86f,32'h3f7b6ab5,32'h3f82d6e1, 32'h3f73b86c,32'h3f86b006, 32'h3f66e49c,32'h3f8d19ee,// invsqrt(0.9957) = 1.0021 +32'h40512fab,32'h3f0ac49f,32'h3f106e9b, 32'h3f068522,32'h3f14ae18, 32'h3efee14e,32'h3f1bc293,// invsqrt(3.2685) = 0.5531 +32'h3f87e779,32'h3f7379a2,32'h3f7d69b4, 32'h3f6c0596,32'h3f826ee0, 32'h3f5f9980,32'h3f88a4eb,// invsqrt(1.0618) = 0.9705 +32'h4086c98d,32'h3ef47b57,32'h3efe75ed, 32'h3eecff67,32'h3f02f8ef, 32'h3ee0862b,32'h3f09358c,// invsqrt(4.2121) = 0.4872 +32'h41eb51e1,32'h3e3907a3,32'h3e409503, 32'h3e335d9c,32'h3e463f0a, 32'h3e29ece4,32'h3e4fafc2,// invsqrt(29.4150) = 0.1844 +32'h3f79cf8d,32'h3f7df807,32'h3f842adf, 32'h3f7631bd,32'h3f880e03, 32'h3f693c98,32'h3f8e8896,// invsqrt(0.9758) = 1.0123 +32'h4120bfc9,32'h3e9e4cdf,32'h3ea4c2f3, 32'h3e997451,32'h3ea99b81, 32'h3e9160b8,32'h3eb1af1a,// invsqrt(10.0468) = 0.3155 +32'h3f979fa2,32'h3f668246,32'h3f6feadc, 32'h3f5f73d7,32'h3f76f94b, 32'h3f53b11c,32'h3f815e03,// invsqrt(1.1846) = 0.9188 +32'h3f07978c,32'h3fac5c6b,32'h3fb3656b, 32'h3fa715ac,32'h3fb8ac2a, 32'h3f9e4a6d,32'h3fc17769,// invsqrt(0.5297) = 1.3741 +32'h3e8a54eb,32'h3ff15451,32'h3ffb2df6, 32'h3fe9f114,32'h40014899, 32'h3fdda106,32'h400770a0,// invsqrt(0.2702) = 1.9239 +32'h41c82980,32'h3e489f6a,32'h3e50cfb8, 32'h3e427b30,32'h3e56f3f2, 32'h3e383ecf,32'h3e613053,// invsqrt(25.0203) = 0.1999 +32'h40a36eb6,32'h3ede0666,32'h3ee71655, 32'h3ed73a72,32'h3eede248, 32'h3ecbe687,32'h3ef93633,// invsqrt(5.1073) = 0.4425 +32'h3fc67148,32'h3f497d76,32'h3f51b6d4, 32'h3f435270,32'h3f57e1da, 32'h3f390abb,32'h3f62298f,// invsqrt(1.5503) = 0.8031 +32'h3f704de5,32'h3f8178ca,32'h3f86c1a3, 32'h3f7b044d,32'h3f8ab846, 32'h3f6dce2e,32'h3f915355,// invsqrt(0.9387) = 1.0321 +32'h3f076d4d,32'h3fac774b,32'h3fb38163, 32'h3fa72fb9,32'h3fb8c8f5, 32'h3f9e631b,32'h3fc19593,// invsqrt(0.5290) = 1.3749 +32'h3ee68b3c,32'h3fbaefc2,32'h3fc2910e, 32'h3fb536c9,32'h3fc84a07, 32'h3fabad2a,32'h3fd1d3a6,// invsqrt(0.4503) = 1.4902 +32'h41165c3f,32'h3ea3ad7b,32'h3eaa5bbe, 32'h3e9eaac7,32'h3eaf5e71, 32'h3e9650f3,32'h3eb7b845,// invsqrt(9.3975) = 0.3262 +32'h4365e9bd,32'h3d845d86,32'h3d89c49b, 32'h3d805036,32'h3d8dd1ea, 32'h3d731eb6,32'h3d9492c5,// invsqrt(229.9130) = 0.0660 +32'h40081860,32'h3f2c0ac4,32'h3f33106e, 32'h3f26c685,32'h3f3854ad, 32'h3f1dff70,32'h3f411bc2,// invsqrt(2.1265) = 0.6858 +32'h3f4cf0c8,32'h3f8c32b6,32'h3f91eba3, 32'h3f87e803,32'h3f963655, 32'h3f80c0db,32'h3f9d5d7d,// invsqrt(0.8005) = 1.1177 +32'h3d9e617a,32'h406189bd,32'h406abe61, 32'h405aa241,32'h4071a5dd, 32'h404f2074,32'h407d27aa,// invsqrt(0.0773) = 3.5960 +32'h3ecd0836,32'h3fc639cc,32'h3fce510e, 32'h3fc0285b,32'h3fd4627f, 32'h3fb60b48,32'h3fde7f92,// invsqrt(0.4005) = 1.5802 +32'h3e81a437,32'h3ff94963,32'h4001bb17, 32'h3ff1a7cc,32'h40058be3, 32'h3fe4efce,32'h400be7e2,// invsqrt(0.2532) = 1.9873 +32'h3fff9fab,32'h3f31878c,32'h3f38c68c, 32'h3f2c184b,32'h3f3e35cd, 32'h3f23098b,32'h3f47448d,// invsqrt(1.9971) = 0.7076 +32'h3f37321c,32'h3f94490f,32'h3f9a567d, 32'h3f8fbefc,32'h3f9ee090, 32'h3f882e32,32'h3fa6715a,// invsqrt(0.7156) = 1.1821 +32'h3ec8f37d,32'h3fc83a7c,32'h3fd066ab, 32'h3fc21959,32'h3fd687cf, 32'h3fb7e21f,32'h3fe0bf09,// invsqrt(0.3925) = 1.5962 +32'h3f265975,32'h3f9b9ce1,32'h3fa1f6e0, 32'h3f96d963,32'h3fa6ba5f, 32'h3f8ee8e4,32'h3faeaade,// invsqrt(0.6498) = 1.2405 +32'h3fb41452,32'h3f538393,32'h3f5c25ad, 32'h3f4d09fe,32'h3f629f42, 32'h3f423f5d,32'h3f6d69e3,// invsqrt(1.4069) = 0.8431 +32'h3f3f22af,32'h3f912c3f,32'h3f971927, 32'h3f8cba90,32'h3f9b8ad6, 32'h3f85526e,32'h3fa2f2f8,// invsqrt(0.7466) = 1.1573 +32'h3fcbca2f,32'h3f46d43c,32'h3f4ef1cc, 32'h3f40be11,32'h3f5507f7, 32'h3f36991d,32'h3f5f2ceb,// invsqrt(1.5921) = 0.7925 +32'h3fb87139,32'h3f50ff53,32'h3f598722, 32'h3f4a9977,32'h3f5fecfd, 32'h3f3fefb4,32'h3f6a96c0,// invsqrt(1.4410) = 0.8331 +32'h4151bcb8,32'h3e8a95ee,32'h3e903e02, 32'h3e8657df,32'h3e947c11, 32'h3e7e8b8b,32'h3e9b8e2a,// invsqrt(13.1086) = 0.2762 +32'h3f690c00,32'h3f8378eb,32'h3f88d6ab, 32'h3f7ee535,32'h3f8cdcfc, 32'h3f717ad4,32'h3f93922c,// invsqrt(0.9103) = 1.0481 +32'h3e5e6a8c,32'h400693de,32'h400c1211, 32'h40027538,32'h401030b8, 32'h3ff72ef2,32'h40170e77,// invsqrt(0.2172) = 2.1457 +32'h3f71c867,32'h3f81134a,32'h3f8657fe, 32'h3f7a3f84,32'h3f8a4b86, 32'h3f6d13c1,32'h3f90e168,// invsqrt(0.9445) = 1.0290 +32'h40cd69e4,32'h3ec60aa5,32'h3ece1ffb, 32'h3ebffaa6,32'h3ed42ffa, 32'h3eb5dffb,32'h3ede4aa5,// invsqrt(6.4192) = 0.3947 +32'h3f3ed477,32'h3f9149fd,32'h3f97381c, 32'h3f8cd765,32'h3f9baab3, 32'h3f856dbe,32'h3fa3145a,// invsqrt(0.7454) = 1.1582 +32'h3f7cc5bc,32'h3f7c7a05,32'h3f836412, 32'h3f74bf6d,32'h3f87415e, 32'h3f67ddc5,32'h3f8db231,// invsqrt(0.9874) = 1.0064 +32'h40064ea4,32'h3f2d2ef7,32'h3f34408e, 32'h3f27e1c5,32'h3f398dbf, 32'h3f1f0bc8,32'h3f4263bc,// invsqrt(2.0985) = 0.6903 +32'h3feb956f,32'h3f38ed1a,32'h3f407964, 32'h3f3343e2,32'h3f46229c, 32'h3f29d486,32'h3f4f91f9,// invsqrt(1.8405) = 0.7371 +32'h415e4d65,32'h3e869cb1,32'h3e8c1b41, 32'h3e827dc6,32'h3e903a2c, 32'h3e773f27,32'h3e97185f,// invsqrt(13.8939) = 0.2683 +32'h3ec633e9,32'h3fc99ca5,32'h3fd1d749, 32'h3fc370ab,32'h3fd80343, 32'h3fb9275e,32'h3fe24c90,// invsqrt(0.3871) = 1.6072 +32'h3edaa607,32'h3fbff44a,32'h3fc7ca04, 32'h3fba13ff,32'h3fcdaa4f, 32'h3fb048d6,32'h3fd77578,// invsqrt(0.4270) = 1.5302 +32'h3f51a55f,32'h3f8a9da6,32'h3f90460a, 32'h3f865f5a,32'h3f948456, 32'h3f7e99b8,32'h3f9b96d4,// invsqrt(0.8189) = 1.1050 +32'h3f05fda7,32'h3fad6346,32'h3fb47700, 32'h3fa8147b,32'h3fb9c5cb, 32'h3f9f3bd3,32'h3fc29e73,// invsqrt(0.5234) = 1.3822 +32'h3f338616,32'h3f95cb52,32'h3f9be884, 32'h3f91356c,32'h3fa07e6a, 32'h3f8990ed,32'h3fa822e9,// invsqrt(0.7013) = 1.1942 +32'h3fbd4436,32'h3f4e510e,32'h3f56bcda, 32'h3f480035,32'h3f5d0db3, 32'h3f3d7975,32'h3f679473,// invsqrt(1.4786) = 0.8224 +32'h42282666,32'h3e1ac705,32'h3e211849, 32'h3e160a12,32'h3e25d53c, 32'h3e0e247d,32'h3e2dbad1,// invsqrt(42.0375) = 0.1542 +32'h3f2d0b1f,32'h3f9892c2,32'h3f9eccfe, 32'h3f93e715,32'h3fa378ab, 32'h3f8c1e4a,32'h3fab4176,// invsqrt(0.6760) = 1.2163 +32'h3fd3c011,32'h3f430e4e,32'h3f4b0471, 32'h3f3d15b5,32'h3f50fd0b, 32'h3f33220a,32'h3f5af0b6,// invsqrt(1.6543) = 0.7775 +32'h3e2965c4,32'h401a34d9,32'h40208025, 32'h40157c5f,32'h4025389f, 32'h400d9e3f,32'h402d16bf,// invsqrt(0.1654) = 2.4586 +32'h3f4208b3,32'h3f90159f,32'h3f95f728, 32'h3f8bac78,32'h3f9a604e, 32'h3f84528c,32'h3fa1ba3a,// invsqrt(0.7579) = 1.1486 +32'h3eba2acf,32'h3fd006e0,32'h3fd8848c, 32'h3fc9a8a0,32'h3fdee2cc, 32'h3fbf0b8a,32'h3fe97fe2,// invsqrt(0.3636) = 1.6584 +32'h3fa63975,32'h3f5c2709,32'h3f652367, 32'h3f5569c2,32'h3f6be0ae, 32'h3f4a2e4c,32'h3f771c24,// invsqrt(1.2986) = 0.8775 +32'h40007bf9,32'h3f311077,32'h3f384a9b, 32'h3f2ba4dc,32'h3f3db636, 32'h3f229c2e,32'h3f46bee4,// invsqrt(2.0076) = 0.7058 +32'h403cc56b,32'h3f121442,32'h3f180aa3, 32'h3f0d9b7a,32'h3f1c836c, 32'h3f062781,32'h3f23f765,// invsqrt(2.9495) = 0.5823 +32'h402622bd,32'h3f1bb680,32'h3f22118a, 32'h3f16f238,32'h3f26d5d2, 32'h3f0f006b,32'h3f2ec79f,// invsqrt(2.5959) = 0.6207 +32'h3efa5bfa,32'h3fb362e2,32'h3fbab54a, 32'h3fade515,32'h3fc03317, 32'h3fa4be14,32'h3fc95a18,// invsqrt(0.4890) = 1.4301 +32'h409833dc,32'h3ee611ed,32'h3eef75ec, 32'h3edf06ed,32'h3ef680eb, 32'h3ed349ee,32'h3f011ef5,// invsqrt(4.7563) = 0.4585 +32'h3ed8db6e,32'h3fc0bed6,32'h3fc89cd5, 32'h3fbad858,32'h3fce8354, 32'h3fb102da,32'h3fd858d2,// invsqrt(0.4235) = 1.5366 +32'h40494984,32'h3f0d76f4,32'h3f133d1e, 32'h3f092255,32'h3f1791bd, 32'h3f01eaa2,32'h3f1ec970,// invsqrt(3.1451) = 0.5639 +32'h3f5c690f,32'h3f873047,32'h3f8cb4dc, 32'h3f830cd7,32'h3f90d84b, 32'h3f784e38,32'h3f97be06,// invsqrt(0.8610) = 1.0777 +32'h4052ee5f,32'h3f0a3161,32'h3f0fd55b, 32'h3f05f666,32'h3f141056, 32'h3efdd2dc,32'h3f1b1d4e,// invsqrt(3.2958) = 0.5508 +32'h408447bc,32'h3ef6c9a9,32'h3f006e2c, 32'h3eef3ba6,32'h3f04352d, 32'h3ee2a44d,32'h3f0a80da,// invsqrt(4.1338) = 0.4918 +32'h3f70f065,32'h3f814d19,32'h3f86942a, 32'h3f7aaf9a,32'h3f8a8977, 32'h3f6d7df0,32'h3f91224c,// invsqrt(0.9412) = 1.0308 +32'h3f37297e,32'h3f944c8c,32'h3f9a5a1e, 32'h3f8fc25e,32'h3f9ee44c, 32'h3f883166,32'h3fa67544,// invsqrt(0.7155) = 1.1822 +32'h40c0035c,32'h3eccd5ee,32'h3ed53240, 32'h3ec690af,32'h3edb777f, 32'h3ebc1d48,32'h3ee5eae6,// invsqrt(6.0004) = 0.4082 +32'h3f5461b5,32'h3f89b85c,32'h3f8f5766, 32'h3f858116,32'h3f938eac, 32'h3f7cf495,32'h3f9a9578,// invsqrt(0.8296) = 1.0979 +32'h3edb12bb,32'h3fbfc4a4,32'h3fc7986c, 32'h3fb9e5ce,32'h3fcd7742, 32'h3fb01d14,32'h3fd73ffc,// invsqrt(0.4279) = 1.5288 +32'h402fdc4e,32'h3f1758a7,32'h3f1d8611, 32'h3f12b697,32'h3f222821, 32'h3f0afdd3,32'h3f29e0e5,// invsqrt(2.7478) = 0.6033 +32'h3f85b9c0,32'h3f75734e,32'h3f7f7803, 32'h3f6defc7,32'h3f837dc6, 32'h3f6169e5,32'h3f89c0b7,// invsqrt(1.0447) = 0.9784 +32'h3e7a8d50,32'h3ffd97c8,32'h4003f8c8, 32'h3ff5d470,32'h4007da74, 32'h3fe8e434,32'h400e5292,// invsqrt(0.2447) = 2.0216 +32'h3fae1582,32'h3f572017,32'h3f5fe7ee, 32'h3f508a35,32'h3f667dcf, 32'h3f459068,32'h3f71779c,// invsqrt(1.3600) = 0.8575 +32'h3e8cc919,32'h3fef378c,32'h3ff8fb1e, 32'h3fe7e4de,32'h400026e6, 32'h3fdbb066,32'h40064122,// invsqrt(0.2750) = 1.9070 +32'h3ee5f752,32'h3fbb2bd6,32'h3fc2cf96, 32'h3fb57106,32'h3fc88a66, 32'h3fabe457,32'h3fd21715,// invsqrt(0.4492) = 1.4921 +32'h401c75ab,32'h3f2074a2,32'h3f27013a, 32'h3f1b8b2f,32'h3f2beaad, 32'h3f135b70,32'h3f341a6c,// invsqrt(2.4447) = 0.6396 +32'h3f55e281,32'h3f893c42,32'h3f8ed63a, 32'h3f8508c8,32'h3f9309b4, 32'h3f7c10a3,32'h3f9a0a2b,// invsqrt(0.8355) = 1.0940 +32'h40df1719,32'h3ebe08a4,32'h3ec5ca4d, 32'h3eb83766,32'h3ecb9b8c, 32'h3eae8553,32'h3ed54d9f,// invsqrt(6.9716) = 0.3787 +32'h3f67bc85,32'h3f83d7f3,32'h3f893995, 32'h3f7f9d74,32'h3f8d42ce, 32'h3f722961,32'h3f93fcd8,// invsqrt(0.9052) = 1.0510 +32'h3ef9c1fa,32'h3fb39a27,32'h3fbaeed0, 32'h3fae1aa9,32'h3fc06e4f, 32'h3fa4f0d6,32'h3fc99822,// invsqrt(0.4878) = 1.4318 +32'h3f1315cb,32'h3fa57d6e,32'h3fac3ea2, 32'h3fa06c88,32'h3fb14f88, 32'h3f97fb07,32'h3fb9c109,// invsqrt(0.5746) = 1.3193 +32'h40104a1f,32'h3f2715df,32'h3f2de7bf, 32'h3f21f878,32'h3f330526, 32'h3f197221,32'h3f3b8b7d,// invsqrt(2.2545) = 0.6660 +32'h40b9b275,32'h3ed04a3f,32'h3ed8caaa, 32'h3ec9e9ee,32'h3edf2afa, 32'h3ebf4968,32'h3ee9cb80,// invsqrt(5.8030) = 0.4151 +32'h3f1df694,32'h3f9fb0ac,32'h3fa63546, 32'h3f9acd39,32'h3fab18b9, 32'h3f92a77a,32'h3fb33e78,// invsqrt(0.6170) = 1.2730 +32'h3f1a62bb,32'h3fa1879e,32'h3fa81f70, 32'h3f9c95c0,32'h3fad114e, 32'h3f9457fa,32'h3fb54f15,// invsqrt(0.6031) = 1.2877 +32'h3f8e22ac,32'h3f6e140c,32'h3f77cbb9, 32'h3f66ca4b,32'h3f7f157b, 32'h3f5aa4b3,32'h3f859d8a,// invsqrt(1.1104) = 0.9490 +32'h3dad3beb,32'h4057a707,32'h40607460, 32'h40510d04,32'h40670e62, 32'h40460c54,32'h40720f12,// invsqrt(0.0846) = 3.4383 +32'h3ecf1313,32'h3fc53eeb,32'h3fcd4bef, 32'h3fbf3528,32'h3fd355b2, 32'h3fb524e2,32'h3fdd65f8,// invsqrt(0.4044) = 1.5724 +32'h402387c6,32'h3f1cf2c9,32'h3f235abc, 32'h3f1824d2,32'h3f2828b2, 32'h3f1022e2,32'h3f302aa2,// invsqrt(2.5552) = 0.6256 +32'h3f67c7fe,32'h3f83d4b0,32'h3f89362f, 32'h3f7f9720,32'h3f8d3f4e, 32'h3f722361,32'h3f93f92d,// invsqrt(0.9054) = 1.0509 +32'h3e4ecca2,32'h400b910c,32'h40114360, 32'h40074b4d,32'h4015891f, 32'h40002c64,32'h401ca808,// invsqrt(0.2020) = 2.2252 +32'h3f2d39be,32'h3f987e38,32'h3f9eb79e, 32'h3f93d32c,32'h3fa362aa, 32'h3f8c0b6d,32'h3fab2a69,// invsqrt(0.6767) = 1.2157 +32'h3e3b83d6,32'h40129150,32'h40188ccb, 32'h400e14b3,32'h401d0967, 32'h40069a58,32'h402483c2,// invsqrt(0.1831) = 2.3369 +32'h40c88e73,32'h3ec86ce6,32'h3ed09b24, 32'h3ec24a38,32'h3ed6bdd2, 32'h3eb8106b,32'h3ee0f79f,// invsqrt(6.2674) = 0.3994 +32'h3ef90cda,32'h3fb3db6b,32'h3fbb32bd, 32'h3fae59ed,32'h3fc0b43b, 32'h3fa52cc5,32'h3fc9e163,// invsqrt(0.4864) = 1.4338 +32'h3d9d77ec,32'h406230c1,32'h406b6c37, 32'h405b4429,32'h407258cf, 32'h404fb9d6,32'h407de322,// invsqrt(0.0769) = 3.6064 +32'h3ef1539d,32'h3fb6b66b,32'h3fbe2b93, 32'h3fb11e8c,32'h3fc3c372, 32'h3fa7cc19,32'h3fcd15e5,// invsqrt(0.4713) = 1.4566 +32'h399cc72a,32'h4262b01f,32'h426bf0c8, 32'h425bbfa1,32'h4272e147, 32'h42502ecf,32'h427e7219,// invsqrt(0.0003) = 57.8286 +32'h3e201f2f,32'h401e9c2e,32'h4025157e, 32'h4019c132,32'h4029f07a, 32'h4011a98d,32'h4032081f,// invsqrt(0.1564) = 2.5289 +32'h3fa2b774,32'h3f5e8349,32'h3f679852, 32'h3f57b384,32'h3f6e6818, 32'h3f4c5939,32'h3f79c263,// invsqrt(1.2712) = 0.8869 +32'h3eab6da3,32'h3fd8c909,32'h3fe1a238, 32'h3fd22625,32'h3fe8451b, 32'h3fc716aa,32'h3ff35496,// invsqrt(0.3348) = 1.7282 +32'h3f042c6f,32'h3fae935f,32'h3fb5b383, 32'h3fa93b45,32'h3fbb0b9d, 32'h3fa05319,32'h3fc3f3c9,// invsqrt(0.5163) = 1.3917 +32'h3e1f4b72,32'h401f0575,32'h40258311, 32'h401a2740,32'h402a6146, 32'h40120a3c,32'h40327e4a,// invsqrt(0.1556) = 2.5354 +32'h3fddf4e2,32'h3f3e84b9,32'h3f464b72, 32'h3f38afae,32'h3f4c207c, 32'h3f2ef746,32'h3f55d8e4,// invsqrt(1.7340) = 0.7594 +32'h42ec9e7a,32'h3db8856b,32'h3dc00d79, 32'h3db2df60,32'h3dc5b384, 32'h3da9754d,32'h3dcf1d97,// invsqrt(118.3095) = 0.0919 +32'h3f586415,32'h3f887038,32'h3f8e01dc, 32'h3f8442fd,32'h3f922f17, 32'h3f7a99df,32'h3f992525,// invsqrt(0.8453) = 1.0877 +32'h3ec09a87,32'h3fcc857c,32'h3fd4de86, 32'h3fc642b4,32'h3fdb214e, 32'h3fbbd367,32'h3fe5909b,// invsqrt(0.3762) = 1.6304 +32'h3dd74384,32'h4041751f,32'h40495a8e, 32'h403b890c,32'h404f46a2, 32'h4031aa42,32'h4059256d,// invsqrt(0.1051) = 3.0845 +32'h3fe589ce,32'h3f3b5878,32'h3f42fe0a, 32'h3f359c4b,32'h3f48ba37, 32'h3f2c0d54,32'h3f52492e,// invsqrt(1.7933) = 0.7468 +32'h3f8eb81c,32'h3f6d9747,32'h3f7749dd, 32'h3f665158,32'h3f7e8fcc, 32'h3f5a321d,32'h3f855784,// invsqrt(1.1150) = 0.9470 +32'h406658b0,32'h3f043da1,32'h3f09a369, 32'h3f00314b,32'h3f0dafbf, 32'h3ef2e423,32'h3f146ef9,// invsqrt(3.5992) = 0.5271 +32'h41255396,32'h3e9c17ef,32'h3ea276f3, 32'h3e9750ab,32'h3ea73e37, 32'h3e8f59e6,32'h3eaf34fc,// invsqrt(10.3329) = 0.3111 +32'h3f55b794,32'h3f894a09,32'h3f8ee491, 32'h3f851623,32'h3f931877, 32'h3f7c29f1,32'h3f9a19a2,// invsqrt(0.8348) = 1.0945 +32'h3f46f425,32'h3f8e4ab7,32'h3f941985, 32'h3f89ef9c,32'h3f9874a0, 32'h3f82ad1b,32'h3f9fb721,// invsqrt(0.7772) = 1.1343 +32'h40880240,32'h3ef361a9,32'h3efd50bf, 32'h3eebee58,32'h3f026208, 32'h3edf837c,32'h3f089776,// invsqrt(4.2503) = 0.4851 +32'h3e8737ff,32'h3ff4176a,32'h3ffe0dec, 32'h3fec9e89,32'h4002c367, 32'h3fe02a67,32'h4008fd78,// invsqrt(0.2641) = 1.9459 +32'h3f8478ee,32'h3f769bd2,32'h3f805651, 32'h3f6f0f37,32'h3f841c9e, 32'h3f627a34,32'h3f8a6720,// invsqrt(1.0349) = 0.9830 +32'h3fa6cea0,32'h3f5bc483,32'h3f64bcdc, 32'h3f550a41,32'h3f6b771f, 32'h3f49d3d2,32'h3f76ad8e,// invsqrt(1.3032) = 0.8760 +32'h3edbdefe,32'h3fbf6b7c,32'h3fc73ba0, 32'h3fb98f60,32'h3fcd17bc, 32'h3fafcb33,32'h3fd6dbe9,// invsqrt(0.4294) = 1.5260 +32'h3fbbff30,32'h3f4f031a,32'h3f57762a, 32'h3f48accd,32'h3f5dcc77, 32'h3f3e1cf8,32'h3f685c4c,// invsqrt(1.4687) = 0.8251 +32'h3e853b20,32'h3ff5e7d7,32'h3ffff14d, 32'h3fee60be,32'h4003bc33, 32'h3fe1d4ea,32'h400a021d,// invsqrt(0.2602) = 1.9603 +32'h3f2cf991,32'h3f989a80,32'h3f9ed50d, 32'h3f93ee96,32'h3fa380f6, 32'h3f8c2565,32'h3fab4a27,// invsqrt(0.6757) = 1.2165 +32'h3f66f138,32'h3f8411ee,32'h3f8975ee, 32'h3f8006ef,32'h3f8d80ed, 32'h3f7293e0,32'h3f943dec,// invsqrt(0.9021) = 1.0529 +32'h3f856f47,32'h3f75b7c4,32'h3f7fbf44, 32'h3f6e3224,32'h3f83a272, 32'h3f61a8c4,32'h3f89e722,// invsqrt(1.0425) = 0.9794 +32'h3da9c125,32'h4059d9f7,32'h4062be4b, 32'h40532eb9,32'h40696989, 32'h40481151,32'h407486f1,// invsqrt(0.0829) = 3.4734 +32'h4051c037,32'h3f0a94c7,32'h3f103ccf, 32'h3f0656c1,32'h3f147ad5, 32'h3efe896d,32'h3f1b8cdf,// invsqrt(3.2774) = 0.5524 +32'h3fc09f61,32'h3f4c82e8,32'h3f54dbd8, 32'h3f464035,32'h3f5b1e8b, 32'h3f3bd10a,32'h3f658db6,// invsqrt(1.5049) = 0.8152 +32'h3f8faac9,32'h3f6cce48,32'h3f7678aa, 32'h3f658e80,32'h3f7db872, 32'h3f597986,32'h3f84e6b6,// invsqrt(1.1224) = 0.9439 +32'h3ff58303,32'h3f352608,32'h3f3c8ad9, 32'h3f2f9a6a,32'h3f421676, 32'h3f265c65,32'h3f4b547b,// invsqrt(1.9181) = 0.7221 +32'h3f72f02a,32'h3f80c4a0,32'h3f86061e, 32'h3f79a701,32'h3f89f73d, 32'h3f6c8345,32'h3f90891c,// invsqrt(0.9490) = 1.0265 +32'h40081dfb,32'h3f2c0739,32'h3f330cbd, 32'h3f26c315,32'h3f3850e1, 32'h3f1dfc2f,32'h3f4117c7,// invsqrt(2.1268) = 0.6857 +32'h3dfb2af1,32'h403318ea,32'h403a684c, 32'h402d9d60,32'h403fe3d6, 32'h40247a25,32'h40490711,// invsqrt(0.1226) = 2.8555 +32'h4030c0b8,32'h3f16f6bd,32'h3f1d2027, 32'h3f1257ac,32'h3f21bf38, 32'h3f0aa3e7,32'h3f2972fd,// invsqrt(2.7618) = 0.6017 +32'h3f86fcd4,32'h3f744ce3,32'h3f7e4593, 32'h3f6cd25e,32'h3f82e00c, 32'h3f605b82,32'h3f891b7a,// invsqrt(1.0546) = 0.9738 +32'h3e75b8a7,32'h40000968,32'h40054342, 32'h3ff83c08,32'h40092ea6, 32'h3feb2b66,32'h400fb6f7,// invsqrt(0.2400) = 2.0414 +32'h3f07a10f,32'h3fac5660,32'h3fb35f20, 32'h3fa70fd0,32'h3fb8a5b0, 32'h3f9e44e0,32'h3fc170a0,// invsqrt(0.5298) = 1.3739 +32'h3f04bd56,32'h3fae33fc,32'h3fb5503a, 32'h3fa8decd,32'h3fbaa569, 32'h3f9ffb7f,32'h3fc388b7,// invsqrt(0.5185) = 1.3887 +32'h3edd6c13,32'h3fbebf8b,32'h3fc688ab, 32'h3fb8e8b3,32'h3fcc5f83, 32'h3faf2d4c,32'h3fd61aeb,// invsqrt(0.4325) = 1.5206 +32'h410d2c1e,32'h3ea8eb89,32'h3eafd094, 32'h3ea3bfc1,32'h3eb4fc5d, 32'h3e9b2174,32'h3ebd9aaa,// invsqrt(8.8233) = 0.3367 +32'h3f591f73,32'h3f88354d,32'h3f8dc489, 32'h3f8409df,32'h3f91eff7, 32'h3f7a2da7,32'h3f98e302,// invsqrt(0.8481) = 1.0858 +32'h3dc40669,32'h404aba8d,32'h405300db, 32'h404485d1,32'h40593597, 32'h403a2def,32'h40638d79,// invsqrt(0.0957) = 3.2323 +32'h3fb8087d,32'h3f513ac3,32'h3f59c4ff, 32'h3f4ad316,32'h3f602cac, 32'h3f40264a,32'h3f6ad978,// invsqrt(1.4378) = 0.8340 +32'h3fb5ffe2,32'h3f52652d,32'h3f5afb98, 32'h3f4bf45e,32'h3f616c68, 32'h3f413859,32'h3f6c286d,// invsqrt(1.4219) = 0.8386 +32'h4063e171,32'h3f04f44c,32'h3f0a6188, 32'h3f00e25e,32'h3f0e7376, 32'h3ef433a5,32'h3f153c01,// invsqrt(3.5606) = 0.5300 +32'h409563e5,32'h3ee839bc,32'h3ef1b442, 32'h3ee11dd9,32'h3ef8d025, 32'h3ed544b2,32'h3f0254a6,// invsqrt(4.6684) = 0.4628 +32'h3f9fdfcd,32'h3f607b6e,32'h3f69a50a, 32'h3f599c39,32'h3f70843f, 32'h3f4e2836,32'h3f7bf842,// invsqrt(1.2490) = 0.8948 +32'h3f3ab52d,32'h3f92e257,32'h3f98e121, 32'h3f8e633f,32'h3f9d6039, 32'h3f86e4c3,32'h3fa4deb5,// invsqrt(0.7293) = 1.1710 +32'h3f0051a3,32'h3fb12daa,32'h3fb86900, 32'h3fabc12a,32'h3fbdd580, 32'h3fa2b700,32'h3fc6dfaa,// invsqrt(0.5012) = 1.4125 +32'h3fad0599,32'h3f57c8de,32'h3f609799, 32'h3f512dd3,32'h3f6732a5, 32'h3f462b69,32'h3f72350f,// invsqrt(1.3517) = 0.8601 +32'h4004f2bf,32'h3f2e10fa,32'h3f352bcb, 32'h3f28bcde,32'h3f3a7fe8, 32'h3f1fdb59,32'h3f43616d,// invsqrt(2.0773) = 0.6938 +32'h3f565bae,32'h3f891572,32'h3f8eadd5, 32'h3f84e329,32'h3f92e01f, 32'h3f7bc95a,32'h3f99de9b,// invsqrt(0.8373) = 1.0928 +32'h40379494,32'h3f142145,32'h3f1a2d13, 32'h3f0f986a,32'h3f1eb5ee, 32'h3f0809a8,32'h3f2644b0,// invsqrt(2.8684) = 0.5904 +32'h4047a0f8,32'h3f0e0d12,32'h3f13d95c, 32'h3f09b3db,32'h3f183293, 32'h3f02747e,32'h3f1f71f0,// invsqrt(3.1192) = 0.5662 +32'h3fd37cf0,32'h3f432d41,32'h3f4b24a7, 32'h3f3d33b5,32'h3f511e33, 32'h3f333e75,32'h3f5b1373,// invsqrt(1.6523) = 0.7780 +32'h3eca4da9,32'h3fc78ee3,32'h3fcfb411, 32'h3fc17301,32'h3fd5cff3, 32'h3fb74487,32'h3fdffe6d,// invsqrt(0.3951) = 1.5909 +32'h400e5111,32'h3f283d54,32'h3f2f1b43, 32'h3f2316e2,32'h3f3441b6, 32'h3f1a8178,32'h3f3cd720,// invsqrt(2.2237) = 0.6706 +32'h3f1cf193,32'h3fa0353e,32'h3fa6bf41, 32'h3f9b4dbd,32'h3faba6c3, 32'h3f93213a,32'h3fb3d346,// invsqrt(0.6131) = 1.2772 +32'h3f156e36,32'h3fa42fa4,32'h3faae338, 32'h3f9f28f5,32'h3fafe9e7, 32'h3f96c87d,32'h3fb84a5f,// invsqrt(0.5837) = 1.3089 +32'h3efe30f9,32'h3fb2076b,32'h3fb94ba3, 32'h3fac9440,32'h3fbebece, 32'h3fa37efa,32'h3fc7d415,// invsqrt(0.4965) = 1.4192 +32'h412e674d,32'h3e97fa29,32'h3e9e2e2b, 32'h3e935328,32'h3ea2d52c, 32'h3e8b9226,32'h3eaa962e,// invsqrt(10.9002) = 0.3029 +32'h3f1de7af,32'h3f9fb834,32'h3fa63d1c, 32'h3f9ad486,32'h3fab20ca, 32'h3f92ae64,32'h3fb346ec,// invsqrt(0.6168) = 1.2733 +32'h405ebc7f,32'h3f067b1a,32'h3f0bf84a, 32'h3f025d36,32'h3f10162e, 32'h3ef70174,32'h3f16f2aa,// invsqrt(3.4803) = 0.5360 +32'h3e9e7eb1,32'h3fe174f3,32'h3feaa8be, 32'h3fda8e1a,32'h3ff18f96, 32'h3fcf0d5c,32'h3ffd1054,// invsqrt(0.3096) = 1.7973 +32'h409ca40b,32'h3ee2c988,32'h3eec0b3a, 32'h3edbd843,32'h3ef2fc7f, 32'h3ed04624,32'h3efe8e9e,// invsqrt(4.8950) = 0.4520 +32'h403f7500,32'h3f110d06,32'h3f16f8a9, 32'h3f0c9c4d,32'h3f1b6963, 32'h3f0535c2,32'h3f22cfee,// invsqrt(2.9915) = 0.5782 +32'h3fa2d8c2,32'h3f5e6c87,32'h3f6780a2, 32'h3f579d74,32'h3f6e4fb6, 32'h3f4c4453,32'h3f79a8d7,// invsqrt(1.2722) = 0.8866 +32'h3f964861,32'h3f6788f0,32'h3f70fc3e, 32'h3f607276,32'h3f7812b8, 32'h3f54a255,32'h3f81f16d,// invsqrt(1.1741) = 0.9229 +32'h41231893,32'h3e9d2841,32'h3ea39263, 32'h3e9858a8,32'h3ea861fc, 32'h3e9053fd,32'h3eb066a7,// invsqrt(10.1935) = 0.3132 +32'h3fe1e78b,32'h3f3cd8ab,32'h3f448deb, 32'h3f3710ba,32'h3f4a55dc, 32'h3f2d6e2a,32'h3f53f86c,// invsqrt(1.7649) = 0.7527 +32'h3f32dd61,32'h3f9611e6,32'h3f9c31fa, 32'h3f9179d7,32'h3fa0ca09, 32'h3f89d1be,32'h3fa87222,// invsqrt(0.6987) = 1.1963 +32'h3e586e49,32'h40086d01,32'h400dfe83, 32'h40043fdf,32'h40122ba5, 32'h3ffa93f7,32'h40192189,// invsqrt(0.2114) = 2.1752 +32'h3f4b4d9b,32'h3f8cc2f4,32'h3f9281c4, 32'h3f8873d7,32'h3f96d0e1, 32'h3f814553,32'h3f9dff65,// invsqrt(0.7942) = 1.1221 +32'h3f43ede6,32'h3f8f62c8,32'h3f953d04, 32'h3f8aff1a,32'h3f99a0b2, 32'h3f83ae4f,32'h3fa0f17d,// invsqrt(0.7653) = 1.1431 +32'h3fe32275,32'h3f3c5593,32'h3f44057a, 32'h3f3691a7,32'h3f49c967, 32'h3f2cf5c6,32'h3f536548,// invsqrt(1.7745) = 0.7507 +32'h3d82fd12,32'h40780064,32'h40810fe0, 32'h407068de,32'h4084dba3, 32'h4063c1aa,32'h408b2f3d,// invsqrt(0.0640) = 3.9541 +32'h3f64b8cb,32'h3f84b5a6,32'h3f8a2054, 32'h3f80a5a4,32'h3f8e3056, 32'h3f73c094,32'h3f94f5b0,// invsqrt(0.8934) = 1.0580 +32'h3f0b1177,32'h3faa3172,32'h3fb123ca, 32'h3fa4fbb0,32'h3fb6598c, 32'h3f9c4cc1,32'h3fbf087b,// invsqrt(0.5432) = 1.3568 +32'h3e19c980,32'h4021d803,32'h4028731d, 32'h401ce3af,32'h402d6771, 32'h4014a1ce,32'h4035a952,// invsqrt(0.1502) = 2.5804 +32'h3e93881d,32'h3fe9af05,32'h3ff338c7, 32'h3fe287b4,32'h3ffa6018, 32'h3fd69b82,32'h40032625,// invsqrt(0.2881) = 1.8629 +32'h3fa4f00c,32'h3f5d0273,32'h3f6607c7, 32'h3f563e75,32'h3f6ccbc5, 32'h3f4af7cd,32'h3f78126d,// invsqrt(1.2886) = 0.8809 +32'h3f407f24,32'h3f90a89e,32'h3f969028, 32'h3f8c3af8,32'h3f9afdce, 32'h3f84d98c,32'h3fa25f3a,// invsqrt(0.7519) = 1.1532 +32'h40359f02,32'h3f14ed43,32'h3f1b0165, 32'h3f105e29,32'h3f1f907f, 32'h3f08c4ff,32'h3f2729a9,// invsqrt(2.8378) = 0.5936 +32'h3f27ab94,32'h3f9affab,32'h3fa1533f, 32'h3f9640fc,32'h3fa611ee, 32'h3f8e5883,32'h3fadfa67,// invsqrt(0.6550) = 1.2356 +32'h3f228aec,32'h3f9d6cac,32'h3fa3d999, 32'h3f989afb,32'h3fa8ab4b, 32'h3f9092d3,32'h3fb0b373,// invsqrt(0.6349) = 1.2550 +32'h3f6552b4,32'h3f848915,32'h3f89f1f2, 32'h3f807a71,32'h3f8e0097, 32'h3f736eba,32'h3f94c3ab,// invsqrt(0.8958) = 1.0566 +32'h3dc21896,32'h404bbbce,32'h40540c9d, 32'h40457f33,32'h405a4939, 32'h403b1a31,32'h4064ae3b,// invsqrt(0.0948) = 3.2483 +32'h3e4b28ba,32'h400ccfba,32'h40128f10, 32'h4008803a,32'h4016de90, 32'h4001510e,32'h401e0dbc,// invsqrt(0.1984) = 2.2451 +32'h3f72c0dc,32'h3f80d12b,32'h3f86132d, 32'h3f79bf54,32'h3f8a04ae, 32'h3f6c9a4f,32'h3f909730,// invsqrt(0.9483) = 1.0269 +32'h3fafd25e,32'h3f560f43,32'h3f5ecbf7, 32'h3f4f81bc,32'h3f65597e, 32'h3f4495da,32'h3f704560,// invsqrt(1.3736) = 0.8532 +32'h409de887,32'h3ee1e00d,32'h3eeb1837, 32'h3edaf5ed,32'h3ef20257, 32'h3ecf6fb8,32'h3efd888c,// invsqrt(4.9346) = 0.4502 +32'h3fd86ce5,32'h3f40f009,32'h3f48d009, 32'h3f3b0809,32'h3f4eb809, 32'h3f313008,32'h3f58900a,// invsqrt(1.6908) = 0.7690 +32'h3fbadb12,32'h3f4fa4ab,32'h3f581e54, 32'h3f49496d,32'h3f5e7993, 32'h3f3eb15a,32'h3f6911a6,// invsqrt(1.4598) = 0.8277 +32'h40085630,32'h3f2be3bf,32'h3f32e7d1, 32'h3f26a0b1,32'h3f382adf, 32'h3f1ddb9a,32'h3f40eff6,// invsqrt(2.1303) = 0.6851 +32'h3ff4c726,32'h3f356b7e,32'h3f3cd326, 32'h3f2fddc1,32'h3f4260e3, 32'h3f269c30,32'h3f4ba274,// invsqrt(1.9123) = 0.7231 +32'h40fa9ffb,32'h3eb34a8a,32'h3eba9bf2, 32'h3eadcd7b,32'h3ec01901, 32'h3ea4a7b8,32'h3ec93ec4,// invsqrt(7.8320) = 0.3573 +32'h3f67c90b,32'h3f83d463,32'h3f8935df, 32'h3f7f968c,32'h3f8d3efc, 32'h3f7222d5,32'h3f93f8d8,// invsqrt(0.9054) = 1.0509 +32'h3f054f3c,32'h3fadd48e,32'h3fb4ece8, 32'h3fa8824b,32'h3fba3f2b, 32'h3f9fa3dc,32'h3fc31d9b,// invsqrt(0.5207) = 1.3858 +32'h3ebb1752,32'h3fcf833a,32'h3fd7fb85, 32'h3fc92901,32'h3fde55bd, 32'h3fbe92a2,32'h3fe8ec1c,// invsqrt(0.3654) = 1.6543 +32'h3fb990cc,32'h3f505d22,32'h3f58de52, 32'h3f49fc3e,32'h3f5f3f36, 32'h3f3f5ac1,32'h3f69e0b3,// invsqrt(1.4497) = 0.8305 +32'h3fa9d27d,32'h3f59ced7,32'h3f62b2b6, 32'h3f5323f0,32'h3f695d9e, 32'h3f48071a,32'h3f747a75,// invsqrt(1.3267) = 0.8682 +32'h3f7cd713,32'h3f7c715c,32'h3f835f91, 32'h3f74b70a,32'h3f873cbb, 32'h3f67d5d3,32'h3f8dad56,// invsqrt(0.9877) = 1.0062 +32'h408d8abf,32'h3eee93b0,32'h3ef85092, 32'h3ee74606,32'h3eff9e3c, 32'h3edb19ea,32'h3f05e52c,// invsqrt(4.4232) = 0.4755 +32'h3e921469,32'h3fead797,32'h3ff46d73, 32'h3fe3a732,32'h3ffb9dd8, 32'h3fd7abde,32'h4003cc96,// invsqrt(0.2853) = 1.8721 +32'h3f887921,32'h3f72f791,32'h3f7ce253, 32'h3f6b8780,32'h3f822932, 32'h3f5f220d,32'h3f885bec,// invsqrt(1.0662) = 0.9685 +32'h3e8dcf4b,32'h3fee5a00,32'h3ff81488, 32'h3fe70e1a,32'h3fff606e, 32'h3fdae4f0,32'h4005c4cc,// invsqrt(0.2770) = 1.9001 +32'h3fee0239,32'h3f37fb51,32'h3f3f7dbd, 32'h3f325980,32'h3f451f8e, 32'h3f28f67a,32'h3f4e8295,// invsqrt(1.8594) = 0.7333 +32'h3fb6630d,32'h3f522bf3,32'h3f5ac007, 32'h3f4bbce4,32'h3f612f16, 32'h3f4103ca,32'h3f6be830,// invsqrt(1.4249) = 0.8377 +32'h3e0c2056,32'h40298ca4,32'h40307842, 32'h40245bed,32'h4035a8f9, 32'h401bb568,32'h403e4f7f,// invsqrt(0.1368) = 2.7033 +32'h3fba5b02,32'h3f4febf8,32'h3f58688a, 32'h3f498e8b,32'h3f5ec5f7, 32'h3f3ef2d4,32'h3f6961ae,// invsqrt(1.4559) = 0.8288 +32'h40a6fbaf,32'h3edba6db,32'h3ee49dfe, 32'h3ed4ed80,32'h3eeb5758, 32'h3ec9b894,32'h3ef68c44,// invsqrt(5.2182) = 0.4378 +32'h3c43bf54,32'h410f73d6,32'h41154ec4, 32'h410b0fa3,32'h4119b2f7, 32'h4103bdf8,32'h412104a2,// invsqrt(0.0119) = 9.1488 +32'h3eb67940,32'h3fd21f2a,32'h3fdab2b8, 32'h3fcbb07f,32'h3fe12163, 32'h3fc0f80c,32'h3febd9d6,// invsqrt(0.3564) = 1.6751 +32'h3f2576ef,32'h3f9c0742,32'h3fa26598, 32'h3f974081,32'h3fa72c59, 32'h3f8f4a95,32'h3faf2245,// invsqrt(0.6463) = 1.2438 +32'h39d51037,32'h42427433,32'h424a640b, 32'h423c8051,32'h425057ed, 32'h42329482,32'h425a43bc,// invsqrt(0.0004) = 49.6056 +32'h3f2533f5,32'h3f9c26df,32'h3fa28680, 32'h3f975f27,32'h3fa74e39, 32'h3f8f679e,32'h3faf45c2,// invsqrt(0.6453) = 1.2448 +32'h3ec8dbd5,32'h3fc84646,32'h3fd072f0, 32'h3fc224c6,32'h3fd69470, 32'h3fb7ecf2,32'h3fe0cc44,// invsqrt(0.3923) = 1.5966 +32'h402c6df4,32'h3f18d83b,32'h3f1f154d, 32'h3f142a6d,32'h3f23c31b, 32'h3f0c5e17,32'h3f2b8f71,// invsqrt(2.6942) = 0.6092 +32'h40af132b,32'h3ed68406,32'h3edf457e, 32'h3ecff2ec,32'h3ee5d698, 32'h3ec50115,32'h3ef0c86f,// invsqrt(5.4711) = 0.4275 +32'h3e27cf1b,32'h401aef41,32'h4021422a, 32'h40163113,32'h40260059, 32'h400e4971,32'h402de7fb,// invsqrt(0.1639) = 2.4703 +32'h3fa70df4,32'h3f5b9ad8,32'h3f64917d, 32'h3f54e1db,32'h3f6b4a79, 32'h3f49ad8c,32'h3f767ec8,// invsqrt(1.3051) = 0.8753 +32'h3ef8c81f,32'h3fb3f441,32'h3fbb4c97, 32'h3fae7200,32'h3fc0ced8, 32'h3fa54394,32'h3fc9fd44,// invsqrt(0.4859) = 1.4346 +32'h3f1bc3ba,32'h3fa0d02e,32'h3fa76084, 32'h3f9be3ee,32'h3fac4cc4, 32'h3f93af83,32'h3fb4812f,// invsqrt(0.6085) = 1.2820 +32'h3d952b26,32'h406865e4,32'h4071e236, 32'h406148a6,32'h4078ff74, 32'h40556d3f,32'h40826d6e,// invsqrt(0.0728) = 3.7053 +32'h401615ef,32'h3f23d3cd,32'h3f2a83a1, 32'h3f1ecfee,32'h3f2f8780, 32'h3f167425,32'h3f37e349,// invsqrt(2.3451) = 0.6530 +32'h3f271209,32'h3f9b46d4,32'h3fa19d50, 32'h3f9685f8,32'h3fa65e2c, 32'h3f8e99dd,32'h3fae4a47,// invsqrt(0.6526) = 1.2379 +32'h428a7c37,32'h3df13211,32'h3dfb0a50, 32'h3de9cfe1,32'h3e013640, 32'h3ddd8192,32'h3e075d67,// invsqrt(69.2426) = 0.1202 +32'h3eda9905,32'h3fbffa00,32'h3fc7cff5, 32'h3fba1987,32'h3fcdb06d, 32'h3fb04e14,32'h3fd77be0,// invsqrt(0.4269) = 1.5304 +32'h3f3c61ab,32'h3f923aea,32'h3f9832df, 32'h3f8dc0f3,32'h3f9cacd7, 32'h3f864b01,32'h3fa422c9,// invsqrt(0.7359) = 1.1657 +32'h3ee189d1,32'h3fbcffe4,32'h3fc4b6be, 32'h3fb736c0,32'h3fca7fe2, 32'h3fad922f,32'h3fd42473,// invsqrt(0.4405) = 1.5067 +32'h3f4867e7,32'h3f8dc67f,32'h3f938fe7, 32'h3f896f70,32'h3f97e6f6, 32'h3f8233ae,32'h3f9f22b8,// invsqrt(0.7828) = 1.1302 +32'h4068adc8,32'h3f039387,32'h3f08f25d, 32'h3eff18cc,32'h3f0cf97e, 32'h3ef1abb4,32'h3f13b00a,// invsqrt(3.6356) = 0.5245 +32'h3f4aa119,32'h3f8cfed2,32'h3f92c014, 32'h3f88ade0,32'h3f971106, 32'h3f817c4e,32'h3f9e4298,// invsqrt(0.7915) = 1.1240 +32'h3ed586d2,32'h3fc23e2a,32'h3fca2bce, 32'h3fbc4bef,32'h3fd01e09, 32'h3fb262e3,32'h3fda0715,// invsqrt(0.4170) = 1.5485 +32'h3fd2f623,32'h3f436b92,32'h3f4b6584, 32'h3f3d701e,32'h3f5160f8, 32'h3f3377b0,32'h3f5b5966,// invsqrt(1.6481) = 0.7789 +32'h3edbefa6,32'h3fbf643c,32'h3fc73414, 32'h3fb98859,32'h3fcd0ff7, 32'h3fafc48a,32'h3fd6d3c6,// invsqrt(0.4296) = 1.5258 +32'h40d398fe,32'h3ec32050,32'h3ecb172e, 32'h3ebd2729,32'h3ed11055, 32'h3eb33292,32'h3edb04ec,// invsqrt(6.6124) = 0.3889 +32'h3f556647,32'h3f89642e,32'h3f8effc7, 32'h3f852f7a,32'h3f93347a, 32'h3f7c59f5,32'h3f9a36f9,// invsqrt(0.8336) = 1.0953 +32'h3ed92ef5,32'h3fc099c2,32'h3fc8763d, 32'h3fbab466,32'h3fce5b9a, 32'h3fb0e0cd,32'h3fd82f33,// invsqrt(0.4242) = 1.5354 +32'h3dd96790,32'h404080ae,32'h40485c22, 32'h403a9c16,32'h404e40ba, 32'h4030c9c4,32'h4058130c,// invsqrt(0.1062) = 3.0692 +32'h3ecedb07,32'h3fc559a2,32'h3fcd67be, 32'h3fbf4f0e,32'h3fd37252, 32'h3fb53d6b,32'h3fdd83f5,// invsqrt(0.4040) = 1.5733 +32'h3f947a34,32'h3f68f035,32'h3f72722d, 32'h3f61cebb,32'h3f7993a7, 32'h3f55ec46,32'h3f82bb0e,// invsqrt(1.1600) = 0.9285 +32'h3e381d48,32'h4013ea3c,32'h4019f3cc, 32'h400f6311,32'h401e7af7, 32'h4007d71d,32'h402606eb,// invsqrt(0.1798) = 2.3583 +32'h4108b3d8,32'h3eaba8d3,32'h3eb2aa7e, 32'h3ea66794,32'h3eb7ebbe, 32'h3e9da57e,32'h3ec0add4,// invsqrt(8.5439) = 0.3421 +32'h400da051,32'h3f28a62f,32'h3f2f8865, 32'h3f237c86,32'h3f34b20e, 32'h3f1ae1c3,32'h3f3d4cd1,// invsqrt(2.2129) = 0.6722 +32'h40a9905c,32'h3ed9f94c,32'h3ee2dee6, 32'h3ed34d18,32'h3ee98b1a, 32'h3ec82e16,32'h3ef4aa1c,// invsqrt(5.2989) = 0.4344 +32'h3f5ced96,32'h3f8707b4,32'h3f8c8aa2, 32'h3f82e582,32'h3f90acd4, 32'h3f7803b4,32'h3f97907c,// invsqrt(0.8630) = 1.0765 +32'h3fb94b9f,32'h3f508403,32'h3f5906ca, 32'h3f4a21ef,32'h3f5f68df, 32'h3f3f7e76,32'h3f6a0c58,// invsqrt(1.4476) = 0.8311 +32'h3dc877a9,32'h4048784a,32'h4050a6fe, 32'h40425542,32'h4056ca06, 32'h40381ae0,32'h40610468,// invsqrt(0.0979) = 3.1963 +32'h4106fac9,32'h3eacc065,32'h3eb3cd78, 32'h3ea77695,32'h3eb91747, 32'h3e9ea63d,32'h3ec1e79f,// invsqrt(8.4362) = 0.3443 +32'h3f948fa3,32'h3f68df67,32'h3f7260af, 32'h3f61be71,32'h3f7981a5, 32'h3f55dcd7,32'h3f82b1a0,// invsqrt(1.1606) = 0.9282 +32'h40990eda,32'h3ee56d1b,32'h3eeeca60, 32'h3ede6727,32'h3ef5d053, 32'h3ed2b290,32'h3f00c275,// invsqrt(4.7831) = 0.4572 +32'h3f5923f4,32'h3f8833e3,32'h3f8dc311, 32'h3f840881,32'h3f91ee73, 32'h3f7a2b0f,32'h3f98e16d,// invsqrt(0.8482) = 1.0858 +32'h407677eb,32'h3effaf61,32'h3f050f83, 32'h3ef7dba5,32'h3f08f962, 32'h3eead015,32'h3f0f7f29,// invsqrt(3.8511) = 0.5096 +32'h403e4913,32'h3f117f2a,32'h3f176f74, 32'h3f0d0af1,32'h3f1be3ad, 32'h3f059e94,32'h3f23500a,// invsqrt(2.9732) = 0.5799 +32'h3ffeb770,32'h3f31d867,32'h3f391ab5, 32'h3f2c66ad,32'h3f3e8c6f, 32'h3f2353cd,32'h3f479f4f,// invsqrt(1.9900) = 0.7089 +32'h3ff805b7,32'h3f343aba,32'h3f3b95f0, 32'h3f2eb651,32'h3f411a59, 32'h3f25844c,32'h3f4a4c5e,// invsqrt(1.9377) = 0.7184 +32'h3f971ff6,32'h3f66e390,32'h3f70501e, 32'h3f5fd226,32'h3f776188, 32'h3f540a75,32'h3f81949d,// invsqrt(1.1807) = 0.9203 +32'h3f44fd31,32'h3f8effea,32'h3f94d61d, 32'h3f8a9f43,32'h3f9936c3, 32'h3f835383,32'h3fa08283,// invsqrt(0.7695) = 1.1400 +32'h3d8c8ea5,32'h406f6945,32'h40792edf, 32'h40681511,32'h40804189, 32'h405bde10,32'h40865d0a,// invsqrt(0.0686) = 3.8171 +32'h4021f244,32'h3f1db6cd,32'h3f2426c1, 32'h3f18e2d7,32'h3f28fab7, 32'h3f10d6e6,32'h3f3106a8,// invsqrt(2.5304) = 0.6286 +32'h3f0294af,32'h3fafa31c,32'h3fb6ce56, 32'h3faa42b0,32'h3fbc2ec2, 32'h3fa14ca6,32'h3fc524cc,// invsqrt(0.5101) = 1.4002 +32'h3fb47308,32'h3f534c0a,32'h3f5bebe0, 32'h3f4cd429,32'h3f6263c1, 32'h3f420c5c,32'h3f6d2b8e,// invsqrt(1.4098) = 0.8422 +32'h41a3d729,32'h3e5dbf95,32'h3e66cca1, 32'h3e56f5cd,32'h3e6d9669, 32'h3e4ba57f,32'h3e78e6b7,// invsqrt(20.4801) = 0.2210 +32'h3f5b398b,32'h3f878dbc,32'h3f8d1622, 32'h3f836770,32'h3f913c6e, 32'h3f78f9e1,32'h3f9826ed,// invsqrt(0.8563) = 1.0806 +32'h3eb6fc29,32'h3fd1d3f3,32'h3fda646f, 32'h3fcb6795,32'h3fe0d0cd, 32'h3fc0b2f9,32'h3feb8569,// invsqrt(0.3574) = 1.6727 +32'h3f957a0d,32'h3f682885,32'h3f71a257, 32'h3f610d28,32'h3f78bdb4, 32'h3f5534e3,32'h3f824afc,// invsqrt(1.1678) = 0.9254 +32'h3f8a71af,32'h3f713b3d,32'h3f7b13dd, 32'h3f69d8c6,32'h3f813b2a, 32'h3f5d89ff,32'h3f87628e,// invsqrt(1.0816) = 0.9615 +32'h3edb4e9a,32'h3fbfaa75,32'h3fc77d2b, 32'h3fb9cc6c,32'h3fcd5b34, 32'h3fb00508,32'h3fd72298,// invsqrt(0.4283) = 1.5279 +32'h3f820ca4,32'h3f78e53a,32'h3f8186f7, 32'h3f7146b3,32'h3f85563a, 32'h3f6493d2,32'h3f8bafab,// invsqrt(1.0160) = 0.9921 +32'h40352a95,32'h3f151d15,32'h3f1b332b, 32'h3f108c85,32'h3f1fc3bb, 32'h3f08f0ea,32'h3f275f56,// invsqrt(2.8307) = 0.5944 +32'h3fd3337f,32'h3f434f2d,32'h3f4b47f5, 32'h3f3d5497,32'h3f51428b, 32'h3f335d9c,32'h3f5b3986,// invsqrt(1.6500) = 0.7785 +32'h3ebba0ff,32'h3fcf370a,32'h3fd7ac39, 32'h3fc8df26,32'h3fde041c, 32'h3fbe4caa,32'h3fe89698,// invsqrt(0.3665) = 1.6519 +32'h3e9027b7,32'h3fec6795,32'h3ff60dc5, 32'h3fe52af1,32'h3ffd4a69, 32'h3fd91b35,32'h4004ad12,// invsqrt(0.2816) = 1.8846 +32'h3f33971c,32'h3f95c438,32'h3f9be120, 32'h3f912e8a,32'h3fa076ce, 32'h3f898a68,32'h3fa81af0,// invsqrt(0.7015) = 1.1939 +32'h3e845003,32'h3ff6c1f1,32'h40006a27, 32'h3fef342b,32'h4004310a, 32'h3fe29d36,32'h400a7c85,// invsqrt(0.2584) = 1.9671 +32'h4000a863,32'h3f30f1e5,32'h3f382ac9, 32'h3f2b8739,32'h3f3d9575, 32'h3f22801b,32'h3f469c93,// invsqrt(2.0103) = 0.7053 +32'h3e8dd89a,32'h3fee522e,32'h3ff80c64, 32'h3fe70685,32'h3fff580d, 32'h3fdaddc2,32'h4005c068,// invsqrt(0.2770) = 1.8999 +32'h3f2a4940,32'h3f99cdb6,32'h3fa014ce, 32'h3f951865,32'h3fa4ca1f, 32'h3f8d3f88,32'h3faca2fc,// invsqrt(0.6652) = 1.2261 +32'h42134c52,32'h3e255eca,32'h3e2c1ebd, 32'h3e204ed3,32'h3e312eb3, 32'h3e17dee3,32'h3e399ea3,// invsqrt(36.8245) = 0.1648 +32'h40bb7b4f,32'h3ecf4bdc,32'h3ed7c1e6, 32'h3ec8f356,32'h3ede1a6c, 32'h3ebe5fca,32'h3ee8adf8,// invsqrt(5.8588) = 0.4131 +32'h3f89ed97,32'h3f71aea5,32'h3f7b8bfa, 32'h3f6a48a5,32'h3f8178fd, 32'h3f5df3fa,32'h3f87a352,// invsqrt(1.0776) = 0.9633 +32'h439e537a,32'h3d6193b6,32'h3d6ac8c2, 32'h3d5aabec,32'h3d71b08c, 32'h3d4f299c,32'h3d7d32dc,// invsqrt(316.6522) = 0.0562 +32'h3e88d1e8,32'h3ff2a8b1,32'h3ffc903b, 32'h3feb3b0a,32'h4001fef1, 32'h3fded99d,32'h40082fa7,// invsqrt(0.2672) = 1.9345 +32'h3f2a6c28,32'h3f99bdf5,32'h3fa00467, 32'h3f95091f,32'h3fa4b93d, 32'h3f8d3110,32'h3fac914c,// invsqrt(0.6657) = 1.2256 +32'h3e4d21ad,32'h400c21ff,32'h4011da3e, 32'h4007d7d1,32'h4016246d, 32'h4000b182,32'h401d4abc,// invsqrt(0.2003) = 2.2343 +32'h3f7c9718,32'h3f7c9153,32'h3f837033, 32'h3f74d604,32'h3f874dda, 32'h3f67f32c,32'h3f8dbf46,// invsqrt(0.9867) = 1.0067 +32'h403e298a,32'h3f118b3a,32'h3f177c02, 32'h3f0d16a3,32'h3f1bf099, 32'h3f05a9a8,32'h3f235d94,// invsqrt(2.9713) = 0.5801 +32'h3b984512,32'h416604ec,32'h416f6863, 32'h415efa52,32'h417672fc, 32'h41533dfd,32'h418117a9,// invsqrt(0.0046) = 14.6696 +32'h40222fe8,32'h3f1d98d2,32'h3f24078c, 32'h3f18c5c6,32'h3f28da98, 32'h3f10bb5e,32'h3f30e501,// invsqrt(2.5342) = 0.6282 +32'h3e938990,32'h3fe9addf,32'h3ff33795, 32'h3fe28697,32'h3ffa5edd, 32'h3fd69a74,32'h40032580,// invsqrt(0.2882) = 1.8629 +32'h3ea8edb1,32'h3fda6225,32'h3fe34c07, 32'h3fd3b2bb,32'h3fe9fb71, 32'h3fc88e61,32'h3ff51fcb,// invsqrt(0.3299) = 1.7409 +32'h3f853a67,32'h3f75e881,32'h3f7ff1ff, 32'h3f6e6163,32'h3f83bc8e, 32'h3f61d586,32'h3f8a027d,// invsqrt(1.0408) = 0.9802 +32'h3f5e4618,32'h3f869ee7,32'h3f8c1d8d, 32'h3f827fea,32'h3f903c8a, 32'h3f774335,32'h3f971ad9,// invsqrt(0.8683) = 1.0732 +32'h3ebc6c7b,32'h3fcec708,32'h3fd737a5, 32'h3fc87292,32'h3fdd8c1a, 32'h3fbde5cd,32'h3fe818df,// invsqrt(0.3680) = 1.6484 +32'h3f8d4e7d,32'h3f6ec689,32'h3f78857f, 32'h3f677751,32'h3f7fd4b7, 32'h3f5b489d,32'h3f8601b5,// invsqrt(1.1040) = 0.9518 +32'h3f03b468,32'h3faee2da,32'h3fb6063b, 32'h3fa98850,32'h3fbb60c4, 32'h3fa09c16,32'h3fc44cfe,// invsqrt(0.5145) = 1.3942 +32'h418871c7,32'h3e72fe1d,32'h3e7ce923, 32'h3e6b8dd8,32'h3e822cb4, 32'h3e5f2810,32'h3e885f98,// invsqrt(17.0556) = 0.2421 +32'h3f5c89c1,32'h3f872641,32'h3f8caa6d, 32'h3f83031f,32'h3f90cd8f, 32'h3f783bd0,32'h3f97b2c6,// invsqrt(0.8615) = 1.0774 +32'h3f8faaa7,32'h3f6cce64,32'h3f7678c6, 32'h3f658e9a,32'h3f7db890, 32'h3f5979a0,32'h3f84e6c5,// invsqrt(1.1224) = 0.9439 +32'h406e8f37,32'h3f01f1c7,32'h3f073f91, 32'h3efbeee0,32'h3f0b39e8, 32'h3eeeac69,32'h3f11db24,// invsqrt(3.7275) = 0.5180 +32'h3f3ada63,32'h3f92d3b6,32'h3f98d1e7, 32'h3f8e5510,32'h3f9d508c, 32'h3f86d753,32'h3fa4ce49,// invsqrt(0.7299) = 1.1705 +32'h410cd0d8,32'h3ea9223f,32'h3eb00985, 32'h3ea3f4ca,32'h3eb536fa, 32'h3e9b53b2,32'h3ebdd812,// invsqrt(8.8010) = 0.3371 +32'h400c7cbe,32'h3f2954d8,32'h3f303e2f, 32'h3f2425d6,32'h3f356d30, 32'h3f1b8229,32'h3f3e10dd,// invsqrt(2.1951) = 0.6749 +32'h3ed5fa2a,32'h3fc209c9,32'h3fc9f549, 32'h3fbc1929,32'h3fcfe5e9, 32'h3fb232c8,32'h3fd9cc4a,// invsqrt(0.4179) = 1.5469 +32'h3f8dab6d,32'h3f6e782a,32'h3f7833ee, 32'h3f672b58,32'h3f7f80c0, 32'h3f5b00a4,32'h3f85d5ba,// invsqrt(1.1068) = 0.9505 +32'h40a3905d,32'h3eddef8e,32'h3ee6fe8e, 32'h3ed7244d,32'h3eedc9cf, 32'h3ecbd18d,32'h3ef91c8f,// invsqrt(5.1114) = 0.4423 +32'h40ad02b9,32'h3ed7caa9,32'h3ee09977, 32'h3ed12f8f,32'h3ee73491, 32'h3ec62d0e,32'h3ef23712,// invsqrt(5.4066) = 0.4301 +32'h3f17467a,32'h3fa32e92,32'h3fa9d7a8, 32'h3f9e2fc2,32'h3faed678, 32'h3f95dc67,32'h3fb729d3,// invsqrt(0.5909) = 1.3009 +32'h3f4c36ff,32'h3f8c726d,32'h3f922df5, 32'h3f8825c8,32'h3f967a9a, 32'h3f80fb5f,32'h3f9da503,// invsqrt(0.7977) = 1.1196 +32'h3f1c3c22,32'h3fa0922b,32'h3fa71ff8, 32'h3f9ba7d0,32'h3fac0a52, 32'h3f93768f,32'h3fb43b93,// invsqrt(0.6103) = 1.2801 +32'h4082900d,32'h3ef867d9,32'h3f0145b7, 32'h3ef0cd29,32'h3f051310, 32'h3ee420ad,32'h3f0b694d,// invsqrt(4.0801) = 0.4951 +32'h4105ce3f,32'h3ead81fa,32'h3eb496f4, 32'h3ea8323e,32'h3eb9e6b0, 32'h3e9f5805,32'h3ec2c0e9,// invsqrt(8.3629) = 0.3458 +32'h3fa1705e,32'h3f5f6441,32'h3f688278, 32'h3f588d98,32'h3f6f5922, 32'h3f4d27d4,32'h3f7abee6,// invsqrt(1.2612) = 0.8904 +32'h3fb7dadc,32'h3f5154b8,32'h3f59e003, 32'h3f4aec3f,32'h3f60487b, 32'h3f403e20,32'h3f6af69a,// invsqrt(1.4364) = 0.8344 +32'h40f57758,32'h3eb52a56,32'h3ebc8f54, 32'h3eaf9e97,32'h3ec21b13, 32'h3ea66059,32'h3ecb5951,// invsqrt(7.6708) = 0.3611 +32'h3fd8c75c,32'h3f40c7c2,32'h3f48a61e, 32'h3f3ae0fe,32'h3f4e8ce2, 32'h3f310b0b,32'h3f5862d5,// invsqrt(1.6936) = 0.7684 +32'h3ef9acca,32'h3fb3a1c6,32'h3fbaf6be, 32'h3fae220c,32'h3fc07678, 32'h3fa4f7d5,32'h3fc9a0af,// invsqrt(0.4876) = 1.4320 +32'h404f36b5,32'h3f0b6d4e,32'h3f111e2c, 32'h3f0728a7,32'h3f1562d3, 32'h3f000b90,32'h3f1c7fea,// invsqrt(3.2377) = 0.5558 +32'h3f36e682,32'h3f9467b1,32'h3f9a765f, 32'h3f8fdcae,32'h3f9f0162, 32'h3f884a54,32'h3fa693bc,// invsqrt(0.7145) = 1.1831 +32'h41867f96,32'h3e74be87,32'h3e7ebbdb, 32'h3e6d4088,32'h3e831ced, 32'h3e60c3df,32'h3e895b41,// invsqrt(16.8123) = 0.2439 +32'h406c59d3,32'h3f028cd8,32'h3f07e0f5, 32'h3efd1b82,32'h3f0be00b, 32'h3eefc938,32'h3f128930,// invsqrt(3.6930) = 0.5204 +32'h416ff536,32'h3e8190b4,32'h3e86da88, 32'h3e7b32ac,32'h3e8ad1e6, 32'h3e6dfa1c,32'h3e916e2e,// invsqrt(14.9974) = 0.2582 +32'h3f0782fe,32'h3fac697d,32'h3fb37305, 32'h3fa72257,32'h3fb8ba2b, 32'h3f9e566e,32'h3fc18614,// invsqrt(0.5293) = 1.3745 +32'h3f03e914,32'h3faebfec,32'h3fb5e1e0, 32'h3fa96674,32'h3fbb3b58, 32'h3fa07c02,32'h3fc425ca,// invsqrt(0.5153) = 1.3931 +32'h40b0e613,32'h3ed56831,32'h3ede1e14, 32'h3ecedfc8,32'h3ee4a67e, 32'h3ec3fc6c,32'h3eef89da,// invsqrt(5.5281) = 0.4253 +32'h40ae2133,32'h3ed718de,32'h3edfe069, 32'h3ed08334,32'h3ee67612, 32'h3ec589c6,32'h3ef16f80,// invsqrt(5.4416) = 0.4287 +32'h3f5ca5f0,32'h3f871d9f,32'h3f8ca171, 32'h3f82fac1,32'h3f90c44f, 32'h3f782bf5,32'h3f97a916,// invsqrt(0.8619) = 1.0771 +32'h4009a50c,32'h3f2b122a,32'h3f320dae, 32'h3f25d587,32'h3f374a51, 32'h3f1d1b21,32'h3f4004b7,// invsqrt(2.1507) = 0.6819 +32'h403607c8,32'h3f14c260,32'h3f1ad4c2, 32'h3f103497,32'h3f1f628b, 32'h3f089d9c,32'h3f26f986,// invsqrt(2.8442) = 0.5929 +32'h3f5d7c74,32'h3f86dc21,32'h3f8c5d47, 32'h3f82bb45,32'h3f907e23, 32'h3f77b3aa,32'h3f975f93,// invsqrt(0.8652) = 1.0751 +32'h3f8011e4,32'h3f7acfc1,32'h3f82863d, 32'h3f732236,32'h3f865d03, 32'h3f66564e,32'h3f8cc2f7,// invsqrt(1.0005) = 0.9997 +32'h401cdadf,32'h3f2040d6,32'h3f26cb52, 32'h3f1b58f9,32'h3f2bb32f, 32'h3f132bdf,32'h3f33e049,// invsqrt(2.4509) = 0.6388 +32'h40262d83,32'h3f1bb173,32'h3f220c49, 32'h3f16ed53,32'h3f26d069, 32'h3f0efbc8,32'h3f2ec1f4,// invsqrt(2.5965) = 0.6206 +32'h3e92a76c,32'h3fea61c5,32'h3ff3f2d2, 32'h3fe334fa,32'h3ffb1f9c, 32'h3fd73faa,32'h40038a76,// invsqrt(0.2864) = 1.8685 +32'h3ebb98cc,32'h3fcf3b91,32'h3fd7b0ef, 32'h3fc8e38a,32'h3fde08f6, 32'h3fbe50d3,32'h3fe89bad,// invsqrt(0.3664) = 1.6520 +32'h3f088071,32'h3fabc922,32'h3fb2cc1e, 32'h3fa686e5,32'h3fb80e5b, 32'h3f9dc32a,32'h3fc0d216,// invsqrt(0.5332) = 1.3695 +32'h3dc3c5e4,32'h404adbf2,32'h4053239e, 32'h4044a631,32'h4059595f, 32'h403a4c9a,32'h4063b2f6,// invsqrt(0.0956) = 3.2344 +32'h3f55687d,32'h3f896378,32'h3f8eff0a, 32'h3f852ecb,32'h3f9333b7, 32'h3f7c58a8,32'h3f9a362e,// invsqrt(0.8336) = 1.0953 +32'h3e9c817d,32'h3fe2e290,32'h3fec2547, 32'h3fdbf086,32'h3ff31750, 32'h3fd05d20,32'h3ffeaab6,// invsqrt(0.3057) = 1.8087 +32'h3ebf7ee0,32'h3fcd1cbd,32'h3fd57bf3, 32'h3fc6d554,32'h3fdbc35c, 32'h3fbc5e4f,32'h3fe63a61,// invsqrt(0.3740) = 1.6351 +32'h4031810a,32'h3f16a4de,32'h3f1ccaf2, 32'h3f120850,32'h3f216780, 32'h3f0a58b7,32'h3f291719,// invsqrt(2.7735) = 0.6005 +32'h3ec18c90,32'h3fcc0573,32'h3fd45943, 32'h3fc5c696,32'h3fda9820, 32'h3fbb5dd2,32'h3fe500e4,// invsqrt(0.3780) = 1.6264 +32'h3f3f4a9d,32'h3f911d18,32'h3f970962, 32'h3f8cabe0,32'h3f9b7a9a, 32'h3f854484,32'h3fa2e1f6,// invsqrt(0.7472) = 1.1568 +32'h406756ff,32'h3f03f4de,32'h3f0957ae, 32'h3effd585,32'h3f0d61ca, 32'h3ef25e7e,32'h3f141d4d,// invsqrt(3.6147) = 0.5260 +32'h3fd3becb,32'h3f430ee4,32'h3f4b050d, 32'h3f3d1646,32'h3f50fdac, 32'h3f332293,32'h3f5af15f,// invsqrt(1.6543) = 0.7775 +32'h3fd53d9b,32'h3f425f80,32'h3f4a4e80, 32'h3f3c6c40,32'h3f5041c0, 32'h3f328180,32'h3f5a2c80,// invsqrt(1.6659) = 0.7748 +32'h3f49381e,32'h3f8d7d12,32'h3f93437c, 32'h3f892843,32'h3f97984b, 32'h3f81f040,32'h3f9ed04e,// invsqrt(0.7860) = 1.1279 +32'h3ed8d5af,32'h3fc0c164,32'h3fc89f7e, 32'h3fbadad2,32'h3fce8610, 32'h3fb10532,32'h3fd85bb0,// invsqrt(0.4235) = 1.5366 +32'h412cac62,32'h3e98bc97,32'h3e9ef889, 32'h3e940fa2,32'h3ea3a57e, 32'h3e8c44b5,32'h3eab706b,// invsqrt(10.7921) = 0.3044 +32'h3fcf7f79,32'h3f450b5f,32'h3f4d1649, 32'h3f3f0330,32'h3f531e78, 32'h3f34f58c,32'h3f5d2c1c,// invsqrt(1.6211) = 0.7854 +32'h3ea4f557,32'h3fdcfee7,32'h3fe60415, 32'h3fd63b04,32'h3fecc7f8, 32'h3fcaf48b,32'h3ff80e71,// invsqrt(0.3222) = 1.7618 +32'h424c72d7,32'h3e0c5ddd,32'h3e12188e, 32'h3e0811da,32'h3e166492, 32'h3e00e87d,32'h3e1d8def,// invsqrt(51.1121) = 0.1399 +32'h407cc191,32'h3efc7c1a,32'h3f036527, 32'h3ef4c171,32'h3f07427b, 32'h3ee7dfaf,32'h3f0db35d,// invsqrt(3.9493) = 0.5032 +32'h3ec6a9c0,32'h3fc960d1,32'h3fd19903, 32'h3fc336ab,32'h3fd7c329, 32'h3fb8f06c,32'h3fe20968,// invsqrt(0.3880) = 1.6054 +32'h3f3efd8d,32'h3f913a5b,32'h3f9727d7, 32'h3f8cc83e,32'h3f9b99f4, 32'h3f855f63,32'h3fa302cf,// invsqrt(0.7461) = 1.1577 +32'h407fe934,32'h3efaec74,32'h3f02952c, 32'h3ef33e07,32'h3f066c62, 32'h3ee670a9,32'h3f0cd312,// invsqrt(3.9986) = 0.5001 +32'h3e77f5dd,32'h3ffeea29,32'h4004a8e1, 32'h3ff71c76,32'h40088fbb, 32'h3fea1af7,32'h400f107a,// invsqrt(0.2421) = 2.0322 +32'h3ff3e251,32'h3f35c087,32'h3f3d2ba6, 32'h3f30302e,32'h3f42bbfe, 32'h3f26ea47,32'h3f4c01e5,// invsqrt(1.9053) = 0.7245 +32'h3dfc228c,32'h4032c0e4,32'h403a0cae, 32'h402d480c,32'h403f8586, 32'h4024294e,32'h4048a444,// invsqrt(0.1231) = 2.8500 +32'h3f7bbdf5,32'h3f7cfe28,32'h3f83a8d6, 32'h3f753f85,32'h3f878828, 32'h3f68571f,32'h3f8dfc5a,// invsqrt(0.9834) = 1.0084 +32'h3f1a913a,32'h3fa16f51,32'h3fa80625, 32'h3f9c7e32,32'h3facf744, 32'h3f9441a8,32'h3fb533ce,// invsqrt(0.6038) = 1.2869 +32'h3fb6bf60,32'h3f51f6d5,32'h3f5a88bf, 32'h3f4b8966,32'h3f60f62e, 32'h3f40d303,32'h3f6bac91,// invsqrt(1.4277) = 0.8369 +32'h3ec69d34,32'h3fc9672d,32'h3fd19fa2, 32'h3fc33cd6,32'h3fd7c9fa, 32'h3fb8f644,32'h3fe2108c,// invsqrt(0.3879) = 1.6056 +32'h3e4085ae,32'h4010a629,32'h40168d99, 32'h400c3896,32'h401afb2c, 32'h4004d74a,32'h40225c78,// invsqrt(0.1880) = 2.3063 +32'h4016742a,32'h3f23a077,32'h3f2a4e33, 32'h3f1e9e2a,32'h3f2f5080, 32'h3f164500,32'h3f37a9aa,// invsqrt(2.3508) = 0.6522 +32'h3ed68e62,32'h3fc1c6b7,32'h3fc9af7b, 32'h3fbbd825,32'h3fcf9e0d, 32'h3fb1f530,32'h3fd98102,// invsqrt(0.4191) = 1.5448 +32'h3f0be413,32'h3fa9b124,32'h3fb09e40, 32'h3fa47f50,32'h3fb5d014, 32'h3f9bd6ed,32'h3fbe7877,// invsqrt(0.5464) = 1.3528 +32'h40a3fa27,32'h3edda7eb,32'h3ee6b3ff, 32'h3ed6dedc,32'h3eed7d0e, 32'h3ecb8fc3,32'h3ef8cc27,// invsqrt(5.1243) = 0.4418 +32'h3f5ed1cb,32'h3f8674ad,32'h3f8bf199, 32'h3f8256fb,32'h3f900f4b, 32'h3f76f5a5,32'h3f96eb73,// invsqrt(0.8704) = 1.0719 +32'h3f31e407,32'h3f967aef,32'h3f9c9f4c, 32'h3f91dfa8,32'h3fa13a92, 32'h3f8a3234,32'h3fa8e806,// invsqrt(0.6949) = 1.1996 +32'h3c94a394,32'h40e8cfc7,32'h40f2506b, 32'h40e1af4b,32'h40f970e7, 32'h40d5ce7d,32'h4102a8db,// invsqrt(0.0181) = 7.4238 +32'h3ef36bbb,32'h3fb5ecc7,32'h3fbd59b5, 32'h3fb05b14,32'h3fc2eb68, 32'h3fa712eb,32'h3fcc3391,// invsqrt(0.4754) = 1.4503 +32'h4002d411,32'h3f2f788b,32'h3f36a209, 32'h3f2a196d,32'h3f3c0127, 32'h3f21258f,32'h3f44f505,// invsqrt(2.0442) = 0.6994 +32'h3e99da5d,32'h3fe4d52b,32'h3fee2c3d, 32'h3fddd3de,32'h3ff52d8a, 32'h3fd22708,32'h40006d30,// invsqrt(0.3005) = 1.8242 +32'h3fc31427,32'h3f4b3847,32'h3f5383b7, 32'h3f44ffb2,32'h3f59bc4c, 32'h3f3aa166,32'h3f641a99,// invsqrt(1.5241) = 0.8100 +32'h3dcb2390,32'h404725b7,32'h404f4699, 32'h40410d0c,32'h40555f44, 32'h4036e3f1,32'h405f885f,// invsqrt(0.0992) = 3.1752 +32'h3f7c9026,32'h3f7c94cc,32'h3f837202, 32'h3f74d963,32'h3f874fb7, 32'h3f67f65e,32'h3f8dc139,// invsqrt(0.9866) = 1.0068 +32'h3e316497,32'h4016b0f2,32'h401cd784, 32'h40121405,32'h40217471, 32'h400a63cf,32'h402924a7,// invsqrt(0.1732) = 2.4026 +32'h3f9d943f,32'h3f621c6c,32'h3f6b570e, 32'h3f5b3074,32'h3f724306, 32'h3f4fa72a,32'h3f7dcc50,// invsqrt(1.2311) = 0.9013 +32'h3f79d74c,32'h3f7df417,32'h3f8428d2, 32'h3f762dec,32'h3f880be8, 32'h3f6938fb,32'h3f8e8660,// invsqrt(0.9759) = 1.0123 +32'h3f0276ca,32'h3fafb73a,32'h3fb6e347, 32'h3faa5631,32'h3fbc4451, 32'h3fa15f21,32'h3fc53b61,// invsqrt(0.5096) = 1.4008 +32'h3f926724,32'h3f6a9533,32'h3f74285a, 32'h3f6366d7,32'h3f7b56b7, 32'h3f576ee6,32'h3f83a754,// invsqrt(1.1438) = 0.9350 +32'h40953223,32'h3ee86072,32'h3ef1dc8c, 32'h3ee1435f,32'h3ef8f99f, 32'h3ed5683f,32'h3f026a5f,// invsqrt(4.6624) = 0.4631 +32'h3e41e8e1,32'h40102171,32'h40160375, 32'h400bb7ed,32'h401a6cf9, 32'h40045d68,32'h4021c77e,// invsqrt(0.1894) = 2.2980 +32'h3f15d1d6,32'h3fa3f904,32'h3faaaa5e, 32'h3f9ef402,32'h3fafaf60, 32'h3f969652,32'h3fb80d10,// invsqrt(0.5852) = 1.3072 +32'h3ee3ec2e,32'h3fbc0229,32'h3fc3aea9, 32'h3fb640ca,32'h3fc97008, 32'h3faca92b,32'h3fd307a7,// invsqrt(0.4452) = 1.4988 +32'h401706b3,32'h3f235103,32'h3f29fb80, 32'h3f1e5124,32'h3f2efb5e, 32'h3f15fc07,32'h3f37507b,// invsqrt(2.3598) = 0.6510 +32'h40958603,32'h3ee81f3c,32'h3ef198ac, 32'h3ee10428,32'h3ef8b3c0, 32'h3ed52c5c,32'h3f0245c6,// invsqrt(4.6726) = 0.4626 +32'h4141bbdb,32'h3e90322f,32'h3e9614e3, 32'h3e8bc829,32'h3e9a7ee9, 32'h3e846cc8,32'h3ea1da4a,// invsqrt(12.1084) = 0.2874 +32'h3f28c239,32'h3f9a7f7f,32'h3fa0cdd8, 32'h3f95c4bd,32'h3fa5889b, 32'h3f8de2ce,32'h3fad6a8a,// invsqrt(0.6592) = 1.2316 +32'h3f686430,32'h3f83a85b,32'h3f89080b, 32'h3f7f412e,32'h3f8d0fcf, 32'h3f71d1f5,32'h3f93c76b,// invsqrt(0.9078) = 1.0496 +32'h4033ac0d,32'h3f15bb7d,32'h3f1bd80a, 32'h3f112614,32'h3f206d74, 32'h3f098264,32'h3f281124,// invsqrt(2.8074) = 0.5968 +32'h3ecaa614,32'h3fc76356,32'h3fcf86bc, 32'h3fc148c9,32'h3fd5a149, 32'h3fb71c88,32'h3fdfcd8a,// invsqrt(0.3958) = 1.5895 +32'h4049ceff,32'h3f0d4824,32'h3f130c64, 32'h3f08f4f4,32'h3f175f94, 32'h3f01bfa4,32'h3f1e94e4,// invsqrt(3.1533) = 0.5631 +32'h40a3bb39,32'h3eddd27f,32'h3ee6e050, 32'h3ed70823,32'h3eedaaad, 32'h3ecbb6de,32'h3ef8fbf2,// invsqrt(5.1166) = 0.4421 +32'h3f8f8893,32'h3f6cea7f,32'h3f769607, 32'h3f65a9d9,32'h3f7dd6ad, 32'h3f599370,32'h3f84f68b,// invsqrt(1.1214) = 0.9443 +32'h3f1af6d8,32'h3fa13a5a,32'h3fa7cf04, 32'h3f9c4ad9,32'h3facbe85, 32'h3f941104,32'h3fb4f85a,// invsqrt(0.6053) = 1.2853 +32'h40b37b0a,32'h3ed3ddd1,32'h3edc839b, 32'h3ecd617a,32'h3ee2fff2, 32'h3ec2923d,32'h3eedcf2f,// invsqrt(5.6088) = 0.4222 +32'h4061567f,32'h3f05b3cb,32'h3f0b28d9, 32'h3f019c01,32'h3f0f40a3, 32'h3ef59360,32'h3f1612f4,// invsqrt(3.5209) = 0.5329 +32'h3f3bdf0b,32'h3f926db7,32'h3f9867bf, 32'h3f8df232,32'h3f9ce344, 32'h3f8679a8,32'h3fa45bce,// invsqrt(0.7339) = 1.1673 +32'h3fabed08,32'h3f5878a9,32'h3f614e90, 32'h3f51d83b,32'h3f67eefd, 32'h3f46ccd9,32'h3f72fa5f,// invsqrt(1.3432) = 0.8628 +32'h3fae9923,32'h3f56cef0,32'h3f5f9378, 32'h3f503b8b,32'h3f6626dd, 32'h3f4545e2,32'h3f711c86,// invsqrt(1.3640) = 0.8562 +32'h3f35134f,32'h3f9526aa,32'h3f9b3d24, 32'h3f9095cf,32'h3f9fcdff, 32'h3f88f9b6,32'h3fa76a18,// invsqrt(0.7073) = 1.1890 +32'h3f00e8b2,32'h3fb0c5bc,32'h3fb7fcd4, 32'h3fab5c6b,32'h3fbd6625, 32'h3fa2578e,32'h3fc66b02,// invsqrt(0.5036) = 1.4092 +32'h3f8ffb75,32'h3f6c8be8,32'h3f763394, 32'h3f654e28,32'h3f7d7154, 32'h3f593c91,32'h3f84c175,// invsqrt(1.1249) = 0.9429 +32'h3f99b078,32'h3f64f459,32'h3f6e4cb1, 32'h3f5df218,32'h3f754ef2, 32'h3f5243ab,32'h3f807eb0,// invsqrt(1.2007) = 0.9126 +32'h3f98675a,32'h3f65eb0c,32'h3f6f4d74, 32'h3f5ee13d,32'h3f765743, 32'h3f53263a,32'h3f810923,// invsqrt(1.1907) = 0.9164 +32'h40251ab1,32'h3f1c32d1,32'h3f2292ef, 32'h3f176abb,32'h3f275b05, 32'h3f0f7296,32'h3f2f532a,// invsqrt(2.5798) = 0.6226 +32'h3f3a0088,32'h3f932999,32'h3f992b4b, 32'h3f8ea853,32'h3f9dac91, 32'h3f872633,32'h3fa52eb1,// invsqrt(0.7266) = 1.1732 +32'h3fafae3e,32'h3f562544,32'h3f5ee2de, 32'h3f4f9710,32'h3f657112, 32'h3f44aa0f,32'h3f705e13,// invsqrt(1.3725) = 0.8536 +32'h3ee4c770,32'h3fbba7fc,32'h3fc350cd, 32'h3fb5e960,32'h3fc90f6a, 32'h3fac565b,32'h3fd2a26f,// invsqrt(0.4468) = 1.4960 +32'h401bc197,32'h3f20d149,32'h3f2761aa, 32'h3f1be500,32'h3f2c4df2, 32'h3f13b086,32'h3f34826c,// invsqrt(2.4337) = 0.6410 +32'h3ee545d4,32'h3fbb743c,32'h3fc31af0, 32'h3fb5b735,32'h3fc8d7f7, 32'h3fac26d4,32'h3fd26858,// invsqrt(0.4478) = 1.4944 +32'h3f2aa528,32'h3f99a446,32'h3f9fe9ac, 32'h3f94f039,32'h3fa49db9, 32'h3f8d197a,32'h3fac7478,// invsqrt(0.6666) = 1.2248 +32'h3f838502,32'h3f778018,32'h3f80cd1c, 32'h3f6fec80,32'h3f8496e8, 32'h3f634bd7,32'h3f8ae73c,// invsqrt(1.0275) = 0.9865 +32'h410721a3,32'h3eaca78d,32'h3eb3b39d, 32'h3ea75e81,32'h3eb8fca9, 32'h3e9e8f6c,32'h3ec1cbbe,// invsqrt(8.4457) = 0.3441 +32'h3ecf52c4,32'h3fc5209c,32'h3fcd2c64, 32'h3fbf17c6,32'h3fd3353a, 32'h3fb5090d,32'h3fdd43f3,// invsqrt(0.4049) = 1.5715 +32'h407f45a2,32'h3efb3ccc,32'h3f02befc, 32'h3ef38bea,32'h3f06976d, 32'h3ee6ba72,32'h3f0d0029,// invsqrt(3.9886) = 0.5007 +32'h3f2e4dad,32'h3f980554,32'h3f9e39cb, 32'h3f935dfc,32'h3fa2e124, 32'h3f8b9c68,32'h3faaa2b8,// invsqrt(0.6809) = 1.2119 +32'h4121565a,32'h3e9e02f0,32'h3ea475fe, 32'h3e992ca4,32'h3ea94c4a, 32'h3e911cd2,32'h3eb15c1d,// invsqrt(10.0836) = 0.3149 +32'h40439e60,32'h3f0f7fea,32'h3f155b57, 32'h3f0b1b59,32'h3f19bfe9, 32'h3f03c911,32'h3f211231,// invsqrt(3.0565) = 0.5720 +32'h3ff33618,32'h3f3600d5,32'h3f3d6e95, 32'h3f306e86,32'h3f4300e4, 32'h3f272556,32'h3f4c4a14,// invsqrt(1.9001) = 0.7255 +32'h3f1dc05e,32'h3f9fcc1a,32'h3fa651d2, 32'h3f9ae7d0,32'h3fab361c, 32'h3f92c0aa,32'h3fb35d42,// invsqrt(0.6162) = 1.2739 +32'h3d0b23b9,32'h40aa2647,32'h40b1182b, 32'h40a4f0dd,32'h40b64d95, 32'h409c4280,32'h40befbf2,// invsqrt(0.0340) = 5.4257 +32'h40282f49,32'h3f1ac2ee,32'h3f211408, 32'h3f16061b,32'h3f25d0db, 32'h3f0e20bc,32'h3f2db63a,// invsqrt(2.6279) = 0.6169 +32'h40792c01,32'h3efe4b52,32'h3f045638, 32'h3ef6827d,32'h3f083aa3, 32'h3ee98918,32'h3f0eb756,// invsqrt(3.8933) = 0.5068 +32'h3fcb9568,32'h3f46ee00,32'h3f4f0c9d, 32'h3f40d70b,32'h3f552393, 32'h3f36b0c7,32'h3f5f49d7,// invsqrt(1.5905) = 0.7929 +32'h3f103651,32'h3fa72158,32'h3fadf3b0, 32'h3fa20397,32'h3fb31171, 32'h3f997caa,32'h3fbb985e,// invsqrt(0.5633) = 1.3324 +32'h3f749073,32'h3f8056da,32'h3f8593de, 32'h3f78d22f,32'h3f8981a1, 32'h3f6bb9a6,32'h3f900de5,// invsqrt(0.9553) = 1.0231 +32'h413bbd75,32'h3e927ad0,32'h3e987560, 32'h3e8dfee4,32'h3e9cf14c, 32'h3e8685af,32'h3ea46a81,// invsqrt(11.7338) = 0.2919 +32'h3eecc581,32'h3fb87635,32'h3fbffda5, 32'h3fb2d0a1,32'h3fc5a339, 32'h3fa96755,32'h3fcf0c85,// invsqrt(0.4624) = 1.4705 +32'h3c9e3ad0,32'h40e1a54a,32'h40eadb0e, 32'h40dabcf7,32'h40f1c361, 32'h40cf39c1,32'h40fd4697,// invsqrt(0.0193) = 7.1953 +32'h3ee22748,32'h3fbcbe0d,32'h3fc47237, 32'h3fb6f6ed,32'h3fca3957, 32'h3fad55b8,32'h3fd3da8c,// invsqrt(0.4417) = 1.5046 +32'h3d8c2755,32'h406fc172,32'h40798aa6, 32'h40686a8b,32'h408070c6, 32'h405c2f0b,32'h40868e87,// invsqrt(0.0684) = 3.8226 +32'h3f4a606b,32'h3f8d1558,32'h3f92d786, 32'h3f88c3b6,32'h3f972928, 32'h3f8190fe,32'h3f9e5be0,// invsqrt(0.7905) = 1.1247 +32'h3f6fbf97,32'h3f819f31,32'h3f86e99b, 32'h3f7b4ec2,32'h3f8ae16b, 32'h3f6e14b8,32'h3f917e70,// invsqrt(0.9365) = 1.0333 +32'h3ffe1a64,32'h3f320f54,32'h3f3953df, 32'h3f2c9beb,32'h3f3ec747, 32'h3f23863d,32'h3f47dcf5,// invsqrt(1.9852) = 0.7097 +32'h3f90233d,32'h3f6c6b41,32'h3f761197, 32'h3f652e80,32'h3f7d4e58, 32'h3f591e94,32'h3f84af22,// invsqrt(1.1261) = 0.9424 +32'h3f4cc2fa,32'h3f8c4263,32'h3f91fbf5, 32'h3f87f737,32'h3f964721, 32'h3f80cf41,32'h3f9d6f17,// invsqrt(0.7999) = 1.1181 +32'h3e2887ed,32'h401a9a36,32'h4020e9a6, 32'h4015dea2,32'h4025a53a, 32'h400dfb56,32'h402d8886,// invsqrt(0.1646) = 2.4650 +32'h3ecb213d,32'h3fc726da,32'h3fcf47c9, 32'h3fc10e28,32'h3fd5607c, 32'h3fb6e4fd,32'h3fdf89a7,// invsqrt(0.3967) = 1.5876 +32'h40489056,32'h3f0db834,32'h3f138107, 32'h3f096195,32'h3f17d7a5, 32'h3f02268d,32'h3f1f12ad,// invsqrt(3.1338) = 0.5649 +32'h3ffc781d,32'h3f32a297,32'h3f39ed25, 32'h3f2d2aac,32'h3f3f6510, 32'h3f240d7b,32'h3f488241,// invsqrt(1.9724) = 0.7120 +32'h3e3f8f70,32'h40110304,32'h4016ee3e, 32'h400c9299,32'h401b5ea9, 32'h40052c91,32'h4022c4b1,// invsqrt(0.1871) = 2.3121 +32'h3fbb3a91,32'h3f4f6fb0,32'h3f57e730, 32'h3f491611,32'h3f5e40cf, 32'h3f3e80b2,32'h3f68d62e,// invsqrt(1.4627) = 0.8268 +32'h3fd8adfc,32'h3f40d30c,32'h3f48b1de, 32'h3f3aebef,32'h3f4e98fb, 32'h3f311569,32'h3f586f81,// invsqrt(1.6928) = 0.7686 +32'h3eff2545,32'h3fb1b21c,32'h3fb8f2d9, 32'h3fac418e,32'h3fbe6366, 32'h3fa330a1,32'h3fc77453,// invsqrt(0.4983) = 1.4166 +32'h3f49186c,32'h3f8d8838,32'h3f934f16, 32'h3f893312,32'h3f97a43c, 32'h3f81fa7d,32'h3f9edcd1,// invsqrt(0.7855) = 1.1283 +32'h3ef937fb,32'h3fb3cbda,32'h3fbb228a, 32'h3fae4ad6,32'h3fc0a38e, 32'h3fa51e7a,32'h3fc9cfea,// invsqrt(0.4868) = 1.4333 +32'h3eec2014,32'h3fb8b6c7,32'h3fc040da, 32'h3fb30f3a,32'h3fc5e868, 32'h3fa9a2a2,32'h3fcf5500,// invsqrt(0.4612) = 1.4725 +32'h3f52d2f3,32'h3f8a3a5d,32'h3f8fdeb5, 32'h3f85ff1c,32'h3f9419f6, 32'h3f7de35d,32'h3f9b2764,// invsqrt(0.8235) = 1.1019 +32'h402175a7,32'h3f1df39e,32'h3f24660d, 32'h3f191dcb,32'h3f293be1, 32'h3f110ec1,32'h3f314aeb,// invsqrt(2.5228) = 0.6296 +32'h4017eec5,32'h3f22d418,32'h3f29797c, 32'h3f1dd80d,32'h3f2e7587, 32'h3f15894f,32'h3f36c445,// invsqrt(2.3739) = 0.6490 +32'h3fbaf50a,32'h3f4f963f,32'h3f580f51, 32'h3f493b71,32'h3f5e6a1f, 32'h3f3ea41a,32'h3f690176,// invsqrt(1.4606) = 0.8274 +32'h3e27b3ec,32'h401afbd0,32'h40214f3b, 32'h40163d3e,32'h40260dcc, 32'h400e54f8,32'h402df612,// invsqrt(0.1638) = 2.4710 +32'h3ee2636a,32'h3fbca4fa,32'h3fc4581e, 32'h3fb6de9f,32'h3fca1e79, 32'h3fad3eb1,32'h3fd3be67,// invsqrt(0.4422) = 1.5039 +32'h3f7ad27c,32'h3f7d74ce,32'h3f83e695, 32'h3f75b289,32'h3f87c7b8, 32'h3f68c416,32'h3f8e3ef1,// invsqrt(0.9798) = 1.0103 +32'h3f532d0b,32'h3f8a1cde,32'h3f8fc001, 32'h3f85e283,32'h3f93fa5b, 32'h3f7dad2e,32'h3f9b0647,// invsqrt(0.8249) = 1.1010 +32'h3fd67145,32'h3f41d3de,32'h3f49bd2c, 32'h3f3be4e5,32'h3f4fac25, 32'h3f320144,32'h3f598fc6,// invsqrt(1.6753) = 0.7726 +32'h3fa08447,32'h3f60084e,32'h3f692d37, 32'h3f592c9f,32'h3f7008e5, 32'h3f4dbe7b,32'h3f7b7709,// invsqrt(1.2540) = 0.8930 +32'h3fa44898,32'h3f5d72fa,32'h3f667ce4, 32'h3f56ab89,32'h3f6d4455, 32'h3f4b5f24,32'h3f7890ba,// invsqrt(1.2835) = 0.8827 +32'h3f6b8dfb,32'h3f82c548,32'h3f881bb4, 32'h3f7d88ef,32'h3f8c1c84, 32'h3f7030e3,32'h3f92c88b,// invsqrt(0.9201) = 1.0425 +32'h3f9a8ea4,32'h3f644f8f,32'h3f6da12d, 32'h3f5d5259,32'h3f749e63, 32'h3f51ac55,32'h3f802234,// invsqrt(1.2075) = 0.9100 +32'h3eb2ca68,32'h3fd4465f,32'h3fdcf06d, 32'h3fcdc6d4,32'h3fe36ff8, 32'h3fc2f242,32'h3fee448a,// invsqrt(0.3492) = 1.6922 +32'h3ecefe8e,32'h3fc548b2,32'h3fcd561c, 32'h3fbf3ea2,32'h3fd3602c, 32'h3fb52ddd,32'h3fdd70f1,// invsqrt(0.4043) = 1.5727 +32'h3fb5d6b3,32'h3f527cff,32'h3f5b1463, 32'h3f4c0b75,32'h3f6185ed, 32'h3f414e39,32'h3f6c4329,// invsqrt(1.4206) = 0.8390 +32'h3ecfbc9a,32'h3fc4ee5f,32'h3fccf819, 32'h3fbee713,32'h3fd2ff65, 32'h3fb4dae9,32'h3fdd0b8f,// invsqrt(0.4057) = 1.5699 +32'h3fd1c918,32'h3f43f797,32'h3f4bf73f, 32'h3f3df7d9,32'h3f51f6fd, 32'h3f33f847,32'h3f5bf68f,// invsqrt(1.6389) = 0.7811 +32'h3f5f6fb8,32'h3f864520,32'h3f8bc01c, 32'h3f8228e3,32'h3f8fdc59, 32'h3f769e50,32'h3f96b614,// invsqrt(0.8728) = 1.0704 +32'h3db07440,32'h4055acfb,32'h405e65ad, 32'h404f2276,32'h4064f032, 32'h40443b98,32'h406fd710,// invsqrt(0.0862) = 3.4068 +32'h40db239f,32'h3ebfbd40,32'h3ec790ba, 32'h3eb9dea4,32'h3ecd6f56, 32'h3eb0164a,32'h3ed737b0,// invsqrt(6.8481) = 0.3821 +32'h40be9ad8,32'h3ecd974a,32'h3ed5fb82, 32'h3ec74c21,32'h3edc46ab, 32'h3ebccedc,32'h3ee6c3f0,// invsqrt(5.9564) = 0.4097 +32'h3f738cf1,32'h3f809b27,32'h3f85daf5, 32'h3f79569b,32'h3f89cacf, 32'h3f6c3719,32'h3f905a8f,// invsqrt(0.9514) = 1.0252 +32'h3f66a961,32'h3f84267e,32'h3f898b54, 32'h3f801add,32'h3f8d96f5, 32'h3f72b9a3,32'h3f945500,// invsqrt(0.9010) = 1.0535 +32'h3fc295fb,32'h3f4b7a1e,32'h3f53c83f, 32'h3f453f86,32'h3f5a02d8, 32'h3f3addde,32'h3f646481,// invsqrt(1.5202) = 0.8111 +32'h412c2746,32'h3e98f798,32'h3e9f35f2, 32'h3e9448d5,32'h3ea3e4b5, 32'h3e8c7ae4,32'h3eabb2a6,// invsqrt(10.7596) = 0.3049 +32'h3d940b3e,32'h40694770,32'h4072ccf8, 32'h4062234b,32'h4079f11d, 32'h40563c62,32'h4082ec03,// invsqrt(0.0723) = 3.7194 +32'h3dbb693a,32'h404f55dc,32'h4057cc4e, 32'h4048fd07,32'h405e2523, 32'h403e68f9,32'h4068b931,// invsqrt(0.0915) = 3.3057 +32'h3f93b82f,32'h3f6988fc,32'h3f731130, 32'h3f6262d5,32'h3f7a3757, 32'h3f567894,32'h3f8310cc,// invsqrt(1.1541) = 0.9309 +32'h4006a7f0,32'h3f2cf581,32'h3f3404bf, 32'h3f27aa12,32'h3f39502e, 32'h3f1ed703,32'h3f42233d,// invsqrt(2.1040) = 0.6894 +32'h402a83f2,32'h3f19b33b,32'h3f1ff93d, 32'h3f14feb9,32'h3f24adbf, 32'h3f0d2736,32'h3f2c8542,// invsqrt(2.6643) = 0.6126 +32'h3f63e41b,32'h3f84f385,32'h3f8a60b9, 32'h3f80e19d,32'h3f8e72a1, 32'h3f743238,32'h3f953b22,// invsqrt(0.8902) = 1.0599 +32'h3e80b87a,32'h3ffa2d41,32'h400231ac, 32'h3ff284af,32'h400605f5, 32'h3fe5c111,32'h400c67c3,// invsqrt(0.2514) = 1.9944 +32'h405970a7,32'h3f081bdb,32'h3f0daa0e, 32'h3f03f136,32'h3f11d4b4, 32'h3ef9feec,32'h3f18c674,// invsqrt(3.3975) = 0.5425 +32'h3d396e46,32'h40936397,32'h409967a7, 32'h408ee08a,32'h409deab4, 32'h40875b76,32'h40a56fc8,// invsqrt(0.0453) = 4.6999 +32'h3f543da4,32'h3f89c40f,32'h3f8f6393, 32'h3f858c6d,32'h3f939b35, 32'h3f7d0a12,32'h3f9aa299,// invsqrt(0.8291) = 1.0983 +32'h3faad565,32'h3f59298c,32'h3f6206ac, 32'h3f5283b4,32'h3f68ac84, 32'h3f476f4c,32'h3f73c0ec,// invsqrt(1.3346) = 0.8656 +32'h3fb0a8bd,32'h3f558d3a,32'h3f5e44a0, 32'h3f4f03ae,32'h3f64ce2c, 32'h3f441e6f,32'h3f6fb36b,// invsqrt(1.3801) = 0.8512 +32'h3f38e17f,32'h3f939baa,32'h3f99a204, 32'h3f8f16e6,32'h3f9e26c8, 32'h3f878ef5,32'h3fa5aeb9,// invsqrt(0.7222) = 1.1767 +32'h3f201541,32'h3f9ea119,32'h3fa51a9d, 32'h3f99c5f6,32'h3fa9f5c0, 32'h3f91ae12,32'h3fb20da4,// invsqrt(0.6253) = 1.2646 +32'h4147d22e,32'h3e8dfb93,32'h3e93c726, 32'h3e89a2e4,32'h3e981fd4, 32'h3e82646c,32'h3e9f5e4c,// invsqrt(12.4888) = 0.2830 +32'h3f6d22e2,32'h3f825574,32'h3f87a74e, 32'h3f7cb01f,32'h3f8ba4b3, 32'h3f6f637b,32'h3f924b04,// invsqrt(0.9263) = 1.0390 +32'h3e910c99,32'h3febacc7,32'h3ff54b57, 32'h3fe475db,32'h3ffc8243, 32'h3fd86fa7,32'h4004443b,// invsqrt(0.2833) = 1.8788 +32'h3f451385,32'h3f8ef7d0,32'h3f94cdae, 32'h3f8a9769,32'h3f992e15, 32'h3f834c12,32'h3fa0796c,// invsqrt(0.7698) = 1.1397 +32'h3e161485,32'h4023d493,32'h402a846f, 32'h401ed0ae,32'h402f8854, 32'h401674da,32'h4037e428,// invsqrt(0.1466) = 2.6121 +32'h4005bd8f,32'h3f2d8ccd,32'h3f34a239, 32'h3f283cbc,32'h3f39f24a, 32'h3f1f61f6,32'h3f42cd10,// invsqrt(2.0897) = 0.6918 +32'h3f80d025,32'h3f7a1644,32'h3f8225b6, 32'h3f726e67,32'h3f85f9a5, 32'h3f65abf6,32'h3f8c5add,// invsqrt(1.0064) = 0.9968 +32'h3f9726af,32'h3f66de6e,32'h3f704ac6, 32'h3f5fcd2c,32'h3f775c08, 32'h3f5405be,32'h3f8191bb,// invsqrt(1.1809) = 0.9202 +32'h3fb55470,32'h3f52c88d,32'h3f5b6305, 32'h3f4c54b2,32'h3f61d6e0, 32'h3f41939b,32'h3f6c97f7,// invsqrt(1.4166) = 0.8402 +32'h3f7e352d,32'h3f7bc34b,32'h3f8304fb, 32'h3f740e4b,32'h3f86df7a, 32'h3f6735f6,32'h3f8d4ba5,// invsqrt(0.9930) = 1.0035 +32'h3eb4b27e,32'h3fd326ec,32'h3fdbc53e, 32'h3fccb02e,32'h3fe23bfc, 32'h3fc1ea46,32'h3fed01e4,// invsqrt(0.3529) = 1.6833 +32'h40d3818c,32'h3ec32b20,32'h3ecb2270, 32'h3ebd31a4,32'h3ed11bec, 32'h3eb33c81,32'h3edb110f,// invsqrt(6.6096) = 0.3890 +32'h3fb18f90,32'h3f55023f,32'h3f5db3f9, 32'h3f4e7cf4,32'h3f643944, 32'h3f439ecc,32'h3f6f176c,// invsqrt(1.3872) = 0.8490 +32'h3ea6304a,32'h3fdc2d1b,32'h3fe529b9, 32'h3fd56fa5,32'h3febe72f, 32'h3fca33df,32'h3ff722f5,// invsqrt(0.3246) = 1.7552 +32'h3e9f0732,32'h3fe1141b,32'h3fea43f3, 32'h3fda303a,32'h3ff127d4, 32'h3fceb46d,32'h3ffca3a1,// invsqrt(0.3106) = 1.7943 +32'h3f226a72,32'h3f9d7c69,32'h3fa3e9fb, 32'h3f98aa3c,32'h3fa8bc28, 32'h3f90a147,32'h3fb0c51d,// invsqrt(0.6344) = 1.2555 +32'h3f242381,32'h3f9ca843,32'h3fa30d2b, 32'h3f97dc94,32'h3fa7d8da, 32'h3f8fde72,32'h3fafd6fc,// invsqrt(0.6412) = 1.2489 +32'h40ecced5,32'h3eb87293,32'h3ebff9dd, 32'h3eb2cd1c,32'h3ec59f54, 32'h3ea963ff,32'h3ecf0871,// invsqrt(7.4002) = 0.3676 +32'h3e1dd87b,32'h401fbfe5,32'h4026451d, 32'h401adbfb,32'h402b2907, 32'h4012b574,32'h40334f8e,// invsqrt(0.1541) = 2.5470 +32'h3f342806,32'h3f9587f0,32'h3f9ba262, 32'h3f90f41a,32'h3fa03638, 32'h3f89530b,32'h3fa7d747,// invsqrt(0.7037) = 1.1921 +32'h4011370e,32'h3f268d59,32'h3f2d59a5, 32'h3f21741f,32'h3f3272df, 32'h3f18f4bf,32'h3f3af23f,// invsqrt(2.2690) = 0.6639 +32'h3fce6b3e,32'h3f458f0b,32'h3f4d9f54, 32'h3f3f82d3,32'h3f53ab8b, 32'h3f356e77,32'h3f5dbfe7,// invsqrt(1.6126) = 0.7875 +32'h3ea71092,32'h3fdb991f,32'h3fe48fb3, 32'h3fd4e031,32'h3feb48a1, 32'h3fc9abf8,32'h3ff67cda,// invsqrt(0.3263) = 1.7506 +32'h403a7e52,32'h3f12f7ef,32'h3f18f79b, 32'h3f0e782e,32'h3f1d775c, 32'h3f06f898,32'h3f24f6f2,// invsqrt(2.9140) = 0.5858 +32'h3efcdaf2,32'h3fb27faa,32'h3fb9c8cc, 32'h3fad08d2,32'h3fbf3fa4, 32'h3fa3ed68,32'h3fc85b0e,// invsqrt(0.4939) = 1.4230 +32'h40b6f163,32'h3ed1da20,32'h3eda6ade, 32'h3ecb6d92,32'h3ee0d76c, 32'h3ec0b8a6,32'h3eeb8c59,// invsqrt(5.7170) = 0.4182 +32'h3f1be24c,32'h3fa0c069,32'h3fa75019, 32'h3f9bd4a4,32'h3fac3bde, 32'h3f93a107,32'h3fb46f7b,// invsqrt(0.6089) = 1.2815 +32'h3e68e08f,32'h4003852e,32'h4008e36e, 32'h3ffefcfb,32'h400cea1f, 32'h3ff19159,32'h40139fef,// invsqrt(0.2274) = 2.0969 +32'h3ec79bba,32'h3fc8e69c,32'h3fd119d2, 32'h3fc2c034,32'h3fd7403a, 32'h3fb88032,32'h3fe1803d,// invsqrt(0.3899) = 1.6016 +32'h3ddfd2e1,32'h403db8dc,32'h40457744, 32'h4037ea0f,32'h404b4611, 32'h402e3c0e,32'h4054f412,// invsqrt(0.1093) = 3.0249 +32'h3f240a43,32'h3f9cb450,32'h3fa319b6, 32'h3f97e843,32'h3fa7e5c3, 32'h3f8fe983,32'h3fafe483,// invsqrt(0.6408) = 1.2492 +32'h403b63ee,32'h3f129dc9,32'h3f1899c7, 32'h3f0e20cb,32'h3f1d16c5, 32'h3f06a5ce,32'h3f2491c2,// invsqrt(2.9280) = 0.5844 +32'h3f308722,32'h3f970f5a,32'h3f9d39c6, 32'h3f926f89,32'h3fa1d997, 32'h3f8aba82,32'h3fa98e9e,// invsqrt(0.6896) = 1.2042 +32'h3f44b57e,32'h3f8f19f7,32'h3f94f13b, 32'h3f8ab885,32'h3f9952ad, 32'h3f836b70,32'h3fa09fc2,// invsqrt(0.7684) = 1.1408 +32'h3fc1e13b,32'h3f4bd8e2,32'h3f542ae0, 32'h3f459b63,32'h3f5a685f, 32'h3f3b34e4,32'h3f64cede,// invsqrt(1.5147) = 0.8125 +32'h3fa9152a,32'h3f5a48a6,32'h3f63317e, 32'h3f539a04,32'h3f69e020, 32'h3f4876f7,32'h3f75032d,// invsqrt(1.3210) = 0.8701 +32'h408d5b28,32'h3eeebbd6,32'h3ef87a5c, 32'h3ee76cf1,32'h3effc941, 32'h3edb3eca,32'h3f05fbb4,// invsqrt(4.4174) = 0.4758 +32'h400f9d10,32'h3f277a6d,32'h3f2e5067, 32'h3f2259f2,32'h3f3370e2, 32'h3f19ce79,32'h3f3bfc5b,// invsqrt(2.2440) = 0.6676 +32'h3f9693c9,32'h3f674eef,32'h3f70bfdf, 32'h3f603a3b,32'h3f77d493, 32'h3f546d10,32'h3f81d0df,// invsqrt(1.1764) = 0.9220 +32'h421dd04f,32'h3e1fc408,32'h3e26496c, 32'h3e1adffe,32'h3e2b2d76, 32'h3e12b941,32'h3e335433,// invsqrt(39.4534) = 0.1592 +32'h3d9dc863,32'h4061f70d,32'h406b3027, 32'h405b0c39,32'h40721afb, 32'h404f84d7,32'h407da25d,// invsqrt(0.0770) = 3.6028 +32'h4097c498,32'h3ee66633,32'h3eefcda3, 32'h3edf589f,32'h3ef6db37, 32'h3ed39754,32'h3f014e41,// invsqrt(4.7427) = 0.4592 +32'h3d861141,32'h40752327,32'h407f2497, 32'h406da214,32'h408352d5, 32'h40612049,32'h408993bb,// invsqrt(0.0655) = 3.9084 +32'h3f81e74f,32'h3f7908fb,32'h3f819992, 32'h3f71695b,32'h3f856961, 32'h3f64b4a7,32'h3f8bc3bb,// invsqrt(1.0149) = 0.9926 +32'h3f185093,32'h3fa29fc9,32'h3fa9430b, 32'h3f9da558,32'h3fae3d7c, 32'h3f955946,32'h3fb6898e,// invsqrt(0.5950) = 1.2964 +32'h3e85f123,32'h3ff5408a,32'h3fff432c, 32'h3fedbe90,32'h40036293, 32'h3fe13b45,32'h4009a438,// invsqrt(0.2616) = 1.9551 +32'h3f347dd8,32'h3f95645f,32'h3f9b7d5d, 32'h3f90d1a0,32'h3fa0101c, 32'h3f893261,32'h3fa7af5b,// invsqrt(0.7050) = 1.1909 +32'h3f43af58,32'h3f8f79b1,32'h3f9554dd, 32'h3f8b1550,32'h3f99b93e, 32'h3f83c35a,32'h3fa10b34,// invsqrt(0.7644) = 1.1438 +32'h41ade73d,32'h3e573cb3,32'h3e6005b5, 32'h3e50a5f1,32'h3e669c77, 32'h3e45aaaf,32'h3e7197b9,// invsqrt(21.7379) = 0.2145 +32'h410b351c,32'h3eaa1ba7,32'h3eb10d1b, 32'h3ea4e68f,32'h3eb64233, 32'h3e9c38be,32'h3ebef004,// invsqrt(8.7005) = 0.3390 +32'h3f86fd40,32'h3f744c81,32'h3f7e452e, 32'h3f6cd200,32'h3f82dfd8, 32'h3f605b29,32'h3f891b44,// invsqrt(1.0546) = 0.9738 +32'h400a058e,32'h3f2ad650,32'h3f31cf63, 32'h3f259b82,32'h3f370a32, 32'h3f1ce42b,32'h3f3fc189,// invsqrt(2.1566) = 0.6810 +32'h3fa237d5,32'h3f5edac0,32'h3f67f35a, 32'h3f58084c,32'h3f6ec5ce, 32'h3f4ca98c,32'h3f7a248e,// invsqrt(1.2673) = 0.8883 +32'h4023bd30,32'h3f1cd92d,32'h3f234015, 32'h3f180bff,32'h3f280d43, 32'h3f100b5e,32'h3f300de4,// invsqrt(2.5584) = 0.6252 +32'h3ff9eed6,32'h3f338a08,32'h3f3ade09, 32'h3f2e0b09,32'h3f405d09, 32'h3f24e208,32'h3f49860a,// invsqrt(1.9526) = 0.7156 +32'h3e694eb3,32'h4003661f,32'h4008c31b, 32'h3ffec0c4,32'h400cc8d8, 32'h3ff1584e,32'h40137d13,// invsqrt(0.2278) = 2.0950 +32'h3cfdc1b5,32'h40b22e6e,32'h40b9743e, 32'h40acba12,32'h40bee89a, 32'h40a3a2cd,32'h40c7ffdf,// invsqrt(0.0310) = 5.6818 +32'h3fab3452,32'h3f58ed4f,32'h3f61c7fa, 32'h3f524950,32'h3f686bfa, 32'h3f4737fb,32'h3f737d4f,// invsqrt(1.3375) = 0.8647 +32'h3f33e5a4,32'h3f95a384,32'h3f9bbf16, 32'h3f910ed6,32'h3fa053c4, 32'h3f896c5f,32'h3fa7f63b,// invsqrt(0.7027) = 1.1929 +32'h3e82abb4,32'h3ff84d8f,32'h40013809, 32'h3ff0b3ac,32'h400504fa, 32'h3fe40888,32'h400b5a8c,// invsqrt(0.2552) = 1.9795 +32'h4202280a,32'h3e2fec5b,32'h3e371a93, 32'h3e2a89b1,32'h3e3c7d3d, 32'h3e218feb,32'h3e457703,// invsqrt(32.5391) = 0.1753 +32'h3f47bc7c,32'h3f8e0348,32'h3f93cf2c, 32'h3f89aa5e,32'h3f982816, 32'h3f826b81,32'h3f9f66f3,// invsqrt(0.7802) = 1.1321 +32'h3f402a2f,32'h3f90c895,32'h3f96b16c, 32'h3f8c59f3,32'h3f9b200d, 32'h3f84f6e6,32'h3fa2831a,// invsqrt(0.7506) = 1.1542 +32'h3d75988d,32'h408011c6,32'h40854bf8, 32'h40784c41,32'h4089379d, 32'h406b3ac5,32'h408fc05c,// invsqrt(0.0600) = 4.0838 +32'h3ff56871,32'h3f352fd6,32'h3f3c950e, 32'h3f2fa3ec,32'h3f4220f8, 32'h3f266567,32'h3f4b5f7d,// invsqrt(1.9172) = 0.7222 +32'h4058c852,32'h3f0850a9,32'h3f0de104, 32'h3f042466,32'h3f120d48, 32'h3efa5fe9,32'h3f1901b9,// invsqrt(3.3872) = 0.5433 +32'h3f4e05cb,32'h3f8bd455,32'h3f918969, 32'h3f878c87,32'h3f95d137, 32'h3f806a2f,32'h3f9cf38f,// invsqrt(0.8048) = 1.1147 +32'h3fd5b5d2,32'h3f4228cd,32'h3f4a1591, 32'h3f3c3739,32'h3f500725, 32'h3f324f44,32'h3f59ef1a,// invsqrt(1.6696) = 0.7739 +32'h3f0d5c7d,32'h3fa8cea0,32'h3fafb27d, 32'h3fa3a3bb,32'h3fb4dd63, 32'h3f9b06e7,32'h3fbd7a37,// invsqrt(0.5522) = 1.3457 +32'h3f14d5a8,32'h3fa483b4,32'h3fab3ab6, 32'h3f9f7a72,32'h3fb043f8, 32'h3f9715b0,32'h3fb8a8ba,// invsqrt(0.5814) = 1.3115 +32'h3ffe4b60,32'h3f31fe2d,32'h3f394205, 32'h3f2c8b4b,32'h3f3eb4e7, 32'h3f23767d,32'h3f47c9b5,// invsqrt(1.9867) = 0.7095 +32'h4030a2ac,32'h3f170393,32'h3f1d2d85, 32'h3f12641f,32'h3f21ccf9, 32'h3f0aafb1,32'h3f298167,// invsqrt(2.7599) = 0.6019 +32'h3ee9d30b,32'h3fb99ede,32'h3fc1326a, 32'h3fb3f035,32'h3fc6e113, 32'h3faa77c7,32'h3fd05981,// invsqrt(0.4567) = 1.4798 +32'h404d3938,32'h3f0c19f5,32'h3f11d1e0, 32'h3f07d006,32'h3f161bd0, 32'h3f00aa20,32'h3f1d41b6,// invsqrt(3.2066) = 0.5584 +32'h3ead2434,32'h3fd7b5cb,32'h3fe083bf, 32'h3fd11b55,32'h3fe71e35, 32'h3fc619e4,32'h3ff21fa6,// invsqrt(0.3382) = 1.7196 +32'h3f8b0d15,32'h3f70b44c,32'h3f7a8769, 32'h3f6955f6,32'h3f80f2df, 32'h3f5d0e11,32'h3f8716d2,// invsqrt(1.0863) = 0.9594 +32'h42863c21,32'h3df4fbfe,32'h3dfefbd4, 32'h3ded7c1d,32'h3e033dda, 32'h3de0fc52,32'h3e097dc0,// invsqrt(67.1174) = 0.1221 +32'h3fe584df,32'h3f3b5a7b,32'h3f430022, 32'h3f359e3e,32'h3f48bc60, 32'h3f2c0f2e,32'h3f524b71,// invsqrt(1.7931) = 0.7468 +32'h3fa07e4a,32'h3f600c7c,32'h3f693190, 32'h3f5930ac,32'h3f700d60, 32'h3f4dc252,32'h3f7b7bba,// invsqrt(1.2539) = 0.8931 +32'h3fc29f5f,32'h3f4b7536,32'h3f53c323, 32'h3f453ac3,32'h3f59fd95, 32'h3f3ad95b,32'h3f645efd,// invsqrt(1.5205) = 0.8110 +32'h40c3b8e2,32'h3ecae2af,32'h3ed32aa1, 32'h3ec4acb9,32'h3ed96097, 32'h3eba52ca,32'h3ee3ba86,// invsqrt(6.1163) = 0.4043 +32'h3fa1d2f5,32'h3f5f202a,32'h3f683b9a, 32'h3f584b97,32'h3f6f102d, 32'h3f4ce94b,32'h3f7a7279,// invsqrt(1.2643) = 0.8894 +32'h3f0446b7,32'h3fae8207,32'h3fb5a175, 32'h3fa92a74,32'h3fbaf908, 32'h3fa0432b,32'h3fc3e051,// invsqrt(0.5167) = 1.3912 +32'h3f9d09dc,32'h3f627ff7,32'h3f6bbea8, 32'h3f5b90f1,32'h3f72adad, 32'h3f500294,32'h3f7e3c0a,// invsqrt(1.2269) = 0.9028 +32'h3e18c6c4,32'h402260d5,32'h40290185, 32'h401d6851,32'h402dfa09, 32'h40151f75,32'h403642e5,// invsqrt(0.1492) = 2.5889 +32'h3dc78998,32'h4048efbd,32'h40512352, 32'h4042c90e,32'h40574a02, 32'h40388894,32'h40618a7c,// invsqrt(0.0974) = 3.2037 +32'h431d8000,32'h3d9fecbe,32'h3da673cc, 32'h3d9b0775,32'h3dab5915, 32'h3d92dea4,32'h3db381e6,// invsqrt(157.5000) = 0.0797 +32'h3fea8659,32'h3f3957dc,32'h3f40e881, 32'h3f33ab5f,32'h3f4694fd, 32'h3f2a3690,32'h3f5009cc,// invsqrt(1.8322) = 0.7388 +32'h419ce1bc,32'h3e629cec,32'h3e6bdccc, 32'h3e5bad04,32'h3e72ccb4, 32'h3e501d2c,32'h3e7e5c8c,// invsqrt(19.6102) = 0.2258 +32'h4000c958,32'h3f30db3f,32'h3f381337, 32'h3f2b7145,32'h3f3d7d31, 32'h3f226b4f,32'h3f468327,// invsqrt(2.0123) = 0.7049 +32'h3f85bac1,32'h3f757263,32'h3f7f770e, 32'h3f6deee2,32'h3f837d47, 32'h3f61690c,32'h3f89c032,// invsqrt(1.0448) = 0.9783 +32'h3f62784b,32'h3f855e24,32'h3f8acfb2, 32'h3f8148f9,32'h3f8ee4dd, 32'h3f74f60d,32'h3f95b2cf,// invsqrt(0.8846) = 1.0632 +32'h3cdafa36,32'h40bfcf60,32'h40c7a398, 32'h40b9f036,32'h40cd82c2, 32'h40b026f0,32'h40d74c08,// invsqrt(0.0267) = 6.1164 +32'h3e20af0a,32'h401e551e,32'h4024cb88, 32'h40197c4f,32'h4029a457, 32'h4011684b,32'h4031b85b,// invsqrt(0.1569) = 2.5244 +32'h3f953253,32'h3f68604d,32'h3f71dc65, 32'h3f61433b,32'h3f78f977, 32'h3f55681d,32'h3f826a4b,// invsqrt(1.1656) = 0.9262 +32'h3f54ca82,32'h3f89966e,32'h3f8f3414, 32'h3f856031,32'h3f936a51, 32'h3f7cb642,32'h3f9a6f61,// invsqrt(0.8312) = 1.0968 +32'h3bf8f1ce,32'h4133e530,32'h413b3ce8, 32'h412e6365,32'h4140beb3, 32'h412535be,32'h4149ec5a,// invsqrt(0.0076) = 11.4729 +32'h3e06919f,32'h402d03d8,32'h403413ac, 32'h4027b7f8,32'h40395f8c, 32'h401ee42f,32'h40423355,// invsqrt(0.1314) = 2.7585 +32'h3dbef7f2,32'h404d6526,32'h4055c752, 32'h40471b86,32'h405c10f2, 32'h403ca0d0,32'h40668ba8,// invsqrt(0.0932) = 3.2748 +32'h3d842e66,32'h4076e14f,32'h40807a7a, 32'h406f5294,32'h408441d8, 32'h4062ba05,32'h408a8e20,// invsqrt(0.0645) = 3.9362 +32'h40c52441,32'h3eca275e,32'h3ed267ac, 32'h3ec3f725,32'h3ed897e5, 32'h3eb9a6c4,32'h3ee2e846,// invsqrt(6.1607) = 0.4029 +32'h3fc48508,32'h3f4a7932,32'h3f52bcd6, 32'h3f444677,32'h3f58ef91, 32'h3f39f1ea,32'h3f63441e,// invsqrt(1.5353) = 0.8071 +32'h3ef86983,32'h3fb41683,32'h3fbb703f, 32'h3fae9336,32'h3fc0f38c, 32'h3fa5630a,32'h3fca23b8,// invsqrt(0.4852) = 1.4356 +32'h3f8b10a7,32'h3f70b135,32'h3f7a8431, 32'h3f6952f7,32'h3f80f138, 32'h3f5d0b3b,32'h3f871516,// invsqrt(1.0864) = 0.9594 +32'h3fb50797,32'h3f52f545,32'h3f5b9191, 32'h3f4c800c,32'h3f6206ca, 32'h3f41bcad,32'h3f6cca29,// invsqrt(1.4143) = 0.8409 +32'h40bb99f6,32'h3ecf3aec,32'h3ed7b044, 32'h3ec8e2ea,32'h3ede0846, 32'h3ebe503c,32'h3ee89af4,// invsqrt(5.8625) = 0.4130 +32'h3f829b48,32'h3f785d2a,32'h3f814028, 32'h3f70c2cd,32'h3f850d56, 32'h3f6416dd,32'h3f8b634e,// invsqrt(1.0204) = 0.9900 +32'h40fce875,32'h3eb27ae5,32'h3eb9c3d5, 32'h3ead0432,32'h3ebf3a88, 32'h3ea3e907,32'h3ec855b3,// invsqrt(7.9034) = 0.3557 +32'h3f96b21c,32'h3f6737a8,32'h3f70a7a5, 32'h3f6023ab,32'h3f77bba3, 32'h3f5457b0,32'h3f81c3cf,// invsqrt(1.1773) = 0.9216 +32'h3f9a5850,32'h3f6477ba,32'h3f6dcafc, 32'h3f5d794a,32'h3f74c96c, 32'h3f51d138,32'h3f8038bf,// invsqrt(1.2058) = 0.9107 +32'h4007c019,32'h3f2c42ab,32'h3f334a9d, 32'h3f26fcb5,32'h3f389093, 32'h3f1e32c7,32'h3f415a81,// invsqrt(2.1211) = 0.6866 +32'h431d5c29,32'h3d9ffef3,32'h3da686bf, 32'h3d9b191b,32'h3dab6c97, 32'h3d92ef5d,32'h3db39655,// invsqrt(157.3600) = 0.0797 +32'h3e0ab5f8,32'h402a698b,32'h40315e2d, 32'h40253211,32'h403695a7, 32'h401c8046,32'h403f4772,// invsqrt(0.1355) = 2.7170 +32'h40877866,32'h3ef3dd5e,32'h3efdd182, 32'h3eec6644,32'h3f02a44e, 32'h3edff518,32'h3f08dce4,// invsqrt(4.2334) = 0.4860 +32'h3f8afcd0,32'h3f70c262,32'h3f7a9612, 32'h3f69639e,32'h3f80fa6b, 32'h3f5d1b01,32'h3f871eba,// invsqrt(1.0858) = 0.9597 +32'h403ad3ce,32'h3f12d64c,32'h3f18d498, 32'h3f0e5793,32'h3f1d5351, 32'h3f06d9b3,32'h3f24d131,// invsqrt(2.9192) = 0.5853 +32'h3fb5f7fb,32'h3f5269bf,32'h3f5b0059, 32'h3f4bf8cb,32'h3f61714d, 32'h3f413c8b,32'h3f6c2d8d,// invsqrt(1.4216) = 0.8387 +32'h403d7203,32'h3f11d1a8,32'h3f17c551, 32'h3f0d5aea,32'h3f1c3c10, 32'h3f05ea57,32'h3f23aca3,// invsqrt(2.9601) = 0.5812 +32'h3f70e606,32'h3f814fe2,32'h3f869710, 32'h3f7ab500,32'h3f8a8c72, 32'h3f6d830d,32'h3f91256c,// invsqrt(0.9410) = 1.0309 +32'h402bcbe8,32'h3f192040,32'h3f1f6042, 32'h3f14703e,32'h3f241044, 32'h3f0ca03a,32'h3f2be048,// invsqrt(2.6843) = 0.6104 +32'h3fd40dfa,32'h3f42ea76,32'h3f4adf22, 32'h3f3cf2f5,32'h3f50d6a3, 32'h3f33011e,32'h3f5ac87a,// invsqrt(1.6567) = 0.7769 +32'h401a9522,32'h3f216d47,32'h3f280405, 32'h3f1c7c37,32'h3f2cf515, 32'h3f143fc9,32'h3f353183,// invsqrt(2.4154) = 0.6434 +32'h3e3dbf6a,32'h4011b3e8,32'h4017a65a, 32'h400d3e13,32'h401c1c2f, 32'h4005cf04,32'h40238b3e,// invsqrt(0.1853) = 2.3231 +32'h405d79cd,32'h3f06dcef,32'h3f0c5e1e, 32'h3f02bc0d,32'h3f107f01, 32'h3ef7b526,32'h3f17607b,// invsqrt(3.4606) = 0.5376 +32'h40e732ff,32'h3ebaabe3,32'h3ec24a69, 32'h3eb4f4fe,32'h3ec8014e, 32'h3eab6ed5,32'h3ed18777,// invsqrt(7.2250) = 0.3720 +32'h3e8e7976,32'h3fedcb7e,32'h3ff78034, 32'h3fe683f5,32'h3ffec7bd, 32'h3fda6210,32'h400574d1,// invsqrt(0.2783) = 1.8957 +32'h3f0de495,32'h3fa87d98,32'h3faf5e27, 32'h3fa3552e,32'h3fb48692, 32'h3f9abc7d,32'h3fbd1f43,// invsqrt(0.5543) = 1.3432 +32'h3ee4ff75,32'h3fbb9107,32'h3fc338e7, 32'h3fb5d31e,32'h3fc8f6d0, 32'h3fac4145,32'h3fd288a9,// invsqrt(0.4473) = 1.4953 +32'h3df736c1,32'h4034861b,32'h403be465, 32'h402eff63,32'h40416b1d, 32'h4025c986,32'h404aa0fa,// invsqrt(0.1207) = 2.8783 +32'h3f9abb95,32'h3f642e65,32'h3f6d7ea7, 32'h3f5d3232,32'h3f747ada, 32'h3f518ddf,32'h3f800f96,// invsqrt(1.2088) = 0.9095 +32'h3ff0b979,32'h3f36f0e1,32'h3f3e686d, 32'h3f315738,32'h3f440216, 32'h3f2801ca,32'h3f4d5785,// invsqrt(1.8807) = 0.7292 +32'h3f30f99f,32'h3f96de76,32'h3f9d06e3, 32'h3f924023,32'h3fa1a535, 32'h3f8a8d9b,32'h3fa957bd,// invsqrt(0.6913) = 1.2027 +32'h3f0d1678,32'h3fa8f87e,32'h3fafde10, 32'h3fa3cc50,32'h3fb50a3e, 32'h3f9b2d5a,32'h3fbda935,// invsqrt(0.5511) = 1.3470 +32'h3e88f03c,32'h3ff28dd1,32'h3ffc7442, 32'h3feb20fc,32'h4001f08b, 32'h3fdec0ee,32'h40082092,// invsqrt(0.2675) = 1.9336 +32'h3f48f5e3,32'h3f8d9461,32'h3f935bbd, 32'h3f893edb,32'h3f97b143, 32'h3f8205a7,32'h3f9eea77,// invsqrt(0.7850) = 1.1287 +32'h3f672baa,32'h3f84013b,32'h3f89648c, 32'h3f7fed7e,32'h3f8d6f09, 32'h3f727534,32'h3f942b2e,// invsqrt(0.9030) = 1.0523 +32'h3f17a7c9,32'h3fa2fa2f,32'h3fa9a121, 32'h3f9dfcf9,32'h3fae9e57, 32'h3f95ac4a,32'h3fb6ef06,// invsqrt(0.5924) = 1.2992 +32'h409933af,32'h3ee55185,32'h3eeeada9, 32'h3ede4c69,32'h3ef5b2c5, 32'h3ed2993b,32'h3f00b2f9,// invsqrt(4.7876) = 0.4570 +32'h3f147890,32'h3fa4b73f,32'h3fab705c, 32'h3f9fac6a,32'h3fb07b32, 32'h3f974506,32'h3fb8e296,// invsqrt(0.5800) = 1.3131 +32'h3f37a975,32'h3f9418d9,32'h3f9a244f, 32'h3f8f9040,32'h3f9eace8, 32'h3f8801ec,32'h3fa63b3c,// invsqrt(0.7174) = 1.1806 +32'h3f51dfd7,32'h3f8a8a55,32'h3f9031f0, 32'h3f864ca1,32'h3f946fa5, 32'h3f7e763f,32'h3f9b8126,// invsqrt(0.8198) = 1.1044 +32'h3f773a55,32'h3f7f4ac5,32'h3f84db28, 32'h3f777a1d,32'h3f88c37c, 32'h3f6a73af,32'h3f8f46b2,// invsqrt(0.9657) = 1.0176 +32'h3e84390c,32'h3ff6d75e,32'h4000754e, 32'h3fef48f0,32'h40043c85, 32'h3fe2b0e4,32'h400a888b,// invsqrt(0.2582) = 1.9678 +32'h3f7a140e,32'h3f7dd53c,32'h3f8418c4, 32'h3f761004,32'h3f87fb60, 32'h3f691ca5,32'h3f8e7510,// invsqrt(0.9769) = 1.0118 +32'h3f238042,32'h3f9cf664,32'h3fa35e7e, 32'h3f982852,32'h3fa82c90, 32'h3f902632,32'h3fb02eb0,// invsqrt(0.6387) = 1.2513 +32'h3f42fab9,32'h3f8fbc16,32'h3f9599f8, 32'h3f8b55ad,32'h3f9a0061, 32'h3f840053,32'h3fa155bb,// invsqrt(0.7616) = 1.1458 +32'h3f665e53,32'h3f843c03,32'h3f89a1ba, 32'h3f802fba,32'h3f8dae04, 32'h3f72e12b,32'h3f946d28,// invsqrt(0.8999) = 1.0542 +32'h3f155271,32'h3fa43ee8,32'h3faaf31b, 32'h3f9f37c1,32'h3faffa41, 32'h3f96d681,32'h3fb85b81,// invsqrt(0.5833) = 1.3094 +32'h3e97f765,32'h3fe63fad,32'h3fefa58b, 32'h3fdf3347,32'h3ff6b1f1, 32'h3fd373f3,32'h400138a3,// invsqrt(0.2968) = 1.8355 +32'h3f558792,32'h3f895977,32'h3f8ef4a1, 32'h3f852518,32'h3f932900, 32'h3f7c4649,32'h3f9a2af4,// invsqrt(0.8341) = 1.0949 +32'h3f698bec,32'h3f8354e5,32'h3f88b12d, 32'h3f7e9f5e,32'h3f8cb663, 32'h3f7138aa,32'h3f9369bd,// invsqrt(0.9123) = 1.0470 +32'h3fe2b624,32'h3f3c828c,32'h3f443448, 32'h3f36bd3e,32'h3f49f996, 32'h3f2d1f13,32'h3f5397c1,// invsqrt(1.7712) = 0.7514 +32'h3e821c9f,32'h3ff8d5f0,32'h40017f02, 32'h3ff137e1,32'h40054e0a, 32'h3fe485c7,32'h400ba716,// invsqrt(0.2541) = 1.9837 +32'h3fbd6ca7,32'h3f4e3b07,32'h3f56a5ed, 32'h3f47eada,32'h3f5cf61a, 32'h3f3d653b,32'h3f677bb9,// invsqrt(1.4799) = 0.8220 +32'h3ec86498,32'h3fc881d3,32'h3fd0b0eb, 32'h3fc25e81,32'h3fd6d43d, 32'h3fb823a2,32'h3fe10f1c,// invsqrt(0.3914) = 1.5984 +32'h407136b1,32'h3f013a40,32'h3f06808c, 32'h3efa8b0f,32'h3f0a7545, 32'h3eed5b51,32'h3f110d23,// invsqrt(3.7690) = 0.5151 +32'h3ed205fc,32'h3fc3db2c,32'h3fcbd9ac, 32'h3fbddc4d,32'h3fd1d88b, 32'h3fb3de2e,32'h3fdbd6aa,// invsqrt(0.4102) = 1.5614 +32'h3f224a1c,32'h3f9d8c19,32'h3fa3fa4e, 32'h3f98b970,32'h3fa8ccf6, 32'h3f90afae,32'h3fb0d6b8,// invsqrt(0.6339) = 1.2560 +32'h3fb995d4,32'h3f505a4f,32'h3f58db62, 32'h3f49f981,32'h3f5f3c31, 32'h3f3f582a,32'h3f69dd88,// invsqrt(1.4499) = 0.8305 +32'h3f6087af,32'h3f85f150,32'h3f8b68e0, 32'h3f81d7a4,32'h3f8f828c, 32'h3f76045e,32'h3f965801,// invsqrt(0.8771) = 1.0678 +32'h3f8042f2,32'h3f7a9fc6,32'h3f826d45, 32'h3f72f3b3,32'h3f86434f, 32'h3f662a3e,32'h3f8ca809,// invsqrt(1.0020) = 0.9990 +32'h3ddc03ce,32'h403f5b77,32'h40472af5, 32'h40397fda,32'h404d0692, 32'h402fbc7d,32'h4056c9ef,// invsqrt(0.1074) = 3.0510 +32'h3f3e6810,32'h3f917352,32'h3f976322, 32'h3f8cff77,32'h3f9bd6fd, 32'h3f8593b4,32'h3fa342c0,// invsqrt(0.7438) = 1.1595 +32'h3ea52464,32'h3fdcdf6a,32'h3fe5e34e, 32'h3fd61c7e,32'h3feca63a, 32'h3fcad7a0,32'h3ff7eb18,// invsqrt(0.3225) = 1.7608 +32'h3f6b5e3e,32'h3f82d28a,32'h3f882980, 32'h3f7da2a3,32'h3f8c2ab8, 32'h3f70493c,32'h3f92d76c,// invsqrt(0.9194) = 1.0429 +32'h3f5f0772,32'h3f866480,32'h3f8be0c4, 32'h3f82474d,32'h3f8ffdf7, 32'h3f76d7f0,32'h3f96d94c,// invsqrt(0.8712) = 1.0714 +32'h3f6d52f5,32'h3f824840,32'h3f879990, 32'h3f7c9686,32'h3f8b968d, 32'h3f6f4b3b,32'h3f923c32,// invsqrt(0.9270) = 1.0386 +32'h3f2536b2,32'h3f9c2594,32'h3fa28528, 32'h3f975de6,32'h3fa74cd6, 32'h3f8f666e,32'h3faf444e,// invsqrt(0.6454) = 1.2448 +32'h403c8f03,32'h3f122954,32'h3f182091, 32'h3f0dafe7,32'h3f1c99ff, 32'h3f063adb,32'h3f240f0b,// invsqrt(2.9462) = 0.5826 +32'h4004ca88,32'h3f2e2b54,32'h3f354738, 32'h3f28d669,32'h3f3a9c23, 32'h3f1ff38c,32'h3f437f00,// invsqrt(2.0749) = 0.6942 +32'h4184023c,32'h3e770a98,32'h3e808ff6, 32'h3e6f7a98,32'h3e8457f6, 32'h3e62dfef,32'h3e8aa54b,// invsqrt(16.5011) = 0.2462 +32'h3f8aa2b6,32'h3f711092,32'h3f7ae773, 32'h3f69af68,32'h3f81244e, 32'h3f5d62cf,32'h3f874a9b,// invsqrt(1.0831) = 0.9609 +32'h3f9844b2,32'h3f660534,32'h3f6f68ae, 32'h3f5efa98,32'h3f76734a, 32'h3f533e3f,32'h3f8117d1,// invsqrt(1.1896) = 0.9169 +32'h3ed13c0a,32'h3fc4399a,32'h3fcc3bf4, 32'h3fbe37d7,32'h3fd23db7, 32'h3fb434e6,32'h3fdc40a8,// invsqrt(0.4087) = 1.5643 +32'h40b29d41,32'h3ed46132,32'h3edd0c58, 32'h3ecde0d5,32'h3ee38cb5, 32'h3ec30ae4,32'h3eee62a6,// invsqrt(5.5817) = 0.4233 +32'h3fcd7224,32'h3f4606ab,32'h3f4e1bd7, 32'h3f3ff6cb,32'h3f542bb7, 32'h3f35dc54,32'h3f5e462e,// invsqrt(1.6050) = 0.7893 +32'h3e01755b,32'h40306599,32'h403798c4, 32'h402aff39,32'h403cff25, 32'h4021ff44,32'h4045ff1a,// invsqrt(0.1264) = 2.8125 +32'h40aeb534,32'h3ed6bdae,32'h3edf8181, 32'h3ed02ad0,32'h3ee61460, 32'h3ec53609,32'h3ef10927,// invsqrt(5.4596) = 0.4280 +32'h4095e0da,32'h3ee7d8d9,32'h3ef14f6a, 32'h3ee0bfed,32'h3ef86857, 32'h3ed4ebb8,32'h3f021e46,// invsqrt(4.6837) = 0.4621 +32'h3db3dcf1,32'h4053a420,32'h405c4790, 32'h404d298d,32'h4062c223, 32'h40425d42,32'h406d8e6e,// invsqrt(0.0878) = 3.3744 +32'h4004eeaa,32'h3f2e13a7,32'h3f352e93, 32'h3f28bf75,32'h3f3a82c5, 32'h3f1fddcd,32'h3f43646d,// invsqrt(2.0771) = 0.6939 +32'h40339043,32'h3f15c713,32'h3f1be419, 32'h3f11314e,32'h3f2079de, 32'h3f098d07,32'h3f281e25,// invsqrt(2.8057) = 0.5970 +32'h3f334191,32'h3f95e7f0,32'h3f9c064e, 32'h3f91512a,32'h3fa09d14, 32'h3f89ab36,32'h3fa84308,// invsqrt(0.7002) = 1.1950 +32'h3f77b9a4,32'h3f7f0923,32'h3f84b900, 32'h3f773a7e,32'h3f88a053, 32'h3f6a376a,32'h3f8f21dd,// invsqrt(0.9677) = 1.0166 +32'h3bcbe9c1,32'h4146c4d7,32'h414ee1c6, 32'h4140af25,32'h4154f779, 32'h41368afa,32'h415f1ba4,// invsqrt(0.0062) = 12.6766 +32'h3eeafe55,32'h3fb92884,32'h3fc0b73c, 32'h3fb37d7b,32'h3fc66245, 32'h3faa0b16,32'h3fcfd4aa,// invsqrt(0.4590) = 1.4761 +32'h3f972b63,32'h3f66dad6,32'h3f704708, 32'h3f5fc9b0,32'h3f77582e, 32'h3f540271,32'h3f818fb7,// invsqrt(1.1810) = 0.9202 +32'h3fa81c6c,32'h3f5ae9e8,32'h3f63d954, 32'h3f543656,32'h3f6a8ce6, 32'h3f490b0e,32'h3f75b82e,// invsqrt(1.3134) = 0.8726 +32'h3ea68f06,32'h3fdbee75,32'h3fe4e885, 32'h3fd532ea,32'h3feba410, 32'h3fc9fa57,32'h3ff6dca3,// invsqrt(0.3253) = 1.7533 +32'h3f6d6b4c,32'h3f824192,32'h3f87929d, 32'h3f7c8992,32'h3f8b8f65, 32'h3f6f3ef6,32'h3f9234b3,// invsqrt(0.9274) = 1.0384 +32'h3fdad141,32'h3f3fe153,32'h3f47b647, 32'h3f3a019c,32'h3f4d95fe, 32'h3f30376c,32'h3f57602e,// invsqrt(1.7095) = 0.7648 +32'h3fec5273,32'h3f38a317,32'h3f402c5b, 32'h3f32fc23,32'h3f45d34f, 32'h3f29908d,32'h3f4f3ee5,// invsqrt(1.8463) = 0.7360 +32'h3f01454b,32'h3fb08661,32'h3fb7bae3, 32'h3fab1f00,32'h3fbd2244, 32'h3fa21d5f,32'h3fc623e5,// invsqrt(0.5050) = 1.4072 +32'h3e813a01,32'h3ff9afc0,32'h4001f05c, 32'h3ff20b06,32'h4005c2b9, 32'h3fe54dd0,32'h400c2154,// invsqrt(0.2524) = 1.9905 +32'h3f7f11d5,32'h3f7b564d,32'h3f82cc42, 32'h3f73a4a3,32'h3f86a517, 32'h3f66d1de,32'h3f8d0e79,// invsqrt(0.9964) = 1.0018 +32'h40012c37,32'h3f309783,32'h3f37ccb7, 32'h3f2b2f9c,32'h3f3d349e, 32'h3f222d1a,32'h3f463720,// invsqrt(2.0183) = 0.7039 +32'h3f07732f,32'h3fac738c,32'h3fb37d7d, 32'h3fa72c18,32'h3fb8c4f2, 32'h3f9e5fab,32'h3fc1915f,// invsqrt(0.5291) = 1.3748 +32'h3efc3911,32'h3fb2b8e9,32'h3fba0461, 32'h3fad4050,32'h3fbf7cfa, 32'h3fa421fb,32'h3fc89b4f,// invsqrt(0.4926) = 1.4248 +32'h403eb271,32'h3f1156f2,32'h3f174598, 32'h3f0ce3f5,32'h3f1bb895, 32'h3f0579a4,32'h3f2322e6,// invsqrt(2.9796) = 0.5793 +32'h3fb79d1f,32'h3f5177e6,32'h3f5a04a2, 32'h3f4b0e5a,32'h3f606e2e, 32'h3f405e70,32'h3f6b1e18,// invsqrt(1.4345) = 0.8349 +32'h402458c6,32'h3f1c8edd,32'h3f22f2bd, 32'h3f17c3f6,32'h3f27bda4, 32'h3f0fc71f,32'h3f2fba7b,// invsqrt(2.5679) = 0.6240 +32'h3ecff7d4,32'h3fc4d252,32'h3fccdae8, 32'h3fbecbe2,32'h3fd2e158, 32'h3fb4c127,32'h3fdcec13,// invsqrt(0.4062) = 1.5690 +32'h424b7b25,32'h3e0cb332,32'h3e12715e, 32'h3e086491,32'h3e16bfff, 32'h3e0136da,32'h3e1dedb6,// invsqrt(50.8703) = 0.1402 +32'h3e904056,32'h3fec5368,32'h3ff5f8c5, 32'h3fe51762,32'h3ffd34ca, 32'h3fd908ad,32'h4004a1bf,// invsqrt(0.2817) = 1.8840 +32'h3f9626b7,32'h3f67a2e3,32'h3f711740, 32'h3f608b9e,32'h3f782e86, 32'h3f54ba2a,32'h3f81fffd,// invsqrt(1.1731) = 0.9233 +32'h3f66eac5,32'h3f8413c7,32'h3f8977d9, 32'h3f8008b9,32'h3f8d82e7, 32'h3f729743,32'h3f943ffe,// invsqrt(0.9020) = 1.0529 +32'h3f3af531,32'h3f92c92f,32'h3f98c6f3, 32'h3f8e4add,32'h3f9d4545, 32'h3f86cda9,32'h3fa4c279,// invsqrt(0.7303) = 1.1702 +32'h3fe05f46,32'h3f3d7d78,32'h3f453972, 32'h3f37b07c,32'h3f4b066e, 32'h3f2e0583,32'h3f54b167,// invsqrt(1.7529) = 0.7553 +32'h4053a15d,32'h3f09f6e4,32'h3f0f987a, 32'h3f05bdb3,32'h3f13d1ab, 32'h3efd676e,32'h3f1adba7,// invsqrt(3.3067) = 0.5499 +32'h3ea6128c,32'h3fdc40d2,32'h3fe53e3e, 32'h3fd582c1,32'h3febfc4f, 32'h3fca45fa,32'h3ff73916,// invsqrt(0.3244) = 1.7558 +32'h3e29af80,32'h401a1354,32'h40205d43, 32'h40155be2,32'h402514b6, 32'h400d7f78,32'h402cf120,// invsqrt(0.1657) = 2.4566 +32'h3fca5cb7,32'h3f478777,32'h3f4fac57, 32'h3f416bcf,32'h3f55c7ff, 32'h3f373db6,32'h3f5ff618,// invsqrt(1.5810) = 0.7953 +32'h3f30677b,32'h3f971ce7,32'h3f9d47e1, 32'h3f927cac,32'h3fa1e81c, 32'h3f8ac6f4,32'h3fa99dd4,// invsqrt(0.6891) = 1.2047 +32'h3f771698,32'h3f7f5d3b,32'h3f84e4c3, 32'h3f778c02,32'h3f88cd5f, 32'h3f6a84a3,32'h3f8f510e,// invsqrt(0.9652) = 1.0179 +32'h3efd5406,32'h3fb254fd,32'h3fb99c61, 32'h3facdf73,32'h3fbf11eb, 32'h3fa3c637,32'h3fc82b27,// invsqrt(0.4948) = 1.4217 +32'h4040bf38,32'h3f109090,32'h3f16771e, 32'h3f0c23a6,32'h3f1ae408, 32'h3f04c375,32'h3f224439,// invsqrt(3.0117) = 0.5762 +32'h3e5ad352,32'h4007ad61,32'h400d3712, 32'h4003861e,32'h40115e56, 32'h3ff93402,32'h40184a73,// invsqrt(0.2137) = 2.1632 +32'h40303697,32'h3f1731dc,32'h3f1d5db1, 32'h3f1290fd,32'h3f21fe91, 32'h3f0ada33,32'h3f29b55b,// invsqrt(2.7533) = 0.6027 +32'h3d96f9a5,32'h406700db,32'h40706e9b, 32'h405fee8b,32'h407780eb, 32'h4054255c,32'h4081a50d,// invsqrt(0.0737) = 3.6831 +32'h40956a50,32'h3ee834bf,32'h3ef1af10, 32'h3ee11903,32'h3ef8cacd, 32'h3ed5401e,32'h3f0251d9,// invsqrt(4.6692) = 0.4628 +32'h3fdc027a,32'h3f3f5c0b,32'h3f472b8f, 32'h3f398069,32'h3f4d0731, 32'h3f2fbd05,32'h3f56ca95,// invsqrt(1.7188) = 0.7628 +32'h3f26b87e,32'h3f9b7081,32'h3fa1c8b1, 32'h3f96ae5e,32'h3fa68ad4, 32'h3f8ec023,32'h3fae790f,// invsqrt(0.6513) = 1.2392 +32'h3dbafe4e,32'h404f911b,32'h405809f7, 32'h40493675,32'h405e649d, 32'h403e9f62,32'h4068fbb0,// invsqrt(0.0913) = 3.3094 +32'h3f1598a9,32'h3fa41857,32'h3faacaf7, 32'h3f9f125f,32'h3fafd0ef, 32'h3f96b316,32'h3fb83038,// invsqrt(0.5844) = 1.3082 +32'h3f2661d8,32'h3f9b98f5,32'h3fa1f2cb, 32'h3f96d595,32'h3fa6b62b, 32'h3f8ee54a,32'h3faea676,// invsqrt(0.6499) = 1.2404 +32'h3ff2622d,32'h3f365054,32'h3f3dc152, 32'h3f30bb95,32'h3f435611, 32'h3f276e58,32'h3f4ca34f,// invsqrt(1.8936) = 0.7267 +32'h3fab9507,32'h3f58b025,32'h3f618851, 32'h3f520e05,32'h3f682a71, 32'h3f46ffcf,32'h3f7338a7,// invsqrt(1.3405) = 0.8637 +32'h3d81f52c,32'h4078fbb2,32'h408192a8, 32'h40715c7b,32'h40856244, 32'h4064a874,32'h408bbc47,// invsqrt(0.0635) = 3.9698 +32'h402ea479,32'h3f17df89,32'h3f1e1275, 32'h3f133959,32'h3f22b8a5, 32'h3f0b79b2,32'h3f2a784c,// invsqrt(2.7288) = 0.6054 +32'h3febc399,32'h3f38dafe,32'h3f40668a, 32'h3f333254,32'h3f460f34, 32'h3f29c3e4,32'h3f4f7da4,// invsqrt(1.8419) = 0.7368 +32'h40015513,32'h3f307b9c,32'h3f37afac, 32'h3f2b148f,32'h3f3d16b9, 32'h3f22137a,32'h3f4617ce,// invsqrt(2.0208) = 0.7035 +32'h3e615b20,32'h4005b26b,32'h400b276b, 32'h40019aac,32'h400f3f2a, 32'h3ff590da,32'h40161169,// invsqrt(0.2201) = 2.1316 +32'h3ebb76aa,32'h3fcf4e6e,32'h3fd7c492, 32'h3fc8f5d3,32'h3fde1d2d, 32'h3fbe6226,32'h3fe8b0da,// invsqrt(0.3661) = 1.6526 +32'h3ce89fa5,32'h40ba195b,32'h40c1b1e6, 32'h40b466f2,32'h40c7644e, 32'h40aae843,32'h40d0e2fd,// invsqrt(0.0284) = 5.9343 +32'h40676953,32'h3f03efa4,32'h3f09523e, 32'h3effcb64,32'h3f0d5c30, 32'h3ef254e5,32'h3f141770,// invsqrt(3.6158) = 0.5259 +32'h409a52c8,32'h3ee47bd2,32'h3eedcf3e, 32'h3edd7d41,32'h3ef4cdcf, 32'h3ed1d4fa,32'h3f003b0b,// invsqrt(4.8226) = 0.4554 +32'h3ea626ad,32'h3fdc337a,32'h3fe5305a, 32'h3fd575d2,32'h3febee02, 32'h3fca39b9,32'h3ff72a1b,// invsqrt(0.3245) = 1.7554 +32'h3f00e676,32'h3fb0c744,32'h3fb7fe6c, 32'h3fab5de7,32'h3fbd67c9, 32'h3fa258f6,32'h3fc66cba,// invsqrt(0.5035) = 1.4093 +32'h3f853d8b,32'h3f75e59b,32'h3f7feefb, 32'h3f6e5e94,32'h3f83bb01, 32'h3f61d2dd,32'h3f8a00dc,// invsqrt(1.0409) = 0.9801 +32'h3f80dcfc,32'h3f7a09ce,32'h3f821f3a, 32'h3f726253,32'h3f85f2f8, 32'h3f65a084,32'h3f8c53df,// invsqrt(1.0067) = 0.9966 +32'h3f8803e9,32'h3f73602d,32'h3f7d4f34, 32'h3f6bece7,32'h3f82613c, 32'h3f5f821e,32'h3f8896a1,// invsqrt(1.0626) = 0.9701 +32'h3fa7c6cd,32'h3f5b21bd,32'h3f641371, 32'h3f546c76,32'h3f6ac8b8, 32'h3f493e55,32'h3f75f6d9,// invsqrt(1.3108) = 0.8735 +32'h3f862bd3,32'h3f750ae0,32'h3f7f0b52, 32'h3f6d8a8b,32'h3f8345d4, 32'h3f6109fd,32'h3f89861b,// invsqrt(1.0482) = 0.9767 +32'h40730d42,32'h3f00bceb,32'h3f05fe19, 32'h3ef99811,32'h3f09eefc, 32'h3eec751d,32'h3f108075,// invsqrt(3.7977) = 0.5131 +32'h3f4620e4,32'h3f8e967f,32'h3f946864, 32'h3f8a3912,32'h3f98c5d0, 32'h3f82f2b2,32'h3fa00c30,// invsqrt(0.7739) = 1.1367 +32'h3eb184a8,32'h3fd508ca,32'h3fddbac8, 32'h3fce834c,32'h3fe44046, 32'h3fc3a4ce,32'h3fef1ec4,// invsqrt(0.3467) = 1.6983 +32'h408d2e2a,32'h3eeee1dd,32'h3ef8a1f1, 32'h3ee791cf,32'h3efff1ff, 32'h3edb61b6,32'h3f06110c,// invsqrt(4.4119) = 0.4761 +32'h3f193796,32'h3fa22501,32'h3fa8c340, 32'h3f9d2e53,32'h3fadb9ef, 32'h3f94e884,32'h3fb5ffbe,// invsqrt(0.5985) = 1.2926 +32'h3faecfa9,32'h3f56ad6e,32'h3f5f7097, 32'h3f501b0f,32'h3f6602f5, 32'h3f45271b,32'h3f70f6e9,// invsqrt(1.3657) = 0.8557 +32'h3edf58ff,32'h3fbdec99,32'h3fc5ad1d, 32'h3fb81c36,32'h3fcb7d80, 32'h3fae6b92,32'h3fd52e24,// invsqrt(0.4362) = 1.5141 +32'h3f9808ea,32'h3f663269,32'h3f6f97bb, 32'h3f5f266b,32'h3f76a3b9, 32'h3f5367c3,32'h3f813130,// invsqrt(1.1878) = 0.9176 +32'h3febdd17,32'h3f38d100,32'h3f405c25, 32'h3f3328a5,32'h3f460481, 32'h3f29bab8,32'h3f4f726f,// invsqrt(1.8427) = 0.7367 +32'h3f31b9c0,32'h3f968cd3,32'h3f9cb1ec, 32'h3f91f102,32'h3fa14dbe, 32'h3f8a42a3,32'h3fa8fc1d,// invsqrt(0.6942) = 1.2002 +32'h4092fa15,32'h3eea1fd3,32'h3ef3ae2f, 32'h3ee2f50e,32'h3efad8f4, 32'h3ed7031a,32'h3f036574,// invsqrt(4.5930) = 0.4666 +32'h3ef4f5e3,32'h3fb55a2e,32'h3fbcc121, 32'h3fafccf9,32'h3fc24e57, 32'h3fa68c4a,32'h3fcb8f06,// invsqrt(0.4784) = 1.4457 +32'h3dd39599,32'h404321e1,32'h404b18d0, 32'h403d28ad,32'h40511203, 32'h40333402,32'h405b06ae,// invsqrt(0.1033) = 3.1112 +32'h3fa2d1b8,32'h3f5e7156,32'h3f6785a2, 32'h3f57a21c,32'h3f6e54dc, 32'h3f4c48bc,32'h3f79ae3c,// invsqrt(1.2720) = 0.8866 +32'h3bc2ab76,32'h414b6ee4,32'h4153bc90, 32'h414534a4,32'h4159f6d0, 32'h413ad38e,32'h416457e6,// invsqrt(0.0059) = 12.9741 +32'h3f43c46e,32'h3f8f71f7,32'h3f954cd3, 32'h3f8b0dd3,32'h3f99b0f7, 32'h3f83bc41,32'h3fa10289,// invsqrt(0.7647) = 1.1435 +32'h3f1b5aaa,32'h3fa10686,32'h3fa79913, 32'h3f9c189b,32'h3fac86fd, 32'h3f93e16b,32'h3fb4be2d,// invsqrt(0.6069) = 1.2837 +32'h3f7015d9,32'h3f8187e6,32'h3f86d15d, 32'h3f7b2198,32'h3f8ac876, 32'h3f6de9ef,32'h3f91644b,// invsqrt(0.9378) = 1.0326 +32'h3f15082d,32'h3fa467cf,32'h3fab1dae, 32'h3f9f5f69,32'h3fb02615, 32'h3f96fc12,32'h3fb8896c,// invsqrt(0.5822) = 1.3106 +32'h3f42865e,32'h3f8fe70d,32'h3f95c6af, 32'h3f8b7f53,32'h3f9a2e69, 32'h3f8427c8,32'h3fa185f4,// invsqrt(0.7599) = 1.1472 +32'h3f64989c,32'h3f84befd,32'h3f8a2a0d, 32'h3f80aeb2,32'h3f8e3a58, 32'h3f73d1bc,32'h3f95002c,// invsqrt(0.8930) = 1.0582 +32'h3f786afd,32'h3f7eae0a,32'h3f848997, 32'h3f76e22e,32'h3f886f85, 32'h3f69e3c0,32'h3f8eeebc,// invsqrt(0.9704) = 1.0151 +32'h3e9128ca,32'h3feb95e3,32'h3ff53383, 32'h3fe45faa,32'h3ffc69bc, 32'h3fd85aa1,32'h40043762,// invsqrt(0.2835) = 1.8781 +32'h3e852924,32'h3ff5f871,32'h4000014a, 32'h3fee70d6,32'h4003c518, 32'h3fe1e429,32'h400a0b6e,// invsqrt(0.2601) = 1.9609 +32'h3fe47e3d,32'h3f3bc609,32'h3f437013, 32'h3f360680,32'h3f492f9c, 32'h3f2c71f3,32'h3f52c429,// invsqrt(1.7851) = 0.7485 +32'h3e9634e4,32'h3fe797f5,32'h3ff10bdf, 32'h3fe08105,32'h3ff822cf, 32'h3fd4b01f,32'h4001f9da,// invsqrt(0.2934) = 1.8462 +32'h40fee5dd,32'h3eb1c834,32'h3eb909d8, 32'h3eac56f9,32'h3ebe7b13, 32'h3ea344ec,32'h3ec78d20,// invsqrt(7.9656) = 0.3543 +32'h3f906935,32'h3f6c31f4,32'h3f75d5f4, 32'h3f64f6f5,32'h3f7d10f3, 32'h3f58e9f5,32'h3f848ef9,// invsqrt(1.1282) = 0.9415 +32'h3e7e9204,32'h3ffb955e,32'h4002ed15, 32'h3ff3e1c7,32'h4006c6e0, 32'h3fe70bca,32'h400d31df,// invsqrt(0.2486) = 2.0056 +32'h3f4fdf84,32'h3f8b34a6,32'h3f90e334, 32'h3f86f1bb,32'h3f95261f, 32'h3f7faf11,32'h3f9c4052,// invsqrt(0.8120) = 1.1097 +32'h3df6200e,32'h4034ec34,32'h403c4ea9, 32'h402f625c,32'h4041d880, 32'h40262749,32'h404b1393,// invsqrt(0.1202) = 2.8846 +32'h3f8825fe,32'h3f7341b5,32'h3f7d2f7d, 32'h3f6bcf5e,32'h3f8250ea, 32'h3f5f6623,32'h3f888587,// invsqrt(1.0637) = 0.9696 +32'h403f09f5,32'h3f1135a4,32'h3f1722ee, 32'h3f0cc3ac,32'h3f1b94e6, 32'h3f055b0e,32'h3f22fd84,// invsqrt(2.9850) = 0.5788 +32'h3f862a0a,32'h3f750c82,32'h3f7f0d04, 32'h3f6d8c20,32'h3f8346b3, 32'h3f610b7c,32'h3f898705,// invsqrt(1.0482) = 0.9768 +32'h3f1f8a00,32'h3f9ee645,32'h3fa5629b, 32'h3f9a0904,32'h3faa3fdc, 32'h3f91ed98,32'h3fb25b48,// invsqrt(0.6232) = 1.2667 +32'h3f1b8a91,32'h3fa0edb8,32'h3fa77f42, 32'h3f9c0090,32'h3fac6c6a, 32'h3f93caa4,32'h3fb4a256,// invsqrt(0.6076) = 1.2829 +32'h40c4bb89,32'h3eca5d24,32'h3ed29fa3, 32'h3ec42b45,32'h3ed8d183, 32'h3eb9d827,32'h3ee324a1,// invsqrt(6.1479) = 0.4033 +32'h3edf22b9,32'h3fbe03b1,32'h3fc5c525, 32'h3fb83299,32'h3fcb963d, 32'h3fae80c7,32'h3fd5480f,// invsqrt(0.4358) = 1.5148 +32'h3e61a9f2,32'h40059b10,32'h400b0f1c, 32'h40018408,32'h400f2624, 32'h3ff565f4,32'h4015f732,// invsqrt(0.2204) = 2.1302 +32'h3f471db9,32'h3f8e3bdb,32'h3f940a0d, 32'h3f89e135,32'h3f9864b3, 32'h3f829f75,32'h3f9fa673,// invsqrt(0.7778) = 1.1339 +32'h3dd2679d,32'h4043adb7,32'h404baa5b, 32'h403db03c,32'h4051a7d6, 32'h4033b46e,32'h405ba3a4,// invsqrt(0.1027) = 3.1199 +32'h403fa883,32'h3f10f987,32'h3f16e45d, 32'h3f0c8966,32'h3f1b547e, 32'h3f0523da,32'h3f22ba0a,// invsqrt(2.9947) = 0.5779 +32'h3fe10351,32'h3f3d3858,32'h3f44f180, 32'h3f376d7a,32'h3f4abc5e, 32'h3f2dc608,32'h3f5463d0,// invsqrt(1.7579) = 0.7542 +32'h407b2944,32'h3efd4901,32'h3f03cfca, 32'h3ef58813,32'h3f07b040, 32'h3ee89bdc,32'h3f0e265c,// invsqrt(3.9244) = 0.5048 +32'h3f35b320,32'h3f94e504,32'h3f9af8d0, 32'h3f90562b,32'h3f9f87a9, 32'h3f88bd6c,32'h3fa72068,// invsqrt(0.7098) = 1.1870 +32'h3fb80c0a,32'h3f5138be,32'h3f59c2e6, 32'h3f4ad121,32'h3f602a83, 32'h3f402470,32'h3f6ad734,// invsqrt(1.4379) = 0.8340 +32'h3fbafce3,32'h3f4f91e4,32'h3f580ac8, 32'h3f493738,32'h3f5e6574, 32'h3f3ea01a,32'h3f68fc92,// invsqrt(1.4608) = 0.8274 +32'h3f5ee50b,32'h3f866edf,32'h3f8beb8f, 32'h3f82515b,32'h3f900913, 32'h3f76eafd,32'h3f96e4f0,// invsqrt(0.8707) = 1.0717 +32'h3f80a8bd,32'h3f7a3c8d,32'h3f8239a2, 32'h3f729384,32'h3f860e27, 32'h3f65cf1e,32'h3f8c705a,// invsqrt(1.0051) = 0.9974 +32'h4136bce6,32'h3e947896,32'h3e9a87f4, 32'h3e8fed0e,32'h3e9f137c, 32'h3e8859d8,32'h3ea6a6b2,// invsqrt(11.4211) = 0.2959 +32'h3eb38bd3,32'h3fd3d3ea,32'h3fdc794c, 32'h3fcd57e0,32'h3fe2f556, 32'h3fc28925,32'h3fedc411,// invsqrt(0.3507) = 1.6887 +32'h3faf0dd4,32'h3f56874b,32'h3f5f48e6, 32'h3f4ff618,32'h3f65da1a, 32'h3f450416,32'h3f70cc1c,// invsqrt(1.3676) = 0.8551 +32'h3e489b6e,32'h400db449,32'h40137cf3, 32'h40095dc9,32'h4017d373, 32'h400222f5,32'h401f0e47,// invsqrt(0.1959) = 2.2593 +32'h3f945298,32'h3f690f4d,32'h3f729289, 32'h3f61ecdf,32'h3f79b4f7, 32'h3f5608d4,32'h3f82cc81,// invsqrt(1.1588) = 0.9290 +32'h3deec3ba,32'h4037b0b4,32'h403f3014, 32'h4032112c,32'h4044cf9c, 32'h4028b1f4,32'h404e2ed4,// invsqrt(0.1166) = 2.9287 +32'h3fecc28a,32'h3f38775d,32'h3f3ffed9, 32'h3f32d1c0,32'h3f45a476, 32'h3f296865,32'h3f4f0dd1,// invsqrt(1.8497) = 0.7353 +32'h3f05a00b,32'h3fad9ff6,32'h3fb4b62a, 32'h3fa84f4f,32'h3fba06d1, 32'h3f9f738e,32'h3fc2e292,// invsqrt(0.5220) = 1.3841 +32'h3f046194,32'h3fae7051,32'h3fb58f05, 32'h3fa91949,32'h3fbae60d, 32'h3fa032e7,32'h3fc3cc6f,// invsqrt(0.5171) = 1.3906 +32'h3fb11a9a,32'h3f554889,32'h3f5dfd21, 32'h3f4ec117,32'h3f648493, 32'h3f43df59,32'h3f6f6651,// invsqrt(1.3836) = 0.8501 +32'h3fb2f144,32'h3f542f51,32'h3f5cd86f, 32'h3f4db07b,32'h3f635745, 32'h3f42dd16,32'h3f6e2aaa,// invsqrt(1.3980) = 0.8458 +32'h3fa54060,32'h3f5cccb5,32'h3f65cfd7, 32'h3f560a5c,32'h3f6c9230, 32'h3f4ac672,32'h3f77d61a,// invsqrt(1.2910) = 0.8801 +32'h402dab45,32'h3f184c59,32'h3f1e83b5, 32'h3f13a2d3,32'h3f232d3b, 32'h3f0bdda0,32'h3f2af26e,// invsqrt(2.7136) = 0.6071 +32'h3dff4039,32'h4031a8ba,32'h4038e915, 32'h402c3875,32'h403e5959, 32'h40232803,32'h404769cb,// invsqrt(0.1246) = 2.8326 +32'h3f958860,32'h3f681d66,32'h3f7196c4, 32'h3f610261,32'h3f78b1c9, 32'h3f552aac,32'h3f8244bf,// invsqrt(1.1682) = 0.9252 +32'h3fb3a998,32'h3f53c25c,32'h3f5c6707, 32'h3f4d46dc,32'h3f62e288, 32'h3f427907,32'h3f6db05d,// invsqrt(1.4036) = 0.8441 +32'h3ff9c501,32'h3f339911,32'h3f3aedae, 32'h3f2e199a,32'h3f406d24, 32'h3f24efd6,32'h3f4996e9,// invsqrt(1.9513) = 0.7159 +32'h3f24a4d7,32'h3f9c6aaf,32'h3fa2cd14, 32'h3f97a0e3,32'h3fa796df, 32'h3f8fa5e4,32'h3faf91de,// invsqrt(0.6431) = 1.2469 +32'h41087c51,32'h3eabcbbb,32'h3eb2ced3, 32'h3ea6896a,32'h3eb81124, 32'h3e9dc58d,32'h3ec0d501,// invsqrt(8.5304) = 0.3424 +32'h3f787fa0,32'h3f7ea376,32'h3f848417, 32'h3f76d7ee,32'h3f8869db, 32'h3f69da0a,32'h3f8ee8cd,// invsqrt(0.9707) = 1.0150 +32'h3fc84464,32'h3f4891f1,32'h3f50c1b2, 32'h3f426e21,32'h3f56e583, 32'h3f383270,32'h3f612134,// invsqrt(1.5646) = 0.7995 +32'h4084616e,32'h3ef6b1b5,32'h3f0061b4, 32'h3eef246e,32'h3f042858, 32'h3ee28e4e,32'h3f0a7368,// invsqrt(4.1369) = 0.4917 +32'h4011a615,32'h3f264dd1,32'h3f2d1786, 32'h3f21368a,32'h3f322ece, 32'h3f18ba68,32'h3f3aaaf0,// invsqrt(2.2758) = 0.6629 +32'h3f833ccb,32'h3f77c427,32'h3f80f087, 32'h3f702e7a,32'h3f84bb5e, 32'h3f638a58,32'h3f8b0d6f,// invsqrt(1.0253) = 0.9876 +32'h3f114da2,32'h3fa68068,32'h3fad4c2d, 32'h3fa16793,32'h3fb26501, 32'h3f98e8dc,32'h3fbae3b8,// invsqrt(0.5676) = 1.3273 +32'h3fe275be,32'h3f3c9d57,32'h3f44502c, 32'h3f36d738,32'h3f4a164c, 32'h3f2d37af,32'h3f53b5d5,// invsqrt(1.7692) = 0.7518 +32'h3f795023,32'h3f7e38e4,32'h3f844ca0, 32'h3f76709e,32'h3f8830c3, 32'h3f69782a,32'h3f8eacfd,// invsqrt(0.9739) = 1.0133 +32'h4049b724,32'h3f0d507e,32'h3f131516, 32'h3f08fd0d,32'h3f176887, 32'h3f01c74f,32'h3f1e9e45,// invsqrt(3.1518) = 0.5633 +32'h3f708748,32'h3f816957,32'h3f86b18f, 32'h3f7ae65a,32'h3f8aa7b9, 32'h3f6db1cf,32'h3f9141fe,// invsqrt(0.9396) = 1.0317 +32'h4035aa71,32'h3f14e893,32'h3f1afc84, 32'h3f10599e,32'h3f1f8b78, 32'h3f08c0b0,32'h3f272466,// invsqrt(2.8385) = 0.5935 +32'h3f16f623,32'h3fa359f8,32'h3faa04d4, 32'h3f9e59d4,32'h3faf04f8, 32'h3f960442,32'h3fb75a8a,// invsqrt(0.5897) = 1.3022 +32'h3f1d6fbf,32'h3f9ff4ff,32'h3fa67c63, 32'h3f9b0f75,32'h3fab61ed, 32'h3f92e639,32'h3fb38b29,// invsqrt(0.6150) = 1.2752 +32'h3f79a4c0,32'h3f7e0dcc,32'h3f843633, 32'h3f7646d7,32'h3f8819ad, 32'h3f695096,32'h3f8e94cd,// invsqrt(0.9752) = 1.0127 +32'h3f65983c,32'h3f847502,32'h3f89dd0d, 32'h3f8066fb,32'h3f8deb15, 32'h3f7349db,32'h3f94ad22,// invsqrt(0.8969) = 1.0559 +32'h3efecf52,32'h3fb1d011,32'h3fb91207, 32'h3fac5e98,32'h3fbe8380, 32'h3fa34c24,32'h3fc795f4,// invsqrt(0.4977) = 1.4175 +32'h3fec6fbc,32'h3f3897a7,32'h3f402075, 32'h3f32f10d,32'h3f45c70f, 32'h3f29860d,32'h3f4f320f,// invsqrt(1.8472) = 0.7358 +32'h3f5a537f,32'h3f87d513,32'h3f8d6063, 32'h3f83ac98,32'h3f9188de, 32'h3f797cea,32'h3f987701,// invsqrt(0.8528) = 1.0828 +32'h3e62dcc7,32'h40054098,32'h400ab0f1, 32'h40012c54,32'h400ec534, 32'h3ff4bfc7,32'h401591a4,// invsqrt(0.2215) = 2.1246 +32'h3ed3cc2b,32'h3fc308bc,32'h3fcafea4, 32'h3fbd104e,32'h3fd0f712, 32'h3fb31ceb,32'h3fdaea75,// invsqrt(0.4137) = 1.5548 +32'h4039cdb4,32'h3f133db9,32'h3f19403d, 32'h3f0ebbd5,32'h3f1dc221, 32'h3f0738af,32'h3f254547,// invsqrt(2.9032) = 0.5869 +32'h3fba13c2,32'h3f5013c3,32'h3f5891f4, 32'h3f49b51d,32'h3f5ef099, 32'h3f3f175f,32'h3f698e57,// invsqrt(1.4537) = 0.8294 +32'h3fc7d622,32'h3f48c93f,32'h3f50fb41, 32'h3f42a3bd,32'h3f5720c3, 32'h3f38653a,32'h3f615f46,// invsqrt(1.5612) = 0.8003 +32'h3fd84e0c,32'h3f40fdca,32'h3f48de5a, 32'h3f3b155e,32'h3f4ec6c6, 32'h3f313caa,32'h3f589f7a,// invsqrt(1.6899) = 0.7693 +32'h3f3de52b,32'h3f91a56b,32'h3f979745, 32'h3f8d3007,32'h3f9c0ca9, 32'h3f85c1b6,32'h3fa37afa,// invsqrt(0.7418) = 1.1611 +32'h3f159560,32'h3fa41a24,32'h3faaccd8, 32'h3f9f141e,32'h3fafd2de, 32'h3f96b4be,32'h3fb8323e,// invsqrt(0.5843) = 1.3082 +32'h3f18d8fb,32'h3fa25728,32'h3fa8f772, 32'h3f9d5ef0,32'h3fadefaa, 32'h3f951692,32'h3fb63808,// invsqrt(0.5971) = 1.2942 +32'h3fb057c8,32'h3f55be3a,32'h3f5e77a0, 32'h3f4f332e,32'h3f6502ac, 32'h3f444b6f,32'h3f6fea6b,// invsqrt(1.3777) = 0.8520 +32'h3e9e02f5,32'h3fe1cd28,32'h3feb048c, 32'h3fdae39c,32'h3ff1ee18, 32'h3fcf5e5e,32'h3ffd7356,// invsqrt(0.3086) = 1.8001 +32'h3f6d9abc,32'h3f823490,32'h3f878514, 32'h3f7c705c,32'h3f8b8176, 32'h3f6f2714,32'h3f92261a,// invsqrt(0.9281) = 1.0380 +32'h3f0122bb,32'h3fb09dff,32'h3fb7d377, 32'h3fab35e5,32'h3fbd3b91, 32'h3fa2330f,32'h3fc63e67,// invsqrt(0.5044) = 1.4080 +32'h3f983658,32'h3f66100c,32'h3f6f73f8, 32'h3f5f051c,32'h3f767ee8, 32'h3f534835,32'h3f811de8,// invsqrt(1.1892) = 0.9170 +32'h3dbaab0e,32'h404fbf5e,32'h40583a1e, 32'h4049634e,32'h405e962e, 32'h403ec9de,32'h40692f9e,// invsqrt(0.0911) = 3.3123 +32'h3f1b7d84,32'h3fa0f479,32'h3fa78649, 32'h3f9c071c,32'h3fac73a6, 32'h3f93d0d7,32'h3fb4a9eb,// invsqrt(0.6074) = 1.2831 +32'h3f72f07f,32'h3f80c48a,32'h3f860607, 32'h3f79a6d6,32'h3f89f725, 32'h3f6c831b,32'h3f908902,// invsqrt(0.9490) = 1.0265 +32'h3f71295d,32'h3f813dd3,32'h3f868444, 32'h3f7a91fb,32'h3f8a7918, 32'h3f6d61e1,32'h3f911126,// invsqrt(0.9420) = 1.0303 +32'h40da6935,32'h3ec00f02,32'h3ec7e5d3, 32'h3eba2de5,32'h3ecdc6ef, 32'h3eb0615f,32'h3ed79375,// invsqrt(6.8253) = 0.3828 +32'h3de44d7b,32'h403bda15,32'h404384f1, 32'h403619f0,32'h40494516, 32'h402c845c,32'h4052daaa,// invsqrt(0.1115) = 2.9951 +32'h400fc76a,32'h3f2761c1,32'h3f2e36b9, 32'h3f224207,32'h3f335673, 32'h3f19b7d0,32'h3f3be0aa,// invsqrt(2.2465) = 0.6672 +32'h3f8d644a,32'h3f6eb420,32'h3f787255, 32'h3f676577,32'h3f7fc0fd, 32'h3f5b37b4,32'h3f85f760,// invsqrt(1.1046) = 0.9515 +32'h3ecce907,32'h3fc648e1,32'h3fce60c1, 32'h3fc036fa,32'h3fd472a8, 32'h3fb61922,32'h3fde9080,// invsqrt(0.4002) = 1.5807 +32'h3f2ed45a,32'h3f97cabc,32'h3f9dfcce, 32'h3f93252e,32'h3fa2a25c, 32'h3f8b6698,32'h3faa60f2,// invsqrt(0.6829) = 1.2101 +32'h3f192933,32'h3fa22c9f,32'h3fa8cb2d, 32'h3f9d35b4,32'h3fadc218, 32'h3f94ef82,32'h3fb6084a,// invsqrt(0.5983) = 1.2928 +32'h3f13df65,32'h3fa50c78,32'h3fabc910, 32'h3f9fff07,32'h3fb0d681, 32'h3f97934a,32'h3fb9423e,// invsqrt(0.5776) = 1.3158 +32'h3f8ec6dd,32'h3f6d8b00,32'h3f773d15, 32'h3f664571,32'h3f7e82a5, 32'h3f5a26d7,32'h3f8550a0,// invsqrt(1.1154) = 0.9468 +32'h3eb353c3,32'h3fd3f504,32'h3fdc9bc0, 32'h3fcd77f7,32'h3fe318cd, 32'h3fc2a78b,32'h3fede939,// invsqrt(0.3502) = 1.6897 +32'h3f2fdea5,32'h3f9757a5,32'h3f9d8505, 32'h3f92b59e,32'h3fa2270c, 32'h3f8afce6,32'h3fa9dfc4,// invsqrt(0.6870) = 1.2065 +32'h3f81e4a5,32'h3f790b88,32'h3f819ae7, 32'h3f716bd6,32'h3f856ac0, 32'h3f64b700,32'h3f8bc52b,// invsqrt(1.0148) = 0.9927 +32'h3f774a3a,32'h3f7f4290,32'h3f84d6e2, 32'h3f777228,32'h3f88bf16, 32'h3f6a6c26,32'h3f8f4217,// invsqrt(0.9660) = 1.0175 +32'h3ed8bb68,32'h3fc0cd13,32'h3fc8aba7, 32'h3fbae625,32'h3fce9295, 32'h3fb10fed,32'h3fd868cd,// invsqrt(0.4233) = 1.5370 +32'h3eff96bf,32'h3fb18aa5,32'h3fb8c9c5, 32'h3fac1b4c,32'h3fbe391e, 32'h3fa30c63,32'h3fc74807,// invsqrt(0.4992) = 1.4154 +32'h3f62eee3,32'h3f853b46,32'h3f8aab68, 32'h3f81272c,32'h3f8ebf82, 32'h3f74b603,32'h3f958bac,// invsqrt(0.8865) = 1.0621 +32'h3f87185e,32'h3f7433fb,32'h3f7e2ba7, 32'h3f6cba3a,32'h3f82d2b4, 32'h3f6044a2,32'h3f890d80,// invsqrt(1.0554) = 0.9734 +32'h3fd4aaed,32'h3f42a27c,32'h3f4a9438, 32'h3f3cad2f,32'h3f508985, 32'h3f32bf04,32'h3f5a77b0,// invsqrt(1.6615) = 0.7758 +32'h3ddf60ed,32'h403de93a,32'h4045a99b, 32'h403818f2,32'h404b79e4, 32'h402e687a,32'h40552a5c,// invsqrt(0.1091) = 3.0279 +32'h3d9f791f,32'h4060c3a7,32'h4069f035, 32'h4059e23c,32'h4070d1a0, 32'h404e6a89,32'h407c4953,// invsqrt(0.0779) = 3.5836 +32'h3d183113,32'h40a2b09c,32'h40a9548e, 32'h409db5a7,32'h40ae4f83, 32'h409568b9,32'h40b69c71,// invsqrt(0.0372) = 5.1878 +32'h4066612b,32'h3f043b32,32'h3f09a0e0, 32'h3f002eef,32'h3f0dad23, 32'h3ef2dfaa,32'h3f146c3d,// invsqrt(3.5997) = 0.5271 +32'h3f7ca8f5,32'h3f7c8865,32'h3f836b8d, 32'h3f74cd5d,32'h3f874912, 32'h3f67eafa,32'h3f8dba43,// invsqrt(0.9870) = 1.0066 +32'h3f4ff645,32'h3f8b2d08,32'h3f90db46, 32'h3f86ea58,32'h3f951df6, 32'h3f7fa113,32'h3f9c37c4,// invsqrt(0.8124) = 1.1095 +32'h40ec46d8,32'h3eb8a79f,32'h3ec03114, 32'h3eb30089,32'h3ec5d82b, 32'h3ea994b7,32'h3ecf43fd,// invsqrt(7.3836) = 0.3680 +32'h40db42a5,32'h3ebfafaf,32'h3ec7829d, 32'h3eb9d17e,32'h3ecd60ce, 32'h3eb009d5,32'h3ed72877,// invsqrt(6.8519) = 0.3820 +32'h400e03bd,32'h3f286b1c,32'h3f2f4ae8, 32'h3f234342,32'h3f3472c2, 32'h3f1aab82,32'h3f3d0a82,// invsqrt(2.2190) = 0.6713 +32'h3facd06c,32'h3f57ea0f,32'h3f60ba24, 32'h3f514dfe,32'h3f675634, 32'h3f4649e3,32'h3f725a4f,// invsqrt(1.3501) = 0.8606 +32'h3f15f303,32'h3fa3e6e0,32'h3faa977b, 32'h3f9ee26b,32'h3faf9bef, 32'h3f9685a8,32'h3fb7f8b2,// invsqrt(0.5857) = 1.3066 +32'h3fbecffb,32'h3f4d7aa8,32'h3f55ddb4, 32'h3f47305f,32'h3f5c27fd, 32'h3f3cb490,32'h3f66a3cc,// invsqrt(1.4907) = 0.8190 +32'h3f026d81,32'h3fafbd7b,32'h3fb6e9c9, 32'h3faa5c40,32'h3fbc4b04, 32'h3fa164df,32'h3fc54265,// invsqrt(0.5095) = 1.4010 +32'h3ef93518,32'h3fb3cce5,32'h3fbb239f, 32'h3fae4bd8,32'h3fc0a4ac, 32'h3fa51f6f,32'h3fc9d115,// invsqrt(0.4867) = 1.4334 +32'h41286fcb,32'h3e9aa549,32'h3ea0f52c, 32'h3e95e95e,32'h3ea5b116, 32'h3e8e0581,32'h3ead94f3,// invsqrt(10.5273) = 0.3082 +32'h40be886d,32'h3ecda13a,32'h3ed605d9, 32'h3ec755c2,32'h3edc5150, 32'h3ebcd7fb,32'h3ee6cf17,// invsqrt(5.9542) = 0.4098 +32'h3e8ac7f7,32'h3ff0f034,32'h3ffac5c4, 32'h3fe99009,32'h400112f8, 32'h3fdd4516,32'h40073871,// invsqrt(0.2711) = 1.9207 +32'h3e95096a,32'h3fe8802f,32'h3ff1fd95, 32'h3fe16224,32'h3ff91ba0, 32'h3fd58565,32'h40027c30,// invsqrt(0.2911) = 1.8535 +32'h3f845b02,32'h3f76b7b1,32'h3f8064d2, 32'h3f6f2a3b,32'h3f842b8c, 32'h3f6293cc,32'h3f8a76c4,// invsqrt(1.0340) = 0.9834 +32'h3ff6a999,32'h3f34b9bb,32'h3f3c1a21, 32'h3f2f316f,32'h3f41a26d, 32'h3f25f8f0,32'h3f4adaec,// invsqrt(1.9271) = 0.7204 +32'h41457325,32'h3e8ed52d,32'h3e94a9a1, 32'h3e8a75d5,32'h3e9908f9, 32'h3e832c43,32'h3ea0528b,// invsqrt(12.3406) = 0.2847 +32'h3f9bc80a,32'h3f636973,32'h3f6cb1ac, 32'h3f5c7349,32'h3f73a7d7, 32'h3f50d902,32'h3f7f421e,// invsqrt(1.2170) = 0.9065 +32'h402bec85,32'h3f1911b9,32'h3f1f5123, 32'h3f146228,32'h3f2400b4, 32'h3f0c92e3,32'h3f2bcff9,// invsqrt(2.6863) = 0.6101 +32'h4019eccb,32'h3f21c574,32'h3f285fcc, 32'h3f1cd1b2,32'h3f2d538e, 32'h3f1490c3,32'h3f35947d,// invsqrt(2.4051) = 0.6448 +32'h3f830b8b,32'h3f77f2b2,32'h3f8108c0, 32'h3f705b98,32'h3f84d44d, 32'h3f63b516,32'h3f8b278e,// invsqrt(1.0238) = 0.9883 +32'h3f10e2a2,32'h3fa6bdd8,32'h3fad8c1f, 32'h3fa1a322,32'h3fb2a6d4, 32'h3f992148,32'h3fbb28ae,// invsqrt(0.5660) = 1.3293 +32'h3eca9445,32'h3fc76c19,32'h3fcf8fdb, 32'h3fc15147,32'h3fd5aaad, 32'h3fb72494,32'h3fdfd760,// invsqrt(0.3957) = 1.5898 +32'h3fb309f4,32'h3f5420b0,32'h3f5cc934, 32'h3f4da24c,32'h3f634798, 32'h3f42cfa7,32'h3f6e1a3d,// invsqrt(1.3987) = 0.8455 +32'h3e3704ef,32'h40145b5b,32'h401a6989, 32'h400fd0b9,32'h401ef42b, 32'h40083f00,32'h402685e4,// invsqrt(0.1787) = 2.3654 +32'h3f90d274,32'h3f6bdc11,32'h3f757c8f, 32'h3f64a3b2,32'h3f7cb4ee, 32'h3f589b15,32'h3f845ec6,// invsqrt(1.1314) = 0.9401 +32'h3e8dd737,32'h3fee5358,32'h3ff80d9a, 32'h3fe707a6,32'h3fff594c, 32'h3fdaded3,32'h4005c10f,// invsqrt(0.2770) = 1.8999 +32'h3f2cad31,32'h3f98bc3b,32'h3f9ef829, 32'h3f940f49,32'h3fa3a51b, 32'h3f8c4460,32'h3fab7004,// invsqrt(0.6745) = 1.2176 +32'h40ccec00,32'h3ec64771,32'h3ece5f41, 32'h3ec03595,32'h3ed4711d, 32'h3eb617d0,32'h3ede8ee2,// invsqrt(6.4038) = 0.3952 +32'h3fcb0317,32'h3f4735a3,32'h3f4f572d, 32'h3f411c7c,32'h3f557054, 32'h3f36f291,32'h3f5f9a3f,// invsqrt(1.5860) = 0.7940 +32'h3fad071b,32'h3f57c7ee,32'h3f60969e, 32'h3f512ce9,32'h3f6731a3, 32'h3f462a8c,32'h3f723400,// invsqrt(1.3518) = 0.8601 +32'h405ade99,32'h3f07a9e3,32'h3f0d336f, 32'h3f0382ba,32'h3f115a98, 32'h3ef92d97,32'h3f184687,// invsqrt(3.4198) = 0.5408 +32'h3f0b2ccf,32'h3faa20b9,32'h3fb11263, 32'h3fa4eb7a,32'h3fb647a2, 32'h3f9c3d66,32'h3fbef5b6,// invsqrt(0.5437) = 1.3562 +32'h3e3f1c4b,32'h40112ead,32'h40171bae, 32'h400cbceb,32'h401b8d6f, 32'h400554a8,32'h4022f5b2,// invsqrt(0.1866) = 2.3148 +32'h429c633a,32'h3de2f882,32'h3dec3c1e, 32'h3ddc05cc,32'h3df32ed4, 32'h3dd07148,32'h3dfec358,// invsqrt(78.1938) = 0.1131 +32'h40d4bd8e,32'h3ec299f6,32'h3eca8b59, 32'h3ebca4ec,32'h3ed08064, 32'h3eb2b731,32'h3eda6e1f,// invsqrt(6.6481) = 0.3878 +32'h3ebf6ff3,32'h3fcd24bc,32'h3fd58446, 32'h3fc6dd14,32'h3fdbcbee, 32'h3fbc65a7,32'h3fe6435b,// invsqrt(0.3739) = 1.6354 +32'h40a1e115,32'h3edf166e,32'h3ee83178, 32'h3ed84227,32'h3eef05bf, 32'h3ecce05b,32'h3efa678b,// invsqrt(5.0587) = 0.4446 +32'h3fe4af2a,32'h3f3bb1f1,32'h3f435b2a, 32'h3f35f307,32'h3f491a15, 32'h3f2c5f80,32'h3f52ad9c,// invsqrt(1.7866) = 0.7481 +32'h400899d9,32'h3f2bb928,32'h3f32bb7e, 32'h3f267768,32'h3f37fd3e, 32'h3f1db47e,32'h3f40c028,// invsqrt(2.1344) = 0.6845 +32'h3f11a0a8,32'h3fa650eb,32'h3fad1ac0, 32'h3fa1398a,32'h3fb23220, 32'h3f98bd40,32'h3fbaae6a,// invsqrt(0.5689) = 1.3259 +32'h3fcd25bf,32'h3f462b87,32'h3f4e4233, 32'h3f401a85,32'h3f545335, 32'h3f35fe2d,32'h3f5e6f8d,// invsqrt(1.6027) = 0.7899 +32'h402c2c03,32'h3f18f57d,32'h3f1f33c1, 32'h3f1446ca,32'h3f23e274, 32'h3f0c78f6,32'h3f2bb048,// invsqrt(2.6902) = 0.6097 +32'h3e67bf31,32'h4003d730,32'h400938ca, 32'h3fff9bfa,32'h400d41fd, 32'h3ff227fb,32'h4013fbfd,// invsqrt(0.2263) = 2.1021 +32'h4130de23,32'h3e96ea2e,32'h3e9d1316, 32'h3e924b80,32'h3ea1b1c4, 32'h3e8a985f,32'h3ea964e5,// invsqrt(11.0542) = 0.3008 +32'h3f6578f4,32'h3f847e09,32'h3f89e672, 32'h3f806fbb,32'h3f8df4c1, 32'h3f735a70,32'h3f94b744,// invsqrt(0.8964) = 1.0562 +32'h3f3881c2,32'h3f93c1f1,32'h3f99c9db, 32'h3f8f3c01,32'h3f9e4fcb, 32'h3f87b21c,32'h3fa5d9b0,// invsqrt(0.7207) = 1.1779 +32'h3f9bacf9,32'h3f637d38,32'h3f6cc63f, 32'h3f5c8672,32'h3f73bd04, 32'h3f50eb28,32'h3f7f584e,// invsqrt(1.2162) = 0.9068 +32'h3f40fdc1,32'h3f907923,32'h3f965ebb, 32'h3f8c0cf0,32'h3f9acaee, 32'h3f84adf1,32'h3fa229ed,// invsqrt(0.7539) = 1.1517 +32'h40103d6f,32'h3f271d39,32'h3f2def65, 32'h3f21ff98,32'h3f330d06, 32'h3f1978e1,32'h3f3b93bd,// invsqrt(2.2537) = 0.6661 +32'h4015c77c,32'h3f23feaf,32'h3f2ab043, 32'h3f1ef980,32'h3f2fb572, 32'h3f169b86,32'h3f38136c,// invsqrt(2.3403) = 0.6537 +32'h3df781f9,32'h40346aab,32'h403bc7d7, 32'h402ee4cb,32'h40414db7, 32'h4025b054,32'h404a822e,// invsqrt(0.1209) = 2.8765 +32'h415abd81,32'h3e87b425,32'h3e8d3e1d, 32'h3e838cac,32'h3e916596, 32'h3e79406f,32'h3e98520b,// invsqrt(13.6713) = 0.2705 +32'h3fe7cde7,32'h3f3a6d79,32'h3f420973, 32'h3f34b87d,32'h3f47be6f, 32'h3f2b3584,32'h3f514168,// invsqrt(1.8110) = 0.7431 +32'h400bdbfd,32'h3f29b60c,32'h3f30a35b, 32'h3f248411,32'h3f35d557, 32'h3f1bdb6f,32'h3f3e7df9,// invsqrt(2.1853) = 0.6765 +32'h3f32b3b8,32'h3f962363,32'h3f9c442d, 32'h3f918acb,32'h3fa0dcc5, 32'h3f89e1ce,32'h3fa885c2,// invsqrt(0.6981) = 1.1969 +32'h3f92b973,32'h3f6a535e,32'h3f73e3d4, 32'h3f632705,32'h3f7b102d, 32'h3f573270,32'h3f838261,// invsqrt(1.1463) = 0.9340 +32'h3fcbbcfc,32'h3f46daad,32'h3f4ef87f, 32'h3f40c44e,32'h3f550ede, 32'h3f369f07,32'h3f5f3425,// invsqrt(1.5917) = 0.7926 +32'h439f3249,32'h3d60f5a3,32'h3d6a243b, 32'h3d5a12b0,32'h3d71072e, 32'h3d4e9871,32'h3d7c816d,// invsqrt(318.3929) = 0.0560 +32'h40cbe6b3,32'h3ec6c655,32'h3ecee353, 32'h3ec0b096,32'h3ed4f912, 32'h3eb68c58,32'h3edf1d50,// invsqrt(6.3719) = 0.3962 +32'h3def5a59,32'h403776df,32'h403ef3e3, 32'h4031d91c,32'h404491a6, 32'h40287cd7,32'h404dedeb,// invsqrt(0.1169) = 2.9251 +32'h3eb6ceef,32'h3fd1ede6,32'h3fda7f72, 32'h3fcb80bd,32'h3fe0ec9b, 32'h3fc0cace,32'h3feba28a,// invsqrt(0.3570) = 1.6735 +32'h3fa6d730,32'h3f5bbee0,32'h3f64b6fe, 32'h3f5504c9,32'h3f6b7115, 32'h3f49cea4,32'h3f76a73a,// invsqrt(1.3034) = 0.8759 +32'h3fe84c9a,32'h3f3a3a9b,32'h3f41d482, 32'h3f34872e,32'h3f4787f0, 32'h3f2b06ce,32'h3f510851,// invsqrt(1.8148) = 0.7423 +32'h40349a3d,32'h3f1558a0,32'h3f1b7124, 32'h3f10c63d,32'h3f200387, 32'h3f092798,32'h3f27a22c,// invsqrt(2.8219) = 0.5953 +32'h40b9073a,32'h3ed0aa8a,32'h3ed92ee3, 32'h3eca4746,32'h3edf9226, 32'h3ebfa1d7,32'h3eea3795,// invsqrt(5.7821) = 0.4159 +32'h3d97aa54,32'h40667a26,32'h406fe266, 32'h405f6bf6,32'h4076f096, 32'h4053a9a5,32'h40815973,// invsqrt(0.0741) = 3.6747 +32'h3a93aa01,32'h41e99432,32'h41f31cdc, 32'h41e26db3,32'h41fa435b, 32'h41d682e0,32'h42031717,// invsqrt(0.0011) = 29.7932 +32'h3fb92dfa,32'h3f5094b3,32'h3f591829, 32'h3f4a321c,32'h3f5f7ac0, 32'h3f3f8dc9,32'h3f6a1f13,// invsqrt(1.4467) = 0.8314 +32'h3f3c4a19,32'h3f924411,32'h3f983c65, 32'h3f8dc9d2,32'h3f9cb6a4, 32'h3f865368,32'h3fa42d0e,// invsqrt(0.7355) = 1.1660 +32'h3fb9fbcd,32'h3f502129,32'h3f589fe7, 32'h3f49c21b,32'h3f5efef5, 32'h3f3f23ae,32'h3f699d62,// invsqrt(1.4530) = 0.8296 +32'h400fa859,32'h3f2773d9,32'h3f2e498f, 32'h3f225391,32'h3f3369d7, 32'h3f19c86f,32'h3f3bf4f9,// invsqrt(2.2447) = 0.6675 +32'h3f32fa70,32'h3f9605b7,32'h3f9c254b, 32'h3f916e07,32'h3fa0bcfb, 32'h3f89c68e,32'h3fa86474,// invsqrt(0.6991) = 1.1960 +32'h3f0006af,32'h3fb16180,32'h3fb89ef3, 32'h3fabf36a,32'h3fbe0d0a, 32'h3fa2e69b,32'h3fc719d9,// invsqrt(0.5001) = 1.4141 +32'h3ebcd7ec,32'h3fce8c2d,32'h3fd6fa63, 32'h3fc83984,32'h3fdd4d0c, 32'h3fbdafc1,32'h3fe7d6cf,// invsqrt(0.3688) = 1.6466 +32'h3fc86a29,32'h3f487f0a,32'h3f50ae06, 32'h3f425bce,32'h3f56d142, 32'h3f382114,32'h3f610bfc,// invsqrt(1.5657) = 0.7992 +32'h3fdec2ad,32'h3f3e2ca3,32'h3f45efc4, 32'h3f385a4b,32'h3f4bc21d, 32'h3f2ea662,32'h3f557606,// invsqrt(1.7403) = 0.7580 +32'h3f0c7ad9,32'h3fa955fc,32'h3fb03f5f, 32'h3fa426f1,32'h3fb56e69, 32'h3f9b8335,32'h3fbe1225,// invsqrt(0.5487) = 1.3499 +32'h404ae2e6,32'h3f0ce7f3,32'h3f12a846, 32'h3f0897b4,32'h3f16f884, 32'h3f01674c,32'h3f1e28ec,// invsqrt(3.1701) = 0.5616 +32'h3f9cd4be,32'h3f62a64f,32'h3f6be691, 32'h3f5bb61e,32'h3f72d6c2, 32'h3f5025cb,32'h3f7e6715,// invsqrt(1.2252) = 0.9034 +32'h3e96a32a,32'h3fe74320,32'h3ff0b395, 32'h3fe02eca,32'h3ff7c7ec, 32'h3fd46238,32'h4001ca3f,// invsqrt(0.2942) = 1.8436 +32'h3f2980d5,32'h3f9a2889,32'h3fa07355, 32'h3f957070,32'h3fa52b6e, 32'h3f8d92f1,32'h3fad08ed,// invsqrt(0.6621) = 1.2289 +32'h3f6d822d,32'h3f823b4c,32'h3f878c15, 32'h3f7c7d68,32'h3f8b88ac, 32'h3f6f3370,32'h3f922da8,// invsqrt(0.9278) = 1.0382 +32'h3e95b77a,32'h3fe7f8e0,32'h3ff170c0, 32'h3fe0def9,32'h3ff88aa7, 32'h3fd50922,32'h4002303f,// invsqrt(0.2924) = 1.8493 +32'h3d3c6da9,32'h40923643,32'h40982e07, 32'h408dbc70,32'h409ca7da, 32'h408646bb,32'h40a41d8f,// invsqrt(0.0460) = 4.6624 +32'h3f80019b,32'h3f7adfb5,32'h3f828e8b, 32'h3f7331ad,32'h3f86658f, 32'h3f6664f4,32'h3f8ccbeb,// invsqrt(1.0000) = 1.0000 +32'h3ffc0cf8,32'h3f32c88b,32'h3f3a14a5, 32'h3f2d4f77,32'h3f3f8db9, 32'h3f243056,32'h3f48acda,// invsqrt(1.9691) = 0.7126 +32'h3f30c1b4,32'h3f96f651,32'h3f9d1fb7, 32'h3f925744,32'h3fa1bec4, 32'h3f8aa384,32'h3fa97284,// invsqrt(0.6905) = 1.2035 +32'h3f5a7fc4,32'h3f87c750,32'h3f8d5210, 32'h3f839f41,32'h3f917a1f, 32'h3f7963a3,32'h3f98678e,// invsqrt(0.8535) = 1.0824 +32'h4026de75,32'h3f1b5ed1,32'h3f21b647, 32'h3f169d38,32'h3f2677e0, 32'h3f0eafe4,32'h3f2e6534,// invsqrt(2.6073) = 0.6193 +32'h40f9e6fc,32'h3eb38cda,32'h3ebae0f8, 32'h3eae0dc4,32'h3ec0600e, 32'h3ea4e49e,32'h3ec98934,// invsqrt(7.8094) = 0.3578 +32'h3ea10816,32'h3fdfac8b,32'h3fe8cdb5, 32'h3fd8d3ab,32'h3fefa695, 32'h3fcd6a36,32'h3ffb100a,// invsqrt(0.3145) = 1.7831 +32'h3f86b8f9,32'h3f748a61,32'h3f7e8594, 32'h3f6d0dfb,32'h3f8300fd, 32'h3f6093fc,32'h3f893dfd,// invsqrt(1.0525) = 0.9747 +32'h3e09bdcc,32'h402b02ca,32'h4031fdae, 32'h4025c69f,32'h403739d9, 32'h401d0d03,32'h403ff375,// invsqrt(0.1345) = 2.7266 +32'h401d8a2a,32'h3f1fe795,32'h3f266e6d, 32'h3f1b0274,32'h3f2b538e, 32'h3f12d9e7,32'h3f337c1b,// invsqrt(2.4616) = 0.6374 +32'h3f903134,32'h3f6c5fce,32'h3f7605ac, 32'h3f652367,32'h3f7d4213, 32'h3f591410,32'h3f84a8b5,// invsqrt(1.1265) = 0.9422 +32'h40197b99,32'h3f220111,32'h3f289dd8, 32'h3f1d0b7b,32'h3f2d936d, 32'h3f14c782,32'h3f35d766,// invsqrt(2.3982) = 0.6457 +32'h3f961e8d,32'h3f67a930,32'h3f711dce, 32'h3f6091b9,32'h3f783545, 32'h3f54bff2,32'h3f820386,// invsqrt(1.1728) = 0.9234 +32'h3fe5d5e8,32'h3f3b3971,32'h3f42ddbf, 32'h3f357e37,32'h3f4898f9, 32'h3f2bf0d6,32'h3f52265a,// invsqrt(1.7956) = 0.7463 +32'h3fe03fcf,32'h3f3d8ac2,32'h3f454748, 32'h3f37bd5e,32'h3f4b14ac, 32'h3f2e11b8,32'h3f54c052,// invsqrt(1.7519) = 0.7555 +32'h3ef58da4,32'h3fb5221c,32'h3fbc86c4, 32'h3faf969e,32'h3fc21242, 32'h3fa658cb,32'h3fcb5015,// invsqrt(0.4796) = 1.4440 +32'h3d639cc8,32'h40850858,32'h408a7666, 32'h4080f5cd,32'h408e88f1, 32'h40745878,32'h40955282,// invsqrt(0.0556) = 4.2421 +32'h3febe485,32'h3f38ce17,32'h3f40591d, 32'h3f3325d2,32'h3f460162, 32'h3f29b80b,32'h3f4f6f29,// invsqrt(1.8429) = 0.7366 +32'h3dab5cb8,32'h4058d3bc,32'h4061ad5b, 32'h40523084,32'h40685092, 32'h4047207d,32'h40736099,// invsqrt(0.0837) = 3.4571 +32'h3f505489,32'h3f8b0d88,32'h3f90ba7e, 32'h3f86cbd0,32'h3f94fc36, 32'h3f7f6739,32'h3f9c146a,// invsqrt(0.8138) = 1.1085 +32'h3dc865dd,32'h40488130,32'h4050b042, 32'h40425de3,32'h4056d38f, 32'h4038230d,32'h40610e65,// invsqrt(0.0979) = 3.1968 +32'h3f7562ef,32'h3f801fc3,32'h3f855a87, 32'h3f786760,32'h3f89469a, 32'h3f6b5476,32'h3f8fd00f,// invsqrt(0.9585) = 1.0214 +32'h402a32a3,32'h3f19d7ed,32'h3f201f6f, 32'h3f15224c,32'h3f24d510, 32'h3f0d48e9,32'h3f2cae73,// invsqrt(2.6593) = 0.6132 +32'h3d4857f2,32'h408dcc24,32'h409395c8, 32'h408974ea,32'h4097ed02, 32'h408238dd,32'h409f290f,// invsqrt(0.0489) = 4.5216 +32'h3e1cde3b,32'h40203f1f,32'h4026c989, 32'h401b5750,32'h402bb158, 32'h40132a4c,32'h4033de5c,// invsqrt(0.1532) = 2.5550 +32'h3f98dd93,32'h3f659212,32'h3f6ef0da, 32'h3f5e8afd,32'h3f75f7ef, 32'h3f52d484,32'h3f80d734,// invsqrt(1.1943) = 0.9151 +32'h3ed3cf79,32'h3fc30736,32'h3fcafd0e, 32'h3fbd0ed4,32'h3fd0f570, 32'h3fb31b85,32'h3fdae8bf,// invsqrt(0.4137) = 1.5548 +32'h3efa9cd5,32'h3fb34baa,32'h3fba9d1f, 32'h3fadce93,32'h3fc01a37, 32'h3fa4a8c1,32'h3fc94009,// invsqrt(0.4895) = 1.4293 +32'h4084a362,32'h3ef67458,32'h3f0041c5, 32'h3eeee8f2,32'h3f040778, 32'h3ee255f2,32'h3f0a50f8,// invsqrt(4.1449) = 0.4912 +32'h403681af,32'h3f1490aa,32'h3f1aa104, 32'h3f100466,32'h3f1f2d48, 32'h3f086ff5,32'h3f26c1b9,// invsqrt(2.8517) = 0.5922 +32'h4101bfa2,32'h3eb03314,32'h3eb7642f, 32'h3eaace40,32'h3ebcc904, 32'h3ea1d0df,32'h3ec5c665,// invsqrt(8.1093) = 0.3512 +32'h3f3b12a9,32'h3f92bd9f,32'h3f98bae9, 32'h3f8e3fa7,32'h3f9d38e1, 32'h3f86c30a,32'h3fa4b57e,// invsqrt(0.7308) = 1.1698 +32'h40f66965,32'h3eb4d145,32'h3ebc32a1, 32'h3eaf4840,32'h3ec1bba6, 32'h3ea60e8e,32'h3ecaf558,// invsqrt(7.7004) = 0.3604 +32'h3fa62c49,32'h3f5c2fc3,32'h3f652c7c, 32'h3f557237,32'h3f6bea07, 32'h3f4a364f,32'h3f7725ef,// invsqrt(1.2982) = 0.8777 +32'h3f9a3067,32'h3f64954a,32'h3f6de9c0, 32'h3f5d95f2,32'h3f74e918, 32'h3f51ec5e,32'h3f804956,// invsqrt(1.2046) = 0.9111 +32'h3f4bcf87,32'h3f8c960f,32'h3f92530b, 32'h3f884853,32'h3f96a0c7, 32'h3f811c18,32'h3f9dcd02,// invsqrt(0.7961) = 1.1207 +32'h3dd37beb,32'h40432db9,32'h404b2524, 32'h403d3429,32'h40511eb5, 32'h40333ee4,32'h405b13fa,// invsqrt(0.1033) = 3.1119 +32'h3f5d043e,32'h3f8700c8,32'h3f8c836d, 32'h3f82decd,32'h3f90a569, 32'h3f77f6fd,32'h3f9788b7,// invsqrt(0.8633) = 1.0762 +32'h3f88e09f,32'h3f729ba6,32'h3f7c82a7, 32'h3f6b2e64,32'h3f81f7f4, 32'h3f5ecda2,32'h3f882855,// invsqrt(1.0694) = 0.9670 +32'h3f72017a,32'h3f810411,32'h3f864827, 32'h3f7a2202,32'h3f8a3b37, 32'h3f6cf7cc,32'h3f90d052,// invsqrt(0.9453) = 1.0285 +32'h3f08b7aa,32'h3faba66d,32'h3fb2a7ff, 32'h3fa66540,32'h3fb7e92c, 32'h3f9da34a,32'h3fc0ab22,// invsqrt(0.5341) = 1.3684 +32'h3f543e5a,32'h3f89c3d4,32'h3f8f6355, 32'h3f858c34,32'h3f939af6, 32'h3f7d09a6,32'h3f9aa257,// invsqrt(0.8291) = 1.0983 +32'h402f165e,32'h3f17ae1b,32'h3f1ddf02, 32'h3f13096e,32'h3f2283b0, 32'h3f0b4c4e,32'h3f2a40d0,// invsqrt(2.7357) = 0.6046 +32'h3e3b90d3,32'h40128c3c,32'h40188783, 32'h400e0fc8,32'h401d03f8, 32'h400695b0,32'h40247e10,// invsqrt(0.1832) = 2.3365 +32'h3f9172c0,32'h3f6b59f5,32'h3f74f524, 32'h3f642593,32'h3f7c2987, 32'h3f582398,32'h3f8415c1,// invsqrt(1.1363) = 0.9381 +32'h3f11bef3,32'h3fa63fa1,32'h3fad08c1, 32'h3fa128c8,32'h3fb21f9a, 32'h3f98ad5f,32'h3fba9b03,// invsqrt(0.5693) = 1.3253 +32'h3eb7a802,32'h3fd171b1,32'h3fd9fe2b, 32'h3fcb0855,32'h3fe06787, 32'h3fc058bd,32'h3feb171f,// invsqrt(0.3587) = 1.6697 +32'h3e235a42,32'h401d08a5,32'h4023717d, 32'h40183a03,32'h4028401f, 32'h401036f6,32'h4030432c,// invsqrt(0.1595) = 2.5037 +32'h3f27435d,32'h3f9b2fed,32'h3fa18579, 32'h3f966fc4,32'h3fa645a2, 32'h3f8e84d4,32'h3fae3092,// invsqrt(0.6534) = 1.2371 +32'h3fc1088a,32'h3f4c4b2c,32'h3f54a1d4, 32'h3f460a2d,32'h3f5ae2d3, 32'h3f3b9dda,32'h3f654f26,// invsqrt(1.5081) = 0.8143 +32'h3f62aa8a,32'h3f854f5b,32'h3f8ac04f, 32'h3f813aa4,32'h3f8ed506, 32'h3f74dae6,32'h3f95a237,// invsqrt(0.8854) = 1.0627 +32'h3fa40442,32'h3f5da117,32'h3f66ace3, 32'h3f56d83d,32'h3f6d75bd, 32'h3f4b897d,32'h3f78c47d,// invsqrt(1.2814) = 0.8834 +32'h3f39b0ed,32'h3f934921,32'h3f994c1d, 32'h3f8ec6e4,32'h3f9dce5a, 32'h3f874329,32'h3fa55215,// invsqrt(0.7254) = 1.1742 +32'h3e531d54,32'h400a2202,32'h400fc55a, 32'h4005e77f,32'h4013ffdd, 32'h3ffdb6a0,32'h401b0c0c,// invsqrt(0.2062) = 2.2024 +32'h40e06aec,32'h3ebd788d,32'h3ec53454, 32'h3eb7abb7,32'h3ecb0129, 32'h3eae00fe,32'h3ed4abe2,// invsqrt(7.0131) = 0.3776 +32'h418a38cd,32'h3e716cdb,32'h3e7b4781, 32'h3e6a08df,32'h3e8155bf, 32'h3e5db790,32'h3e877e66,// invsqrt(17.2777) = 0.2406 +32'h40544336,32'h3f09c241,32'h3f0f61b1, 32'h3f058aad,32'h3f139945, 32'h3efd06c0,32'h3f1aa092,// invsqrt(3.3166) = 0.5491 +32'h3f27c6fb,32'h3f9af302,32'h3fa14612, 32'h3f9634b6,32'h3fa6045e, 32'h3f8e4ce3,32'h3fadec31,// invsqrt(0.6554) = 1.2352 +32'h400085df,32'h3f3109a5,32'h3f384382, 32'h3f2b9e40,32'h3f3daee8, 32'h3f2295ec,32'h3f46b73c,// invsqrt(2.0082) = 0.7057 +32'h40b4d130,32'h3ed314ff,32'h3edbb297, 32'h3ecc9ece,32'h3ee228c8, 32'h3ec1d9d0,32'h3eecedc6,// invsqrt(5.6505) = 0.4207 +32'h3f80d190,32'h3f7a14e3,32'h3f8224fe, 32'h3f726d11,32'h3f85f8e8, 32'h3f65aab2,32'h3f8c5a17,// invsqrt(1.0064) = 0.9968 +32'h3ea8d26a,32'h3fda73c9,32'h3fe35e63, 32'h3fd3c3d5,32'h3fea0e57, 32'h3fc89e94,32'h3ff53398,// invsqrt(0.3297) = 1.7415 +32'h3f1de24e,32'h3f9fbaed,32'h3fa63ff1, 32'h3f9ad729,32'h3fab23b5, 32'h3f92b0e4,32'h3fb349fa,// invsqrt(0.6167) = 1.2734 +32'h3f9a7a55,32'h3f645e91,32'h3f6db0cb, 32'h3f5d60e5,32'h3f74ae77, 32'h3f51ba1d,32'h3f802aa0,// invsqrt(1.2069) = 0.9103 +32'h40692fe0,32'h3f036ece,32'h3f08cc24, 32'h3efed199,32'h3f0cd225, 32'h3ef16840,32'h3f1386d2,// invsqrt(3.6435) = 0.5239 +32'h3f7ca2ea,32'h3f7c8b6b,32'h3f836d20, 32'h3f74d04b,32'h3f874ab0, 32'h3f67edc1,32'h3f8dbbf6,// invsqrt(0.9869) = 1.0066 +32'h3f6e16ed,32'h3f821297,32'h3f8761b7, 32'h3f7c2e7d,32'h3f8b5d0f, 32'h3f6ee8ad,32'h3f91fff8,// invsqrt(0.9300) = 1.0369 +32'h40da37c8,32'h3ec024c1,32'h3ec7fc75, 32'h3eba42fa,32'h3ecdde3c, 32'h3eb07558,32'h3ed7abde,// invsqrt(6.8193) = 0.3829 +32'h3fc618e6,32'h3f49aa64,32'h3f51e597, 32'h3f437dfd,32'h3f5811fd, 32'h3f3933fd,32'h3f625bfd,// invsqrt(1.5476) = 0.8038 +32'h3e191279,32'h402238a8,32'h4028d7b4, 32'h401d415f,32'h402dcefd, 32'h4014fa90,32'h403615cc,// invsqrt(0.1495) = 2.5864 +32'h3f8b7740,32'h3f70589c,32'h3f7a27fc, 32'h3f68fd15,32'h3f80c1c2, 32'h3f5cb9de,32'h3f86e35d,// invsqrt(1.0896) = 0.9580 +32'h4063f405,32'h3f04eee1,32'h3f0a5be5, 32'h3f00dd1e,32'h3f0e6da8, 32'h3ef429b2,32'h3f1535ed,// invsqrt(3.5618) = 0.5299 +32'h3e89c9a5,32'h3ff1ce29,32'h3ffbacc7, 32'h3fea6732,32'h400189df, 32'h3fde10ec,32'h4007b502,// invsqrt(0.2691) = 1.9277 +32'h3f51a4f0,32'h3f8a9dca,32'h3f904630, 32'h3f865f7d,32'h3f94847d, 32'h3f7e99fb,32'h3f9b96fd,// invsqrt(0.8189) = 1.1050 +32'h4047cf41,32'h3f0dfc9d,32'h3f13c83b, 32'h3f09a3e7,32'h3f1820f1, 32'h3f026561,32'h3f1f5f77,// invsqrt(3.1220) = 0.5660 +32'h3d8e1e62,32'h406e17a4,32'h4077cf76, 32'h4066cdc6,32'h407f1954, 32'h405aa7ff,32'h40859f8e,// invsqrt(0.0694) = 3.7961 +32'h3f4e3a01,32'h3f8bc2a1,32'h3f9176fb, 32'h3f877b5d,32'h3f95be3f, 32'h3f8059ed,32'h3f9cdfaf,// invsqrt(0.8056) = 1.1142 +32'h3f67be05,32'h3f83d786,32'h3f893922, 32'h3f7f9ca0,32'h3f8d4258, 32'h3f722897,32'h3f93fc5c,// invsqrt(0.9052) = 1.0510 +32'h3f57897b,32'h3f88b557,32'h3f8e49cd, 32'h3f8485fe,32'h3f927926, 32'h3f7b18d4,32'h3f9972ba,// invsqrt(0.8419) = 1.0898 +32'h3f0091b7,32'h3fb1017e,32'h3fb83b06, 32'h3fab9658,32'h3fbda62c, 32'h3fa28e6f,32'h3fc6ae15,// invsqrt(0.5022) = 1.4111 +32'h40d13cdc,32'h3ec43937,32'h3ecc3b8d, 32'h3ebe3777,32'h3ed23d4d, 32'h3eb4348b,32'h3edc4039,// invsqrt(6.5387) = 0.3911 +32'h400fc47e,32'h3f276374,32'h3f2e387e, 32'h3f2243ad,32'h3f335845, 32'h3f19b960,32'h3f3be292,// invsqrt(2.2464) = 0.6672 +32'h3fb0795e,32'h3f55a9e2,32'h3f5e6273, 32'h3f4f1f75,32'h3f64ecdf, 32'h3f4438bf,32'h3f6fd395,// invsqrt(1.3787) = 0.8517 +32'h3ec64b19,32'h3fc990db,32'h3fd1cb04, 32'h3fc3653e,32'h3fd7f6a2, 32'h3fb91c8b,32'h3fe23f55,// invsqrt(0.3873) = 1.6069 +32'h3fcbd100,32'h3f46d0e9,32'h3f4eee55, 32'h3f40bad7,32'h3f550467, 32'h3f36960f,32'h3f5f292f,// invsqrt(1.5923) = 0.7925 +32'h3eedce12,32'h3fb80f7c,32'h3fbf92ba, 32'h3fb26d0d,32'h3fc53529, 32'h3fa908ff,32'h3fce9937,// invsqrt(0.4645) = 1.4673 +32'h40204549,32'h3f1e8953,32'h3f2501de, 32'h3f19aeea,32'h3f29dc46, 32'h3f11983c,32'h3f31f2f4,// invsqrt(2.5042) = 0.6319 +32'h3f7c4a64,32'h3f7cb7b5,32'h3f83842d, 32'h3f74fb3b,32'h3f87626b, 32'h3f68166e,32'h3f8dd4d1,// invsqrt(0.9855) = 1.0073 +32'h3f6ebd62,32'h3f81e536,32'h3f87327c, 32'h3f7bd683,32'h3f8b2c71, 32'h3f6e9553,32'h3f91cd08,// invsqrt(0.9326) = 1.0355 +32'h3f5e75a7,32'h3f869082,32'h3f8c0e92, 32'h3f8271f6,32'h3f902d1e, 32'h3f7728c5,32'h3f970ab1,// invsqrt(0.8690) = 1.0727 +32'h3fb63b80,32'h3f5242c0,32'h3f5ad7c3, 32'h3f4bd2ff,32'h3f614785, 32'h3f4118bb,32'h3f6c01c9,// invsqrt(1.4237) = 0.8381 +32'h4260d580,32'h3e05da20,32'h3e0b50be, 32'h3e01c129,32'h3e0f69b5, 32'h3df5d9c8,32'h3e163dfa,// invsqrt(56.2085) = 0.1334 +32'h3f255c9b,32'h3f9c13ad,32'h3fa27285, 32'h3f974c8b,32'h3fa739a7, 32'h3f8f55fd,32'h3faf3035,// invsqrt(0.6459) = 1.2442 +32'h3f892bda,32'h3f725915,32'h3f7c3d5f, 32'h3f6aedde,32'h3f81d44b, 32'h3f5e9081,32'h3f8802fa,// invsqrt(1.0717) = 0.9660 +32'h40cd8ca1,32'h3ec5f9e8,32'h3ece0e8f, 32'h3ebfea6c,32'h3ed41e0c, 32'h3eb5d09c,32'h3ede37dc,// invsqrt(6.4234) = 0.3946 +32'h3eed0cd8,32'h3fb85a71,32'h3fbfe0bf, 32'h3fb2b5b7,32'h3fc58579, 32'h3fa94dd6,32'h3fceed5a,// invsqrt(0.4630) = 1.4697 +32'h4060e53b,32'h3f05d572,32'h3f0b4be0, 32'h3f01bca1,32'h3f0f64b1, 32'h3ef5d130,32'h3f1638ba,// invsqrt(3.5140) = 0.5335 +32'h404f066b,32'h3f0b7d90,32'h3f112f18, 32'h3f073869,32'h3f15743f, 32'h3f001a7f,32'h3f1c9229,// invsqrt(3.2348) = 0.5560 +32'h3ea06f7e,32'h3fe016d0,32'h3fe93c51, 32'h3fd93ab0,32'h3ff01872, 32'h3fcdcbcf,32'h3ffb8753,// invsqrt(0.3134) = 1.7864 +32'h40fc75de,32'h3eb2a362,32'h3eb9edf8, 32'h3ead2b71,32'h3ebf65e9, 32'h3ea40e35,32'h3ec88325,// invsqrt(7.8894) = 0.3560 +32'h3eacfa05,32'h3fd7d017,32'h3fe09f1d, 32'h3fd134d2,32'h3fe73a62, 32'h3fc6320b,32'h3ff23d29,// invsqrt(0.3378) = 1.7204 +32'h40111683,32'h3f26a005,32'h3f2d6d15, 32'h3f218639,32'h3f3286e1, 32'h3f1905e5,32'h3f3b0735,// invsqrt(2.2670) = 0.6642 +32'h3fa17a77,32'h3f5f5d45,32'h3f687b33, 32'h3f5886d3,32'h3f6f51a5, 32'h3f4d2169,32'h3f7ab70f,// invsqrt(1.2615) = 0.8903 +32'h40cd0d39,32'h3ec63760,32'h3ece4e88, 32'h3ec02601,32'h3ed45fe7, 32'h3eb6090f,32'h3ede7cd9,// invsqrt(6.4079) = 0.3950 +32'h3f92f591,32'h3f6a236c,32'h3f73b1ed, 32'h3f62f88a,32'h3f7adcce, 32'h3f570668,32'h3f836778,// invsqrt(1.1481) = 0.9333 +32'h40f6d371,32'h3eb4aa69,32'h3ebc0a2f, 32'h3eaf2295,32'h3ec19203, 32'h3ea5eade,32'h3ecac9ba,// invsqrt(7.7133) = 0.3601 +32'h401219dc,32'h3f260be0,32'h3f2cd2e4, 32'h3f20f69d,32'h3f31e827, 32'h3f187dd8,32'h3f3a60ec,// invsqrt(2.2828) = 0.6619 +32'h3f8fa5de,32'h3f6cd256,32'h3f767ce2, 32'h3f65926e,32'h3f7dbcca, 32'h3f597d40,32'h3f84e8fc,// invsqrt(1.1222) = 0.9440 +32'h3e1cef3f,32'h4020366f,32'h4026c07d, 32'h401b4ee3,32'h402ba809, 32'h40132251,32'h4033d49b,// invsqrt(0.1533) = 2.5544 +32'h3fb5ef0a,32'h3f526eea,32'h3f5b05bb, 32'h3f4bfdcf,32'h3f6176d7, 32'h3f41414b,32'h3f6c335b,// invsqrt(1.4214) = 0.8388 +32'h3ea97d4c,32'h3fda058d,32'h3fe2eba8, 32'h3fd358fa,32'h3fe9983c, 32'h3fc83958,32'h3ff4b7de,// invsqrt(0.3310) = 1.7381 +32'h3fd85831,32'h3f40f944,32'h3f48d9a4, 32'h3f3b10fb,32'h3f4ec1ed, 32'h3f313882,32'h3f589a66,// invsqrt(1.6902) = 0.7692 +32'h3c0ab031,32'h412a6d17,32'h413161df, 32'h41253582,32'h41369974, 32'h411c8388,32'h413f4b6e,// invsqrt(0.0085) = 10.8690 +32'h3ffb86bd,32'h3f32f839,32'h3f3a4645, 32'h3f2d7daf,32'h3f3fc0cf, 32'h3f245c1f,32'h3f48e25f,// invsqrt(1.9650) = 0.7134 +32'h3dc0fc69,32'h404c5197,32'h4054a883, 32'h40461066,32'h405ae9b4, 32'h403ba3bf,32'h4065565b,// invsqrt(0.0942) = 3.2576 +32'h3f05460d,32'h3fadda8b,32'h3fb4f323, 32'h3fa88819,32'h3fba4595, 32'h3f9fa95b,32'h3fc32453,// invsqrt(0.5206) = 1.3860 +32'h3d30b9a3,32'h4096f9c3,32'h409d234d, 32'h40925a9b,32'h40a1c275, 32'h408aa6ae,32'h40a97662,// invsqrt(0.0431) = 4.8143 +32'h3f9bd807,32'h3f635dc9,32'h3f6ca588, 32'h3f5c67f9,32'h3f739b57, 32'h3f50ce4a,32'h3f7f3506,// invsqrt(1.2175) = 0.9063 +32'h401ce8be,32'h3f2039c1,32'h3f26c3f2, 32'h3f1b521b,32'h3f2bab97, 32'h3f13255d,32'h3f33d855,// invsqrt(2.4517) = 0.6387 +32'h3f1c16b4,32'h3fa0a56a,32'h3fa73400, 32'h3f9bba79,32'h3fac1ef1, 32'h3f93883c,32'h3fb4512e,// invsqrt(0.6097) = 1.2807 +32'h3f725200,32'h3f80ee9f,32'h3f8631d5, 32'h3f79f86e,32'h3f8a243d, 32'h3f6cd068,32'h3f90b840,// invsqrt(0.9466) = 1.0278 +32'h3f7aceed,32'h3f7d769a,32'h3f83e785, 32'h3f75b448,32'h3f87c8ae, 32'h3f68c5bd,32'h3f8e3ff4,// invsqrt(0.9797) = 1.0103 +32'h3ead4bcf,32'h3fd79d23,32'h3fe06a15, 32'h3fd1036e,32'h3fe703ca, 32'h3fc60340,32'h3ff203f8,// invsqrt(0.3385) = 1.7189 +32'h3eb1679e,32'h3fd51a39,32'h3fddcced, 32'h3fce9432,32'h3fe452f4, 32'h3fc3b4d1,32'h3fef3255,// invsqrt(0.3465) = 1.6988 +32'h407d57c9,32'h3efc3134,32'h3f033e2e, 32'h3ef478d8,32'h3f071a5c, 32'h3ee79ae7,32'h3f0d8954,// invsqrt(3.9585) = 0.5026 +32'h411a34d1,32'h3ea19fa8,32'h3ea83876, 32'h3e9cad0e,32'h3ead2b10, 32'h3e946e0e,32'h3eb56a11,// invsqrt(9.6379) = 0.3221 +32'h3dc7665c,32'h4049017d,32'h405135cb, 32'h4042da42,32'h40575d06, 32'h403898e0,32'h40619e68,// invsqrt(0.0974) = 3.2048 +32'h3e87df02,32'h3ff38137,32'h3ffd7198, 32'h3fec0cf0,32'h400272f0, 32'h3fdfa077,32'h4008a92c,// invsqrt(0.2654) = 1.9412 +32'h3f34b730,32'h3f954ca9,32'h3f9b64b0, 32'h3f90baa4,32'h3f9ff6b6, 32'h3f891c9c,32'h3fa794be,// invsqrt(0.7059) = 1.1902 +32'h42a485e1,32'h3ddd49b7,32'h3de651f3, 32'h3dd6838a,32'h3ded1820, 32'h3dcb3940,32'h3df8626a,// invsqrt(82.2615) = 0.1103 +32'h3eee053f,32'h3fb7fa26,32'h3fbf7c85, 32'h3fb2585e,32'h3fc51e4c, 32'h3fa8f566,32'h3fce8144,// invsqrt(0.4649) = 1.4667 +32'h3f8aafd0,32'h3f71052e,32'h3f7adb99, 32'h3f69a45f,32'h3f811e34, 32'h3f5d585a,32'h3f874437,// invsqrt(1.0835) = 0.9607 +32'h3fe52708,32'h3f3b80d4,32'h3f43280c, 32'h3f35c36a,32'h3f48e576, 32'h3f2c3265,32'h3f52767b,// invsqrt(1.7903) = 0.7474 +32'h3fcdd205,32'h3f45d886,32'h3f4debd0, 32'h3f3fca0f,32'h3f53fa47, 32'h3f35b1f4,32'h3f5e1263,// invsqrt(1.6080) = 0.7886 +32'h3e9ae138,32'h3fe412aa,32'h3fed61cb, 32'h3fdd1751,32'h3ff45d23, 32'h3fd17467,32'h40000006,// invsqrt(0.3025) = 1.8182 +32'h40659272,32'h3f0476ae,32'h3f09deca, 32'h3f006899,32'h3f0decdf, 32'h3ef34cec,32'h3f14af02,// invsqrt(3.5871) = 0.5280 +32'h3fc60baf,32'h3f49b11e,32'h3f51ec98, 32'h3f438483,32'h3f581933, 32'h3f393a2c,32'h3f62638b,// invsqrt(1.5472) = 0.8039 +32'h3ebc8502,32'h3fceb994,32'h3fd729a4, 32'h3fc86587,32'h3fdd7db1, 32'h3fbdd973,32'h3fe809c5,// invsqrt(0.3682) = 1.6480 +32'h3ec2297c,32'h3fcbb2f0,32'h3fd40362, 32'h3fc5769a,32'h3fda3fb8, 32'h3fbb120b,32'h3fe4a447,// invsqrt(0.3792) = 1.6239 +32'h3e6fa817,32'h4001a58b,32'h4006f038, 32'h3ffb5b14,32'h400ae83a, 32'h3fee2064,32'h40118592,// invsqrt(0.2340) = 2.0671 +32'h3f110118,32'h3fa6ac53,32'h3fad79e3, 32'h3fa19226,32'h3fb29410, 32'h3f991132,32'h3fbb1504,// invsqrt(0.5664) = 1.3287 +32'h3f01a2a4,32'h3fb046c7,32'h3fb778b0, 32'h3faae159,32'h3fbcde1f, 32'h3fa1e2f6,32'h3fc5dc82,// invsqrt(0.5064) = 1.4053 +32'h3ef38534,32'h3fb5e343,32'h3fbd4fcd, 32'h3fb051db,32'h3fc2e135, 32'h3fa70a2e,32'h3fcc28e2,// invsqrt(0.4756) = 1.4500 +32'h3f8da500,32'h3f6e7d93,32'h3f78398e, 32'h3f673096,32'h3f7f868a, 32'h3f5b059b,32'h3f85d8c2,// invsqrt(1.1066) = 0.9506 +32'h3fd675c0,32'h3f41d1d8,32'h3f49bb10, 32'h3f3be2ee,32'h3f4fa9fa, 32'h3f31ff68,32'h3f598d80,// invsqrt(1.6755) = 0.7726 +32'h4074a93d,32'h3f005059,32'h3f058d19, 32'h3ef8c593,32'h3f097aa9, 32'h3eebadb3,32'h3f100698,// invsqrt(3.8228) = 0.5115 +32'h41133931,32'h3ea56988,32'h3eac29eb, 32'h3ea0593d,32'h3eb13a35, 32'h3e97e8c0,32'h3eb9aab2,// invsqrt(9.2015) = 0.3297 +32'h3f18c050,32'h3fa26443,32'h3fa90517, 32'h3f9d6ba4,32'h3fadfdb6, 32'h3f95229c,32'h3fb646be,// invsqrt(0.5967) = 1.2946 +32'h3f8e5b83,32'h3f6de480,32'h3f779a3c, 32'h3f669c33,32'h3f7ee289, 32'h3f5a7908,32'h3f8582da,// invsqrt(1.1122) = 0.9482 +32'h4206caf6,32'h3e2cdf07,32'h3e33ed5b, 32'h3e279448,32'h3e39381a, 32'h3e1ec25f,32'h3e420a03,// invsqrt(33.6982) = 0.1723 +32'h3f34aa17,32'h3f955213,32'h3f9b6a52, 32'h3f90bfe3,32'h3f9ffc81, 32'h3f892193,32'h3fa79ad1,// invsqrt(0.7057) = 1.1904 +32'h3e68a8b8,32'h400394f5,32'h4008f3db, 32'h3fff1b92,32'h400cfb07, 32'h3ff1ae55,32'h4013b1a6,// invsqrt(0.2272) = 2.0979 +32'h3fb963de,32'h3f507660,32'h3f58f898, 32'h3f4a14b6,32'h3f5f5a42, 32'h3f3f71f0,32'h3f69fd08,// invsqrt(1.4484) = 0.8309 +32'h3f4b51e2,32'h3f8cc179,32'h3f92803a, 32'h3f887268,32'h3f96cf4a, 32'h3f8143f6,32'h3f9dfdbc,// invsqrt(0.7942) = 1.1221 +32'h3f6f5f3a,32'h3f81b945,32'h3f8704c0, 32'h3f7b8152,32'h3f8afd5d, 32'h3f6e449f,32'h3f919bb6,// invsqrt(0.9350) = 1.0341 +32'h408fe753,32'h3eec9c73,32'h3ef644cc, 32'h3ee55e32,32'h3efd830e, 32'h3ed94bc3,32'h3f04cabe,// invsqrt(4.4970) = 0.4716 +32'h3ee0739c,32'h3fbd74e2,32'h3fc53082, 32'h3fb7a829,32'h3fcafd3b, 32'h3fadfda0,32'h3fd4a7c4,// invsqrt(0.4384) = 1.5103 +32'h4032be16,32'h3f161f08,32'h3f1c3fa6, 32'h3f118693,32'h3f20d81b, 32'h3f09ddce,32'h3f2880e0,// invsqrt(2.7929) = 0.5984 +32'h3f6d8234,32'h3f823b4a,32'h3f878c13, 32'h3f7c7d64,32'h3f8b88aa, 32'h3f6f336c,32'h3f922da6,// invsqrt(0.9278) = 1.0382 +32'h3f61c3df,32'h3f859364,32'h3f8b071e, 32'h3f817c97,32'h3f8f1deb, 32'h3f7557db,32'h3f95ee94,// invsqrt(0.8819) = 1.0649 +32'h3f6302e6,32'h3f853567,32'h3f8aa54b, 32'h3f81217b,32'h3f8eb937, 32'h3f74ab3a,32'h3f958515,// invsqrt(0.8868) = 1.0619 +32'h3f130f46,32'h3fa58119,32'h3fac4273, 32'h3fa07016,32'h3fb15376, 32'h3f97fe65,32'h3fb9c527,// invsqrt(0.5745) = 1.3194 +32'h403e0887,32'h3f1197dd,32'h3f17892a, 32'h3f0d22e4,32'h3f1bfe24, 32'h3f05b544,32'h3f236bc4,// invsqrt(2.9693) = 0.5803 +32'h3eaa8602,32'h3fd95c13,32'h3fe23b43, 32'h3fd2b4af,32'h3fe8e2a7, 32'h3fc79db4,32'h3ff3f9a3,// invsqrt(0.3331) = 1.7328 +32'h40768608,32'h3effa80f,32'h3f050bb4, 32'h3ef7d48c,32'h3f08f576, 32'h3eeac95c,32'h3f0f7b0e,// invsqrt(3.8519) = 0.5095 +32'h3d181092,32'h40a2c1ff,32'h40a966a6, 32'h409dc681,32'h40ae6223, 32'h409578b0,32'h40b6aff4,// invsqrt(0.0371) = 5.1900 +32'h3e0e1ec1,32'h40285b19,32'h402f3a3f, 32'h402333bd,32'h4034619b, 32'h401a9cce,32'h403cf88a,// invsqrt(0.1388) = 2.6842 +32'h3fc6811d,32'h3f49756d,32'h3f51ae77, 32'h3f434aa6,32'h3f57d93e, 32'h3f39035a,32'h3f62208a,// invsqrt(1.5508) = 0.8030 +32'h3e1f4de0,32'h401f043f,32'h402581cf, 32'h401a2613,32'h402a5ffb, 32'h40120920,32'h40327cee,// invsqrt(0.1556) = 2.5353 +32'h3ff29505,32'h3f363d38,32'h3f3dad6e, 32'h3f30a90f,32'h3f434197, 32'h3f275ccb,32'h3f4c8ddb,// invsqrt(1.8952) = 0.7264 +32'h40629eec,32'h3f0552c6,32'h3f0ac3de, 32'h3f013df4,32'h3f0ed8b0, 32'h3ef4e12d,32'h3f15a60d,// invsqrt(3.5409) = 0.5314 +32'h3f1dd4cd,32'h3f9fc1c2,32'h3fa6470e, 32'h3f9addc9,32'h3fab2b07, 32'h3f92b72a,32'h3fb351a6,// invsqrt(0.6165) = 1.2736 +32'h401b6cf4,32'h3f20fd0c,32'h3f278f36, 32'h3f1c0f6c,32'h3f2c7cd6, 32'h3f13d8b7,32'h3f34b38b,// invsqrt(2.4285) = 0.6417 +32'h3fc7f0dd,32'h3f48bbd2,32'h3f50ed48, 32'h3f4296b9,32'h3f571261, 32'h3f3858e5,32'h3f615035,// invsqrt(1.5620) = 0.8001 +32'h3ebc5b6a,32'h3fced066,32'h3fd74164, 32'h3fc87ba6,32'h3fdd9624, 32'h3fbdee68,32'h3fe82362,// invsqrt(0.3679) = 1.6487 +32'h40005ffa,32'h3f3123c5,32'h3f385eb3, 32'h3f2bb793,32'h3f3dcae5, 32'h3f22ade9,32'h3f46d48f,// invsqrt(2.0059) = 0.7061 +32'h3f50ab37,32'h3f8af0a3,32'h3f909c6b, 32'h3f86afcd,32'h3f94dd41, 32'h3f7f3226,32'h3f9bf3fb,// invsqrt(0.8151) = 1.1076 +32'h3efc8d1b,32'h3fb29b2a,32'h3fb9e56a, 32'h3fad237a,32'h3fbf5d1a, 32'h3fa406a9,32'h3fc879eb,// invsqrt(0.4933) = 1.4238 +32'h403262bc,32'h3f164574,32'h3f1c67a2, 32'h3f11abd1,32'h3f210145, 32'h3f0a0117,32'h3f28abff,// invsqrt(2.7873) = 0.5990 +32'h3eb4e098,32'h3fd30c01,32'h3fdba93b, 32'h3fcc9616,32'h3fe21f26, 32'h3fc1d18e,32'h3fece3ae,// invsqrt(0.3533) = 1.6825 +32'h3f319e98,32'h3f969855,32'h3f9cbde5, 32'h3f91fc28,32'h3fa15a12, 32'h3f8a4d34,32'h3fa90906,// invsqrt(0.6938) = 1.2005 +32'h3f5f5b21,32'h3f864b50,32'h3f8bc68d, 32'h3f822ee3,32'h3f8fe2fb, 32'h3f76a9ae,32'h3f96bd07,// invsqrt(0.8725) = 1.0706 +32'h3f5a6f62,32'h3f87cc67,32'h3f8d575c, 32'h3f83a430,32'h3f917f94, 32'h3f796cfd,32'h3f986d45,// invsqrt(0.8533) = 1.0826 +32'h3fc589a1,32'h3f49f378,32'h3f5231a7, 32'h3f43c4d6,32'h3f58604a, 32'h3f39771b,32'h3f62ae05,// invsqrt(1.5433) = 0.8050 +32'h3f647a96,32'h3f84c7b5,32'h3f8a3320, 32'h3f80b726,32'h3f8e43b0, 32'h3f73e1c1,32'h3f9509f6,// invsqrt(0.8925) = 1.0585 +32'h3f20a9e5,32'h3f9e57a7,32'h3fa4ce2b, 32'h3f997ec4,32'h3fa9a70e, 32'h3f916a9e,32'h3fb1bb34,// invsqrt(0.6276) = 1.2623 +32'h3fbebc43,32'h3f4d8547,32'h3f55e8c2, 32'h3f473aaa,32'h3f5c335e, 32'h3f3cbe50,32'h3f66afb8,// invsqrt(1.4901) = 0.8192 +32'h3d4a484a,32'h408d1dc2,32'h4092e048, 32'h4088cbde,32'h4097322c, 32'h408198b8,32'h409e6552,// invsqrt(0.0494) = 4.4999 +32'h3ed9b603,32'h3fc05dfc,32'h3fc83806, 32'h3fba7a74,32'h3fce1b8e, 32'h3fb0a9e7,32'h3fd7ec1b,// invsqrt(0.4252) = 1.5335 +32'h3f8649be,32'h3f74ef93,32'h3f7eeee7, 32'h3f6d7014,32'h3f833733, 32'h3f60f0ea,32'h3f8976c8,// invsqrt(1.0491) = 0.9763 +32'h3ed0cb1a,32'h3fc46ea5,32'h3fcc7329, 32'h3fbe6b42,32'h3fd2768c, 32'h3fb4659d,32'h3fdc7c31,// invsqrt(0.4078) = 1.5659 +32'h3ea0b473,32'h3fdfe6b7,32'h3fe90a42, 32'h3fd90c10,32'h3fefe4ea, 32'h3fcd9fa4,32'h3ffb5156,// invsqrt(0.3139) = 1.7849 +32'h3df91a2c,32'h4033d69c,32'h403b2dbc, 32'h402e5543,32'h4040af15, 32'h4025285b,32'h4049dbfd,// invsqrt(0.1216) = 2.8673 +32'h3fbc47a2,32'h3f4edb43,32'h3f574cb3, 32'h3f48862e,32'h3f5da1c8, 32'h3f3df862,32'h3f682f94,// invsqrt(1.4709) = 0.8245 +32'h3ef07918,32'h3fb7095c,32'h3fbe81e8, 32'h3fb16ef4,32'h3fc41c50, 32'h3fa81845,32'h3fcd72ff,// invsqrt(0.4697) = 1.4592 +32'h3f8371b8,32'h3f779240,32'h3f80d68f, 32'h3f6ffe19,32'h3f84a0a2, 32'h3f635c84,32'h3f8af16d,// invsqrt(1.0269) = 0.9868 +32'h4019554d,32'h3f22154b,32'h3f28b2e5, 32'h3f1d1f17,32'h3f2da919, 32'h3f14da16,32'h3f35ee1a,// invsqrt(2.3958) = 0.6461 +32'h40cbe15f,32'h3ec6c8ed,32'h3ecee607, 32'h3ec0b31a,32'h3ed4fbda, 32'h3eb68ebb,32'h3edf2039,// invsqrt(6.3713) = 0.3962 +32'h3cab5de0,32'h40d8d301,32'h40e1ac98, 32'h40d22fcf,32'h40e84fc9, 32'h40c71fd1,32'h40f35fc7,// invsqrt(0.0209) = 6.9140 +32'h3f85a942,32'h3f758273,32'h3f7f87c6, 32'h3f6dfe74,32'h3f8385e2, 32'h3f6177cc,32'h3f89c936,// invsqrt(1.0442) = 0.9786 +32'h3f9062df,32'h3f6c3723,32'h3f75db59, 32'h3f64fbfb,32'h3f7d1681, 32'h3f58eeb8,32'h3f8491e2,// invsqrt(1.1280) = 0.9415 +32'h3e8765ec,32'h3ff3ee01,32'h3ffde2d3, 32'h3fec7665,32'h4002ad38, 32'h3fe0045f,32'h4008e63a,// invsqrt(0.2644) = 1.9446 +32'h40f808ad,32'h3eb439a7,32'h3ebb94d2, 32'h3eaeb546,32'h3ec11932, 32'h3ea58350,32'h3eca4b28,// invsqrt(7.7511) = 0.3592 +32'h3faf7993,32'h3f564564,32'h3f5f044e, 32'h3f4fb635,32'h3f65937d, 32'h3f44c790,32'h3f708222,// invsqrt(1.3709) = 0.8541 +32'h405069c0,32'h3f0b0674,32'h3f10b320, 32'h3f06c4f3,32'h3f14f4a1, 32'h3eff5a38,32'h3f1c0c78,// invsqrt(3.2565) = 0.5542 +32'h3f4130fb,32'h3f9065fa,32'h3f964aca, 32'h3f8bfa5d,32'h3f9ab667, 32'h3f849c58,32'h3fa2146c,// invsqrt(0.7547) = 1.1511 +32'h3fe48156,32'h3f3bc4c3,32'h3f436ec1, 32'h3f360545,32'h3f492e3f, 32'h3f2c70c8,32'h3f52c2bc,// invsqrt(1.7852) = 0.7484 +32'h40132259,32'h3f25765e,32'h3f2c3748, 32'h3f2065af,32'h3f3147f7, 32'h3f17f48b,32'h3f39b91b,// invsqrt(2.2990) = 0.6595 +32'h3dc410d2,32'h404ab52b,32'h4052fb41, 32'h4044809a,32'h40592fd2, 32'h403a28fd,32'h4063876f,// invsqrt(0.0957) = 3.2319 +32'h3ef606f6,32'h3fb4f56d,32'h3fbc5843, 32'h3faf6b4d,32'h3fc1e263, 32'h3fa62fc2,32'h3fcb1dee,// invsqrt(0.4805) = 1.4426 +32'h40003d13,32'h3f313bde,32'h3f3877c8, 32'h3f2bceef,32'h3f3de4b7, 32'h3f22c40b,32'h3f46ef9b,// invsqrt(2.0037) = 0.7064 +32'h3ee5950b,32'h3fbb53e2,32'h3fc2f944, 32'h3fb597d8,32'h3fc8b54e, 32'h3fac091e,32'h3fd24408,// invsqrt(0.4484) = 1.4934 +32'h40325024,32'h3f164d49,32'h3f1c6fc9, 32'h3f11b369,32'h3f2109a9, 32'h3f0a0848,32'h3f28b4ca,// invsqrt(2.7861) = 0.5991 +32'h3f99287d,32'h3f6559e6,32'h3f6eb662, 32'h3f5e5489,32'h3f75bbbf, 32'h3f52a0ed,32'h3f80b7ad,// invsqrt(1.1965) = 0.9142 +32'h3da27f4b,32'h405ea9ba,32'h4067c054, 32'h4057d8c7,32'h406e9147, 32'h404c7c86,32'h4079ed88,// invsqrt(0.0793) = 3.5501 +32'h3f82a447,32'h3f78549d,32'h3f813bb5, 32'h3f70ba84,32'h3f8508c2, 32'h3f640f04,32'h3f8b5e82,// invsqrt(1.0206) = 0.9898 +32'h3f409a53,32'h3f909e68,32'h3f968587, 32'h3f8c3112,32'h3f9af2de, 32'h3f84d02c,32'h3fa253c4,// invsqrt(0.7524) = 1.1529 +32'h4010a8c4,32'h3f26df2e,32'h3f2daed2, 32'h3f21c373,32'h3f32ca8d, 32'h3f193fe6,32'h3f3b4e1a,// invsqrt(2.2603) = 0.6651 +32'h3f49ea08,32'h3f8d3eae,32'h3f93028c, 32'h3f88ebc8,32'h3f975572, 32'h3f81b6f4,32'h3f9e8a46,// invsqrt(0.7887) = 1.1260 +32'h3ea95ed1,32'h3fda192b,32'h3fe30013, 32'h3fd36bfd,32'h3fe9ad41, 32'h3fc84b5c,32'h3ff4cde2,// invsqrt(0.3308) = 1.7387 +32'h408fc0d7,32'h3eecbc1d,32'h3ef665c1, 32'h3ee57ce3,32'h3efda4fb, 32'h3ed968d7,32'h3f04dc83,// invsqrt(4.4923) = 0.4718 +32'h4006a6ca,32'h3f2cf63e,32'h3f340584, 32'h3f27aac9,32'h3f3950f9, 32'h3f1ed7b1,32'h3f422411,// invsqrt(2.1039) = 0.6894 +32'h4015b913,32'h3f240693,32'h3f2ab879, 32'h3f1f0126,32'h3f2fbde6, 32'h3f16a2c5,32'h3f381c47,// invsqrt(2.3394) = 0.6538 +32'h40fa4823,32'h3eb369fe,32'h3ebabcb0, 32'h3eadebf9,32'h3ec03ab5, 32'h3ea4c49b,32'h3ec96213,// invsqrt(7.8213) = 0.3576 +32'h429b7af0,32'h3de3a1cf,32'h3decec55, 32'h3ddca9eb,32'h3df3e439, 32'h3dd10cc3,32'h3dff8161,// invsqrt(77.7401) = 0.1134 +32'h3de7e39f,32'h403a64be,32'h4042005e, 32'h4034b007,32'h4047b515, 32'h402b2d80,32'h4051379c,// invsqrt(0.1132) = 2.9718 +32'h3f81c9ca,32'h3f79254b,32'h3f81a84e, 32'h3f7184ce,32'h3f85788d, 32'h3f64cea8,32'h3f8bd3a0,// invsqrt(1.0140) = 0.9931 +32'h3fa4eeb7,32'h3f5d0358,32'h3f6608b4, 32'h3f563f52,32'h3f6cccba, 32'h3f4af89f,32'h3f78136d,// invsqrt(1.2885) = 0.8810 +32'h3f0ff871,32'h3fa7453e,32'h3fae190d, 32'h3fa22664,32'h3fb337e8, 32'h3f999da2,32'h3fbbc0aa,// invsqrt(0.5624) = 1.3335 +32'h3f3d4287,32'h3f91e3f2,32'h3f97d85a, 32'h3f8d6ca4,32'h3f9c4fa8, 32'h3f85fb22,32'h3fa3c12a,// invsqrt(0.7393) = 1.1630 +32'h409c87d9,32'h3ee2ddf4,32'h3eec207b, 32'h3edbec0e,32'h3ef31260, 32'h3ed058e5,32'h3efea589,// invsqrt(4.8916) = 0.4521 +32'h3eac2e6b,32'h3fd84f8a,32'h3fe123c4, 32'h3fd1b05f,32'h3fe7c2ef, 32'h3fc6a716,32'h3ff2cc38,// invsqrt(0.3363) = 1.7244 +32'h40b21e26,32'h3ed4acec,32'h3edd5b2a, 32'h3ece2a3e,32'h3ee3ddd8, 32'h3ec35070,32'h3eeeb7a6,// invsqrt(5.5662) = 0.4239 +32'h3f244104,32'h3f9c9a2f,32'h3fa2fe85, 32'h3f97ceef,32'h3fa7c9c5, 32'h3f8fd184,32'h3fafc730,// invsqrt(0.6416) = 1.2484 +32'h3f175412,32'h3fa3273d,32'h3fa9d007, 32'h3f9e28a7,32'h3faece9d, 32'h3f95d5ab,32'h3fb72199,// invsqrt(0.5911) = 1.3006 +32'h401c53fc,32'h3f2085ea,32'h3f271338, 32'h3f1b9bf0,32'h3f2bfd32, 32'h3f136b4f,32'h3f342dd3,// invsqrt(2.4426) = 0.6398 +32'h3f7314f1,32'h3f80bae2,32'h3f85fbfa, 32'h3f79941e,32'h3f89eccd, 32'h3f6c7160,32'h3f907e2c,// invsqrt(0.9495) = 1.0262 +32'h3f1507ae,32'h3fa46815,32'h3fab1df7, 32'h3f9f5fac,32'h3fb02660, 32'h3f96fc52,32'h3fb889ba,// invsqrt(0.5821) = 1.3106 +32'h3f4d20eb,32'h3f8c2241,32'h3f91da83, 32'h3f87d810,32'h3f9624b4, 32'h3f80b1bf,32'h3f9d4b05,// invsqrt(0.8013) = 1.1171 +32'h40165be6,32'h3f23adab,32'h3f2a5bf1, 32'h3f1eaaf7,32'h3f2f5ea5, 32'h3f165120,32'h3f37b87c,// invsqrt(2.3494) = 0.6524 +32'h3fd46d03,32'h3f42bed7,32'h3f4ab1bb, 32'h3f3cc8ac,32'h3f50a7e6, 32'h3f32d90e,32'h3f5a9784,// invsqrt(1.6596) = 0.7762 +32'h3e4c9428,32'h400c526f,32'h40120ca7, 32'h400806c4,32'h40165852, 32'h4000ddfd,32'h401d8119,// invsqrt(0.1998) = 2.2373 +32'h3eda2da6,32'h3fc02937,32'h3fc80119, 32'h3fba474c,32'h3fcde304, 32'h3fb07971,32'h3fd7b0df,// invsqrt(0.4261) = 1.5319 +32'h3f05d193,32'h3fad7fd2,32'h3fb494b6, 32'h3fa83027,32'h3fb9e461, 32'h3f9f560a,32'h3fc2be7e,// invsqrt(0.5227) = 1.3831 +32'h3efa5b6d,32'h3fb36314,32'h3fbab57e, 32'h3fade545,32'h3fc0334d, 32'h3fa4be42,32'h3fc95a50,// invsqrt(0.4890) = 1.4301 +32'h4014fa0a,32'h3f246f9c,32'h3f2b25cc, 32'h3f1f66f8,32'h3f302e70, 32'h3f17033c,32'h3f38922c,// invsqrt(2.3278) = 0.6554 +32'h3fa58e96,32'h3f5c9888,32'h3f659988, 32'h3f55d7c8,32'h3f6c5a48, 32'h3f4a9687,32'h3f779b89,// invsqrt(1.2934) = 0.8793 +32'h3f2adffb,32'h3f9989d1,32'h3f9fce23, 32'h3f94d694,32'h3fa48160, 32'h3f8d012e,32'h3fac56c6,// invsqrt(0.6675) = 1.2240 +32'h4006f9ff,32'h3f2cc0e6,32'h3f33cdff, 32'h3f277713,32'h3f3917d1, 32'h3f1ea6b3,32'h3f41e831,// invsqrt(2.1090) = 0.6886 +32'h3fdc0fb9,32'h3f3f5649,32'h3f47258f, 32'h3f397ad3,32'h3f4d0105, 32'h3f2fb7bb,32'h3f56c41d,// invsqrt(1.7192) = 0.7627 +32'h3f0cb935,32'h3fa93073,32'h3fb0184d, 32'h3fa4028e,32'h3fb54632, 32'h3f9b60bd,32'h3fbde803,// invsqrt(0.5497) = 1.3488 +32'h3e828f62,32'h3ff8687b,32'h4001460c, 32'h3ff0cdc6,32'h40051367, 32'h3fe42143,32'h400b69a9,// invsqrt(0.2550) = 1.9803 +32'h3e841ad3,32'h3ff6f399,32'h400083ff, 32'h3fef644e,32'h40044ba4, 32'h3fe2cad0,32'h400a9863,// invsqrt(0.2580) = 1.9687 +32'h3e394da2,32'h40137092,32'h4019752a, 32'h400eed20,32'h401df89c, 32'h40076761,32'h40257e5b,// invsqrt(0.1810) = 2.3508 +32'h3f7757cf,32'h3f7f3b8e,32'h3f84d33d, 32'h3f776b5d,32'h3f88bb55, 32'h3f6a65b7,32'h3f8f3e29,// invsqrt(0.9662) = 1.0173 +32'h3f0b5aa1,32'h3faa04bf,32'h3fb0f545, 32'h3fa4d05c,32'h3fb629a8, 32'h3f9c23b5,32'h3fbed64f,// invsqrt(0.5444) = 1.3554 +32'h404bc3c4,32'h3f0c9a1e,32'h3f125744, 32'h3f084c42,32'h3f16a520, 32'h3f011fd2,32'h3f1dd190,// invsqrt(3.1838) = 0.5604 +32'h3f436a3b,32'h3f8f930e,32'h3f956f43, 32'h3f8b2de7,32'h3f99d46b, 32'h3f83daa5,32'h3fa127ad,// invsqrt(0.7633) = 1.1446 +32'h40e2cc41,32'h3ebc795b,32'h3ec42ab7, 32'h3eb6b455,32'h3ec9efbd, 32'h3ead16a2,32'h3ed38d70,// invsqrt(7.0874) = 0.3756 +32'h3f57ebcf,32'h3f889633,32'h3f8e2964, 32'h3f8467ce,32'h3f9257c8, 32'h3f7adfa1,32'h3f994fc6,// invsqrt(0.8434) = 1.0889 +32'h3f004bde,32'h3fb131a6,32'h3fb86d25, 32'h3fabc507,32'h3fbdd9c5, 32'h3fa2baa9,32'h3fc6e423,// invsqrt(0.5012) = 1.4126 +32'h3fb15d49,32'h3f55206e,32'h3f5dd362, 32'h3f4e9a36,32'h3f64599a, 32'h3f43ba84,32'h3f6f394c,// invsqrt(1.3857) = 0.8495 +32'h3ea8ee51,32'h3fda61be,32'h3fe34b9c, 32'h3fd3b258,32'h3fe9fb02, 32'h3fc88e02,32'h3ff51f58,// invsqrt(0.3299) = 1.7409 +32'h40bf138c,32'h3ecd5650,32'h3ed5b7e0, 32'h3ec70d24,32'h3edc010c, 32'h3ebc932f,32'h3ee67b01,// invsqrt(5.9711) = 0.4092 +32'h3df94599,32'h4033c6f1,32'h403b1d6d, 32'h402e4613,32'h40409e4b, 32'h402519f7,32'h4049ca67,// invsqrt(0.1217) = 2.8663 +32'h4228e157,32'h3e1a7143,32'h3e20bf07, 32'h3e15b6f0,32'h3e25795a, 32'h3e0dd5bb,32'h3e2d5a8f,// invsqrt(42.2201) = 0.1539 +32'h3fa72267,32'h3f5b8d68,32'h3f648381, 32'h3f54d4d5,32'h3f6b3c13, 32'h3f49a135,32'h3f766fb3,// invsqrt(1.3057) = 0.8751 +32'h3eb39f26,32'h3fd3c885,32'h3fdc6d70, 32'h3fcd4cd4,32'h3fe2e920, 32'h3fc27eae,32'h3fedb746,// invsqrt(0.3508) = 1.6883 +32'h3f078cc4,32'h3fac6346,32'h3fb36c8c, 32'h3fa71c51,32'h3fb8b381, 32'h3f9e50b8,32'h3fc17f1a,// invsqrt(0.5295) = 1.3743 +32'h3f921cad,32'h3f6ad0f3,32'h3f746689, 32'h3f63a0c1,32'h3f7b96bb, 32'h3f57a5c5,32'h3f83c8dc,// invsqrt(1.1415) = 0.9360 +32'h3f9a72b8,32'h3f646432,32'h3f6db6a6, 32'h3f5d665a,32'h3f74b47e, 32'h3f51bf48,32'h3f802dc8,// invsqrt(1.2066) = 0.9104 +32'h3e9ece32,32'h3fe13c7c,32'h3fea6dfa, 32'h3fda575e,32'h3ff15318, 32'h3fced982,32'h3ffcd0f4,// invsqrt(0.3102) = 1.7956 +32'h3f17a7bf,32'h3fa2fa35,32'h3fa9a127, 32'h3f9dfcff,32'h3fae9e5d, 32'h3f95ac50,32'h3fb6ef0c,// invsqrt(0.5924) = 1.2992 +32'h3f838a64,32'h3f777b08,32'h3f80ca79, 32'h3f6fe797,32'h3f849432, 32'h3f634730,32'h3f8ae465,// invsqrt(1.0277) = 0.9865 +32'h3f2b63e0,32'h3f994eb2,32'h3f9f909a, 32'h3f949d44,32'h3fa44208, 32'h3f8ccae2,32'h3fac146a,// invsqrt(0.6695) = 1.2222 +32'h3f12cee1,32'h3fa5a561,32'h3fac6836, 32'h3fa09342,32'h3fb17a56, 32'h3f981fb8,32'h3fb9ede0,// invsqrt(0.5735) = 1.3205 +32'h40505503,32'h3f0b0d5f,32'h3f10ba53, 32'h3f06cba8,32'h3f14fc0a, 32'h3eff66ed,32'h3f1c143c,// invsqrt(3.2552) = 0.5543 +32'h401d1746,32'h3f202204,32'h3f26ab3e, 32'h3f1b3b19,32'h3f2b9229, 32'h3f130f91,32'h3f33bdb1,// invsqrt(2.4545) = 0.6383 +32'h3f81c1ce,32'h3f792cf5,32'h3f81ac4b, 32'h3f718c3c,32'h3f857ca8, 32'h3f64d5b2,32'h3f8bd7ed,// invsqrt(1.0137) = 0.9932 +32'h4198a920,32'h3e65b97f,32'h3e6f19e2, 32'h3e5eb134,32'h3e76222c, 32'h3e52f8b8,32'h3e80ed54,// invsqrt(19.0826) = 0.2289 +32'h3ed025c0,32'h3fc4bc9b,32'h3fccc44d, 32'h3fbeb6d5,32'h3fd2ca13, 32'h3fb4ad35,32'h3fdcd3b3,// invsqrt(0.4065) = 1.5684 +32'h3e4e2d9f,32'h400bc6d3,32'h40117b59, 32'h40077f6e,32'h4015c2be, 32'h40005dc7,32'h401ce465,// invsqrt(0.2013) = 2.2286 +32'h3f980504,32'h3f66355c,32'h3f6f9ace, 32'h3f5f2947,32'h3f76a6e3, 32'h3f536a79,32'h3f8132d8,// invsqrt(1.1877) = 0.9176 +32'h406194e4,32'h3f05a14c,32'h3f0b1598, 32'h3f018a13,32'h3f0f2cd1, 32'h3ef57167,32'h3f15fe31,// invsqrt(3.5247) = 0.5326 +32'h3f111875,32'h3fa69ee7,32'h3fad6bec, 32'h3fa18525,32'h3fb285af, 32'h3f9904df,32'h3fbb05f5,// invsqrt(0.5668) = 1.3283 +32'h4089c270,32'h3ef1d47c,32'h3efbb35c, 32'h3eea6d53,32'h3f018d42, 32'h3ede16bb,32'h3f07b88f,// invsqrt(4.3050) = 0.4820 +32'h3ec18d6c,32'h3fcc04ff,32'h3fd458cb, 32'h3fc5c626,32'h3fda97a4, 32'h3fbb5d68,32'h3fe50062,// invsqrt(0.3780) = 1.6264 +32'h3ecd1c69,32'h3fc63009,32'h3fce46e5, 32'h3fc01ee4,32'h3fd4580a, 32'h3fb60252,32'h3fde749d,// invsqrt(0.4006) = 1.5799 +32'h3fc50641,32'h3f4a36c2,32'h3f5277af, 32'h3f44060f,32'h3f58a861, 32'h3f39b4e6,32'h3f62f98a,// invsqrt(1.5393) = 0.8060 +32'h3f9be029,32'h3f6357da,32'h3f6c9f5c, 32'h3f5c623a,32'h3f7394fc, 32'h3f50c8d8,32'h3f7f2e5e,// invsqrt(1.2178) = 0.9062 +32'h4032eaa6,32'h3f160c55,32'h3f1c2c2f, 32'h3f117472,32'h3f20c412, 32'h3f09cca2,32'h3f286be2,// invsqrt(2.7956) = 0.5981 +32'h3f14da8e,32'h3fa480ff,32'h3fab37e5, 32'h3f9f77d3,32'h3fb04111, 32'h3f971333,32'h3fb8a5b1,// invsqrt(0.5815) = 1.3114 +32'h3fadc1c8,32'h3f5753e5,32'h3f601dd9, 32'h3f50bc6d,32'h3f66b551, 32'h3f45bffc,32'h3f71b1c2,// invsqrt(1.3575) = 0.8583 +32'h4051cae0,32'h3f0a9141,32'h3f103925, 32'h3f065357,32'h3f14770f, 32'h3efe82f5,32'h3f1b88eb,// invsqrt(3.2780) = 0.5523 +32'h3e72232b,32'h4000fb17,32'h40063ecf, 32'h3ffa109a,32'h400a3199, 32'h3fece74f,32'h4010c63e,// invsqrt(0.2365) = 2.0565 +32'h3e9f14fb,32'h3fe10a5a,32'h3fea39cc, 32'h3fda26c5,32'h3ff11d61, 32'h3fceab78,32'h3ffc98af,// invsqrt(0.3107) = 1.7940 +32'h3ee47cab,32'h3fbbc6ae,32'h3fc370c0, 32'h3fb60721,32'h3fc9304d, 32'h3fac728b,32'h3fd2c4e3,// invsqrt(0.4463) = 1.4969 +32'h3e0d8f78,32'h4028b038,32'h402f92d6, 32'h40238640,32'h4034bcce, 32'h401aeafa,32'h403d5815,// invsqrt(0.1382) = 2.6895 +32'h3f0cc331,32'h3fa92a73,32'h3fb0120f, 32'h3fa3fcbe,32'h3fb53fc4, 32'h3f9b5b3a,32'h3fbde148,// invsqrt(0.5499) = 1.3486 +32'h3dff0e5e,32'h4031ba16,32'h4038fb26, 32'h402c4949,32'h403e6bf3, 32'h402337f5,32'h40477d47,// invsqrt(0.1245) = 2.8337 +32'h3f0ae2ad,32'h3faa4e1b,32'h3fb1419f, 32'h3fa51778,32'h3fb67842, 32'h3f9c6714,32'h3fbf28a6,// invsqrt(0.5425) = 1.3577 +32'h3e761ab3,32'h3fffdfc9,32'h400528b4, 32'h3ff80a90,32'h40091350, 32'h3feafc89,32'h400f9a54,// invsqrt(0.2403) = 2.0398 +32'h3f6d3f12,32'h3f824db5,32'h3f879f3f, 32'h3f7ca11b,32'h3f8b9c66, 32'h3f6f5542,32'h3f924253,// invsqrt(0.9267) = 1.0388 +32'h40a7a01e,32'h3edb3b04,32'h3ee42dc0, 32'h3ed484f7,32'h3eeae3cd, 32'h3ec9558b,32'h3ef61339,// invsqrt(5.2383) = 0.4369 +32'h4101f55d,32'h3eb00ea4,32'h3eb73e42, 32'h3eaaaaed,32'h3ebca1f9, 32'h3ea1af68,32'h3ec59d7f,// invsqrt(8.1224) = 0.3509 +32'h3f2758d8,32'h3f9b25f7,32'h3fa17b1b, 32'h3f96661c,32'h3fa63af6, 32'h3f8e7bae,32'h3fae2564,// invsqrt(0.6537) = 1.2368 +32'h40e11bf4,32'h3ebd2dfd,32'h3ec4e6b9, 32'h3eb76370,32'h3ecab146, 32'h3eadbc85,32'h3ed45831,// invsqrt(7.0347) = 0.3770 +32'h40563b49,32'h3f091fcf,32'h3f0eb89e, 32'h3f04ed34,32'h3f12eb3a, 32'h3efbdc63,32'h3f19ea3c,// invsqrt(3.3474) = 0.5466 +32'h3e01d323,32'h403025d8,32'h40375668, 32'h402ac16b,32'h403cbad5, 32'h4021c4b6,32'h4045b78a,// invsqrt(0.1268) = 2.8085 +32'h3ff16a5c,32'h3f36adcf,32'h3f3e229d, 32'h3f311633,32'h3f43ba39, 32'h3f27c431,32'h3f4d0c3b,// invsqrt(1.8861) = 0.7282 +32'h3e2e3b5b,32'h40180d52,32'h401e421c, 32'h401365bb,32'h4022e9b3, 32'h400ba3be,32'h402aabb0,// invsqrt(0.1701) = 2.4243 +32'h3feaceb4,32'h3f393b4b,32'h3f40cac6, 32'h3f338fae,32'h3f467662, 32'h3f2a1c54,32'h3f4fe9bc,// invsqrt(1.8344) = 0.7383 +32'h418a09e7,32'h3e7195db,32'h3e7b722d, 32'h3e6a309d,32'h3e816bb5, 32'h3e5ddd37,32'h3e879569,// invsqrt(17.2548) = 0.2407 +32'h3fdb24f1,32'h3f3fbcac,32'h3f479021, 32'h3f39de15,32'h3f4d6eb9, 32'h3f3015c3,32'h3f57370b,// invsqrt(1.7121) = 0.7643 +32'h3f74b19a,32'h3f804e28,32'h3f858ad0, 32'h3f78c152,32'h3f89784f, 32'h3f6ba9ac,32'h3f900422,// invsqrt(0.9558) = 1.0228 +32'h3e39dedb,32'h401336ed,32'h4019392b, 32'h400eb53f,32'h401dbad9, 32'h40073271,32'h40253da7,// invsqrt(0.1815) = 2.3472 +32'h3fa0f7be,32'h3f5fb7e5,32'h3f68d986, 32'h3f58dead,32'h3f6fb2bf, 32'h3f4d74a4,32'h3f7b1cc8,// invsqrt(1.2576) = 0.8917 +32'h3f35a4e0,32'h3f94eadb,32'h3f9afee3, 32'h3f905bd4,32'h3f9f8dea, 32'h3f88c2c9,32'h3fa726f5,// invsqrt(0.7095) = 1.1872 +32'h3f2da6d6,32'h3f984e4a,32'h3f9e85bb, 32'h3f93a4b6,32'h3fa32f50, 32'h3f8bdf69,32'h3faaf49d,// invsqrt(0.6783) = 1.2142 +32'h3eea5803,32'h3fb96a2d,32'h3fc0fb93, 32'h3fb3bd22,32'h3fc6a89e, 32'h3faa4763,32'h3fd01e5d,// invsqrt(0.4577) = 1.4781 +32'h3fe9e49e,32'h3f3997e5,32'h3f412b27, 32'h3f33e972,32'h3f46d99a, 32'h3f2a715f,32'h3f5051ad,// invsqrt(1.8273) = 0.7398 +32'h3fccb1cd,32'h3f46639f,32'h3f4e7c95, 32'h3f4050e6,32'h3f548f4e, 32'h3f3631b1,32'h3f5eae83,// invsqrt(1.5992) = 0.7908 +32'h3f8cea1d,32'h3f6f1b84,32'h3f78ddf2, 32'h3f67c9b2,32'h3f8017e2, 32'h3f5b96a8,32'h3f863167,// invsqrt(1.1009) = 0.9531 +32'h3dd546ee,32'h40425b40,32'h404a4a14, 32'h403c6822,32'h40503d32, 32'h40327d99,32'h405a27bb,// invsqrt(0.1041) = 3.0988 +32'h3f8cebe1,32'h3f6f1a05,32'h3f78dc63, 32'h3f67c83e,32'h3f801715, 32'h3f5b9548,32'h3f863090,// invsqrt(1.1009) = 0.9531 +32'h3e84463b,32'h3ff6cb11,32'h40006ee7, 32'h3fef3d03,32'h400435ed, 32'h3fe2a597,32'h400a81a3,// invsqrt(0.2583) = 1.9674 +32'h3f42c704,32'h3f8fcf29,32'h3f95add2, 32'h3f8b682b,32'h3f9a14d1, 32'h3f8411d8,32'h3fa16b24,// invsqrt(0.7608) = 1.1464 +32'h3fbb1fe7,32'h3f4f7e77,32'h3f57f691, 32'h3f492464,32'h3f5e50a4, 32'h3f3e8e44,32'h3f68e6c4,// invsqrt(1.4619) = 0.8271 +32'h3f0ff1fb,32'h3fa748ff,32'h3fae1cf5, 32'h3fa22a07,32'h3fb33bed, 32'h3f99a114,32'h3fbbc4e0,// invsqrt(0.5623) = 1.3336 +32'h3f273f48,32'h3f9b31d1,32'h3fa18771, 32'h3f967199,32'h3fa647a9, 32'h3f8e8691,32'h3fae32b1,// invsqrt(0.6533) = 1.2372 +32'h3fbd08c7,32'h3f4e717a,32'h3f56de9a, 32'h3f481fa3,32'h3f5d3071, 32'h3f3d973c,32'h3f67b8d8,// invsqrt(1.4768) = 0.8229 +32'h4233155e,32'h3e15fa6f,32'h3e1c198d, 32'h3e116318,32'h3e20b0e4, 32'h3e09bc31,32'h3e2857cb,// invsqrt(44.7709) = 0.1495 +32'h3f469126,32'h3f8e6e2b,32'h3f943e6b, 32'h3f8a11fa,32'h3f989a9c, 32'h3f82cdaa,32'h3f9fdeec,// invsqrt(0.7757) = 1.1354 +32'h411dc9b5,32'h3e9fc75f,32'h3ea64ce6, 32'h3e9ae33b,32'h3eab310b, 32'h3e92bc53,32'h3eb357f3,// invsqrt(9.8617) = 0.3184 +32'h3fba3380,32'h3f500205,32'h3f587f7e, 32'h3f49a3ec,32'h3f5edd98, 32'h3f3f0715,32'h3f697a6f,// invsqrt(1.4547) = 0.8291 +32'h415ee022,32'h3e86705a,32'h3e8bed19, 32'h3e8252c9,32'h3e900aa9, 32'h3e76edb3,32'h3e96e698,// invsqrt(13.9297) = 0.2679 +32'h40a3575c,32'h3ede1644,32'h3ee726da, 32'h3ed749d5,32'h3eedf349, 32'h3ecbf51a,32'h3ef94804,// invsqrt(5.1044) = 0.4426 +32'h3dd71986,32'h40418801,32'h40496e35, 32'h403b9b5a,32'h404f5adc, 32'h4031bb98,32'h40593a9e,// invsqrt(0.1050) = 3.0856 +32'h401f9a8f,32'h3f1ede07,32'h3f255a07, 32'h3f1a0107,32'h3f2a3707, 32'h3f11e606,32'h3f325208,// invsqrt(2.4938) = 0.6332 +32'h410f81f8,32'h3ea78a3c,32'h3eae60db, 32'h3ea26944,32'h3eb381d2, 32'h3e99dcfd,32'h3ebc0e19,// invsqrt(8.9692) = 0.3339 +32'h3fb1d760,32'h3f54d739,32'h3f5d8731, 32'h3f4e533f,32'h3f640b2b, 32'h3f437749,32'h3f6ee721,// invsqrt(1.3894) = 0.8484 +32'h3e4f13f3,32'h400b7901,32'h40112a5a, 32'h400733ff,32'h40156f5d, 32'h40001650,32'h401c8d0c,// invsqrt(0.2022) = 2.2237 +32'h3fd7cb95,32'h3f413818,32'h3f491b0a, 32'h3f3b4de3,32'h3f4f053f, 32'h3f317236,32'h3f58e0ec,// invsqrt(1.6859) = 0.7702 +32'h409f48ad,32'h3ee0e5d3,32'h3eea13c6, 32'h3eda035b,32'h3ef0f63d, 32'h3ece89eb,32'h3efc6fad,// invsqrt(4.9776) = 0.4482 +32'h3c6c2628,32'h41029b1f,32'h4107efd1, 32'h40fd3731,32'h410bef58, 32'h40efe372,32'h41129937,// invsqrt(0.0144) = 8.3295 +32'h412548fa,32'h3e9c1cf1,32'h3ea27c2a, 32'h3e975587,32'h3ea74395, 32'h3e8f5e80,32'h3eaf3a9c,// invsqrt(10.3303) = 0.3111 +32'h40dd271e,32'h3ebedd46,32'h3ec6a79c, 32'h3eb90585,32'h3ecc7f5d, 32'h3eaf4899,32'h3ed63c49,// invsqrt(6.9110) = 0.3804 +32'h3ec108a2,32'h3fcc4b1f,32'h3fd4a1c7, 32'h3fc60a20,32'h3fdae2c6, 32'h3fbb9dce,32'h3fe54f18,// invsqrt(0.3770) = 1.6286 +32'h3fd99e61,32'h3f40686e,32'h3f4842e5, 32'h3f3a8494,32'h3f4e26be, 32'h3f30b37e,32'h3f57f7d4,// invsqrt(1.7001) = 0.7669 +32'h3fc1affc,32'h3f4bf2ca,32'h3f5445d8, 32'h3f45b480,32'h3f5a8422, 32'h3f3b4caf,32'h3f64ebf3,// invsqrt(1.5132) = 0.8129 +32'h3a266094,32'h421b998d,32'h4221f369, 32'h4216d628,32'h4226b6ce, 32'h420ee5d5,32'h422ea721,// invsqrt(0.0006) = 39.6938 +32'h3eddbad2,32'h3fbe9da9,32'h3fc66567, 32'h3fb8c7db,32'h3fcc3b35, 32'h3faf0e2e,32'h3fd5f4e2,// invsqrt(0.4331) = 1.5196 +32'h40586a6f,32'h3f086e38,32'h3f0dffc7, 32'h3f04410c,32'h3f122cf2, 32'h3efa9631,32'h3f1922e5,// invsqrt(3.3815) = 0.5438 +32'h3e87e1b5,32'h3ff37ecc,32'h3ffd6f14, 32'h3fec0a97,32'h400271a4, 32'h3fdf9e3e,32'h4008a7d1,// invsqrt(0.2654) = 1.9411 +32'h40026fdf,32'h3f2fbbe3,32'h3f36e821, 32'h3f2a5ab5,32'h3f3c494f, 32'h3f216368,32'h3f45409c,// invsqrt(2.0381) = 0.7005 +32'h3dbae401,32'h404f9fb5,32'h4058192a, 32'h4049449d,32'h405e7441, 32'h403eacca,32'h40690c14,// invsqrt(0.0913) = 3.3103 +32'h3f8f55bb,32'h3f6d1480,32'h3f76c1bf, 32'h3f65d292,32'h3f7e03ae, 32'h3f59ba03,32'h3f850e1e,// invsqrt(1.1198) = 0.9450 +32'h3e8c08ee,32'h3fefdb77,32'h3ff9a5bb, 32'h3fe883c5,32'h40007eb7, 32'h3fdc46f0,32'h40069d21,// invsqrt(0.2735) = 1.9121 +32'h3f07942d,32'h3fac5e90,32'h3fb367a5, 32'h3fa717bf,32'h3fb8ae75, 32'h3f9e4c64,32'h3fc179d0,// invsqrt(0.5296) = 1.3741 +32'h3d1152fd,32'h40a67d56,32'h40ad48fc, 32'h40a1649a,32'h40b261b8, 32'h4098e60b,32'h40bae047,// invsqrt(0.0355) = 5.3090 +32'h40c45dfe,32'h3eca8d52,32'h3ed2d1c8, 32'h3ec459f9,32'h3ed90521, 32'h3eba0465,32'h3ee35ab5,// invsqrt(6.1365) = 0.4037 +32'h40821dcf,32'h3ef8d4ce,32'h3f017e6b, 32'h3ef136c8,32'h3f054d6e, 32'h3ee484bd,32'h3f0ba674,// invsqrt(4.0661) = 0.4959 +32'h3ec6d645,32'h3fc94a45,32'h3fd1818b, 32'h3fc320d0,32'h3fd7ab00, 32'h3fb8dbb7,32'h3fe1f019,// invsqrt(0.3884) = 1.6047 +32'h3fff8a97,32'h3f318ede,32'h3f38ce2a, 32'h3f2c1f64,32'h3f3e3da4, 32'h3f231044,32'h3f474cc4,// invsqrt(1.9964) = 0.7077 +32'h3f5d6a4b,32'h3f86e1a8,32'h3f8c6308, 32'h3f82c0a0,32'h3f908410, 32'h3f77bdd2,32'h3f9765c7,// invsqrt(0.8649) = 1.0753 +32'h3f395b9e,32'h3f936b02,32'h3f996f60, 32'h3f8ee7bb,32'h3f9df2a7, 32'h3f876246,32'h3fa5781c,// invsqrt(0.7241) = 1.1752 +32'h3f06ceef,32'h3facdc7b,32'h3fb3eab5, 32'h3fa791d0,32'h3fb93560, 32'h3f9ec009,32'h3fc20727,// invsqrt(0.5266) = 1.3780 +32'h3d1a179b,32'h40a1aefa,32'h40a84867, 32'h409cbbe7,32'h40ad3b79, 32'h40947c1e,32'h40b57b42,// invsqrt(0.0376) = 5.1557 +32'h3f8e6c95,32'h3f6dd63e,32'h3f778b66, 32'h3f668e61,32'h3f7ed343, 32'h3f5a6bf0,32'h3f857ada,// invsqrt(1.1127) = 0.9480 +32'h3ff825a8,32'h3f342f20,32'h3f3b89de, 32'h3f2eab12,32'h3f410dec, 32'h3f2579a6,32'h3f4a3f59,// invsqrt(1.9386) = 0.7182 +32'h3f1f0dcb,32'h3f9f2444,32'h3fa5a322, 32'h3f9a451d,32'h3faa8249, 32'h3f922688,32'h3fb2a0df,// invsqrt(0.6213) = 1.2687 +32'h3ea3ea22,32'h3fddb2bf,32'h3fe6bf45, 32'h3fd6e95c,32'h3fed88a8, 32'h3fcb99b5,32'h3ff8d84f,// invsqrt(0.3201) = 1.7674 +32'h3eeb6793,32'h3fb8ff1c,32'h3fc08c22, 32'h3fb35557,32'h3fc635e7, 32'h3fa9e50f,32'h3fcfa62f,// invsqrt(0.4598) = 1.4748 +32'h3f26533f,32'h3f9b9fc9,32'h3fa1f9e7, 32'h3f96dc34,32'h3fa6bd7c, 32'h3f8eeb8f,32'h3faeae21,// invsqrt(0.6497) = 1.2406 +32'h3dbc91af,32'h404eb2a1,32'h40572269, 32'h40485ecb,32'h405d763f, 32'h403dd311,32'h406801f9,// invsqrt(0.0921) = 3.2956 +32'h402fe17f,32'h3f17566b,32'h3f1d83bd, 32'h3f12b46d,32'h3f2225bb, 32'h3f0afbc5,32'h3f29de63,// invsqrt(2.7481) = 0.6032 +32'h3f1dfdaf,32'h3f9fad15,32'h3fa63189, 32'h3f9ac9be,32'h3fab14e0, 32'h3f92a42e,32'h3fb33a71,// invsqrt(0.6172) = 1.2729 +32'h41d4fb88,32'h3e427da4,32'h3e4a6dde, 32'h3e3c8977,32'h3e50620b, 32'h3e329d2e,32'h3e5a4e54,// invsqrt(26.6228) = 0.1938 +32'h3f931f34,32'h3f6a0248,32'h3f738f6f, 32'h3f62d86a,32'h3f7ab94c, 32'h3f56e7f8,32'h3f8354df,// invsqrt(1.1494) = 0.9328 +32'h3f8b560c,32'h3f70753d,32'h3f7a45c7, 32'h3f6918d5,32'h3f80d117, 32'h3f5cd428,32'h3f86f36e,// invsqrt(1.0886) = 0.9585 +32'h3e8d01bd,32'h3fef077c,32'h3ff8c918, 32'h3fe7b646,32'h40000d27, 32'h3fdb8443,32'h40062629,// invsqrt(0.2754) = 1.9055 +32'h404ce416,32'h3f0c370d,32'h3f11f028, 32'h3f07ec3a,32'h3f163afc, 32'h3f00c4d8,32'h3f1d625e,// invsqrt(3.2014) = 0.5589 +32'h3fb410c4,32'h3f5385a9,32'h3f5c27da, 32'h3f4d0c05,32'h3f62a17f, 32'h3f424148,32'h3f6d6c3c,// invsqrt(1.4068) = 0.8431 +32'h40f4bb47,32'h3eb56fe4,32'h3ebcd7ba, 32'h3eafe205,32'h3ec26599, 32'h3ea6a03a,32'h3ecba764,// invsqrt(7.6479) = 0.3616 +32'h3f10307d,32'h3fa724b9,32'h3fadf733, 32'h3fa206dd,32'h3fb3150f, 32'h3f997fc4,32'h3fbb9c28,// invsqrt(0.5632) = 1.3325 +32'h3fa4c062,32'h3f5d2269,32'h3f66290b, 32'h3f565d71,32'h3f6cee03, 32'h3f4b1527,32'h3f78364d,// invsqrt(1.2871) = 0.8814 +32'h3e9727bf,32'h3fe6dd9e,32'h3ff049ee, 32'h3fdfcc62,32'h3ff75b2a, 32'h3fd404ff,32'h40019146,// invsqrt(0.2952) = 1.8404 +32'h3f895433,32'h3f723579,32'h3f7c184f, 32'h3f6acb59,32'h3f81c138, 32'h3f5e6fcd,32'h3f87eefd,// invsqrt(1.0729) = 0.9654 +32'h3f05987e,32'h3fada4df,32'h3fb4bb46, 32'h3fa85411,32'h3fba0c13, 32'h3f9f7810,32'h3fc2e814,// invsqrt(0.5219) = 1.3843 +32'h3f804905,32'h3f7a99d7,32'h3f826a2f, 32'h3f72edf2,32'h3f864021, 32'h3f6624cb,32'h3f8ca4b5,// invsqrt(1.0022) = 0.9989 +32'h3f2eaddb,32'h3f97db75,32'h3f9e0e35, 32'h3f933564,32'h3fa2b446, 32'h3f8b75f3,32'h3faa73b7,// invsqrt(0.6823) = 1.2106 +32'h3f9f1c25,32'h3f610549,32'h3f6a3485, 32'h3f5a21db,32'h3f7117f3, 32'h3f4ea6d0,32'h3f7c92fe,// invsqrt(1.2430) = 0.8969 +32'h4003731a,32'h3f2f0e45,32'h3f36336d, 32'h3f29b268,32'h3f3b8f4a, 32'h3f20c3f6,32'h3f447dbc,// invsqrt(2.0539) = 0.6978 +32'h3f263ff3,32'h3f9ba8d1,32'h3fa2034d, 32'h3f96e4f5,32'h3fa6c729, 32'h3f8ef3da,32'h3faeb844,// invsqrt(0.6494) = 1.2409 +32'h43a201bb,32'h3d5efff2,32'h3d681a11, 32'h3d582c5c,32'h3d6eeda8, 32'h3d4ccbb5,32'h3d7a4e4f,// invsqrt(324.0135) = 0.0556 +32'h3ed9de13,32'h3fc04c4b,32'h3fc8259d, 32'h3fba694e,32'h3fce089a, 32'h3fb099a8,32'h3fd7d840,// invsqrt(0.4255) = 1.5330 +32'h3fc95e78,32'h3f480545,32'h3f502f48, 32'h3f41e5c3,32'h3f564ecb, 32'h3f37b140,32'h3f60834e,// invsqrt(1.5732) = 0.7973 +32'h3d434300,32'h408fa17a,32'h40957e46, 32'h408b3be2,32'h4099e3de, 32'h4083e7e3,32'h40a137dd,// invsqrt(0.0477) = 4.5801 +32'h3d9083ed,32'h406c1c1d,32'h4075bf39, 32'h4064e1c9,32'h407cf98d, 32'h4058d5e7,32'h408482b8,// invsqrt(0.0706) = 3.7645 +32'h3ea1cdff,32'h3fdf2396,32'h3fe83f2a, 32'h3fd84ee8,32'h3fef13d8, 32'h3fccec70,32'h3ffa7650,// invsqrt(0.3160) = 1.7789 +32'h3fd231f4,32'h3f43c6af,32'h3f4bc459, 32'h3f3dc871,32'h3f51c297, 32'h3f33cb5d,32'h3f5bbfab,// invsqrt(1.6421) = 0.7804 +32'h3eac21ee,32'h3fd85763,32'h3fe12bef, 32'h3fd1b7fa,32'h3fe7cb58, 32'h3fc6ae4b,32'h3ff2d507,// invsqrt(0.3362) = 1.7247 +32'h3f04c23e,32'h3fae30c4,32'h3fb54ce0, 32'h3fa8dbae,32'h3fbaa1f6, 32'h3f9ff88a,32'h3fc3851a,// invsqrt(0.5186) = 1.3886 +32'h3e97b9d7,32'h3fe66e5d,32'h3fefd623, 32'h3fdf608a,32'h3ff6e3f6, 32'h3fd39ed3,32'h400152d6,// invsqrt(0.2963) = 1.8370 +32'h3b973477,32'h4166d3e8,32'h41703fd2, 32'h415fc2f8,32'h417750c2, 32'h4153fc14,32'h41818bd3,// invsqrt(0.0046) = 14.7212 +32'h4007ae74,32'h3f2c4dde,32'h3f335645, 32'h3f270790,32'h3f389c92, 32'h3f1e3d0f,32'h3f416713,// invsqrt(2.1200) = 0.6868 +32'h412dd747,32'h3e983910,32'h3e9e6fa3, 32'h3e939022,32'h3ea31892, 32'h3e8bcbeb,32'h3eaadcc9,// invsqrt(10.8651) = 0.3034 +32'h4108a3aa,32'h3eabb2fd,32'h3eb2b511, 32'h3ea6716d,32'h3eb7f6a1, 32'h3e9daed3,32'h3ec0b93b,// invsqrt(8.5400) = 0.3422 +32'h3d2587d0,32'h409bff4d,32'h40a25d51, 32'h409738cb,32'h40a723d3, 32'h408f4347,32'h40af1957,// invsqrt(0.0404) = 4.9744 +32'h3e420af7,32'h401014c8,32'h4015f648, 32'h400baba8,32'h401a5f68, 32'h400451c7,32'h4021b949,// invsqrt(0.1895) = 2.2972 +32'h3f07e50f,32'h3fac2b3c,32'h3fb3323a, 32'h3fa6e5fe,32'h3fb87778, 32'h3f9e1d42,32'h3fc14034,// invsqrt(0.5308) = 1.3725 +32'h3f35e3a6,32'h3f94d126,32'h3f9ae422, 32'h3f9042e9,32'h3f9f725f, 32'h3f88ab2d,32'h3fa70a1b,// invsqrt(0.7105) = 1.1864 +32'h3f06092e,32'h3fad5bd1,32'h3fb46f3d, 32'h3fa80d40,32'h3fb9bdce, 32'h3f9f34fa,32'h3fc29615,// invsqrt(0.5236) = 1.3820 +32'h3fa4854e,32'h3f5d4a1a,32'h3f66525a, 32'h3f5683ea,32'h3f6d188a, 32'h3f4b399b,32'h3f7862d9,// invsqrt(1.2853) = 0.8821 +32'h3f8ad208,32'h3f70e778,32'h3f7abcac, 32'h3f698791,32'h3f810e49, 32'h3f5d3d10,32'h3f87338a,// invsqrt(1.0845) = 0.9602 +32'h3f4eb0d9,32'h3f8b9a6d,32'h3f914d23, 32'h3f875464,32'h3f95932c, 32'h3f803501,32'h3f9cb28f,// invsqrt(0.8074) = 1.1129 +32'h3dd61335,32'h4041fe6f,32'h4049e979, 32'h403c0e28,32'h404fd9c0, 32'h4032285c,32'h4059bf8c,// invsqrt(0.1045) = 3.0930 +32'h3f8fce0e,32'h3f6cb13c,32'h3f765a6e, 32'h3f657257,32'h3f7d9953, 32'h3f595ed9,32'h3f84d668,// invsqrt(1.1235) = 0.9434 +32'h402235a0,32'h3f1d960b,32'h3f2404a9, 32'h3f18c316,32'h3f28d79e, 32'h3f10b8d1,32'h3f30e1e3,// invsqrt(2.5345) = 0.6281 +32'h3fbd2cb9,32'h3f4e5ddc,32'h3f56ca2e, 32'h3f480c9e,32'h3f5d1b6c, 32'h3f3d8538,32'h3f67a2d2,// invsqrt(1.4779) = 0.8226 +32'h3f8f0666,32'h3f6d5638,32'h3f770625, 32'h3f661245,32'h3f7e4a17, 32'h3f59f65d,32'h3f853300,// invsqrt(1.1174) = 0.9460 +32'h3f09ee04,32'h3faae4e3,32'h3fb1de8f, 32'h3fa5a9a3,32'h3fb719cf, 32'h3f9cf18d,32'h3fbfd1e5,// invsqrt(0.5388) = 1.3624 +32'h3ea8872a,32'h3fdaa489,32'h3fe39121, 32'h3fd3f317,32'h3fea4293, 32'h3fc8cb59,32'h3ff56a51,// invsqrt(0.3292) = 1.7430 +32'h3ff0bde9,32'h3f36ef31,32'h3f3e66ab, 32'h3f315595,32'h3f440047, 32'h3f28003d,32'h3f4d559f,// invsqrt(1.8808) = 0.7292 +32'h4054e694,32'h3f098d5c,32'h3f0f2aa4, 32'h3f055766,32'h3f13609a, 32'h3efca59a,32'h3f1a6533,// invsqrt(3.3266) = 0.5483 +32'h3f801ebb,32'h3f7ac32f,32'h3f827fb3, 32'h3f731607,32'h3f865647, 32'h3f664ac4,32'h3f8cbbe9,// invsqrt(1.0009) = 0.9995 +32'h3f8ba957,32'h3f702d7e,32'h3f79fb1b, 32'h3f68d349,32'h3f80aaa8, 32'h3f5c9245,32'h3f86cb2a,// invsqrt(1.0911) = 0.9573 +32'h3f894bee,32'h3f723cc4,32'h3f7c1fe6, 32'h3f6ad26a,32'h3f81c520, 32'h3f5e7680,32'h3f87f315,// invsqrt(1.0726) = 0.9656 +32'h40a6855f,32'h3edbf4d5,32'h3ee4ef27, 32'h3ed53918,32'h3eebaae4, 32'h3eca0031,32'h3ef6e3cb,// invsqrt(5.2038) = 0.4384 +32'h42499462,32'h3e0d5cad,32'h3e1321c3, 32'h3e0908dc,32'h3e177594, 32'h3e01d27f,32'h3e1eabf1,// invsqrt(50.3949) = 0.1409 +32'h3e9e918c,32'h3fe1678b,32'h3fea9aca, 32'h3fda811b,32'h3ff18139, 32'h3fcf010c,32'h3ffd0148,// invsqrt(0.3097) = 1.7969 +32'h40179985,32'h3f2301da,32'h3f29a91c, 32'h3f1e0468,32'h3f2ea68e, 32'h3f15b355,32'h3f36f7a1,// invsqrt(2.3687) = 0.6497 +32'h40c06228,32'h3ecca370,32'h3ed4fdb4, 32'h3ec65fbe,32'h3edb4166, 32'h3ebbeeea,32'h3ee5b23a,// invsqrt(6.0120) = 0.4078 +32'h3ed3fbfe,32'h3fc2f2ba,32'h3fcae7bd, 32'h3fbcfaf9,32'h3fd0df7f, 32'h3fb308b6,32'h3fdad1c2,// invsqrt(0.4140) = 1.5541 +32'h4102d4b9,32'h3eaf781b,32'h3eb6a194, 32'h3eaa18ff,32'h3ebc00af, 32'h3ea12528,32'h3ec4f486,// invsqrt(8.1769) = 0.3497 +32'h3f2b55c0,32'h3f995503,32'h3f9f972d, 32'h3f94a364,32'h3fa448cc, 32'h3f8cd0af,32'h3fac1b81,// invsqrt(0.6693) = 1.2224 +32'h414edeb3,32'h3e8b8af3,32'h3e913d08, 32'h3e874564,32'h3e958298, 32'h3e8026cb,32'h3e9ca131,// invsqrt(12.9294) = 0.2781 +32'h3f296e60,32'h3f9a30ee,32'h3fa07c12, 32'h3f957893,32'h3fa5346d, 32'h3f8d9aa6,32'h3fad125a,// invsqrt(0.6618) = 1.2292 +32'h3fbdb732,32'h3f4e127e,32'h3f567bbd, 32'h3f47c390,32'h3f5ccaac, 32'h3f3d4001,32'h3f674e3b,// invsqrt(1.4822) = 0.8214 +32'h418fe1c5,32'h3e6ca105,32'h3e76498d, 32'h3e65629f,32'h3e7d87f3, 32'h3e594ff5,32'h3e84cd4f,// invsqrt(17.9852) = 0.2358 +32'h41588e45,32'h3e8862ed,32'h3e8df407, 32'h3e84361a,32'h3e9220da, 32'h3e7a8175,32'h3e991639,// invsqrt(13.5347) = 0.2718 +32'h4005acc8,32'h3f2d97b1,32'h3f34ad8e, 32'h3f28474a,32'h3f39fdf4, 32'h3f1f6bf6,32'h3f42d949,// invsqrt(2.0887) = 0.6919 +32'h402087de,32'h3f1e686f,32'h3f24dfa2, 32'h3f198f08,32'h3f29b908, 32'h3f117a07,32'h3f31ce09,// invsqrt(2.5083) = 0.6314 +32'h3e74a05e,32'h400052ad,32'h40058f85, 32'h3ff8ca16,32'h40097d27, 32'h3febb1fa,32'h40100935,// invsqrt(0.2389) = 2.0460 +32'h4045beac,32'h3f0eb9e3,32'h3f148d3b, 32'h3f0a5b61,32'h3f18ebbd, 32'h3f031334,32'h3f2033ea,// invsqrt(3.0898) = 0.5689 +32'h3fe38e99,32'h3f3c28ce,32'h3f43d6e0, 32'h3f36663f,32'h3f49996f, 32'h3f2ccca8,32'h3f533306,// invsqrt(1.7778) = 0.7500 +32'h3fa8494e,32'h3f5accb5,32'h3f63baf0, 32'h3f541a08,32'h3f6a6d9c, 32'h3f48f03d,32'h3f759767,// invsqrt(1.3147) = 0.8721 +32'h3fc9629b,32'h3f480337,32'h3f502d25, 32'h3f41e3c5,32'h3f564c97, 32'h3f37af5d,32'h3f6080ff,// invsqrt(1.5733) = 0.7972 +32'h3f9fe706,32'h3f60765c,32'h3f699fc2, 32'h3f59974e,32'h3f707ed0, 32'h3f4e238e,32'h3f7bf291,// invsqrt(1.2492) = 0.8947 +32'h3ce1c076,32'h40bce903,32'h40c49eef, 32'h40b72093,32'h40ca675f, 32'h40ad7d2d,32'h40d40ac5,// invsqrt(0.0276) = 6.0239 +32'h3ff7f8cf,32'h3f343f6b,32'h3f3b9ad2, 32'h3f2ebadc,32'h3f411f60, 32'h3f25889b,32'h3f4a51a1,// invsqrt(1.9373) = 0.7185 +32'h3e8bc718,32'h3ff013ed,32'h3ff9e07f, 32'h3fe8ba80,32'h40009cf6, 32'h3fdc7aca,32'h4006bcd1,// invsqrt(0.2730) = 1.9139 +32'h3f366fd1,32'h3f9497f0,32'h3f9aa896, 32'h3f900b73,32'h3f9f3513, 32'h3f8876a3,32'h3fa6c9e3,// invsqrt(0.7126) = 1.1846 +32'h400a19aa,32'h3f2ac9e0,32'h3f31c270, 32'h3f258f73,32'h3f36fcdd, 32'h3f1cd8be,32'h3f3fb392,// invsqrt(2.1578) = 0.6808 +32'h3e69f266,32'h4003381e,32'h4008933a, 32'h3ffe6794,32'h400c978e, 32'h3ff103cf,32'h40134970,// invsqrt(0.2285) = 2.0921 +32'h406eaf82,32'h3f01e8fd,32'h3f07366b, 32'h3efbddd6,32'h3f0b307d, 32'h3eee9c44,32'h3f11d146,// invsqrt(3.7295) = 0.5178 +32'h3edeed7f,32'h3fbe1a5f,32'h3fc5dcc1, 32'h3fb84896,32'h3fcbae8a, 32'h3fae959b,32'h3fd56185,// invsqrt(0.4354) = 1.5155 +32'h40867b11,32'h3ef4c2a4,32'h3efec022, 32'h3eed4484,32'h3f031f21, 32'h3ee0c7a6,32'h3f095d90,// invsqrt(4.2025) = 0.4878 +32'h3ffbc9b4,32'h3f32e06b,32'h3f3a2d7f, 32'h3f2d669c,32'h3f3fa74e, 32'h3f244643,32'h3f48c7a7,// invsqrt(1.9671) = 0.7130 +32'h3f294bf7,32'h3f9a4099,32'h3fa08c60, 32'h3f9587c3,32'h3fa54535, 32'h3f8da909,32'h3fad23ef,// invsqrt(0.6613) = 1.2297 +32'h3f9327fd,32'h3f69fb4b,32'h3f738829, 32'h3f62d1a4,32'h3f7ab1d0, 32'h3f56e18e,32'h3f8350f3,// invsqrt(1.1497) = 0.9326 +32'h4137ba57,32'h3e94120b,32'h3e9a1d3b, 32'h3e8f89a8,32'h3e9ea59e, 32'h3e87fbac,32'h3ea6339a,// invsqrt(11.4830) = 0.2951 +32'h3fcc49cc,32'h3f469619,32'h3f4eb11f, 32'h3f4081d4,32'h3f54c564, 32'h3f36600c,32'h3f5ee72c,// invsqrt(1.5960) = 0.7916 +32'h3f8ebf5b,32'h3f6d913f,32'h3f774396, 32'h3f664b7f,32'h3f7e8957, 32'h3f5a2c94,32'h3f855421,// invsqrt(1.1152) = 0.9469 +32'h3fa3e6b2,32'h3f5db513,32'h3f66c1b0, 32'h3f56eb9c,32'h3f6d8b26, 32'h3f4b9bd7,32'h3f78daeb,// invsqrt(1.2805) = 0.8837 +32'h4048eee9,32'h3f0d96d6,32'h3f135e4c, 32'h3f09413d,32'h3f17b3e5, 32'h3f0207e9,32'h3f1eed39,// invsqrt(3.1396) = 0.5644 +32'h3f890aba,32'h3f72765e,32'h3f7c5bda, 32'h3f6b0a41,32'h3f81e3fc, 32'h3f5eab66,32'h3f881369,// invsqrt(1.0706) = 0.9664 +32'h3f9695a7,32'h3f674d80,32'h3f70be61, 32'h3f6038d8,32'h3f77d30a, 32'h3f546bbf,32'h3f81d011,// invsqrt(1.1764) = 0.9220 +32'h3f2f3292,32'h3f97a1e5,32'h3f9dd24d, 32'h3f92fd98,32'h3fa2769a, 32'h3f8b4116,32'h3faa331c,// invsqrt(0.6844) = 1.2088 +32'h3f88d531,32'h3f72a5c7,32'h3f7c8d33, 32'h3f6b3837,32'h3f81fd62, 32'h3f5ed6f0,32'h3f882e05,// invsqrt(1.0690) = 0.9672 +32'h3f2d7f6a,32'h3f985f97,32'h3f9e97bd, 32'h3f93b57b,32'h3fa341d9, 32'h3f8bef4c,32'h3fab0808,// invsqrt(0.6777) = 1.2147 +32'h3f82c5ca,32'h3f7834c9,32'h3f812b25, 32'h3f709baa,32'h3f84f7b5, 32'h3f63f1c9,32'h3f8b4ca6,// invsqrt(1.0217) = 0.9893 +32'h3fce58ae,32'h3f4597ed,32'h3f4da893, 32'h3f3f8b70,32'h3f53b510, 32'h3f3576a0,32'h3f5dc9e0,// invsqrt(1.6121) = 0.7876 +32'h408a0785,32'h3ef197f0,32'h3efb7458, 32'h3eea32a2,32'h3f016cd3, 32'h3edddf20,32'h3f079694,// invsqrt(4.3134) = 0.4815 +32'h3ff0cbbf,32'h3f36e9ef,32'h3f3e6133, 32'h3f31507d,32'h3f43faa5, 32'h3f27fb69,32'h3f4d4fb9,// invsqrt(1.8812) = 0.7291 +32'h3e3da064,32'h4011bfd2,32'h4017b2c0, 32'h400d499f,32'h401c28f3, 32'h4005d9f5,32'h4023989d,// invsqrt(0.1852) = 2.3238 +32'h40917b02,32'h3eeb5347,32'h3ef4ee30, 32'h3ee41f19,32'h3efc225f, 32'h3ed81d76,32'h3f041201,// invsqrt(4.5463) = 0.4690 +32'h3effca2f,32'h3fb178ca,32'h3fb8b730, 32'h3fac09fd,32'h3fbe25fd, 32'h3fa2fbfd,32'h3fc733fd,// invsqrt(0.4996) = 1.4148 +32'h3e87beb2,32'h3ff39e31,32'h3ffd8fc1, 32'h3fec2906,32'h40028276, 32'h3fdfbb13,32'h4008b96f,// invsqrt(0.2651) = 1.9421 +32'h3e28447d,32'h401ab92e,32'h402109e1, 32'h4015fca7,32'h4025c667, 32'h400e17c6,32'h402dab48,// invsqrt(0.1643) = 2.4669 +32'h3f3da754,32'h3f91bd28,32'h3f97affa, 32'h3f8d470a,32'h3f9c2618, 32'h3f85d782,32'h3fa395a0,// invsqrt(0.7408) = 1.1618 +32'h3ea920a1,32'h3fda4140,32'h3fe329ca, 32'h3fd392d8,32'h3fe9d832, 32'h3fc8702b,32'h3ff4fadf,// invsqrt(0.3303) = 1.7399 +32'h3ed6bf2b,32'h3fc1b0b3,32'h3fc99891, 32'h3fbbc2cd,32'h3fcf8677, 32'h3fb1e0f8,32'h3fd9684c,// invsqrt(0.4194) = 1.5441 +32'h3e98ae9d,32'h3fe5b55e,32'h3fef1596, 32'h3fdead34,32'h3ff61dc0, 32'h3fd2f4ee,32'h4000eb03,// invsqrt(0.2982) = 1.8312 +32'h3eba9189,32'h3fcfcd93,32'h3fd848e7, 32'h3fc97114,32'h3fdea566, 32'h3fbed6ea,32'h3fe93f90,// invsqrt(0.3644) = 1.6566 +32'h408be08e,32'h3eeffe12,32'h3ef9c9c0, 32'h3ee8a551,32'h3f009141, 32'h3edc66b8,32'h3f06b08d,// invsqrt(4.3712) = 0.4783 +32'h41468993,32'h3e8e70e2,32'h3e94413f, 32'h3e8a149d,32'h3e989d85, 32'h3e82d029,32'h3e9fe1f9,// invsqrt(12.4086) = 0.2839 +32'h3f7d45df,32'h3f7c3a1f,32'h3f8342d1, 32'h3f74817b,32'h3f871f22, 32'h3f67a316,32'h3f8d8e55,// invsqrt(0.9893) = 1.0054 +32'h3d2d92ee,32'h40985706,32'h409e8ed2, 32'h4093ad2d,32'h40a338ab, 32'h408be76e,32'h40aafe6a,// invsqrt(0.0424) = 4.8578 +32'h3ea57881,32'h3fdca73f,32'h3fe5a8d9, 32'h3fd5e60b,32'h3fec6a0d, 32'h3fcaa40b,32'h3ff7ac0d,// invsqrt(0.3232) = 1.7590 +32'h3fd6ce0d,32'h3f41a9fd,32'h3f499195, 32'h3f3bbc4c,32'h3f4f7f46, 32'h3f31dace,32'h3f5960c4,// invsqrt(1.6782) = 0.7719 +32'h4053625f,32'h3f0a0b71,32'h3f0fadde, 32'h3f05d19f,32'h3f13e7af, 32'h3efd8d2d,32'h3f1af2b8,// invsqrt(3.3029) = 0.5502 +32'h3f7ffdf0,32'h3f7ae24a,32'h3f828fe3, 32'h3f73342e,32'h3f8666f1, 32'h3f666754,32'h3f8ccd5e,// invsqrt(1.0000) = 1.0000 +32'h412436b8,32'h3e9c9f18,32'h3ea303a1, 32'h3e97d3b2,32'h3ea7cf08, 32'h3e8fd607,32'h3eafccb3,// invsqrt(10.2634) = 0.3121 +32'h3f5579b4,32'h3f895ded,32'h3f8ef945, 32'h3f85296b,32'h3f932dc7, 32'h3f7c4e7a,32'h3f9a2ff5,// invsqrt(0.8339) = 1.0951 +32'h3f22ca8b,32'h3f9d4de6,32'h3fa3b992, 32'h3f987d26,32'h3fa88a52, 32'h3f907690,32'h3fb090e8,// invsqrt(0.6359) = 1.2540 +32'h3ed0f07e,32'h3fc45d10,32'h3fcc60dd, 32'h3fbe5a37,32'h3fd263b7, 32'h3fb45578,32'h3fdc6876,// invsqrt(0.4081) = 1.5654 +32'h4071a86b,32'h3f011bd4,32'h3f0660e2, 32'h3efa5013,32'h3f0a54ac, 32'h3eed2371,32'h3f10eafe,// invsqrt(3.7759) = 0.5146 +32'h3f81b018,32'h3f793df8,32'h3f81b526, 32'h3f719cba,32'h3f8585c5, 32'h3f64e552,32'h3f8be179,// invsqrt(1.0132) = 0.9935 +32'h3febf1fb,32'h3f38c8d2,32'h3f4053a1, 32'h3f3320b6,32'h3f45fbbc, 32'h3f29b333,32'h3f4f693f,// invsqrt(1.8433) = 0.7365 +32'h3f014324,32'h3fb087d9,32'h3fb7bc6a, 32'h3fab206d,32'h3fbd23d7, 32'h3fa21eb8,32'h3fc6258c,// invsqrt(0.5049) = 1.4073 +32'h42f4fa69,32'h3db55882,32'h3dbcbf62, 32'h3dafcb59,32'h3dc24c8b, 32'h3da68ac0,32'h3dcb8d24,// invsqrt(122.4891) = 0.0904 +32'h3f33df4e,32'h3f95a627,32'h3f9bc1d5, 32'h3f911164,32'h3fa05698, 32'h3f896ecb,32'h3fa7f931,// invsqrt(0.7026) = 1.1930 +32'h3ee0e1da,32'h3fbd466c,32'h3fc50028, 32'h3fb77b20,32'h3fcacb74, 32'h3fadd2f6,32'h3fd4739e,// invsqrt(0.4392) = 1.5089 +32'h3e88b8a3,32'h3ff2bf1d,32'h3ffca791, 32'h3feb50c6,32'h40020af4, 32'h3fdeee35,32'h40083c3d,// invsqrt(0.2670) = 1.9352 +32'h40581288,32'h3f0889f5,32'h3f0e1ca7, 32'h3f045bf0,32'h3f124aac, 32'h3efac926,32'h3f194209,// invsqrt(3.3761) = 0.5442 +32'h3e7271d4,32'h4000e628,32'h40062906, 32'h3ff9e805,32'h400a1b2b, 32'h3fecc0dd,32'h4010aec0,// invsqrt(0.2368) = 2.0552 +32'h3f110f2c,32'h3fa6a43c,32'h3fad7178, 32'h3fa18a4f,32'h3fb28b65, 32'h3f9909c4,32'h3fbb0bf0,// invsqrt(0.5666) = 1.3285 +32'h3ffc3a7d,32'h3f32b868,32'h3f3a03da, 32'h3f2d3fd3,32'h3f3f7c6f, 32'h3f242184,32'h3f489abe,// invsqrt(1.9705) = 0.7124 +32'h3fe60bd0,32'h3f3b2380,32'h3f42c6e8, 32'h3f3568f1,32'h3f488177, 32'h3f2bdcaf,32'h3f520db9,// invsqrt(1.7972) = 0.7459 +32'h412a1b93,32'h3e99e25b,32'h3ea02a49, 32'h3e952c68,32'h3ea4e03c, 32'h3e8d527d,32'h3eacba27,// invsqrt(10.6317) = 0.3067 +32'h42c83f79,32'h3dc89468,32'h3dd0c442, 32'h3dc27084,32'h3dd6e826, 32'h3db834b3,32'h3de123f7,// invsqrt(100.1240) = 0.0999 +32'h40096b1d,32'h3f2b3635,32'h3f323332, 32'h3f25f878,32'h3f3770f0, 32'h3f1d3c3c,32'h3f402d2c,// invsqrt(2.1472) = 0.6824 +32'h41f20241,32'h3e367472,32'h3e3de6ea, 32'h3e30de98,32'h3e437cc4, 32'h3e278f83,32'h3e4ccbd9,// invsqrt(30.2511) = 0.1818 +32'h3ed0de48,32'h3fc465a0,32'h3fcc69c5, 32'h3fbe6283,32'h3fd26ce1, 32'h3fb45d53,32'h3fdc7211,// invsqrt(0.4079) = 1.5657 +32'h3f30d9b9,32'h3f96ec10,32'h3f9d150c, 32'h3f924d54,32'h3fa1b3c8, 32'h3f8a9a19,32'h3fa96703,// invsqrt(0.6908) = 1.2031 +32'h3f950f28,32'h3f687bb5,32'h3f71f8eb, 32'h3f615dcc,32'h3f7916d4, 32'h3f558148,32'h3f8279ac,// invsqrt(1.1645) = 0.9267 +32'h3f6e6f03,32'h3f81fa8e,32'h3f8748b3, 32'h3f7bffe3,32'h3f8b434e, 32'h3f6ebc86,32'h3f91e4fd,// invsqrt(0.9314) = 1.0362 +32'h3ede8793,32'h3fbe45e3,32'h3fc60a0b, 32'h3fb872c4,32'h3fcbdd2a, 32'h3faebd92,32'h3fd5925d,// invsqrt(0.4346) = 1.5168 +32'h409110b0,32'h3eeba974,32'h3ef547e2, 32'h3ee472a2,32'h3efc7eb4, 32'h3ed86c9a,32'h3f04425e,// invsqrt(4.5333) = 0.4697 +32'h3f158d4a,32'h3fa41e94,32'h3faad176, 32'h3f9f186b,32'h3fafd79f, 32'h3f96b8d1,32'h3fb83739,// invsqrt(0.5842) = 1.3084 +32'h3f0887b6,32'h3fabc490,32'h3fb2c75c, 32'h3fa68276,32'h3fb80976, 32'h3f9dbef7,32'h3fc0ccf5,// invsqrt(0.5333) = 1.3693 +32'h3e6f295b,32'h4001c7e1,32'h400713f4, 32'h3ffb9da3,32'h400b0d02, 32'h3fee5f72,32'h4011ac1b,// invsqrt(0.2336) = 2.0692 +32'h3e470356,32'h400e4548,32'h401413de, 32'h4009ea58,32'h40186ece, 32'h4002a81e,32'h401fb108,// invsqrt(0.1943) = 2.2683 +32'h3fcafa51,32'h3f4739f1,32'h3f4f5ba8, 32'h3f4120a9,32'h3f5574f1, 32'h3f36f685,32'h3f5f9f15,// invsqrt(1.5858) = 0.7941 +32'h3f60861f,32'h3f85f1c8,32'h3f8b695d, 32'h3f81d818,32'h3f8f830c, 32'h3f76053a,32'h3f965887,// invsqrt(0.8770) = 1.0678 +32'h3e94f132,32'h3fe89316,32'h3ff21140, 32'h3fe17476,32'h3ff92fe0, 32'h3fd596c0,32'h400286cb,// invsqrt(0.2909) = 1.8541 +32'h407b8bf6,32'h3efd174b,32'h3f03b5eb, 32'h3ef557e2,32'h3f07959f, 32'h3ee86e35,32'h3f0e0a76,// invsqrt(3.9304) = 0.5044 +32'h3f0a3639,32'h3faab83a,32'h3fb1b012, 32'h3fa57e57,32'h3fb6e9f5, 32'h3f9cc889,32'h3fbf9fc3,// invsqrt(0.5399) = 1.3610 +32'h400b965c,32'h3f29e05b,32'h3f30cf63, 32'h3f24ad14,32'h3f3602aa, 32'h3f1c0249,32'h3f3ead75,// invsqrt(2.1811) = 0.6771 +32'h3eef8b9b,32'h3fb76401,32'h3fbee03f, 32'h3fb1c6d2,32'h3fc47d6e, 32'h3fa86b83,32'h3fcdd8bd,// invsqrt(0.4679) = 1.4620 +32'h3d14473e,32'h40a4d2a2,32'h40ab8cdc, 32'h409fc6f5,32'h40b09889, 32'h40975e2c,32'h40b90152,// invsqrt(0.0362) = 5.2558 +32'h3f463658,32'h3f8e8ec7,32'h3f94605d, 32'h3f8a3198,32'h3f98bd8c, 32'h3f82eb9d,32'h3fa00387,// invsqrt(0.7743) = 1.1365 +32'h3f83bb90,32'h3f774cd3,32'h3f80b26e, 32'h3f6fbacc,32'h3f847b71, 32'h3f631cc2,32'h3f8aca76,// invsqrt(1.0292) = 0.9857 +32'h3fa7e5af,32'h3f5b0d94,32'h3f63fe76, 32'h3f5458eb,32'h3f6ab31f, 32'h3f492bd1,32'h3f75e039,// invsqrt(1.3117) = 0.8731 +32'h3fa492e8,32'h3f5d40f5,32'h3f6648d5, 32'h3f567b0d,32'h3f6d0ebd, 32'h3f4b3134,32'h3f785896,// invsqrt(1.2857) = 0.8819 +32'h3f5c321a,32'h3f874124,32'h3f8cc66a, 32'h3f831d30,32'h3f90ea5e, 32'h3f786d33,32'h3f97d0f4,// invsqrt(0.8601) = 1.0782 +32'h3f4832ee,32'h3f8dd940,32'h3f93a36c, 32'h3f89819e,32'h3f97fb0e, 32'h3f8244e7,32'h3f9f37c5,// invsqrt(0.7820) = 1.1308 +32'h3fbe2e98,32'h3f4dd1c4,32'h3f56385f, 32'h3f4784d1,32'h3f5c8553, 32'h3f3d0490,32'h3f670594,// invsqrt(1.4858) = 0.8204 +32'h40f0b8e4,32'h3eb6f119,32'h3ebe68a7, 32'h3eb1576e,32'h3ec40252, 32'h3ea801fd,32'h3ecd57c3,// invsqrt(7.5226) = 0.3646 +32'h3f3dd647,32'h3f91ab21,32'h3f979d37, 32'h3f8d3590,32'h3f9c12c8, 32'h3f85c6f4,32'h3fa38164,// invsqrt(0.7416) = 1.1613 +32'h4182ca72,32'h3e78305e,32'h3e8128d8, 32'h3e709760,32'h3e84f557, 32'h3e63edba,32'h3e8b4a2a,// invsqrt(16.3489) = 0.2473 +32'h3f4e497e,32'h3f8bbd61,32'h3f917185, 32'h3f877647,32'h3f95b89f, 32'h3f80551b,32'h3f9cd9cb,// invsqrt(0.8058) = 1.1140 +32'h3ef5c040,32'h3fb50f74,32'h3fbc735a, 32'h3faf8488,32'h3fc1fe46, 32'h3fa647aa,32'h3fcb3b25,// invsqrt(0.4800) = 1.4434 +32'h3e82b3d4,32'h3ff845d7,32'h40013405, 32'h3ff0ac31,32'h400500d8, 32'h3fe40172,32'h400b5637,// invsqrt(0.2553) = 1.9792 +32'h3f64e71c,32'h3f84a838,32'h3f8a125a, 32'h3f80989f,32'h3f8e21f3, 32'h3f73a7ea,32'h3f94e69d,// invsqrt(0.8942) = 1.0575 +32'h3f0b4231,32'h3faa13a9,32'h3fb104cb, 32'h3fa4ded1,32'h3fb639a3, 32'h3f9c3167,32'h3fbee70d,// invsqrt(0.5440) = 1.3558 +32'h3f2793cf,32'h3f9b0aa8,32'h3fa15eaf, 32'h3f964ba3,32'h3fa61db5, 32'h3f8e629b,32'h3fae06bd,// invsqrt(0.6546) = 1.2360 +32'h3e5dc2ab,32'h4006c6c5,32'h400c470c, 32'h4002a691,32'h40106741, 32'h3ff78c70,32'h4017479a,// invsqrt(0.2166) = 2.1489 +32'h4105e9b6,32'h3ead702e,32'h3eb4846e, 32'h3ea820fd,32'h3eb9d39f, 32'h3e9f47ad,32'h3ec2acef,// invsqrt(8.3696) = 0.3457 +32'h4003039c,32'h3f2f58b2,32'h3f3680e3, 32'h3f29fa8d,32'h3f3bdf07, 32'h3f21084f,32'h3f44d145,// invsqrt(2.0471) = 0.6989 +32'h40015d14,32'h3f307626,32'h3f37a9fe, 32'h3f2b0f44,32'h3f3d10e0, 32'h3f220e77,32'h3f4611ad,// invsqrt(2.0213) = 0.7034 +32'h3e85cc1a,32'h3ff56279,32'h3fff667d, 32'h3feddf75,32'h400374c1, 32'h3fe15a6f,32'h4009b744,// invsqrt(0.2613) = 1.9562 +32'h3e7f79d3,32'h3ffb2321,32'h4002b1a0, 32'h3ff37307,32'h400689ac, 32'h3fe6a2de,32'h400cf1c1,// invsqrt(0.2495) = 2.0021 +32'h4036f512,32'h3f1461c9,32'h3f1a703a, 32'h3f0fd6f5,32'h3f1efb0f, 32'h3f0844e8,32'h3f268d1c,// invsqrt(2.8587) = 0.5914 +32'h3f3e3d93,32'h3f918390,32'h3f977408, 32'h3f8d0f35,32'h3f9be863, 32'h3f85a29e,32'h3fa354fa,// invsqrt(0.7431) = 1.1600 +32'h3f943644,32'h3f692592,32'h3f72a9b7, 32'h3f620275,32'h3f79ccd3, 32'h3f561d47,32'h3f82d901,// invsqrt(1.1579) = 0.9293 +32'h3f5e19d2,32'h3f86ac51,32'h3f8c2b83, 32'h3f828ceb,32'h3f904ae9, 32'h3f775bd8,32'h3f9729e8,// invsqrt(0.8676) = 1.0736 +32'h408586d6,32'h3ef5a216,32'h3effa8b3, 32'h3eee1d1f,32'h3f0396d4, 32'h3ee194da,32'h3f09daf7,// invsqrt(4.1727) = 0.4895 +32'h3f91b79b,32'h3f6b2254,32'h3f74bb3e, 32'h3f63efa5,32'h3f7beded, 32'h3f57f082,32'h3f83f688,// invsqrt(1.1384) = 0.9372 +32'h3f32d49b,32'h3f961594,32'h3f9c35ce, 32'h3f917d68,32'h3fa0cdfa, 32'h3f89d51f,32'h3fa87643,// invsqrt(0.6986) = 1.1965 +32'h40504507,32'h3f0b12b5,32'h3f10bfe1, 32'h3f06d0d4,32'h3f1501c2, 32'h3eff70ba,32'h3f1c1a39,// invsqrt(3.2542) = 0.5543 +32'h3f91fa96,32'h3f6aec5c,32'h3f748312, 32'h3f63bb54,32'h3f7bb41a, 32'h3f57bef2,32'h3f83d83e,// invsqrt(1.1405) = 0.9364 +32'h3f6ca6d3,32'h3f827799,32'h3f87cad9, 32'h3f7cf253,32'h3f8bc949, 32'h3f6fa233,32'h3f927158,// invsqrt(0.9244) = 1.0401 +32'h3e98d44d,32'h3fe59909,32'h3feef819, 32'h3fde91bd,32'h3ff5ff65, 32'h3fd2dae9,32'h4000db1d,// invsqrt(0.2985) = 1.8303 +32'h4028c70d,32'h3f1a7d4a,32'h3f20cb8b, 32'h3f15c298,32'h3f25863c, 32'h3f0de0c6,32'h3f2d680e,// invsqrt(2.6371) = 0.6158 +32'h3f6178ff,32'h3f85a990,32'h3f8b1e32, 32'h3f819216,32'h3f8f35ac, 32'h3f758095,32'h3f960778,// invsqrt(0.8808) = 1.0655 +32'h40adffbe,32'h3ed72d8b,32'h3edff5ef, 32'h3ed09740,32'h3ee68c3a, 32'h3ec59cc4,32'h3ef186b6,// invsqrt(5.4375) = 0.4288 +32'h3f6802be,32'h3f83c3fe,32'h3f8924ce, 32'h3f7f76c2,32'h3f8d2d6b, 32'h3f7204b8,32'h3f93e670,// invsqrt(0.9063) = 1.0504 +32'h41434558,32'h3e8fa09d,32'h3e957d5f, 32'h3e8b3b0b,32'h3e99e2f1, 32'h3e83e718,32'h3ea136e4,// invsqrt(12.2044) = 0.2862 +32'h40833d1a,32'h3ef7c3dc,32'h3f00f061, 32'h3ef02e31,32'h3f04bb36, 32'h3ee38a14,32'h3f0b0d45,// invsqrt(4.1012) = 0.4938 +32'h3fa18b72,32'h3f5f5188,32'h3f686efb, 32'h3f587b71,32'h3f6f4511, 32'h3f4d16a1,32'h3f7aa9e1,// invsqrt(1.2621) = 0.8901 +32'h3f7b6db7,32'h3f7d2684,32'h3f83bdd8, 32'h3f7566a6,32'h3f879dc7, 32'h3f687c31,32'h3f8e1302,// invsqrt(0.9821) = 1.0091 +32'h3d422222,32'h40900c2e,32'h4095ed55, 32'h408ba352,32'h409a5632, 32'h408449e2,32'h40a1afa2,// invsqrt(0.0474) = 4.5934 +32'h3f4875c2,32'h3f8dc199,32'h3f938ace, 32'h3f896ab0,32'h3f97e1b6, 32'h3f822f2e,32'h3f9f1d38,// invsqrt(0.7830) = 1.1301 +32'h3f10ec50,32'h3fa6b846,32'h3fad8654, 32'h3fa19dbc,32'h3fb2a0de, 32'h3f991c2c,32'h3fbb226e,// invsqrt(0.5661) = 1.3291 +32'h3fa463e4,32'h3f5d6096,32'h3f6669c0, 32'h3f5699b6,32'h3f6d30a0, 32'h3f4b4e40,32'h3f787c16,// invsqrt(1.2843) = 0.8824 +32'h3f0b0520,32'h3faa3900,32'h3fb12ba7, 32'h3fa50302,32'h3fb661a4, 32'h3f9c53b1,32'h3fbf10f5,// invsqrt(0.5430) = 1.3570 +32'h404a5599,32'h3f0d191e,32'h3f12db72, 32'h3f08c75e,32'h3f172d32, 32'h3f019474,32'h3f1e601c,// invsqrt(3.1615) = 0.5624 +32'h3fb4e97d,32'h3f5306d1,32'h3f5ba3d5, 32'h3f4c910f,32'h3f621997, 32'h3f41cccb,32'h3f6cdddb,// invsqrt(1.4134) = 0.8411 +32'h3f603627,32'h3f8609a8,32'h3f8b8237, 32'h3f81ef3e,32'h3f8f9ca2, 32'h3f763116,32'h3f967355,// invsqrt(0.8758) = 1.0685 +32'h3f5aa4bc,32'h3f87bbd5,32'h3f8d461d, 32'h3f839420,32'h3f916dd2, 32'h3f794e8d,32'h3f985aac,// invsqrt(0.8541) = 1.0821 +32'h3f507249,32'h3f8b039b,32'h3f90b029, 32'h3f86c230,32'h3f94f194, 32'h3f7f54fd,32'h3f9c0945,// invsqrt(0.8142) = 1.1082 +32'h4019594a,32'h3f22132f,32'h3f28b0b3, 32'h3f1d1d0b,32'h3f2da6d7, 32'h3f14d826,32'h3f35ebbc,// invsqrt(2.3961) = 0.6460 +32'h40e35ac5,32'h3ebc3e3f,32'h3ec3ed32, 32'h3eb67b09,32'h3ec9b069, 32'h3eace05a,32'h3ed34b18,// invsqrt(7.1048) = 0.3752 +32'h3f30bc4d,32'h3f96f8a0,32'h3f9d221e, 32'h3f925981,32'h3fa1c13d, 32'h3f8aa5a2,32'h3fa9751c,// invsqrt(0.6904) = 1.2035 +32'h3ef50322,32'h3fb55547,32'h3fbcbc06, 32'h3fafc838,32'h3fc24916, 32'h3fa687ca,32'h3fcb8985,// invsqrt(0.4785) = 1.4456 +32'h402ee7c4,32'h3f17c24f,32'h3f1df409, 32'h3f131d03,32'h3f229955, 32'h3f0b5edb,32'h3f2a577d,// invsqrt(2.7329) = 0.6049 +32'h3f3efb18,32'h3f913b4a,32'h3f9728d0, 32'h3f8cc926,32'h3f9b9af4, 32'h3f85603f,32'h3fa303db,// invsqrt(0.7460) = 1.1578 +32'h3ee0a3a1,32'h3fbd60a1,32'h3fc51b6e, 32'h3fb79487,32'h3fcae787, 32'h3fadeb06,32'h3fd49108,// invsqrt(0.4387) = 1.5097 +32'h3f579415,32'h3f88b1fb,32'h3f8e464e, 32'h3f8482bc,32'h3f92758c, 32'h3f7b12a7,32'h3f996ef4,// invsqrt(0.8421) = 1.0897 +32'h404c20a7,32'h3f0c7a1d,32'h3f1235f4, 32'h3f082d3b,32'h3f1682d5, 32'h3f01026e,32'h3f1dada2,// invsqrt(3.1895) = 0.5599 +32'h3fde2b15,32'h3f3e6d7a,32'h3f463340, 32'h3f389925,32'h3f4c0795, 32'h3f2ee1ed,32'h3f55becd,// invsqrt(1.7357) = 0.7590 +32'h3fab0625,32'h3f590a96,32'h3f61e672, 32'h3f5265b1,32'h3f688b57, 32'h3f4752dd,32'h3f739e2b,// invsqrt(1.3361) = 0.8651 +32'h3ee4f814,32'h3fbb940c,32'h3fc33c0d, 32'h3fb5d60c,32'h3fc8fa0e, 32'h3fac440c,32'h3fd28c0e,// invsqrt(0.4472) = 1.4954 +32'h3f51a67e,32'h3f8a9d47,32'h3f9045a7, 32'h3f865efe,32'h3f9483f0, 32'h3f7e9909,32'h3f9b9669,// invsqrt(0.8189) = 1.1050 +32'h3f2a4085,32'h3f99d1a8,32'h3fa018e8, 32'h3f951c38,32'h3fa4ce58, 32'h3f8d4327,32'h3faca769,// invsqrt(0.6650) = 1.2262 +32'h3f2d2da2,32'h3f98838d,32'h3f9ebd2b, 32'h3f93d857,32'h3fa36861, 32'h3f8c1053,32'h3fab3065,// invsqrt(0.6765) = 1.2158 +32'h3e6ff60a,32'h4001907b,32'h4006da4b, 32'h3ffb323c,32'h400ad1a8, 32'h3fedf9b2,32'h40116ded,// invsqrt(0.2343) = 2.0658 +32'h3f7a4fb2,32'h3f7db6fd,32'h3f840906, 32'h3f75f2b1,32'h3f87eb2c, 32'h3f6900dd,32'h3f8e6415,// invsqrt(0.9778) = 1.0113 +32'h3dcf90ce,32'h40450325,32'h404d0db8, 32'h403efb35,32'h405315a7, 32'h4034edfd,32'h405d22df,// invsqrt(0.1014) = 3.1411 +32'h400ab760,32'h3f2a68ad,32'h3f315d47, 32'h3f25313a,32'h3f3694ba, 32'h3f1c7f7b,32'h3f3f4679,// invsqrt(2.1674) = 0.6792 +32'h3f248da8,32'h3f9c75b3,32'h3fa2d88b, 32'h3f97ab91,32'h3fa7a2ad, 32'h3f8fb002,32'h3faf9e3c,// invsqrt(0.6428) = 1.2473 +32'h3cabb100,32'h40d89e7d,32'h40e175f0, 32'h40d1fce7,32'h40e81787, 32'h40c6ef98,32'h40f324d6,// invsqrt(0.0210) = 6.9075 +32'h3f4fa825,32'h3f8b4734,32'h3f90f684, 32'h3f8703b7,32'h3f953a01, 32'h3f7fd126,32'h3f9c5525,// invsqrt(0.8112) = 1.1103 +32'h3efd5019,32'h3fb2565f,32'h3fb99dd0, 32'h3face0c9,32'h3fbf1365, 32'h3fa3c77b,32'h3fc82cb3,// invsqrt(0.4948) = 1.4217 +32'h3eeb9249,32'h3fb8ee56,32'h3fc07aae, 32'h3fb34515,32'h3fc623ef, 32'h3fa9d5a8,32'h3fcf935c,// invsqrt(0.4601) = 1.4743 +32'h3f8cae40,32'h3f6f4e5e,32'h3f7912e0, 32'h3f67fafd,32'h3f803320, 32'h3f5bc55c,32'h3f864df1,// invsqrt(1.0991) = 0.9539 +32'h4091350a,32'h3eeb8bf3,32'h3ef5292b, 32'h3ee45608,32'h3efc5f16, 32'h3ed85181,32'h3f0431cf,// invsqrt(4.5377) = 0.4694 +32'h3f15b99f,32'h3fa40646,32'h3faab82a, 32'h3f9f00dc,32'h3fafbd94, 32'h3f96a27f,32'h3fb81bf1,// invsqrt(0.5849) = 1.3076 +32'h3f748605,32'h3f805997,32'h3f8596b7, 32'h3f78d77d,32'h3f89848f, 32'h3f6bbead,32'h3f9010f8,// invsqrt(0.9552) = 1.0232 +32'h3ec64b8f,32'h3fc9909f,32'h3fd1cac5, 32'h3fc36503,32'h3fd7f661, 32'h3fb91c53,32'h3fe23f11,// invsqrt(0.3873) = 1.6069 +32'h401aee40,32'h3f213ed3,32'h3f27d3ac, 32'h3f1c4f2f,32'h3f2cc34f, 32'h3f14151f,32'h3f34fd5f,// invsqrt(2.4208) = 0.6427 +32'h3fa8e170,32'h3f5a6a11,32'h3f635446, 32'h3f53ba6a,32'h3f6a03ee, 32'h3f4895a8,32'h3f7528b0,// invsqrt(1.3194) = 0.8706 +32'h3f1b412c,32'h3fa113bd,32'h3fa7a6d5, 32'h3f9c256c,32'h3fac9526, 32'h3f93ed8e,32'h3fb4cd04,// invsqrt(0.6065) = 1.2841 +32'h3fff6c3d,32'h3f31996a,32'h3f38d926, 32'h3f2c299e,32'h3f3e48f2, 32'h3f2319f4,32'h3f47589c,// invsqrt(1.9955) = 0.7079 +32'h3ea3ce11,32'h3fddc5bd,32'h3fe6d309, 32'h3fd6fbc4,32'h3fed9d02, 32'h3fcbab26,32'h3ff8eda0,// invsqrt(0.3199) = 1.7680 +32'h412ceb08,32'h3e98a0e9,32'h3e9edbb9, 32'h3e93f4cd,32'h3ea387d5, 32'h3e8c2b49,32'h3eab5159,// invsqrt(10.8074) = 0.3042 +32'h3f5d1585,32'h3f86fb82,32'h3f8c7df0, 32'h3f82d9b0,32'h3f909fc2, 32'h3f77ed4d,32'h3f9782cc,// invsqrt(0.8636) = 1.0761 +32'h3f67e739,32'h3f83cbcf,32'h3f892cf1, 32'h3f7f85ea,32'h3f8d35cb, 32'h3f721313,32'h3f93ef36,// invsqrt(0.9059) = 1.0507 +32'h40af6969,32'h3ed64f44,32'h3edf0e95, 32'h3ecfbfc7,32'h3ee59e11, 32'h3ec4d0a1,32'h3ef08d37,// invsqrt(5.4816) = 0.4271 +32'h4161c5b0,32'h3e8592da,32'h3e8b0690, 32'h3e817c12,32'h3e8f1d58, 32'h3e7556df,32'h3e95edfa,// invsqrt(14.1108) = 0.2662 +32'h3edbe8aa,32'h3fbf6746,32'h3fc7373e, 32'h3fb98b4c,32'h3fcd1338, 32'h3fafc755,32'h3fd6d72f,// invsqrt(0.4295) = 1.5259 +32'h3eea9827,32'h3fb950d3,32'h3fc0e12f, 32'h3fb3a48e,32'h3fc68d74, 32'h3faa301a,32'h3fd001e8,// invsqrt(0.4582) = 1.4773 +32'h40ced2b5,32'h3ec55d9a,32'h3ecd6be0, 32'h3ebf52e7,32'h3ed37693, 32'h3eb54110,32'h3edd886a,// invsqrt(6.4632) = 0.3933 +32'h3ef1d5cc,32'h3fb68536,32'h3fbdf85d, 32'h3fb0eeda,32'h3fc38eba, 32'h3fa79ee9,32'h3fccdeab,// invsqrt(0.4723) = 1.4550 +32'h3f76bef9,32'h3f7f8a8e,32'h3f84fc59, 32'h3f77b7f2,32'h3f88e5a7, 32'h3f6aae43,32'h3f8f6a7e,// invsqrt(0.9639) = 1.0186 +32'h3e12b354,32'h4025b4ee,32'h402c7866, 32'h4020a255,32'h40318aff, 32'h40182dff,32'h4039ff55,// invsqrt(0.1433) = 2.6420 +32'h3feea782,32'h3f37bb90,32'h3f3f3b62, 32'h3f321bb3,32'h3f44db3f, 32'h3f28bbed,32'h3f4e3b05,// invsqrt(1.8645) = 0.7324 +32'h3f91a796,32'h3f6b2f42,32'h3f74c8b2, 32'h3f63fc2e,32'h3f7bfbc6, 32'h3f57fc61,32'h3f83fdca,// invsqrt(1.1379) = 0.9374 +32'h3f7729f9,32'h3f7f5338,32'h3f84df8e, 32'h3f77824e,32'h3f88c803, 32'h3f6a7b73,32'h3f8f4b71,// invsqrt(0.9655) = 1.0177 +32'h3e399563,32'h4013540e,32'h4019577c, 32'h400ed17b,32'h401dda0f, 32'h40074d31,32'h40255e59,// invsqrt(0.1812) = 2.3490 +32'h3fc1e5ba,32'h3f4bd685,32'h3f54286b, 32'h3f459918,32'h3f5a65d8, 32'h3f3b32b9,32'h3f64cc37,// invsqrt(1.5148) = 0.8125 +32'h3fd1062f,32'h3f4452e0,32'h3f4c5642, 32'h3f3e5057,32'h3f5258cb, 32'h3f344c1c,32'h3f5c5d06,// invsqrt(1.6330) = 0.7825 +32'h3ee0a7de,32'h3fbd5ed8,32'h3fc51992, 32'h3fb792cc,32'h3fcae59e, 32'h3fade963,32'h3fd48f07,// invsqrt(0.4388) = 1.5096 +32'h3f44926c,32'h3f8f26ba,32'h3f94fe83, 32'h3f8ac4e4,32'h3f99605a, 32'h3f837729,32'h3fa0ae15,// invsqrt(0.7679) = 1.1412 +32'h3f38b212,32'h3f93ae9c,32'h3f99b5bc, 32'h3f8f2943,32'h3f9e3b15, 32'h3f87a05b,32'h3fa5c3fd,// invsqrt(0.7215) = 1.1773 +32'h417f2142,32'h3e7b4eb4,32'h3e82c84e, 32'h3e739d46,32'h3e86a105, 32'h3e66cae4,32'h3e8d0a36,// invsqrt(15.9456) = 0.2504 +32'h3f825ea7,32'h3f7896e4,32'h3f815e33, 32'h3f70fac3,32'h3f852c43, 32'h3f644be1,32'h3f8b83b4,// invsqrt(1.0185) = 0.9909 +32'h40669e1c,32'h3f0429b8,32'h3f098eb0, 32'h3f001dfe,32'h3f0d9a6a, 32'h3ef2bf91,32'h3f1458a0,// invsqrt(3.6034) = 0.5268 +32'h3f4ad0ad,32'h3f8cee47,32'h3f92aedd, 32'h3f889dd8,32'h3f96ff4c, 32'h3f816d1d,32'h3f9e3007,// invsqrt(0.7922) = 1.1235 +32'h3f5d5855,32'h3f86e721,32'h3f8c68ba, 32'h3f82c5ef,32'h3f9089ed, 32'h3f77c7e0,32'h3f976bec,// invsqrt(0.8646) = 1.0754 +32'h3db5a058,32'h40529c7c,32'h405b3528, 32'h404c29fb,32'h4061a7a9, 32'h40416b23,32'h406c6681,// invsqrt(0.0887) = 3.3580 +32'h3f35e941,32'h3f94cedb,32'h3f9ae1bf, 32'h3f9040b0,32'h3f9f6fea, 32'h3f88a912,32'h3fa70788,// invsqrt(0.7106) = 1.1863 +32'h3fad9a7f,32'h3f576c41,32'h3f603733, 32'h3f50d40a,32'h3f66cf6a, 32'h3f45d65b,32'h3f71cd19,// invsqrt(1.3563) = 0.8587 +32'h3f09a9ed,32'h3fab0f21,32'h3fb20a86, 32'h3fa5d296,32'h3fb74712, 32'h3f9d1858,32'h3fc00150,// invsqrt(0.5377) = 1.3637 +32'h3f81cdb5,32'h3f792189,32'h3f81a65a, 32'h3f718129,32'h3f857689, 32'h3f64cb35,32'h3f8bd184,// invsqrt(1.0141) = 0.9930 +32'h3f892a2d,32'h3f725a90,32'h3f7c3eea, 32'h3f6aef4d,32'h3f81d516, 32'h3f5e91dd,32'h3f8803ce,// invsqrt(1.0716) = 0.9660 +32'h3f1395e4,32'h3fa5358d,32'h3fabf3d1, 32'h3fa026d9,32'h3fb10285, 32'h3f97b904,32'h3fb9705a,// invsqrt(0.5765) = 1.3170 +32'h400df2d8,32'h3f287521,32'h3f2f5557, 32'h3f234cf9,32'h3f347d7f, 32'h3f1ab4b6,32'h3f3d15c2,// invsqrt(2.2179) = 0.6715 +32'h3f9d69e8,32'h3f623ad3,32'h3f6b76b1, 32'h3f5b4dec,32'h3f726398, 32'h3f4fc315,32'h3f7dee6f,// invsqrt(1.2298) = 0.9017 +32'h3ff134be,32'h3f36c21c,32'h3f3e37bf, 32'h3f3129e1,32'h3f43cff9, 32'h3f27d6d5,32'h3f4d2305,// invsqrt(1.8844) = 0.7285 +32'h3f7b63be,32'h3f7d2b89,32'h3f83c074, 32'h3f756b82,32'h3f87a077, 32'h3f6880cc,32'h3f8e15d2,// invsqrt(0.9820) = 1.0091 +32'h3e44c2e4,32'h400f1518,32'h4014ec28, 32'h400ab3cb,32'h40194d75, 32'h400366f6,32'h40209a4a,// invsqrt(0.1921) = 2.2813 +32'h3f6a6af2,32'h3f83165c,32'h3f887017, 32'h3f7e2621,32'h3f8c7363, 32'h3f70c5cf,32'h3f93238d,// invsqrt(0.9157) = 1.0450 +32'h3f90aa91,32'h3f6bfc93,32'h3f759e65, 32'h3f64c336,32'h3f7cd7c2, 32'h3f58b8f0,32'h3f847104,// invsqrt(1.1302) = 0.9406 +32'h40547328,32'h3f09b2b4,32'h3f0f5182, 32'h3f057b9a,32'h3f13889c, 32'h3efcea31,32'h3f1a8f1e,// invsqrt(3.3195) = 0.5489 +32'h40f18c63,32'h3eb6a0f1,32'h3ebe1539, 32'h3eb109ba,32'h3ec3ac70, 32'h3ea7b860,32'h3eccfdca,// invsqrt(7.5484) = 0.3640 +32'h403eeea7,32'h3f114006,32'h3f172dbd, 32'h3f0ccdbc,32'h3f1ba006, 32'h3f056497,32'h3f23092b,// invsqrt(2.9833) = 0.5790 +32'h3fa4fc42,32'h3f5cfa45,32'h3f65ff43, 32'h3f563687,32'h3f6cc301, 32'h3f4af04a,32'h3f78093e,// invsqrt(1.2889) = 0.8808 +32'h3f8b2d0f,32'h3f7098a3,32'h3f7a6a9f, 32'h3f693b26,32'h3f80e40e, 32'h3f5cf4aa,32'h3f87074c,// invsqrt(1.0873) = 0.9590 +32'h3f708fa8,32'h3f816716,32'h3f86af36, 32'h3f7ae1fb,32'h3f8aa54e, 32'h3f6dadab,32'h3f913f77,// invsqrt(0.9397) = 1.0316 +32'h3fdc11df,32'h3f3f555a,32'h3f472497, 32'h3f3979ec,32'h3f4d0004, 32'h3f2fb6df,32'h3f56c311,// invsqrt(1.7193) = 0.7626 +32'h3f4db73e,32'h3f8bef05,32'h3f91a52f, 32'h3f87a666,32'h3f95edce, 32'h3f8082b1,32'h3f9d1183,// invsqrt(0.8036) = 1.1155 +32'h406fe694,32'h3f0194a8,32'h3f06dea4, 32'h3efb3a55,32'h3f0ad622, 32'h3eee015e,32'h3f11729d,// invsqrt(3.7484) = 0.5165 +32'h3fb83bce,32'h3f511d9d,32'h3f59a6a9, 32'h3f4ab6d4,32'h3f600d72, 32'h3f400b86,32'h3f6ab8c0,// invsqrt(1.4393) = 0.8335 +32'h3fb225a5,32'h3f54a872,32'h3f5d5682, 32'h3f4e25e7,32'h3f63d90d, 32'h3f434c54,32'h3f6eb2a0,// invsqrt(1.3918) = 0.8476 +32'h3f9927ca,32'h3f655a6c,32'h3f6eb6ee, 32'h3f5e550b,32'h3f75bc4f, 32'h3f52a168,32'h3f80b7f9,// invsqrt(1.1965) = 0.9142 +32'h3f6d7fac,32'h3f823bfb,32'h3f878ccc, 32'h3f7c7ebe,32'h3f8b8969, 32'h3f6f34b4,32'h3f922e6e,// invsqrt(0.9277) = 1.0382 +32'h3f6d70fd,32'h3f824002,32'h3f8790fd, 32'h3f7c868d,32'h3f8b8dba, 32'h3f6f3c1a,32'h3f9232f3,// invsqrt(0.9275) = 1.0383 +32'h40885d33,32'h3ef31072,32'h3efcfc38, 32'h3eeb9f9e,32'h3f023686, 32'h3edf38e6,32'h3f0869e2,// invsqrt(4.2614) = 0.4844 +32'h3eb4cfc2,32'h3fd315d5,32'h3fdbb375, 32'h3fcc9f9d,32'h3fe229ad, 32'h3fc1da94,32'h3feceeb6,// invsqrt(0.3531) = 1.6828 +32'h3f8f6f3c,32'h3f6cff6c,32'h3f76abce, 32'h3f65be22,32'h3f7ded18, 32'h3f59a6a7,32'h3f85024a,// invsqrt(1.1206) = 0.9447 +32'h3fcaef77,32'h3f473f45,32'h3f4f6133, 32'h3f4125d3,32'h3f557aa5, 32'h3f36fb69,32'h3f5fa50f,// invsqrt(1.5854) = 0.7942 +32'h3f2e0365,32'h3f9825c3,32'h3f9e5b8d, 32'h3f937d6c,32'h3fa303e4, 32'h3f8bba31,32'h3faac71f,// invsqrt(0.6797) = 1.2129 +32'h4004980b,32'h3f2e4c7a,32'h3f3569b8, 32'h3f28f68b,32'h3f3abfa7, 32'h3f2011fd,32'h3f43a435,// invsqrt(2.0718) = 0.6947 +32'h3e8bb635,32'h3ff0226f,32'h3ff9ef98, 32'h3fe8c890,32'h4000a4bb, 32'h3fdc881c,32'h4006c4f5,// invsqrt(0.2729) = 1.9143 +32'h3cd7a8de,32'h40c147a5,32'h40c92b38, 32'h40bb5cf5,32'h40cf15e7, 32'h40b1807d,32'h40d8f25f,// invsqrt(0.0263) = 6.1633 +32'h402eec0b,32'h3f17c074,32'h3f1df21a, 32'h3f131b37,32'h3f229757, 32'h3f0b5d26,32'h3f2a5568,// invsqrt(2.7332) = 0.6049 +32'h3efb8b8d,32'h3fb2f682,32'h3fba447d, 32'h3fad7c06,32'h3fbfbefa, 32'h3fa45a8d,32'h3fc8e073,// invsqrt(0.4913) = 1.4267 +32'h3f91272f,32'h3f6b9730,32'h3f7534df, 32'h3f6460ee,32'h3f7c6b22, 32'h3f585bd4,32'h3f84381e,// invsqrt(1.1340) = 0.9391 +32'h3e204562,32'h401e8946,32'h402501d1, 32'h4019aedf,32'h4029dc39, 32'h40119831,32'h4031f2e7,// invsqrt(0.1565) = 2.5277 +32'h3de7de56,32'h403a66de,32'h40420293, 32'h4034b215,32'h4047b75b, 32'h402b2f72,32'h405139fe,// invsqrt(0.1132) = 2.9720 +32'h40e44c07,32'h3ebbdaae,32'h3ec38590, 32'h3eb61a84,32'h3ec945ba, 32'h3eac84e9,32'h3ed2db55,// invsqrt(7.1343) = 0.3744 +32'h3f342b61,32'h3f95868b,32'h3f9ba0ef, 32'h3f90f2c0,32'h3fa034ba, 32'h3f8951c4,32'h3fa7d5b6,// invsqrt(0.7038) = 1.1920 +32'h3f61d102,32'h3f858f81,32'h3f8b0313, 32'h3f8178d3,32'h3f8f19c1, 32'h3f7550b8,32'h3f95ea38,// invsqrt(0.8821) = 1.0647 +32'h40bb6224,32'h3ecf59c8,32'h3ed7d062, 32'h3ec900d4,32'h3ede2956, 32'h3ebe6c93,32'h3ee8bd97,// invsqrt(5.8557) = 0.4132 +32'h3f5e0d3d,32'h3f86b022,32'h3f8c2f7c, 32'h3f82909e,32'h3f904f00, 32'h3f7762db,32'h3f972e30,// invsqrt(0.8674) = 1.0737 +32'h40af9733,32'h3ed63350,32'h3edef17e, 32'h3ecfa4af,32'h3ee5801f, 32'h3ec4b6f6,32'h3ef06dd8,// invsqrt(5.4872) = 0.4269 +32'h3f4e11ff,32'h3f8bd031,32'h3f918519, 32'h3f878883,32'h3f95ccc7, 32'h3f806661,32'h3f9ceee9,// invsqrt(0.8050) = 1.1146 +32'h412c983b,32'h3e98c581,32'h3e9f01d0, 32'h3e941847,32'h3ea3af0b, 32'h3e8c4ce5,32'h3eab7a6d,// invsqrt(10.7872) = 0.3045 +32'h3e94842a,32'h3fe8e865,32'h3ff26a0b, 32'h3fe1c728,32'h3ff98b48, 32'h3fd5e519,32'h4002b6ac,// invsqrt(0.2901) = 1.8567 +32'h3f9959f9,32'h3f6534e2,32'h3f6e8fdc, 32'h3f5e30a7,32'h3f759417, 32'h3f527eef,32'h3f80a2e7,// invsqrt(1.1981) = 0.9136 +32'h407267f5,32'h3f00e8c8,32'h3f062bc1, 32'h3ef9ed1d,32'h3f0a1dfc, 32'h3eecc5af,32'h3f10b1b2,// invsqrt(3.7876) = 0.5138 +32'h41970a3d,32'h3e66f42a,32'h3e706166, 32'h3e5fe23e,32'h3e777352, 32'h3e5419b4,32'h3e819dee,// invsqrt(18.8800) = 0.2301 +32'h3ea31bab,32'h3fde3ee4,32'h3fe75121, 32'h3fd77135,32'h3fee1ecf, 32'h3fcc1a68,32'h3ff9759c,// invsqrt(0.3186) = 1.7717 +32'h40844091,32'h3ef6d059,32'h3f0071a6, 32'h3eef4222,32'h3f0438c2, 32'h3ee2aa71,32'h3f0a849a,// invsqrt(4.1329) = 0.4919 +32'h406142eb,32'h3f05b99a,32'h3f0b2ee4, 32'h3f01a1a2,32'h3f0f46dc, 32'h3ef59e0b,32'h3f161978,// invsqrt(3.5197) = 0.5330 +32'h3fde57c0,32'h3f3e5a58,32'h3f461f56, 32'h3f388699,32'h3f4bf315, 32'h3f2ed05b,32'h3f55a953,// invsqrt(1.7371) = 0.7587 +32'h3eba30f6,32'h3fd00371,32'h3fd880f8, 32'h3fc9a54b,32'h3fdedf1d, 32'h3fbf0862,32'h3fe97c06,// invsqrt(0.3637) = 1.6583 +32'h4090fbfa,32'h3eebba49,32'h3ef55966, 32'h3ee482f3,32'h3efc90bb, 32'h3ed87c0e,32'h3f044bd0,// invsqrt(4.5308) = 0.4698 +32'h3d89616c,32'h407229d1,32'h407c0c2d, 32'h406ac00c,32'h4081baf9, 32'h405e6519,32'h4087e873,// invsqrt(0.0671) = 3.8610 +32'h3c5ec0d5,32'h410679cb,32'h410bf6ee, 32'h41025bf2,32'h411014c8, 32'h40f6ff0e,32'h4116f133,// invsqrt(0.0136) = 8.5763 +32'h3fb4e0b4,32'h3f530bf1,32'h3f5ba929, 32'h3f4c9606,32'h3f621f14, 32'h3f41d17f,32'h3f6ce39b,// invsqrt(1.4131) = 0.8412 +32'h407db089,32'h3efc0513,32'h3f032736, 32'h3ef44e10,32'h3f0702b8, 32'h3ee77260,32'h3f0d7090,// invsqrt(3.9639) = 0.5023 +32'h400fedae,32'h3f274b7f,32'h3f2e1f8f, 32'h3f222c73,32'h3f333e9b, 32'h3f19a360,32'h3f3bc7ae,// invsqrt(2.2489) = 0.6668 +32'h3fec1bc2,32'h3f38b878,32'h3f40429c, 32'h3f3310dd,32'h3f45ea37, 32'h3f29a42f,32'h3f4f56e5,// invsqrt(1.8446) = 0.7363 +32'h3e6a4297,32'h400321a6,32'h40087bd7, 32'h3ffe3c04,32'h400c7f7c, 32'h3ff0da8b,32'h40133038,// invsqrt(0.2288) = 2.0907 +32'h3f842f48,32'h3f76e07c,32'h3f807a0c, 32'h3f6f51c6,32'h3f844167, 32'h3f62b943,32'h3f8a8da9,// invsqrt(1.0327) = 0.9840 +32'h3d98578c,32'h4065f6f8,32'h406f59de, 32'h405eeccc,32'h4076640a, 32'h4053312d,32'h40810fd4,// invsqrt(0.0744) = 3.6665 +32'h403bdef8,32'h3f126dbf,32'h3f1867c7, 32'h3f0df239,32'h3f1ce34d, 32'h3f0679b0,32'h3f245bd6,// invsqrt(2.9355) = 0.5837 +32'h3fe69ce6,32'h3f3ae899,32'h3f42899a, 32'h3f352fd8,32'h3f48425a, 32'h3f2ba696,32'h3f51cb9c,// invsqrt(1.8017) = 0.7450 +32'h3f1dcbe9,32'h3f9fc642,32'h3fa64bbc, 32'h3f9ae225,32'h3fab2fd9, 32'h3f92bb4c,32'h3fb356b2,// invsqrt(0.6164) = 1.2737 +32'h3f1299c0,32'h3fa5c362,32'h3fac8771, 32'h3fa0b058,32'h3fb19a7c, 32'h3f983b46,32'h3fba0f8e,// invsqrt(0.5727) = 1.3215 +32'h41b4c437,32'h3e531c92,32'h3e5bba78, 32'h3e4ca625,32'h3e6230e5, 32'h3e41e0c4,32'h3e6cf646,// invsqrt(22.5958) = 0.2104 +32'h3ff4bbac,32'h3f356fbf,32'h3f3cd793, 32'h3f2fe1e1,32'h3f426571, 32'h3f26a018,32'h3f4ba73a,// invsqrt(1.9120) = 0.7232 +32'h435328ac,32'h3d8a1e4c,32'h3d8fc17e, 32'h3d85e3e6,32'h3d93fbe4, 32'h3d7dafcf,32'h3d9b07e2,// invsqrt(211.1589) = 0.0688 +32'h3ecd73b2,32'h3fc605eb,32'h3fce1b0f, 32'h3fbff610,32'h3fd42aea, 32'h3fb5dba4,32'h3fde4556,// invsqrt(0.4013) = 1.5786 +32'h403e2b82,32'h3f118a79,32'h3f177b3a, 32'h3f0d15e8,32'h3f1befca, 32'h3f05a8f6,32'h3f235cbc,// invsqrt(2.9714) = 0.5801 +32'h3fc33652,32'h3f4b267d,32'h3f537133, 32'h3f44ee74,32'h3f59a93c, 32'h3f3a910f,32'h3f6406a1,// invsqrt(1.5251) = 0.8098 +32'h3f9d2512,32'h3f626c5a,32'h3f6baa3e, 32'h3f5b7def,32'h3f7298a9, 32'h3f4ff091,32'h3f7e2607,// invsqrt(1.2277) = 0.9025 +32'h3f03be3b,32'h3faedc54,32'h3fb5ff72, 32'h3fa981fe,32'h3fbb59c8, 32'h3fa09619,32'h3fc445ad,// invsqrt(0.5146) = 1.3940 +32'h3fd0a900,32'h3f447eb1,32'h3f4c83dd, 32'h3f3e7ad0,32'h3f5287be, 32'h3f34745a,32'h3f5c8e35,// invsqrt(1.6302) = 0.7832 +32'h3f1b124b,32'h3fa12c14,32'h3fa7c02a, 32'h3f9c3d04,32'h3facaf3a, 32'h3f9403e9,32'h3fb4e855,// invsqrt(0.6057) = 1.2849 +32'h3fcd2c13,32'h3f462879,32'h3f4e3f05, 32'h3f40178f,32'h3f544fef, 32'h3f35fb5f,32'h3f5e6c1f,// invsqrt(1.6029) = 0.7899 +32'h3f86deb2,32'h3f74682c,32'h3f7e61fa, 32'h3f6cecd2,32'h3f82eeaa, 32'h3f607491,32'h3f892aca,// invsqrt(1.0537) = 0.9742 +32'h3dc105ca,32'h404c4ca1,32'h4054a359, 32'h40460b97,32'h405ae463, 32'h403b9f30,32'h406550ca,// invsqrt(0.0942) = 3.2573 +32'h3e98de49,32'h3fe5918a,32'h3feef04b, 32'h3fde8a78,32'h3ff5f75c, 32'h3fd2d406,32'h4000d6e7,// invsqrt(0.2986) = 1.8301 +32'h4054a1c7,32'h3f09a39b,32'h3f0f41cb, 32'h3f056cf7,32'h3f13786f, 32'h3efcce75,32'h3f1a7e2b,// invsqrt(3.3224) = 0.5486 +32'h3eb3df73,32'h3fd3a2a7,32'h3fdc4606, 32'h3fcd281e,32'h3fe2c08e, 32'h3fc25be7,32'h3fed8cc5,// invsqrt(0.3513) = 1.6871 +32'h3fb1c29a,32'h3f54e3a8,32'h3f5d9422, 32'h3f4e5f4d,32'h3f64187d, 32'h3f4382b4,32'h3f6ef516,// invsqrt(1.3888) = 0.8486 +32'h3fc3abd0,32'h3f4ae976,32'h3f5331af, 32'h3f44b34b,32'h3f5967d9, 32'h3f3a5903,32'h3f63c221,// invsqrt(1.5287) = 0.8088 +32'h40253a0d,32'h3f1c23fe,32'h3f228380, 32'h3f175c5c,32'h3f274b22, 32'h3f0f64f9,32'h3f2f4285,// invsqrt(2.5817) = 0.6224 +32'h414fe291,32'h3e8b33a0,32'h3e90e224, 32'h3e86f0bd,32'h3e952507, 32'h3e7fad30,32'h3e9c3f2c,// invsqrt(12.9928) = 0.2774 +32'h405a81e2,32'h3f07c6a8,32'h3f0d5160, 32'h3f039e9d,32'h3f11796b, 32'h3ef9626e,32'h3f1866d1,// invsqrt(3.4142) = 0.5412 +32'h3fb9aefa,32'h3f504c33,32'h3f58ccb2, 32'h3f49ebd3,32'h3f5f2d11, 32'h3f3f4b33,32'h3f69cdb1,// invsqrt(1.4507) = 0.8303 +32'h4013fb69,32'h3f24fcd8,32'h3f2bb8cc, 32'h3f1fefe1,32'h3f30c5c3, 32'h3f1784f0,32'h3f3930b4,// invsqrt(2.3122) = 0.6576 +32'h3e3247dc,32'h401650c7,32'h401c736b, 32'h4011b6cb,32'h40210d67, 32'h400a0b7d,32'h4028b8b5,// invsqrt(0.1741) = 2.3966 +32'h40106566,32'h3f270617,32'h3f2dd751, 32'h3f21e92b,32'h3f32f43d, 32'h3f1963a2,32'h3f3b79c6,// invsqrt(2.2562) = 0.6658 +32'h3f960d63,32'h3f67b66f,32'h3f712b99, 32'h3f609e91,32'h3f784377, 32'h3f54cc1d,32'h3f820af5,// invsqrt(1.1723) = 0.9236 +32'h3f2992be,32'h3f9a2064,32'h3fa06adc, 32'h3f95688b,32'h3fa522b5, 32'h3f8d8b76,32'h3facffca,// invsqrt(0.6624) = 1.2287 +32'h3f4abd5d,32'h3f8cf4fe,32'h3f92b5d9, 32'h3f88a459,32'h3f97067d, 32'h3f817347,32'h3f9e378f,// invsqrt(0.7920) = 1.1237 +32'h40f32775,32'h3eb6064f,32'h3ebd7448, 32'h3eb073d5,32'h3ec306c3, 32'h3ea72a5e,32'h3ecc503a,// invsqrt(7.5986) = 0.3628 +32'h40190fda,32'h3f223a0c,32'h3f28d926, 32'h3f1d42b8,32'h3f2dd07a, 32'h3f14fbd6,32'h3f36175c,// invsqrt(2.3916) = 0.6466 +32'h3edad621,32'h3fbfdf30,32'h3fc7b40e, 32'h3fb9ff8a,32'h3fcd93b4, 32'h3fb03576,32'h3fd75dc9,// invsqrt(0.4274) = 1.5296 +32'h3f3c5ff1,32'h3f923b96,32'h3f983392, 32'h3f8dc199,32'h3f9cad8f, 32'h3f864b9f,32'h3fa42389,// invsqrt(0.7358) = 1.1658 +32'h40177caf,32'h3f23115d,32'h3f29b941, 32'h3f1e1371,32'h3f2eb72d, 32'h3f15c194,32'h3f37090a,// invsqrt(2.3670) = 0.6500 +32'h3d161774,32'h40a3d2f9,32'h40aa82c5, 32'h409ecf21,32'h40af869d, 32'h40967362,32'h40b7e25c,// invsqrt(0.0366) = 5.2240 +32'h3f1a0389,32'h3fa1b982,32'h3fa8535e, 32'h3f9cc61e,32'h3fad46c2, 32'h3f9485cb,32'h3fb58715,// invsqrt(0.6016) = 1.2893 +32'h4083a4ca,32'h3ef76236,32'h3f00bd8f, 32'h3eefcf88,32'h3f0486e6, 32'h3ee33066,32'h3f0ad677,// invsqrt(4.1139) = 0.4930 +32'h3f4ff97a,32'h3f8b2bf5,32'h3f90da29, 32'h3f86e94e,32'h3f951cd0, 32'h3f7f9f1b,32'h3f9c3690,// invsqrt(0.8124) = 1.1095 +32'h40098ce2,32'h3f2b2130,32'h3f321d51, 32'h3f25e416,32'h3f375a6a, 32'h3f1d28ed,32'h3f401593,// invsqrt(2.1492) = 0.6821 +32'h3f196e6c,32'h3fa20805,32'h3fa8a515, 32'h3f9d1239,32'h3fad9ae1, 32'h3f94cde5,32'h3fb5df35,// invsqrt(0.5993) = 1.2917 +32'h3f0fc51d,32'h3fa76318,32'h3fae381e, 32'h3fa24353,32'h3fb357e3, 32'h3f99b90c,32'h3fbbe22b,// invsqrt(0.5616) = 1.3344 +32'h3fa9058c,32'h3f5a52bb,32'h3f633bfd, 32'h3f53a3cb,32'h3f69eaed, 32'h3f488039,32'h3f750e7f,// invsqrt(1.3205) = 0.8702 +32'h3d8bcb74,32'h4070102f,32'h4079dc99, 32'h4068b6df,32'h40809af4, 32'h405c775a,32'h4086bab7,// invsqrt(0.0683) = 3.8275 +32'h3f9adb32,32'h3f641719,32'h3f6d6669, 32'h3f5d1b9e,32'h3f7461e4, 32'h3f51787a,32'h3f800284,// invsqrt(1.2098) = 0.9092 +32'h3f591a6d,32'h3f8836e0,32'h3f8dc62e, 32'h3f840b67,32'h3f91f1a7, 32'h3f7a308d,32'h3f98e4c8,// invsqrt(0.8481) = 1.0859 +32'h3f2edd4a,32'h3f97c6db,32'h3f9df8c4, 32'h3f93216b,32'h3fa29e33, 32'h3f8b6307,32'h3faa5c97,// invsqrt(0.6831) = 1.2100 +32'h3fd668af,32'h3f41d7c0,32'h3f49c135, 32'h3f3be8a7,32'h3f4fb04d, 32'h3f3204d4,32'h3f599420,// invsqrt(1.6751) = 0.7727 +32'h404fe2f3,32'h3f0b337f,32'h3f10e202, 32'h3f06f09e,32'h3f1524e4, 32'h3effacf5,32'h3f1c3f08,// invsqrt(3.2482) = 0.5549 +32'h3ed597ae,32'h3fc2367f,32'h3fca23d3, 32'h3fbc4481,32'h3fd015d1, 32'h3fb25bd8,32'h3fd9fe7a,// invsqrt(0.4172) = 1.5483 +32'h3f8d43fe,32'h3f6ecf68,32'h3f788eba, 32'h3f677fea,32'h3f7fde38, 32'h3f5b50c2,32'h3f8606b0,// invsqrt(1.1036) = 0.9519 +32'h3f4ae25b,32'h3f8ce823,32'h3f92a879, 32'h3f8897e4,32'h3f96f8b8, 32'h3f816779,32'h3f9e2923,// invsqrt(0.7925) = 1.1233 +32'h403ccbb0,32'h3f1211d6,32'h3f18081d, 32'h3f0d9920,32'h3f1c80d2, 32'h3f062546,32'h3f23f4ac,// invsqrt(2.9499) = 0.5822 +32'h42bac56b,32'h3dcfb0b4,32'h3dd82adb, 32'h3dc95518,32'h3dde8678, 32'h3dbebc67,32'h3de91f29,// invsqrt(93.3856) = 0.1035 +32'h3e8c2357,32'h3fefc4dc,32'h3ff98e34, 32'h3fe86ddb,32'h4000729b, 32'h3fdc322e,32'h40069071,// invsqrt(0.2737) = 1.9114 +32'h3fa2212d,32'h3f5eea51,32'h3f68038e, 32'h3f581764,32'h3f6ed67c, 32'h3f4cb7d8,32'h3f7a3608,// invsqrt(1.2666) = 0.8885 +32'h4093ce5f,32'h3ee97774,32'h3ef2fef1, 32'h3ee251d7,32'h3efa248f, 32'h3ed6687b,32'h3f0306f6,// invsqrt(4.6189) = 0.4653 +32'h3dfbb4ad,32'h4032e7e3,32'h403a3545, 32'h402d6dd9,32'h403faf4f, 32'h40244d1f,32'h4048d009,// invsqrt(0.1229) = 2.8525 +32'h3f0ca655,32'h3fa93bcd,32'h3fb0241e, 32'h3fa40d8f,32'h3fb5525b, 32'h3f9b6b29,32'h3fbdf4c1,// invsqrt(0.5494) = 1.3491 +32'h3ec0de46,32'h3fcc618d,32'h3fd4b920, 32'h3fc61fdf,32'h3fdafacf, 32'h3fbbb268,32'h3fe56846,// invsqrt(0.3767) = 1.6293 +32'h3ed54ce1,32'h3fc2588a,32'h3fca4742, 32'h3fbc6581,32'h3fd03a4b, 32'h3fb27b1c,32'h3fda24b0,// invsqrt(0.4166) = 1.5493 +32'h3ff61afb,32'h3f34ee11,32'h3f3c5099, 32'h3f2f642a,32'h3f41da80, 32'h3f262900,32'h3f4b15aa,// invsqrt(1.9227) = 0.7212 +32'h40207209,32'h3f1e7335,32'h3f24ead9, 32'h3f19997a,32'h3f29c494, 32'h3f1183ed,32'h3f31da21,// invsqrt(2.5070) = 0.6316 +32'h4036a081,32'h3f148420,32'h3f1a93f8, 32'h3f0ff83f,32'h3f1f1fd9, 32'h3f086471,32'h3f26b3a7,// invsqrt(2.8535) = 0.5920 +32'h4094218c,32'h3ee935df,32'h3ef2baaf, 32'h3ee21243,32'h3ef9de4b, 32'h3ed62c40,32'h3f02e227,// invsqrt(4.6291) = 0.4648 +32'h3ec5a6d6,32'h3fc9e48c,32'h3fd2221e, 32'h3fc3b65e,32'h3fd8504c, 32'h3fb96966,32'h3fe29d44,// invsqrt(0.3860) = 1.6095 +32'h3f22e980,32'h3f9d3ef4,32'h3fa3aa03, 32'h3f986ea8,32'h3fa87a4e, 32'h3f9068d5,32'h3fb08021,// invsqrt(0.6364) = 1.2536 +32'h3fd5992a,32'h3f4235d3,32'h3f4a231f, 32'h3f3c43d9,32'h3f501519, 32'h3f325b3a,32'h3f59fdb8,// invsqrt(1.6687) = 0.7741 +32'h3f827939,32'h3f787d93,32'h3f815106, 32'h3f70e238,32'h3f851eb3, 32'h3f6434a1,32'h3f8b757f,// invsqrt(1.0193) = 0.9905 +32'h3ecd9ce1,32'h3fc5f216,32'h3fce066a, 32'h3fbfe2d6,32'h3fd415aa, 32'h3fb5c96d,32'h3fde2f13,// invsqrt(0.4016) = 1.5780 +32'h3f365186,32'h3f94a448,32'h3f9ab56f, 32'h3f90176a,32'h3f9f424c, 32'h3f8881f8,32'h3fa6d7be,// invsqrt(0.7122) = 1.1850 +32'h3faf64c2,32'h3f56521b,32'h3f5f118a, 32'h3f4fc288,32'h3f65a11e, 32'h3f44d33e,32'h3f709068,// invsqrt(1.3703) = 0.8543 +32'h411fe4dd,32'h3e9eb919,32'h3ea53397, 32'h3e99dd3a,32'h3eaa0f76, 32'h3e91c41c,32'h3eb22894,// invsqrt(9.9934) = 0.3163 +32'h3f0fef6b,32'h3fa74a7d,32'h3fae1e82, 32'h3fa22b79,32'h3fb33d85, 32'h3f99a272,32'h3fbbc68c,// invsqrt(0.5622) = 1.3336 +32'h3f7bd5b4,32'h3f7cf23b,32'h3f83a2a1, 32'h3f7533f4,32'h3f8781c4, 32'h3f684c2b,32'h3f8df5a8,// invsqrt(0.9837) = 1.0082 +32'h3fa46877,32'h3f5d5d82,32'h3f66668c, 32'h3f5696ba,32'h3f6d2d54, 32'h3f4b4b6d,32'h3f7878a1,// invsqrt(1.2844) = 0.8824 +32'h40932ea4,32'h3ee9f602,32'h3ef382a9, 32'h3ee2cc84,32'h3efaac26, 32'h3ed6dcb3,32'h3f034dfc,// invsqrt(4.5994) = 0.4663 +32'h3f0d712b,32'h3fa8c249,32'h3fafa5a4, 32'h3fa397c3,32'h3fb4d029, 32'h3f9afb91,32'h3fbd6c5b,// invsqrt(0.5525) = 1.3453 +32'h3f1eba87,32'h3f9f4dfc,32'h3fa5ce8e, 32'h3f9a6d8e,32'h3faaaefc, 32'h3f924cd8,32'h3fb2cfb2,// invsqrt(0.6200) = 1.2700 +32'h3ede5bdb,32'h3fbe5896,32'h3fc61d82, 32'h3fb884e5,32'h3fcbf133, 32'h3faecebe,32'h3fd5a75a,// invsqrt(0.4343) = 1.5174 +32'h3dbe0006,32'h404deafc,32'h4056529e, 32'h40479d43,32'h405ca057, 32'h403d1bb8,32'h406721e2,// invsqrt(0.0928) = 3.2831 +32'h3daab963,32'h40593b5b,32'h40621935, 32'h405294f8,32'h4068bf98, 32'h40477fa7,32'h4073d4e9,// invsqrt(0.0834) = 3.4635 +32'h3f508047,32'h3f8afef1,32'h3f90ab4e, 32'h3f86bdaa,32'h3f94ec94, 32'h3f7f4c6b,32'h3f9c0408,// invsqrt(0.8145) = 1.1081 +32'h3f798443,32'h3f7e1e55,32'h3f843ece, 32'h3f7656df,32'h3f882289, 32'h3f695fc6,32'h3f8e9e15,// invsqrt(0.9747) = 1.0129 +32'h3fef69a0,32'h3f377104,32'h3f3eedcb, 32'h3f31d370,32'h3f448b60, 32'h3f287777,32'h3f4de759,// invsqrt(1.8704) = 0.7312 +32'h3e0ebadd,32'h4027feef,32'h402eda51, 32'h4022da65,32'h4033fedb, 32'h401a482a,32'h403c9116,// invsqrt(0.1394) = 2.6785 +32'h3f7b5e27,32'h3f7d2e5a,32'h3f83c1eb, 32'h3f756e3d,32'h3f87a1f9, 32'h3f688362,32'h3f8e1767,// invsqrt(0.9819) = 1.0092 +32'h3f7a03cc,32'h3f7ddd7c,32'h3f841d0f, 32'h3f761804,32'h3f87ffcc, 32'h3f692439,32'h3f8e79b1,// invsqrt(0.9766) = 1.0119 +32'h3f52a462,32'h3f8a49a4,32'h3f8fee9a, 32'h3f860dea,32'h3f942a54, 32'h3f7dff6b,32'h3f9b3888,// invsqrt(0.8228) = 1.1024 +32'h400aa72c,32'h3f2a72a2,32'h3f3167a4, 32'h3f253ae1,32'h3f369f65, 32'h3f1c88a0,32'h3f3f51a7,// invsqrt(2.1665) = 0.6794 +32'h409bcb7d,32'h3ee366ef,32'h3eecaf0d, 32'h3edc70d8,32'h3ef3a524, 32'h3ed0d6b1,32'h3eff3f4b,// invsqrt(4.8686) = 0.4532 +32'h3f78cfa8,32'h3f7e7a7f,32'h3f846ec5, 32'h3f76b037,32'h3f8853e8, 32'h3f69b46a,32'h3f8ed1cf,// invsqrt(0.9719) = 1.0143 +32'h3d75d7e5,32'h40800145,32'h40853acb, 32'h40782c42,32'h408925ef, 32'h406b1c75,32'h408fadd6,// invsqrt(0.0600) = 4.0818 +32'h404e3d51,32'h3f0bc181,32'h3f1175d0, 32'h3f077a47,32'h3f15bd0b, 32'h3f0058e5,32'h3f1cde6d,// invsqrt(3.2225) = 0.5571 +32'h4002837d,32'h3f2faeae,32'h3f36da61, 32'h3f2a4de7,32'h3f3c3b27, 32'h3f215746,32'h3f4531c8,// invsqrt(2.0393) = 0.7003 +32'h3f863e54,32'h3f74f9fd,32'h3f7ef9be, 32'h3f6d7a2c,32'h3f833cc7, 32'h3f60fa7a,32'h3f897ca0,// invsqrt(1.0488) = 0.9765 +32'h3f2016e4,32'h3f9ea04a,32'h3fa519c5, 32'h3f99c52d,32'h3fa9f4e1, 32'h3f91ad53,32'h3fb20cbb,// invsqrt(0.6253) = 1.2646 +32'h3f811840,32'h3f79d062,32'h3f820158, 32'h3f722aa9,32'h3f85d435, 32'h3f656bc8,32'h3f8c33a5,// invsqrt(1.0086) = 0.9958 +32'h3fbcdae9,32'h3f4e8a8b,32'h3f56f8b0, 32'h3f4837ef,32'h3f5d4b4b, 32'h3f3dae40,32'h3f67d4fa,// invsqrt(1.4754) = 0.8233 +32'h3f8ff6e2,32'h3f6c8faa,32'h3f76377c, 32'h3f6551cc,32'h3f7d755a, 32'h3f594004,32'h3f84c391,// invsqrt(1.1247) = 0.9429 +32'h3f9a836b,32'h3f6457da,32'h3f6da9ce, 32'h3f5d5a63,32'h3f74a745, 32'h3f51b3f2,32'h3f8026db,// invsqrt(1.2071) = 0.9102 +32'h4095356b,32'h3ee85de4,32'h3ef1d9e3, 32'h3ee140e5,32'h3ef8f6e3, 32'h3ed565e7,32'h3f0268f1,// invsqrt(4.6628) = 0.4631 +32'h4047b891,32'h3f0e04ad,32'h3f13d09f, 32'h3f09abb7,32'h3f182995, 32'h3f026cc9,32'h3f1f6883,// invsqrt(3.1206) = 0.5661 +32'h3f68c040,32'h3f838e4e,32'h3f88ecee, 32'h3f7f0eac,32'h3f8cf3e6, 32'h3f71a21c,32'h3f93aa2e,// invsqrt(0.9092) = 1.0488 +32'h3ea45bef,32'h3fdd65f2,32'h3fe66f54, 32'h3fd69ee8,32'h3fed365e, 32'h3fcb532c,32'h3ff8821a,// invsqrt(0.3210) = 1.7650 +32'h3f87bea9,32'h3f739e39,32'h3f7d8fc9, 32'h3f6c290e,32'h3f82827a, 32'h3f5fbb1a,32'h3f88b974,// invsqrt(1.0605) = 0.9711 +32'h40491880,32'h3f0d8831,32'h3f134f0f, 32'h3f09330b,32'h3f17a435, 32'h3f01fa76,32'h3f1edcca,// invsqrt(3.1421) = 0.5641 +32'h3fb756f3,32'h3f519ff8,32'h3f5a2e56, 32'h3f4b3532,32'h3f60991c, 32'h3f40833d,32'h3f6b4b11,// invsqrt(1.4323) = 0.8356 +32'h3fc46253,32'h3f4a8b16,32'h3f52cf74, 32'h3f4457ce,32'h3f5902bc, 32'h3f3a0258,32'h3f635832,// invsqrt(1.5343) = 0.8073 +32'h3f9d0c87,32'h3f627e0a,32'h3f6bbca8, 32'h3f5b8f15,32'h3f72ab9d, 32'h3f5000d0,32'h3f7e39e2,// invsqrt(1.2269) = 0.9028 +32'h425d8e63,32'h3e06d6ab,32'h3e0c5798, 32'h3e02b5fa,32'h3e10784a, 32'h3df7a9a4,32'h3e175972,// invsqrt(55.3890) = 0.1344 +32'h3fc11187,32'h3f4c466b,32'h3f549ce2, 32'h3f460591,32'h3f5addbb, 32'h3f3b997c,32'h3f6549d0,// invsqrt(1.5083) = 0.8142 +32'h3f74de9c,32'h3f80425d,32'h3f857e8b, 32'h3f78aa76,32'h3f896bad, 32'h3f6b9404,32'h3f8ff6e6,// invsqrt(0.9565) = 1.0225 +32'h3fbcd4cd,32'h3f4e8de2,32'h3f56fc2a, 32'h3f483b2c,32'h3f5d4ee0, 32'h3f3db152,32'h3f67d8ba,// invsqrt(1.4752) = 0.8233 +32'h3fa01db5,32'h3f605004,32'h3f6977da, 32'h3f597223,32'h3f7055bb, 32'h3f4e0057,32'h3f7bc787,// invsqrt(1.2509) = 0.8941 +32'h40ecfaa1,32'h3eb86187,32'h3ebfe81f, 32'h3eb2bc95,32'h3ec58d11, 32'h3ea95458,32'h3ecef54f,// invsqrt(7.4056) = 0.3675 +32'h3f1b4423,32'h3fa11234,32'h3fa7a53b, 32'h3f9c23ee,32'h3fac9380, 32'h3f93ec25,32'h3fb4cb49,// invsqrt(0.6065) = 1.2840 +32'h4041b03a,32'h3f103683,32'h3f161964, 32'h3f0bcc5b,32'h3f1a838d, 32'h3f0470c2,32'h3f21df26,// invsqrt(3.0264) = 0.5748 +32'h4011713e,32'h3f266c04,32'h3f2d36f5, 32'h3f2153d0,32'h3f324f2a, 32'h3f18d624,32'h3f3accd6,// invsqrt(2.2725) = 0.6634 +32'h3f354d31,32'h3f950ed9,32'h3f9b245b, 32'h3f907eb9,32'h3f9fb47b, 32'h3f88e3d7,32'h3fa74f5d,// invsqrt(0.7082) = 1.1883 +32'h40455103,32'h3f0ee187,32'h3f14b67d, 32'h3f0a81cf,32'h3f191635, 32'h3f03379b,32'h3f206069,// invsqrt(3.0831) = 0.5695 +32'h40d043bf,32'h3ec4ae6f,32'h3eccb58e, 32'h3ebea919,32'h3ed2bae5, 32'h3eb4a032,32'h3edcc3cc,// invsqrt(6.5083) = 0.3920 +32'h3ecea68e,32'h3fc572af,32'h3fcd81d0, 32'h3fbf6755,32'h3fd38d29, 32'h3fb5546c,32'h3fdda012,// invsqrt(0.4036) = 1.5740 +32'h3e9ba582,32'h3fe382ac,32'h3feccbec, 32'h3fdc8bbb,32'h3ff3c2dd, 32'h3fd0f02b,32'h3fff5e6d,// invsqrt(0.3040) = 1.8137 +32'h3ee1f539,32'h3fbcd2f4,32'h3fc487f8, 32'h3fb70b30,32'h3fca4fbc, 32'h3fad68ea,32'h3fd3f202,// invsqrt(0.4413) = 1.5053 +32'h420651e4,32'h3e2d2cde,32'h3e343e60, 32'h3e27dfbd,32'h3e398b81, 32'h3e1f09dc,32'h3e426162,// invsqrt(33.5800) = 0.1726 +32'h400607d6,32'h3f2d5caf,32'h3f347024, 32'h3f280e18,32'h3f39bebc, 32'h3f1f35c6,32'h3f42970e,// invsqrt(2.0942) = 0.6910 +32'h3f7c7a58,32'h3f7c9fb4,32'h3f8377ae, 32'h3f74e3f4,32'h3f87558e, 32'h3f680061,32'h3f8dc758,// invsqrt(0.9862) = 1.0070 +32'h3fb3ea28,32'h3f539c5a,32'h3f5c3f78, 32'h3f4d2204,32'h3f62b9ce, 32'h3f42561e,32'h3f6d85b4,// invsqrt(1.4056) = 0.8435 +32'h3fa03362,32'h3f6040d7,32'h3f69680f, 32'h3f59636d,32'h3f704579, 32'h3f4df268,32'h3f7bb67f,// invsqrt(1.2516) = 0.8939 +32'h3f8ff8ef,32'h3f6c8dfb,32'h3f7635bc, 32'h3f65502a,32'h3f7d738c, 32'h3f593e78,32'h3f84c29f,// invsqrt(1.1248) = 0.9429 +32'h3ec99208,32'h3fc7ebae,32'h3fd014a6, 32'h3fc1ccf5,32'h3fd6335f, 32'h3fb799bf,32'h3fe06695,// invsqrt(0.3937) = 1.5938 +32'h3f83aff6,32'h3f7757b8,32'h3f80b819, 32'h3f6fc55c,32'h3f848147, 32'h3f6326c3,32'h3f8ad094,// invsqrt(1.0288) = 0.9859 +32'h3f9bdff3,32'h3f635802,32'h3f6c9f84, 32'h3f5c6260,32'h3f739526, 32'h3f50c8fc,32'h3f7f2e8a,// invsqrt(1.2178) = 0.9062 +32'h3f57118f,32'h3f88db6f,32'h3f8e7173, 32'h3f84aaeb,32'h3f92a1f7, 32'h3f7b5ecb,32'h3f999d7c,// invsqrt(0.8401) = 1.0910 +32'h3f32377d,32'h3f9657ae,32'h3f9c7a9c, 32'h3f91bd7d,32'h3fa114cd, 32'h3f8a11d4,32'h3fa8c076,// invsqrt(0.6962) = 1.1985 +32'h3e0a6f28,32'h402a951b,32'h40318b85, 32'h40255c4c,32'h4036c454, 32'h401ca848,32'h403f7858,// invsqrt(0.1352) = 2.7197 +32'h402c344a,32'h3f18f1d0,32'h3f1f2fee, 32'h3f14433a,32'h3f23de84, 32'h3f0c7596,32'h3f2bac28,// invsqrt(2.6907) = 0.6096 +32'h3e9203d7,32'h3feae4ea,32'h3ff47b52, 32'h3fe3b41c,32'h3ffbac20, 32'h3fd7b81b,32'h4003d410,// invsqrt(0.2852) = 1.8726 +32'h3ff15c07,32'h3f36b33b,32'h3f3e2843, 32'h3f311b75,32'h3f43c009, 32'h3f27c92c,32'h3f4d1252,// invsqrt(1.8856) = 0.7282 +32'h3f9d42c1,32'h3f6256fa,32'h3f6b9400, 32'h3f5b6937,32'h3f7281c3, 32'h3f4fdcf0,32'h3f7e0e0a,// invsqrt(1.2286) = 0.9022 +32'h3fc3af8b,32'h3f4ae787,32'h3f532fab, 32'h3f44b16b,32'h3f5965c7, 32'h3f3a573d,32'h3f63bff5,// invsqrt(1.5288) = 0.8088 +32'h3fa164e0,32'h3f5f6c35,32'h3f688abf, 32'h3f58954e,32'h3f6f61a6, 32'h3f4d2f21,32'h3f7ac7d3,// invsqrt(1.2609) = 0.8906 +32'h40036165,32'h3f2f1a11,32'h3f363fb3, 32'h3f29bdd7,32'h3f3b9bed, 32'h3f20cecb,32'h3f448af9,// invsqrt(2.0528) = 0.6980 +32'h3f9084e6,32'h3f6c1b52,32'h3f75be66, 32'h3f64e104,32'h3f7cf8b4, 32'h3f58d52c,32'h3f848246,// invsqrt(1.1291) = 0.9411 +32'h3e8ead47,32'h3feda04c,32'h3ff75340, 32'h3fe65a16,32'h3ffe9976, 32'h3fda3a65,32'h40055c93,// invsqrt(0.2787) = 1.8943 +32'h400c6afc,32'h3f295f8c,32'h3f304954, 32'h3f243037,32'h3f3578a9, 32'h3f1b8bfe,32'h3f3e1ce2,// invsqrt(2.1940) = 0.6751 +32'h3e8d64c6,32'h3feeb3b7,32'h3ff871e9, 32'h3fe76512,32'h3fffc08e, 32'h3fdb3755,32'h4005f726,// invsqrt(0.2762) = 1.9029 +32'h3f3437ed,32'h3f958157,32'h3f9b9b85, 32'h3f90edb5,32'h3fa02f27, 32'h3f894cfd,32'h3fa7cfdf,// invsqrt(0.7040) = 1.1918 +32'h4094ecbd,32'h3ee89691,32'h3ef214e0, 32'h3ee177d5,32'h3ef9339b, 32'h3ed599f2,32'h3f0288bf,// invsqrt(4.6539) = 0.4635 +32'h42fdf22a,32'h3db21d6d,32'h3db9628c, 32'h3daca997,32'h3dbed663, 32'h3da39330,32'h3dc7ecca,// invsqrt(126.9730) = 0.0887 +32'h3fdc8980,32'h3f3f216e,32'h3f46ee8c, 32'h3f394797,32'h3f4cc863, 32'h3f2f8730,32'h3f5688ca,// invsqrt(1.7229) = 0.7618 +32'h401dbd08,32'h3f1fcdcb,32'h3f265395, 32'h3f1ae974,32'h3f2b37ec, 32'h3f12c238,32'h3f335f28,// invsqrt(2.4647) = 0.6370 +32'h3e6eb2ba,32'h4001e81d,32'h40073581, 32'h3ffbdc23,32'h400b2f8d, 32'h3fee9aa8,32'h4011d04a,// invsqrt(0.2331) = 2.0712 +32'h3ff1438e,32'h3f36bc7f,32'h3f3e31e7, 32'h3f312470,32'h3f43c9f6, 32'h3f27d1ae,32'h3f4d1cb8,// invsqrt(1.8849) = 0.7284 +32'h412be133,32'h3e9916c3,32'h3e9f5663, 32'h3e94670c,32'h3ea4061a, 32'h3e8c9784,32'h3eabd5a2,// invsqrt(10.7425) = 0.3051 +32'h3f1ea81e,32'h3f9f573a,32'h3fa5d82d, 32'h3f9a7685,32'h3faab8e3, 32'h3f925555,32'h3fb2da13,// invsqrt(0.6198) = 1.2703 +32'h3f900170,32'h3f6c86fe,32'h3f762e77, 32'h3f654965,32'h3f7d6c11, 32'h3f59380f,32'h3f84beb4,// invsqrt(1.1250) = 0.9428 +32'h403336da,32'h3f15ec6b,32'h3f1c0af7, 32'h3f115582,32'h3f20a1e0, 32'h3f09af52,32'h3f284810,// invsqrt(2.8002) = 0.5976 +32'h409be3ff,32'h3ee3550e,32'h3eec9c72, 32'h3edc5f83,32'h3ef391fd, 32'h3ed0c646,32'h3eff2b3a,// invsqrt(4.8716) = 0.4531 +32'h3f5756be,32'h3f88c571,32'h3f8e5a90, 32'h3f84959b,32'h3f928a67, 32'h3f7b3668,32'h3f9984ce,// invsqrt(0.8412) = 1.0903 +32'h3ea6ae2c,32'h3fdbd9e7,32'h3fe4d320, 32'h3fd51efd,32'h3feb8e0b, 32'h3fc9e777,32'h3ff6c591,// invsqrt(0.3255) = 1.7526 +32'h3f875804,32'h3f73fa89,32'h3f7defdd, 32'h3f6c828a,32'h3f82b3ee, 32'h3f600fe1,32'h3f88ed42,// invsqrt(1.0574) = 0.9725 +32'h3f768212,32'h3f7faa1d,32'h3f850cc6, 32'h3f77d689,32'h3f88f68f, 32'h3f6acb3f,32'h3f8f7c35,// invsqrt(0.9629) = 1.0191 +32'h3f9d09e1,32'h3f627ff3,32'h3f6bbea5, 32'h3f5b90ef,32'h3f72ada9, 32'h3f500291,32'h3f7e3c07,// invsqrt(1.2269) = 0.9028 +32'h4061a473,32'h3f059cb1,32'h3f0b10cd, 32'h3f01859c,32'h3f0f27e2, 32'h3ef568f1,32'h3f15f905,// invsqrt(3.5257) = 0.5326 +32'h404f2cf0,32'h3f0b7098,32'h3f112198, 32'h3f072bd7,32'h3f156659, 32'h3f000e96,32'h3f1c839a,// invsqrt(3.2371) = 0.5558 +32'h3f0bb22d,32'h3fa9cf70,32'h3fb0bdc8, 32'h3fa49cae,32'h3fb5f08a, 32'h3f9bf2c0,32'h3fbe9a78,// invsqrt(0.5457) = 1.3537 +32'h3f7a6e0b,32'h3f7da79d,32'h3f840106, 32'h3f75e3c9,32'h3f87e2ef, 32'h3f68f2bf,32'h3f8e5b75,// invsqrt(0.9782) = 1.0111 +32'h3f56aa27,32'h3f88fc62,32'h3f8e93be, 32'h3f84cadc,32'h3f92c544, 32'h3f7b9b50,32'h3f99c278,// invsqrt(0.8385) = 1.0920 +32'h3fa48e7e,32'h3f5d43ec,32'h3f664bec, 32'h3f567ded,32'h3f6d11eb, 32'h3f4b33ee,32'h3f785bea,// invsqrt(1.2856) = 0.8820 +32'h40072424,32'h3f2ca5f4,32'h3f33b1f4, 32'h3f275cf5,32'h3f38faf3, 32'h3f1e8df5,32'h3f41c9f3,// invsqrt(2.1116) = 0.6882 +32'h3f2d4aaf,32'h3f9876c4,32'h3f9eafdc, 32'h3f93cbf2,32'h3fa35aae, 32'h3f8c0495,32'h3fab220b,// invsqrt(0.6769) = 1.2154 +32'h3f3a4b75,32'h3f930bfe,32'h3f990c7c, 32'h3f8e8ba0,32'h3f9d8cda, 32'h3f870b04,32'h3fa50d76,// invsqrt(0.7277) = 1.1722 +32'h3ec42089,32'h3fcaad0c,32'h3fd2f2cd, 32'h3fc478ba,32'h3fd9271e, 32'h3fba2188,32'h3fe37e50,// invsqrt(0.3831) = 1.6157 +32'h408361e7,32'h3ef7a127,32'h3f00de50, 32'h3ef00c8c,32'h3f04a89e, 32'h3ee36a34,32'h3f0af9ca,// invsqrt(4.1057) = 0.4935 +32'h407aa8c5,32'h3efd89e4,32'h3f03f18e, 32'h3ef5c6fa,32'h3f07d303, 32'h3ee8d773,32'h3f0e4ac6,// invsqrt(3.9166) = 0.5053 +32'h3dacb8db,32'h4057f8c9,32'h4060c979, 32'h40515c46,32'h406765fc, 32'h4046576a,32'h40726ad8,// invsqrt(0.0843) = 3.4434 +32'h414afc8a,32'h3e8cdf0c,32'h3e929f02, 32'h3e888f13,32'h3e96eefb, 32'h3e815f20,32'h3e9e1eee,// invsqrt(12.6867) = 0.2808 +32'h40be6c6f,32'h3ecdb056,32'h3ed61594, 32'h3ec76469,32'h3edc6181, 32'h3ebce5dc,32'h3ee6e00e,// invsqrt(5.9507) = 0.4099 +32'h3fa6ebfd,32'h3f5bb12e,32'h3f64a8bd, 32'h3f54f783,32'h3f6b6269, 32'h3f49c211,32'h3f7697db,// invsqrt(1.3041) = 0.8757 +32'h3f07e37f,32'h3fac2c3a,32'h3fb33341, 32'h3fa6e6f4,32'h3fb87886, 32'h3f9e1e2a,32'h3fc14150,// invsqrt(0.5308) = 1.3726 +32'h3f6cd4c4,32'h3f826af1,32'h3f87bdac, 32'h3f7cd9c7,32'h3f8bbbb8, 32'h3f6f8af3,32'h3f926323,// invsqrt(0.9251) = 1.0397 +32'h403ca1d7,32'h3f122208,32'h3f1818f9, 32'h3f0da8d4,32'h3f1c922e, 32'h3f063427,32'h3f2406db,// invsqrt(2.9474) = 0.5825 +32'h3f20d9e5,32'h3f9e4005,32'h3fa4b593, 32'h3f9967db,32'h3fa98dbd, 32'h3f9154eb,32'h3fb1a0ad,// invsqrt(0.6283) = 1.2616 +32'h3f571f38,32'h3f88d717,32'h3f8e6cee, 32'h3f84a6b5,32'h3f929d4f, 32'h3f7b56d0,32'h3f99989c,// invsqrt(0.8403) = 1.0909 +32'h408f0ac2,32'h3eed529a,32'h3ef70262, 32'h3ee60ec4,32'h3efe4638, 32'h3ed9f30b,32'h3f0530f8,// invsqrt(4.4701) = 0.4730 +32'h3e44889c,32'h400f2a4d,32'h4015023b, 32'h400ac85a,32'h4019642e, 32'h40037a70,32'h4020b218,// invsqrt(0.1919) = 2.2826 +32'h402645a9,32'h3f1ba625,32'h3f220084, 32'h3f16e25d,32'h3f26c44b, 32'h3f0ef165,32'h3f2eb543,// invsqrt(2.5980) = 0.6204 +32'h3f67e4b1,32'h3f83cc87,32'h3f892db1, 32'h3f7f874f,32'h3f8d3691, 32'h3f721466,32'h3f93f005,// invsqrt(0.9058) = 1.0507 +32'h407c52f3,32'h3efcb36c,32'h3f0381f2, 32'h3ef4f713,32'h3f07601f, 32'h3ee8127e,32'h3f0dd269,// invsqrt(3.9426) = 0.5036 +32'h3e46ad1c,32'h400e6425,32'h401433fc, 32'h400a0842,32'h40188fde, 32'h4002c475,32'h401fd3ab,// invsqrt(0.1940) = 2.2703 +32'h3f5560a0,32'h3f896600,32'h3f8f01ac, 32'h3f85313e,32'h3f93366e, 32'h3f7c5d4e,32'h3f9a3905,// invsqrt(0.8335) = 1.0953 +32'h3e2d351f,32'h40188041,32'h401eb9bc, 32'h4013d525,32'h402364d9, 32'h400c0d4c,32'h402b2cb2,// invsqrt(0.1691) = 2.4315 +32'h3ef69f71,32'h3fb4bd74,32'h3fbc1e00, 32'h3faf350a,32'h3fc1a66a, 32'h3fa5fc5b,32'h3fcadf19,// invsqrt(0.4817) = 1.4408 +32'h41127434,32'h3ea5d8a1,32'h3eac9d8d, 32'h3ea0c4ef,32'h3eb1b13f, 32'h3e984ec8,32'h3eba2766,// invsqrt(9.1534) = 0.3305 +32'h3f2ebe7e,32'h3f97d43a,32'h3f9e06b0, 32'h3f932e62,32'h3fa2ac88, 32'h3f8b6f50,32'h3faa6b9a,// invsqrt(0.6826) = 1.2104 +32'h3f009255,32'h3fb10111,32'h3fb83a95, 32'h3fab95ef,32'h3fbda5b7, 32'h3fa28e0b,32'h3fc6ad9b,// invsqrt(0.5022) = 1.4111 +32'h3ed846b1,32'h3fc10112,32'h3fc8e1c4, 32'h3fbb188c,32'h3fceca4a, 32'h3fb13fad,32'h3fd8a329,// invsqrt(0.4224) = 1.5386 +32'h417f288c,32'h3e7b4b1d,32'h3e82c670, 32'h3e7399cb,32'h3e869f19, 32'h3e66c798,32'h3e8d0832,// invsqrt(15.9474) = 0.2504 +32'h3faa11b2,32'h3f59a65a,32'h3f628892, 32'h3f52fcb0,32'h3f69323c, 32'h3f47e1ea,32'h3f744d02,// invsqrt(1.3287) = 0.8675 +32'h3f1a6c0e,32'h3fa182be,32'h3fa81a5d, 32'h3f9c9106,32'h3fad0c14, 32'h3f94537f,32'h3fb5499b,// invsqrt(0.6032) = 1.2876 +32'h3fe668dd,32'h3f3afdb3,32'h3f429f90, 32'h3f35444c,32'h3f4858f6, 32'h3f2bb9f7,32'h3f51e34b,// invsqrt(1.8001) = 0.7453 +32'h3f7dbdd6,32'h3f7bfe78,32'h3f8323c6, 32'h3f7447a8,32'h3f86ff2e, 32'h3f676c4f,32'h3f8d6cdb,// invsqrt(0.9912) = 1.0044 +32'h3f691fae,32'h3f83735e,32'h3f88d0e5, 32'h3f7eda74,32'h3f8cd70a, 32'h3f7170a4,32'h3f938bf2,// invsqrt(0.9106) = 1.0479 +32'h3f0a8d2e,32'h3faa829e,32'h3fb17847, 32'h3fa54a60,32'h3fb6b086, 32'h3f9c974e,32'h3fbf6398,// invsqrt(0.5412) = 1.3593 +32'h3fad0d44,32'h3f57c416,32'h3f60929f, 32'h3f512930,32'h3f672d86, 32'h3f462705,32'h3f722fb1,// invsqrt(1.3520) = 0.8600 +32'h3e808357,32'h3ffa60f4,32'h40024c94, 32'h3ff2b6cd,32'h400621a7, 32'h3fe5f08d,32'h400c84c8,// invsqrt(0.2510) = 1.9960 +32'h3f0c0ceb,32'h3fa99864,32'h3fb0847e, 32'h3fa46752,32'h3fb5b590, 32'h3f9bc032,32'h3fbe5cb0,// invsqrt(0.5471) = 1.3520 +32'h3fd92ce4,32'h3f409aad,32'h3f487731, 32'h3f3ab549,32'h3f4e5c95, 32'h3f30e1a4,32'h3f58303a,// invsqrt(1.6967) = 0.7677 +32'h4188239e,32'h3e7343d4,32'h3e7d31b2, 32'h3e6bd16c,32'h3e82520d, 32'h3e5f6816,32'h3e8886b8,// invsqrt(17.0174) = 0.2424 +32'h3ecc8ece,32'h3fc67497,32'h3fce8e3f, 32'h3fc06159,32'h3fd4a17d, 32'h3fb64147,32'h3fdec18f,// invsqrt(0.3995) = 1.5821 +32'h3f2a81bf,32'h3f99b439,32'h3f9ffa45, 32'h3f94ffaf,32'h3fa4aecf, 32'h3f8d281f,32'h3fac865f,// invsqrt(0.6660) = 1.2253 +32'h3f7702bc,32'h3f7f677e,32'h3f84ea1b, 32'h3f7795f6,32'h3f88d2df, 32'h3f6a8e11,32'h3f8f56d2,// invsqrt(0.9649) = 1.0180 +32'h3f9eea25,32'h3f6128ad,32'h3f6a595b, 32'h3f5a442a,32'h3f713dde, 32'h3f4ec750,32'h3f7cbab8,// invsqrt(1.2415) = 0.8975 +32'h3ed2eba6,32'h3fc3706e,32'h3fcb6a92, 32'h3fbd74d3,32'h3fd1662d, 32'h3fb37c26,32'h3fdb5eda,// invsqrt(0.4120) = 1.5580 +32'h3ff2f85c,32'h3f3617f3,32'h3f3d86a4, 32'h3f3084ee,32'h3f4319a8, 32'h3f273a90,32'h3f4c6406,// invsqrt(1.8982) = 0.7258 +32'h3e2ea4ba,32'h4017df6d,32'h401e1257, 32'h4013393d,32'h4022b887, 32'h400b7998,32'h402a782c,// invsqrt(0.1706) = 2.4214 +32'h3f5d05eb,32'h3f870045,32'h3f8c82e5, 32'h3f82de4e,32'h3f90a4dc, 32'h3f77f60c,32'h3f978824,// invsqrt(0.8634) = 1.0762 +32'h3f2184a5,32'h3f9dec49,32'h3fa45e6c, 32'h3f9916b0,32'h3fa93406, 32'h3f910805,32'h3fb142b1,// invsqrt(0.6309) = 1.2590 +32'h3fb35d51,32'h3f53ef5f,32'h3f5c95e0, 32'h3f4d727d,32'h3f6312c1, 32'h3f42a25c,32'h3f6de2e2,// invsqrt(1.4013) = 0.8448 +32'h4041b0d3,32'h3f10364a,32'h3f161929, 32'h3f0bcc24,32'h3f1a8350, 32'h3f04708e,32'h3f21dee6,// invsqrt(3.0264) = 0.5748 +32'h4137b33f,32'h3e9414e7,32'h3e9a2035, 32'h3e8f8c6d,32'h3e9ea8af, 32'h3e87fe4d,32'h3ea636cf,// invsqrt(11.4813) = 0.2951 +32'h3e2165b4,32'h401dfb6c,32'h40246e2c, 32'h4019255b,32'h4029443d, 32'h401115eb,32'h403153ad,// invsqrt(0.1576) = 2.5188 +32'h3f8a0089,32'h3f719e0d,32'h3f7b7ab5, 32'h3f6a388f,32'h3f817019, 32'h3f5de4be,32'h3f879a02,// invsqrt(1.0781) = 0.9631 +32'h3dd590f2,32'h4042398f,32'h404a2703, 32'h403c4779,32'h40501919, 32'h40325ea8,32'h405a01ea,// invsqrt(0.1043) = 3.0967 +32'h3f942c88,32'h3f692d3a,32'h3f72b1b0, 32'h3f6209e2,32'h3f79d508, 32'h3f562450,32'h3f82dd4d,// invsqrt(1.1576) = 0.9294 +32'h3ef62edb,32'h3fb4e6c3,32'h3fbc48ff, 32'h3faf5d16,32'h3fc1d2ac, 32'h3fa6224a,32'h3fcb0d78,// invsqrt(0.4808) = 1.4421 +32'h400d3ec2,32'h3f28e063,32'h3f2fc4f9, 32'h3f23b4f2,32'h3f34f06a, 32'h3f1b1736,32'h3f3d8e26,// invsqrt(2.2070) = 0.6731 +32'h40419a97,32'h3f103e92,32'h3f1621c8, 32'h3f0bd42b,32'h3f1a8c2f, 32'h3f047828,32'h3f21e832,// invsqrt(3.0251) = 0.5750 +32'h3f741bc5,32'h3f807582,32'h3f85b3c6, 32'h3f790d9e,32'h3f89a279, 32'h3f6bf1f4,32'h3f90304e,// invsqrt(0.9535) = 1.0241 +32'h3eb61a38,32'h3fd255f6,32'h3fdaebc2, 32'h3fcbe59e,32'h3fe15c1a, 32'h3fc12a60,32'h3fec1758,// invsqrt(0.3557) = 1.6768 +32'h40206336,32'h3f1e7a88,32'h3f24f278, 32'h3f19a093,32'h3f29cc6d, 32'h3f118aa6,32'h3f31e25a,// invsqrt(2.5061) = 0.6317 +32'h3fe0afd6,32'h3f3d5b7c,32'h3f451614, 32'h3f378f8b,32'h3f4ae205, 32'h3f2de64e,32'h3f548b42,// invsqrt(1.7554) = 0.7548 +32'h4081bc59,32'h3ef93233,32'h3f01af06, 32'h3ef19151,32'h3f057f77, 32'h3ee4da82,32'h3f0bdade,// invsqrt(4.0542) = 0.4966 +32'h3fba826a,32'h3f4fd5ff,32'h3f5851ac, 32'h3f49793e,32'h3f5eae6e, 32'h3f3edea7,32'h3f694905,// invsqrt(1.4571) = 0.8284 +32'h3eb2d469,32'h3fd4406f,32'h3fdcea3f, 32'h3fcdc113,32'h3fe3699b, 32'h3fc2ecce,32'h3fee3de0,// invsqrt(0.3493) = 1.6921 +32'h3e206629,32'h401e7913,32'h4024f0f4, 32'h40199f29,32'h4029cadd, 32'h40118950,32'h4031e0b7,// invsqrt(0.1566) = 2.5267 +32'h3fe4926b,32'h3f3bbdbf,32'h3f436773, 32'h3f35fe78,32'h3f4926ba, 32'h3f2c6a56,32'h3f52badc,// invsqrt(1.7857) = 0.7483 +32'h3fee697c,32'h3f37d374,32'h3f3f5440, 32'h3f3232dc,32'h3f44f4d8, 32'h3f28d1de,32'h3f4e55d6,// invsqrt(1.8626) = 0.7327 +32'h400aa5f2,32'h3f2a7363,32'h3f31686d, 32'h3f253b9c,32'h3f36a034, 32'h3f1c8951,32'h3f3f527f,// invsqrt(2.1664) = 0.6794 +32'h4040a443,32'h3f109aad,32'h3f1681a5, 32'h3f0c2d74,32'h3f1aeede, 32'h3f04ccbe,32'h3f224f94,// invsqrt(3.0100) = 0.5764 +32'h4025ddeb,32'h3f1bd6ca,32'h3f223326, 32'h3f171185,32'h3f26f86b, 32'h3f0f1e12,32'h3f2eebde,// invsqrt(2.5917) = 0.6212 +32'h40222aac,32'h3f1d9b5d,32'h3f240a32, 32'h3f18c83e,32'h3f28dd52, 32'h3f10bdb4,32'h3f30e7dc,// invsqrt(2.5339) = 0.6282 +32'h3f28c800,32'h3f9a7cda,32'h3fa0cb18, 32'h3f95c22d,32'h3fa585c5, 32'h3f8de060,32'h3fad6792,// invsqrt(0.6593) = 1.2316 +32'h3f0a73f7,32'h3faa9224,32'h3fb1886f, 32'h3fa5596d,32'h3fb6c127, 32'h3f9ca58f,32'h3fbf7505,// invsqrt(0.5408) = 1.3598 +32'h3f29ba7a,32'h3f9a0e59,32'h3fa05813, 32'h3f95570d,32'h3fa50f5f, 32'h3f8d7ae4,32'h3faceb88,// invsqrt(0.6630) = 1.2281 +32'h4104208a,32'h3eae9b3b,32'h3eb5bbb0, 32'h3ea942e2,32'h3ebb1408, 32'h3ea05a50,32'h3ec3fc9a,// invsqrt(8.2579) = 0.3480 +32'h3e374e98,32'h40143d89,32'h401a4a7f, 32'h400fb3d1,32'h401ed437, 32'h4008239d,32'h4026646b,// invsqrt(0.1790) = 2.3635 +32'h3f08c38f,32'h3fab9ef6,32'h3fb2a03a, 32'h3fa65e04,32'h3fb7e12c, 32'h3f9d9c6f,32'h3fc0a2c1,// invsqrt(0.5342) = 1.3682 +32'h3d914b6a,32'h406b79cf,32'h4075164b, 32'h40644473,32'h407c4ba7, 32'h405840d8,32'h408427a1,// invsqrt(0.0709) = 3.7544 +32'h3ff050d9,32'h3f3718af,32'h3f3e91db, 32'h3f317dce,32'h3f442cbc, 32'h3f282658,32'h3f4d8432,// invsqrt(1.8775) = 0.7298 +32'h3fc603cf,32'h3f49b521,32'h3f51f0c4, 32'h3f438866,32'h3f581d7e, 32'h3f393dda,32'h3f62680a,// invsqrt(1.5470) = 0.8040 +32'h41ca13e0,32'h3e47ab69,32'h3e4fd1c1, 32'h3e418ea7,32'h3e55ee83, 32'h3e375eb9,32'h3e601e71,// invsqrt(25.2597) = 0.1990 +32'h3f7de656,32'h3f7bea5e,32'h3f831951, 32'h3f74342d,32'h3f86f46a, 32'h3f6759da,32'h3f8d6193,// invsqrt(0.9918) = 1.0041 +32'h3f03ca7c,32'h3faed433,32'h3fb5f6fb, 32'h3fa97a1c,32'h3fbb5112, 32'h3fa08ea2,32'h3fc43c8d,// invsqrt(0.5148) = 1.3937 +32'h40137faf,32'h3f2541fc,32'h3f2c00c2, 32'h3f2032e7,32'h3f310fd7, 32'h3f17c46f,32'h3f397e4f,// invsqrt(2.3047) = 0.6587 +32'h400cf901,32'h3f290a26,32'h3f2ff070, 32'h3f23dd6e,32'h3f351d28, 32'h3f1b3d90,32'h3f3dbd06,// invsqrt(2.2027) = 0.6738 +32'h416c9e49,32'h3e8279f3,32'h3e87cd4b, 32'h3e7cf6e1,32'h3e8bcbcd, 32'h3e6fa685,32'h3e9273fc,// invsqrt(14.7886) = 0.2600 +32'h405a25eb,32'h3f07e343,32'h3f0d6f27, 32'h3f03ba59,32'h3f119811, 32'h3ef996f9,32'h3f1886ee,// invsqrt(3.4086) = 0.5416 +32'h3fef1f18,32'h3f378d99,32'h3f3f0b8a, 32'h3f31ef23,32'h3f44a9ff, 32'h3f2891b6,32'h3f4e076c,// invsqrt(1.8681) = 0.7316 +32'h3f5b3e3a,32'h3f878c49,32'h3f8d149f, 32'h3f836608,32'h3f913ae0, 32'h3f78f737,32'h3f98254c,// invsqrt(0.8564) = 1.0806 +32'h4123f84d,32'h3e9cbce5,32'h3ea322a5, 32'h3e97f095,32'h3ea7eef5, 32'h3e8ff164,32'h3eafee26,// invsqrt(10.2481) = 0.3124 +32'h4050dc3a,32'h3f0ae055,32'h3f108b73, 32'h3f069fff,32'h3f14cbc9, 32'h3eff1434,32'h3f1be1ae,// invsqrt(3.2634) = 0.5536 +32'h3fcd8d40,32'h3f45f99c,32'h3f4e0e3f, 32'h3f3fea21,32'h3f541db9, 32'h3f35d055,32'h3f5e3785,// invsqrt(1.6059) = 0.7891 +32'h4085eec8,32'h3ef542b2,32'h3eff456a, 32'h3eedc0a7,32'h3f0363bb, 32'h3ee13d40,32'h3f09a56e,// invsqrt(4.1854) = 0.4888 +32'h3f0c002a,32'h3fa9a01e,32'h3fb08c87, 32'h3fa46ece,32'h3fb5bdd6, 32'h3f9bc74a,32'h3fbe655a,// invsqrt(0.5469) = 1.3522 +32'h3cec7c4c,32'h40b892c0,32'h40c01b5a, 32'h40b2ec4c,32'h40c5c1ce, 32'h40a9818c,32'h40cf2c8e,// invsqrt(0.0289) = 5.8856 +32'h3bcc4135,32'h41469a46,32'h414eb577, 32'h414085e0,32'h4154c9dc, 32'h413663e1,32'h415eebdb,// invsqrt(0.0062) = 12.6660 +32'h3d25298e,32'h409c2bca,32'h40a28b9e, 32'h409763eb,32'h40a7537d, 32'h408f6c22,32'h40af4b46,// invsqrt(0.0403) = 4.9799 +32'h4085542f,32'h3ef5d0ba,32'h3effd93e, 32'h3eee4a56,32'h3f03afd1, 32'h3ee1bfb0,32'h3f09f524,// invsqrt(4.1665) = 0.4899 +32'h3f349d32,32'h3f955767,32'h3f9b6fdf, 32'h3f90c50e,32'h3fa00238, 32'h3f892679,32'h3fa7a0cd,// invsqrt(0.7055) = 1.1905 +32'h41138ed4,32'h3ea53981,32'h3eabf7ef, 32'h3ea02aaf,32'h3eb106c1, 32'h3e97bca6,32'h3eb974ca,// invsqrt(9.2224) = 0.3293 +32'h3f2b783e,32'h3f994597,32'h3f9f871f, 32'h3f949470,32'h3fa43846, 32'h3f8cc285,32'h3fac0a31,// invsqrt(0.6698) = 1.2219 +32'h3e18dae9,32'h40225621,32'h4028f661, 32'h401d5df1,32'h402dee91, 32'h401515a1,32'h403636e1,// invsqrt(0.1493) = 2.5883 +32'h401c3cb7,32'h3f2091de,32'h3f271fa8, 32'h3f1ba786,32'h3f2c0a00, 32'h3f137649,32'h3f343b3d,// invsqrt(2.4412) = 0.6400 +32'h3f46c1ac,32'h3f8e5cc7,32'h3f942c51, 32'h3f8a011f,32'h3f9887f9, 32'h3f82bdb1,32'h3f9fcb67,// invsqrt(0.7764) = 1.1349 +32'h3f731179,32'h3f80bbcd,32'h3f85fcef, 32'h3f7995e6,32'h3f89edc9, 32'h3f6c7310,32'h3f907f34,// invsqrt(0.9495) = 1.0263 +32'h3f0ce735,32'h3fa914d2,32'h3faffb8d, 32'h3fa3e7c7,32'h3fb52899, 32'h3f9b475e,32'h3fbdc902,// invsqrt(0.5504) = 1.3479 +32'h3f522f09,32'h3f8a7039,32'h3f9016c3, 32'h3f863351,32'h3f9453ab, 32'h3f7e4649,32'h3f9b63d7,// invsqrt(0.8210) = 1.1036 +32'h3de47d2e,32'h403bc678,32'h40437088, 32'h403606ed,32'h40493013, 32'h402c725a,32'h4052c4a6,// invsqrt(0.1116) = 2.9939 +32'h4166f955,32'h3e840f9d,32'h3e897384, 32'h3e8004af,32'h3e8d7e71, 32'h3e728f9d,32'h3e943b52,// invsqrt(14.4359) = 0.2632 +32'h3ea66e9c,32'h3fdc03df,32'h3fe4fecd, 32'h3fd547ab,32'h3febbb01, 32'h3fca0e01,32'h3ff6f4ab,// invsqrt(0.3251) = 1.7539 +32'h3f60f00f,32'h3f85d239,32'h3f8b4885, 32'h3f81b981,32'h3f8f613d, 32'h3f75cb45,32'h3f96351c,// invsqrt(0.8787) = 1.0668 +32'h40a086be,32'h3ee00695,32'h3ee92b6d, 32'h3ed92af4,32'h3ef0070e, 32'h3ecdbce7,32'h3efb751b,// invsqrt(5.0164) = 0.4465 +32'h3eac2385,32'h3fd85663,32'h3fe12ae5, 32'h3fd1b702,32'h3fe7ca46, 32'h3fc6ad60,32'h3ff2d3e8,// invsqrt(0.3362) = 1.7246 +32'h402880ed,32'h3f1a9d6c,32'h3f20ecfd, 32'h3f15e1be,32'h3f25a8aa, 32'h3f0dfe48,32'h3f2d8c20,// invsqrt(2.6329) = 0.6163 +32'h400fa488,32'h3f277612,32'h3f2e4bdf, 32'h3f2255b9,32'h3f336c39, 32'h3f19ca7a,32'h3f3bf778,// invsqrt(2.2444) = 0.6675 +32'h3fb3c339,32'h3f53b344,32'h3f5c5751, 32'h3f4d383a,32'h3f62d25a, 32'h3f426b29,32'h3f6d9f6b,// invsqrt(1.4044) = 0.8438 +32'h3fe18b47,32'h3f3cff48,32'h3f44b61b, 32'h3f373628,32'h3f4a7f3a, 32'h3f2d919f,32'h3f5423c3,// invsqrt(1.7621) = 0.7533 +32'h401e2017,32'h3f1f9bb5,32'h3f261f73, 32'h3f1ab8e6,32'h3f2b0242, 32'h3f129438,32'h3f3326f0,// invsqrt(2.4707) = 0.6362 +32'h3f31c60b,32'h3f96879f,32'h3f9cac81, 32'h3f91ebf6,32'h3fa1482a, 32'h3f8a3ddb,32'h3fa8f645,// invsqrt(0.6944) = 1.2000 +32'h3f452ecf,32'h3f8eedeb,32'h3f94c362, 32'h3f8a8dd1,32'h3f99237b, 32'h3f8342fc,32'h3fa06e50,// invsqrt(0.7702) = 1.1394 +32'h3fef8960,32'h3f3764dc,32'h3f3ee123, 32'h3f31c7a5,32'h3f447e59, 32'h3f286c4c,32'h3f4dd9b2,// invsqrt(1.8714) = 0.7310 +32'h3ec7e061,32'h3fc8c419,32'h3fd0f5e5, 32'h3fc29ebf,32'h3fd71b3f, 32'h3fb8607f,32'h3fe1597f,// invsqrt(0.3904) = 1.6005 +32'h3fb53f03,32'h3f52d502,32'h3f5b6ffc, 32'h3f4c60c5,32'h3f61e439, 32'h3f419f0c,32'h3f6ca5f2,// invsqrt(1.4160) = 0.8404 +32'h3fb2df1f,32'h3f543a14,32'h3f5ce3a2, 32'h3f4dbaea,32'h3f6362cc, 32'h3f42e6f8,32'h3f6e36be,// invsqrt(1.3974) = 0.8459 +32'h3fa04021,32'h3f6037ec,32'h3f695ec6, 32'h3f595ac8,32'h3f703bea, 32'h3f4dea36,32'h3f7bac7c,// invsqrt(1.2520) = 0.8937 +32'h3e0da4a5,32'h4028a39b,32'h402f85b7, 32'h40237a07,32'h4034af4b, 32'h401adf65,32'h403d49ed,// invsqrt(0.1383) = 2.6888 +32'h405e3fde,32'h3f06a0ca,32'h3f0c1f84, 32'h3f0281bf,32'h3f103e8f, 32'h3ef746ad,32'h3f171cf8,// invsqrt(3.4726) = 0.5366 +32'h40605050,32'h3f0601d7,32'h3f0b7a15, 32'h3f01e7aa,32'h3f0f9442, 32'h3ef622bb,32'h3f166a8f,// invsqrt(3.5049) = 0.5341 +32'h411d4afd,32'h3ea007af,32'h3ea68fd5, 32'h3e9b2192,32'h3eab75f2, 32'h3e92f762,32'h3eb3a022,// invsqrt(9.8308) = 0.3189 +32'h404c861d,32'h3f0c5740,32'h3f1211ac, 32'h3f080b70,32'h3f165d7c, 32'h3f00e26a,32'h3f1d8682,// invsqrt(3.1957) = 0.5594 +32'h3f70399e,32'h3f817e40,32'h3f86c753, 32'h3f7b0ee6,32'h3f8abe21, 32'h3f6dd838,32'h3f915978,// invsqrt(0.9384) = 1.0323 +32'h3f79169b,32'h3f7e563e,32'h3f845be7, 32'h3f768d12,32'h3f88407d, 32'h3f69931f,32'h3f8ebd76,// invsqrt(0.9730) = 1.0138 +32'h3f9dcb69,32'h3f61f4e3,32'h3f6b2de7, 32'h3f5b0a20,32'h3f7218aa, 32'h3f4f82db,32'h3f7d9fef,// invsqrt(1.2328) = 0.9007 +32'h3f547633,32'h3f89b1b8,32'h3f8f507c, 32'h3f857aa6,32'h3f93878e, 32'h3f7ce862,32'h3f9a8e03,// invsqrt(0.8299) = 1.0977 +32'h3f1e00a4,32'h3f9fab96,32'h3fa62ffb, 32'h3f9ac84c,32'h3fab1346, 32'h3f92a2ce,32'h3fb338c4,// invsqrt(0.6172) = 1.2729 +32'h3f652919,32'h3f84951d,32'h3f89fe77, 32'h3f80861a,32'h3f8e0d7a, 32'h3f7384d2,32'h3f94d12b,// invsqrt(0.8952) = 1.0569 +32'h40675678,32'h3f03f504,32'h3f0957d6, 32'h3effd5cf,32'h3f0d61f2, 32'h3ef25ec4,32'h3f141d78,// invsqrt(3.6147) = 0.5260 +32'h402bffd2,32'h3f190922,32'h3f1f4834, 32'h3f1459d6,32'h3f23f780, 32'h3f0c8b00,32'h3f2bc656,// invsqrt(2.6875) = 0.6100 +32'h3ecb861e,32'h3fc6f579,32'h3fcf1463, 32'h3fc0de48,32'h3fd52b94, 32'h3fb6b7a3,32'h3fdf5239,// invsqrt(0.3975) = 1.5861 +32'h3f66922d,32'h3f842d24,32'h3f899240, 32'h3f802150,32'h3f8d9e14, 32'h3f72c5da,32'h3f945c77,// invsqrt(0.9007) = 1.0537 +32'h4000f0e1,32'h3f30c020,32'h3f37f6fc, 32'h3f2b56fa,32'h3f3d6022, 32'h3f225266,32'h3f4664b6,// invsqrt(2.0147) = 0.7045 +32'h3eb51c68,32'h3fd2e925,32'h3fdb84f3, 32'h3fcc744b,32'h3fe1f9cd, 32'h3fc1b18b,32'h3fecbc8d,// invsqrt(0.3537) = 1.6814 +32'h3f260469,32'h3f9bc4b8,32'h3fa22058, 32'h3f970001,32'h3fa6e50f, 32'h3f8f0d7a,32'h3faed796,// invsqrt(0.6485) = 1.2418 +32'h3f45d4b6,32'h3f8eb1f0,32'h3f9484f4, 32'h3f8a53ac,32'h3f98e338, 32'h3f830be7,32'h3fa02afd,// invsqrt(0.7728) = 1.1376 +32'h3f16864b,32'h3fa3969d,32'h3faa43f1, 32'h3f9e949d,32'h3faf45f1, 32'h3f963bf3,32'h3fb79e9b,// invsqrt(0.5880) = 1.3041 +32'h3e550bdd,32'h40098152,32'h400f1e1c, 32'h40054bbb,32'h401353b3, 32'h3ffc8f7d,32'h401a57b0,// invsqrt(0.2081) = 2.1924 +32'h403e2244,32'h3f118e02,32'h3f177ee8, 32'h3f0d1956,32'h3f1bf394, 32'h3f05ac36,32'h3f2360b4,// invsqrt(2.9708) = 0.5802 +32'h3da376e4,32'h405e00d8,32'h4067108d, 32'h40573510,32'h406ddc54, 32'h404be16d,32'h40792ff7,// invsqrt(0.0798) = 3.5396 +32'h400a4c46,32'h3f2aaa9d,32'h3f31a1e7, 32'h3f257125,32'h3f36db5f, 32'h3f1cbc08,32'h3f3f907c,// invsqrt(2.1609) = 0.6803 +32'h3f097861,32'h3fab2df2,32'h3fb22a99, 32'h3fa5f076,32'h3fb76816, 32'h3f9d34a5,32'h3fc023e7,// invsqrt(0.5370) = 1.3646 +32'h3f6eeb1a,32'h3f81d8c8,32'h3f87258c, 32'h3f7bbe69,32'h3f8b1f1f, 32'h3f6e7e7f,32'h3f91bf15,// invsqrt(0.9333) = 1.0351 +32'h3f444db3,32'h3f8f3fc7,32'h3f951895, 32'h3f8add2c,32'h3f997b30, 32'h3f838e29,32'h3fa0ca33,// invsqrt(0.7668) = 1.1420 +32'h4063e9ef,32'h3f04f1d2,32'h3f0a5ef4, 32'h3f00dff8,32'h3f0e70ce, 32'h3ef42f19,32'h3f15393a,// invsqrt(3.5612) = 0.5299 +32'h3f552ff4,32'h3f8975ae,32'h3f8f11fe, 32'h3f854072,32'h3f93473a, 32'h3f7c7a1b,32'h3f9a4a9f,// invsqrt(0.8328) = 1.0958 +32'h4023aca3,32'h3f1ce11b,32'h3f234855, 32'h3f1813af,32'h3f2815c1, 32'h3f1012a6,32'h3f3016ca,// invsqrt(2.5574) = 0.6253 +32'h3f13eca7,32'h3fa50512,32'h3fabc15c, 32'h3f9ff7db,32'h3fb0ce93, 32'h3f978c7e,32'h3fb939f0,// invsqrt(0.5778) = 1.3155 +32'h3f657e24,32'h3f847c8a,32'h3f89e4e3, 32'h3f806e46,32'h3f8df326, 32'h3f7357ae,32'h3f94b595,// invsqrt(0.8965) = 1.0562 +32'h3f2b0d31,32'h3f997585,32'h3f9fb903, 32'h3f94c2e7,32'h3fa46ba1, 32'h3f8cee8a,32'h3fac3ffe,// invsqrt(0.6682) = 1.2234 +32'h3f8b0985,32'h3f70b761,32'h3f7a8a9f, 32'h3f6958f3,32'h3f80f486, 32'h3f5d10e6,32'h3f87188d,// invsqrt(1.0862) = 0.9595 +32'h3f065cca,32'h3fad25d8,32'h3fb43710, 32'h3fa7d8ee,32'h3fb983fa, 32'h3f9f0368,32'h3fc25980,// invsqrt(0.5249) = 1.3803 +32'h3e1c1d75,32'h4020a1f0,32'h40273063, 32'h401bb71b,32'h402c1b39, 32'h4013850c,32'h40344d48,// invsqrt(0.1525) = 2.5611 +32'h3ffa18f1,32'h3f337aeb,32'h3f3ace4d, 32'h3f2dfc61,32'h3f404cd7, 32'h3f24d426,32'h3f497512,// invsqrt(1.9539) = 0.7154 +32'h402331b9,32'h3f1d1c24,32'h3f2385c8, 32'h3f184cea,32'h3f285502, 32'h3f1048dd,32'h3f30590f,// invsqrt(2.5499) = 0.6262 +32'h3f96f610,32'h3f670398,32'h3f707175, 32'h3f5ff133,32'h3f7783db, 32'h3f5427e0,32'h3f81a697,// invsqrt(1.1794) = 0.9208 +32'h3fb2c519,32'h3f544986,32'h3f5cf3b6, 32'h3f4dc9e3,32'h3f637359, 32'h3f42f528,32'h3f6e4814,// invsqrt(1.3966) = 0.8462 +32'h3fe37ad6,32'h3f3c30fa,32'h3f43df62, 32'h3f366e2c,32'h3f49a230, 32'h3f2cd429,32'h3f533c33,// invsqrt(1.7772) = 0.7501 +32'h3f7cf061,32'h3f7c64bc,32'h3f8358fe, 32'h3f74aacb,32'h3f8735f7, 32'h3f67ca39,32'h3f8da63f,// invsqrt(0.9880) = 1.0060 +32'h3fe2e0bd,32'h3f3c70d8,32'h3f4421dc, 32'h3f36ac16,32'h3f49e69e, 32'h3f2d0ed1,32'h3f5383e3,// invsqrt(1.7725) = 0.7511 +32'h3f3e8f70,32'h3f91644b,32'h3f97537d, 32'h3f8cf0e5,32'h3f9bc6e3, 32'h3f8585e7,32'h3fa331e1,// invsqrt(0.7444) = 1.1591 +32'h40ea2c65,32'h3eb97b71,32'h3ec10d8b, 32'h3eb3cdde,32'h3ec6bb1e, 32'h3eaa573e,32'h3ed031be,// invsqrt(7.3179) = 0.3697 +32'h3fca5630,32'h3f478aaf,32'h3f4fafb1, 32'h3f416eee,32'h3f55cb72, 32'h3f3740ab,32'h3f5ff9b5,// invsqrt(1.5808) = 0.7954 +32'h3f2c0517,32'h3f9906ca,32'h3f9f45c2, 32'h3f94578f,32'h3fa3f4fd, 32'h3f8c88d9,32'h3fabc3b3,// invsqrt(0.6720) = 1.2199 +32'h3f38ea6c,32'h3f93981a,32'h3f999e4f, 32'h3f8f1371,32'h3f9e22f7, 32'h3f878baf,32'h3fa5aab9,// invsqrt(0.7223) = 1.1766 +32'h3f4acb9b,32'h3f8cf00a,32'h3f92b0b2, 32'h3f889f8c,32'h3f970130, 32'h3f816ebb,32'h3f9e3201,// invsqrt(0.7922) = 1.1235 +32'h409acc41,32'h3ee4221b,32'h3eed71dd, 32'h3edd2649,32'h3ef46daf, 32'h3ed18296,32'h3f0008b1,// invsqrt(4.8374) = 0.4547 +32'h3f3f5cb8,32'h3f91163a,32'h3f97023c, 32'h3f8ca538,32'h3f9b733e, 32'h3f853e35,32'h3fa2da41,// invsqrt(0.7475) = 1.1566 +32'h40ce5191,32'h3ec59b55,32'h3ecdac1f, 32'h3ebf8ebe,32'h3ed3b8b6, 32'h3eb579c1,32'h3eddcdb3,// invsqrt(6.4475) = 0.3938 +32'h3ed077c6,32'h3fc495e3,32'h3fcc9c01, 32'h3fbe914c,32'h3fd2a098, 32'h3fb489a7,32'h3fdca83d,// invsqrt(0.4072) = 1.5672 +32'h40802502,32'h3efabd0b,32'h3f027c80, 32'h3ef31012,32'h3f0652fd, 32'h3ee6451f,32'h3f0cb876,// invsqrt(4.0045) = 0.4997 +32'h4000ce2d,32'h3f30d7ee,32'h3f380fc4, 32'h3f2b6e0e,32'h3f3d79a4, 32'h3f226843,32'h3f467f6f,// invsqrt(2.0126) = 0.7049 +32'h40e381f3,32'h3ebc2e09,32'h3ec3dc53, 32'h3eb66b52,32'h3ec99f0a, 32'h3eacd176,32'h3ed338e6,// invsqrt(7.1096) = 0.3750 +32'h3f7da880,32'h3f7c0911,32'h3f83294a, 32'h3f7451ee,32'h3f8704db, 32'h3f67760a,32'h3f8d72cd,// invsqrt(0.9909) = 1.0046 +32'h40bd827b,32'h3ece2f26,32'h3ed69990, 32'h3ec7df56,32'h3edce960, 32'h3ebd5a52,32'h3ee76e64,// invsqrt(5.9222) = 0.4109 +32'h3e565de5,32'h400914bd,32'h400ead19, 32'h4004e279,32'h4012df5d, 32'h3ffbc80d,32'h4019ddcf,// invsqrt(0.2093) = 2.1856 +32'h3f511626,32'h3f8acd17,32'h3f90776b, 32'h3f868d57,32'h3f94b72b, 32'h3f7ef0db,32'h3f9bcc14,// invsqrt(0.8167) = 1.1065 +32'h40a1fa06,32'h3edf0540,32'h3ee81f97, 32'h3ed83180,32'h3eeef358, 32'h3eccd094,32'h3efa5444,// invsqrt(5.0618) = 0.4445 +32'h3df8ce0e,32'h4033f21c,32'h403b4a5c, 32'h402e6fec,32'h4040cc8c, 32'h4025419c,32'h4049fadc,// invsqrt(0.1215) = 2.8690 +32'h3fe28af2,32'h3f3c9484,32'h3f4446fc, 32'h3f36ceaa,32'h3f4a0cd6, 32'h3f2d2f93,32'h3f53abed,// invsqrt(1.7699) = 0.7517 +32'h3e510525,32'h400ad2bc,32'h40107d4c, 32'h400692d1,32'h4014bd37, 32'h3ffefb3a,32'h401bd26b,// invsqrt(0.2041) = 2.2134 +32'h3fac745c,32'h3f5823a9,32'h3f60f618, 32'h3f5185d5,32'h3f6793eb, 32'h3f467eca,32'h3f729af6,// invsqrt(1.3473) = 0.8615 +32'h3f559a37,32'h3f895379,32'h3f8eee63, 32'h3f851f48,32'h3f932294, 32'h3f7c3b46,32'h3f9a2439,// invsqrt(0.8344) = 1.0948 +32'h3f5363ba,32'h3f8a0aff,32'h3f8fad68, 32'h3f85d131,32'h3f93e737, 32'h3f7d8c5e,32'h3f9af239,// invsqrt(0.8257) = 1.1005 +32'h3e90b157,32'h3febf70d,32'h3ff598a5, 32'h3fe4bddb,32'h3ffcd1d7, 32'h3fd8b3dd,32'h40046deb,// invsqrt(0.2826) = 1.8811 +32'h400f4326,32'h3f27aef3,32'h3f2e8712, 32'h3f228cdc,32'h3f33a92a, 32'h3f19feb6,32'h3f3c3750,// invsqrt(2.2385) = 0.6684 +32'h3f0dc82a,32'h3fa88e7a,32'h3faf6fb9, 32'h3fa3658c,32'h3fb498a8, 32'h3f9acbfe,32'h3fbd3236,// invsqrt(0.5538) = 1.3437 +32'h428ca20b,32'h3def58c1,32'h3df91daf, 32'h3de8050f,32'h3e0038b1, 32'h3ddbcee6,32'h3e0653c5,// invsqrt(70.3165) = 0.1193 +32'h3fc8acd3,32'h3f485dba,32'h3f508b5a, 32'h3f423b83,32'h3f56ad91, 32'h3f38027c,32'h3f60e698,// invsqrt(1.5678) = 0.7987 +32'h3d4a2aaf,32'h408d2817,32'h4092eb08, 32'h4088d5e1,32'h40973d3d, 32'h4081a234,32'h409e70ea,// invsqrt(0.0494) = 4.5012 +32'h3faa8a75,32'h3f59593d,32'h3f62384f, 32'h3f52b1ef,32'h3f68df9d, 32'h3f479b19,32'h3f73f673,// invsqrt(1.3324) = 0.8663 +32'h40034dd1,32'h3f2f271e,32'h3f364d4a, 32'h3f29ca7e,32'h3f3ba9ea, 32'h3f20dac8,32'h3f4499a0,// invsqrt(2.0516) = 0.6982 +32'h3f71eba4,32'h3f8109e3,32'h3f864e35, 32'h3f7a2d4a,32'h3f8a4173, 32'h3f6d027c,32'h3f90d6da,// invsqrt(0.9450) = 1.0287 +32'h3f1bd0db,32'h3fa0c968,32'h3fa75976, 32'h3f9bdd5d,32'h3fac4581, 32'h3f93a94a,32'h3fb47994,// invsqrt(0.6087) = 1.2818 +32'h3f835a25,32'h3f77a877,32'h3f80e21f, 32'h3f7013a2,32'h3f84ac89, 32'h3f6370eb,32'h3f8afde5,// invsqrt(1.0262) = 0.9872 +32'h3f8ac190,32'h3f70f5c3,32'h3f7acb8d, 32'h3f69956c,32'h3f8115f2, 32'h3f5d4a31,32'h3f873b90,// invsqrt(1.0840) = 0.9605 +32'h3f1dbc74,32'h3f9fce16,32'h3fa653e2, 32'h3f9ae9bc,32'h3fab383c, 32'h3f92c27c,32'h3fb35f7c,// invsqrt(0.6162) = 1.2740 +32'h3e71226a,32'h40013faf,32'h40068634, 32'h3ffa9599,32'h400a7b18, 32'h3fed654d,32'h4011133d,// invsqrt(0.2355) = 2.0607 +32'h3f657222,32'h3f848001,32'h3f89e87f, 32'h3f8071a3,32'h3f8df6dd, 32'h3f735e0d,32'h3f94b97a,// invsqrt(0.8963) = 1.0563 +32'h3eba76dd,32'h3fcfdc6f,32'h3fd8585f, 32'h3fc97f7b,32'h3fdeb553, 32'h3fbee490,32'h3fe9503e,// invsqrt(0.3642) = 1.6571 +32'h40812c2c,32'h3ef9bd1e,32'h3f01f751, 32'h3ef217fb,32'h3f05c9e2, 32'h3ee55a16,32'h3f0c28d5,// invsqrt(4.0366) = 0.4977 +32'h3f4d5dbc,32'h3f8c0d80,32'h3f91c4e8, 32'h3f87c3f1,32'h3f960e77, 32'h3f809eaf,32'h3f9d33b9,// invsqrt(0.8022) = 1.1165 +32'h3f5dfc09,32'h3f86b55a,32'h3f8c34ea, 32'h3f8295ad,32'h3f905497, 32'h3f776c70,32'h3f97340c,// invsqrt(0.8671) = 1.0739 +32'h3fa3b295,32'h3f5dd85a,32'h3f66e668, 32'h3f570dcf,32'h3f6db0f3, 32'h3f4bbc3e,32'h3f790284,// invsqrt(1.2789) = 0.8843 +32'h3f812367,32'h3f79c599,32'h3f81fbbb, 32'h3f722033,32'h3f85ce6d, 32'h3f6561e0,32'h3f8c2d97,// invsqrt(1.0089) = 0.9956 +32'h3edd4a2e,32'h3fbece26,32'h3fc697de, 32'h3fb8f6dc,32'h3fcc6f28, 32'h3faf3ab5,32'h3fd62b4f,// invsqrt(0.4322) = 1.5211 +32'h3f952fab,32'h3f68625e,32'h3f71de8c, 32'h3f61453c,32'h3f78fbae, 32'h3f556a03,32'h3f826b74,// invsqrt(1.1655) = 0.9263 +32'h3fb871c3,32'h3f50ff04,32'h3f5986d0, 32'h3f4a992b,32'h3f5feca9, 32'h3f3fef6c,32'h3f6a9668,// invsqrt(1.4410) = 0.8331 +32'h3e450d48,32'h400efa13,32'h4014d009, 32'h400a999a,32'h40193082, 32'h40034e26,32'h40207bf6,// invsqrt(0.1924) = 2.2796 +32'h3fd52c68,32'h3f426757,32'h3f4a56a9, 32'h3f3c73da,32'h3f504a26, 32'h3f3288b3,32'h3f5a354d,// invsqrt(1.6654) = 0.7749 +32'h3ecf20a6,32'h3fc53874,32'h3fcd4535, 32'h3fbf2ee4,32'h3fd34ec6, 32'h3fb51ef3,32'h3fdd5eb7,// invsqrt(0.4045) = 1.5722 +32'h3e6f684c,32'h4001b6d0,32'h40070232, 32'h3ffb7c8f,32'h400afabb, 32'h3fee401b,32'h401198f4,// invsqrt(0.2338) = 2.0681 +32'h3eba01cd,32'h3fd01dce,32'h3fd89c68, 32'h3fc9beda,32'h3fdefb5c, 32'h3fbf2098,32'h3fe9999e,// invsqrt(0.3633) = 1.6591 +32'h403ffe4c,32'h3f10d920,32'h3f16c2a4, 32'h3f0c69fd,32'h3f1b31c7, 32'h3f050618,32'h3f2295ac,// invsqrt(2.9999) = 0.5774 +32'h3fc62a44,32'h3f49a18d,32'h3f51dc64, 32'h3f43756d,32'h3f580885, 32'h3f392be0,32'h3f625212,// invsqrt(1.5482) = 0.8037 +32'h400de7ad,32'h3f287bc2,32'h3f2f5c3d, 32'h3f235366,32'h3f34849a, 32'h3f1abacd,32'h3f3d1d33,// invsqrt(2.2173) = 0.6716 +32'h3f392ce0,32'h3f937d9c,32'h3f9982bc, 32'h3f8ef9c3,32'h3f9e0695, 32'h3f87735b,32'h3fa58cfd,// invsqrt(0.7233) = 1.1758 +32'h3fe1324b,32'h3f3d249b,32'h3f44dcf5, 32'h3f375a58,32'h3f4aa738, 32'h3f2db3e7,32'h3f544da9,// invsqrt(1.7593) = 0.7539 +32'h3fd13ebc,32'h3f443856,32'h3f4c3aa3, 32'h3f3e369d,32'h3f523c5d, 32'h3f3433bd,32'h3f5c3f3d,// invsqrt(1.6347) = 0.7821 +32'h3f82f886,32'h3f7804b2,32'h3f81121e, 32'h3f706d0b,32'h3f84ddf2, 32'h3f63c59e,32'h3f8b31a8,// invsqrt(1.0232) = 0.9886 +32'h3f9229c0,32'h3f6ac672,32'h3f745b9c, 32'h3f639693,32'h3f7b8b7b, 32'h3f579c20,32'h3f83c2f7,// invsqrt(1.1419) = 0.9358 +32'h40da6813,32'h3ec00f81,32'h3ec7e657, 32'h3eba2e60,32'h3ecdc778, 32'h3eb061d4,32'h3ed79404,// invsqrt(6.8252) = 0.3828 +32'h40e31558,32'h3ebc5b03,32'h3ec40b23, 32'h3eb696ec,32'h3ec9cf3a, 32'h3eacfac4,32'h3ed36b62,// invsqrt(7.0964) = 0.3754 +32'h4006e294,32'h3f2ccfe4,32'h3f33dd9a, 32'h3f27859c,32'h3f3927e2, 32'h3f1eb479,32'h3f41f905,// invsqrt(2.1076) = 0.6888 +32'h3f8190a2,32'h3f795c39,32'h3f81c4e4, 32'h3f71ba0e,32'h3f8595fa, 32'h3f65011a,32'h3f8bf274,// invsqrt(1.0122) = 0.9939 +32'h3e3234a7,32'h401658e0,32'h401c7bda, 32'h4011bea5,32'h40211615, 32'h400a12ed,32'h4028c1cd,// invsqrt(0.1740) = 2.3971 +32'h3fb259eb,32'h3f548946,32'h3f5d3610, 32'h3f4e07af,32'h3f63b7a7, 32'h3f432fb4,32'h3f6e8fa3,// invsqrt(1.3934) = 0.8472 +32'h400f1577,32'h3f27c9b6,32'h3f2ea2ec, 32'h3f22a6cd,32'h3f33c5d5, 32'h3f1a1749,32'h3f3c5559,// invsqrt(2.2357) = 0.6688 +32'h3ece64e6,32'h3fc59214,32'h3fcda27d, 32'h3fbf85c4,32'h3fd3aecc, 32'h3fb57141,32'h3fddc34f,// invsqrt(0.4031) = 1.5750 +32'h4140eb45,32'h3e90800e,32'h3e9665f0, 32'h3e8c13a5,32'h3e9ad259, 32'h3e84b44c,32'h3ea231b2,// invsqrt(12.0574) = 0.2880 +32'h40011efa,32'h3f30a090,32'h3f37d623, 32'h3f2b3862,32'h3f3d3e52, 32'h3f22356b,32'h3f464149,// invsqrt(2.0175) = 0.7040 +32'h3f9acfcb,32'h3f641f7f,32'h3f6d6f27, 32'h3f5d23c2,32'h3f746ae4, 32'h3f518031,32'h3f80073a,// invsqrt(1.2095) = 0.9093 +32'h3fa4bcb2,32'h3f5d24e3,32'h3f662b9d, 32'h3f565fd6,32'h3f6cf0aa, 32'h3f4b176d,32'h3f783913,// invsqrt(1.2870) = 0.8815 +32'h3fd11219,32'h3f444d48,32'h3f4c506f, 32'h3f3e4aea,32'h3f5252cc, 32'h3f3446f8,32'h3f5c56be,// invsqrt(1.6334) = 0.7825 +32'h3ee31a64,32'h3fbc58ec,32'h3fc408f5, 32'h3fb694e4,32'h3fc9ccfc, 32'h3facf8d8,32'h3fd36908,// invsqrt(0.4436) = 1.5015 +32'h3f512701,32'h3f8ac77f,32'h3f907199, 32'h3f8687eb,32'h3f94b12d, 32'h3f7ee696,32'h3f9bc5cd,// invsqrt(0.8170) = 1.1063 +32'h3e9780bc,32'h3fe699c7,32'h3ff00351, 32'h3fdf8a9f,32'h3ff71279, 32'h3fd3c6b1,32'h40016b33,// invsqrt(0.2959) = 1.8383 +32'h3f6b5d24,32'h3f82d2d9,32'h3f8829d2, 32'h3f7da33b,32'h3f8c2b0c, 32'h3f7049cc,32'h3f92d7c4,// invsqrt(0.9194) = 1.0429 +32'h3d8f2f69,32'h406d3438,32'h4076e2c2, 32'h4065f150,32'h407e25aa, 32'h4059d724,32'h40851feb,// invsqrt(0.0699) = 3.7820 +32'h3fae257a,32'h3f571639,32'h3f5fdda9, 32'h3f5080a5,32'h3f66733d, 32'h3f458759,32'h3f716c89,// invsqrt(1.3605) = 0.8573 +32'h3d9a1bb3,32'h4064a4a4,32'h406df9ba, 32'h405da4d3,32'h4074f98b, 32'h4051fa77,32'h408051f3,// invsqrt(0.0752) = 3.6455 +32'h40719b87,32'h3f011f46,32'h3f066478, 32'h3efa56c1,32'h3f0a585d, 32'h3eed29c5,32'h3f10eedc,// invsqrt(3.7751) = 0.5147 +32'h3f72fb7d,32'h3f80c1a0,32'h3f860300, 32'h3f79a132,32'h3f89f407, 32'h3f6c7dc3,32'h3f9085be,// invsqrt(0.9491) = 1.0264 +32'h3f707e54,32'h3f816bbf,32'h3f86b410, 32'h3f7aeb06,32'h3f8aaa4d, 32'h3f6db63b,32'h3f9144b2,// invsqrt(0.9394) = 1.0317 +32'h3f9c49db,32'h3f630aee,32'h3f6c4f4b, 32'h3f5c17a7,32'h3f734291, 32'h3f508233,32'h3f7ed805,// invsqrt(1.2210) = 0.9050 +32'h3ff0e622,32'h3f36dfeb,32'h3f3e56c5, 32'h3f3146c7,32'h3f43efe9, 32'h3f27f236,32'h3f4d447a,// invsqrt(1.8820) = 0.7289 +32'h401a1479,32'h3f21b09e,32'h3f284a1d, 32'h3f1cbd80,32'h3f2d3d3c, 32'h3f147da1,32'h3f357d1b,// invsqrt(2.4075) = 0.6445 +32'h3f518c27,32'h3f8aa5fd,32'h3f904eb9, 32'h3f866770,32'h3f948d46, 32'h3f7ea90a,32'h3f9ba031,// invsqrt(0.8185) = 1.1053 +32'h3f9cd89f,32'h3f62a381,32'h3f6be3a6, 32'h3f5bb366,32'h3f72d3c2, 32'h3f502338,32'h3f7e63f0,// invsqrt(1.2254) = 0.9034 +32'h40b9baaa,32'h3ed045a5,32'h3ed8c5df, 32'h3ec9e578,32'h3edf260c, 32'h3ebf452f,32'h3ee9c655,// invsqrt(5.8040) = 0.4151 +32'h3ea2fdc1,32'h3fde5348,32'h3fe7665a, 32'h3fd784fa,32'h3fee34a8, 32'h3fcc2d22,32'h3ff98c80,// invsqrt(0.3183) = 1.7724 +32'h3f29b426,32'h3f9a1138,32'h3fa05b10, 32'h3f9559d5,32'h3fa51273, 32'h3f8d7d87,32'h3faceec1,// invsqrt(0.6629) = 1.2282 +32'h3f9689c1,32'h3f6756a4,32'h3f70c7e4, 32'h3f6041b4,32'h3f77dcd4, 32'h3f547424,32'h3f81d532,// invsqrt(1.1761) = 0.9221 +32'h4093dcd4,32'h3ee96c0a,32'h3ef2f310, 32'h3ee246c6,32'h3efa1854, 32'h3ed65dff,32'h3f03008e,// invsqrt(4.6207) = 0.4652 +32'h3fef7d93,32'h3f376960,32'h3f3ee5d7, 32'h3f31cc07,32'h3f448331, 32'h3f287073,32'h3f4ddec5,// invsqrt(1.8710) = 0.7311 +32'h40256ffe,32'h3f1c0a88,32'h3f226900, 32'h3f1743ad,32'h3f272fdb, 32'h3f0f4d97,32'h3f2f25f1,// invsqrt(2.5850) = 0.6220 +32'h3fd52ae4,32'h3f426808,32'h3f4a5761, 32'h3f3c7485,32'h3f504ae3, 32'h3f328955,32'h3f5a3613,// invsqrt(1.6654) = 0.7749 +32'h3fdb87aa,32'h3f3f918b,32'h3f47633d, 32'h3f39b445,32'h3f4d4083, 32'h3f2fee27,32'h3f5706a1,// invsqrt(1.7151) = 0.7636 +32'h3f2e4c0a,32'h3f98060b,32'h3f9e3a89, 32'h3f935ead,32'h3fa2e1e7, 32'h3f8b9d0f,32'h3faaa385,// invsqrt(0.6808) = 1.2119 +32'h4057d428,32'h3f089daf,32'h3f0e312e, 32'h3f046f0f,32'h3f125fcd, 32'h3efaed60,32'h3f19582c,// invsqrt(3.3723) = 0.5445 +32'h3f94aebc,32'h3f68c70b,32'h3f724755, 32'h3f61a6d4,32'h3f79678c, 32'h3f55c678,32'h3f82a3f4,// invsqrt(1.1616) = 0.9278 +32'h3f85dbe6,32'h3f7553fe,32'h3f7f576b, 32'h3f6dd16b,32'h3f836cfe, 32'h3f614d22,32'h3f89af23,// invsqrt(1.0458) = 0.9779 +32'h3ede343d,32'h3fbe698e,32'h3fc62f2b, 32'h3fb89557,32'h3fcc0361, 32'h3faede53,32'h3fd5ba65,// invsqrt(0.4340) = 1.5180 +32'h3ec080cc,32'h3fcc9326,32'h3fd4ecc0, 32'h3fc64ff4,32'h3fdb2ff2, 32'h3fbbdff4,32'h3fe59ff2,// invsqrt(0.3760) = 1.6309 +32'h3faf4a3c,32'h3f566251,32'h3f5f226a, 32'h3f4fd240,32'h3f65b27c, 32'h3f44e221,32'h3f70a29b,// invsqrt(1.3695) = 0.8545 +32'h4039fde5,32'h3f132aa4,32'h3f192c62, 32'h3f0ea956,32'h3f1dadb0, 32'h3f072729,32'h3f252fdd,// invsqrt(2.9061) = 0.5866 +32'h41b593eb,32'h3e52a3b1,32'h3e5b3ca9, 32'h3e4c30f8,32'h3e61af62, 32'h3e4171c2,32'h3e6c6e98,// invsqrt(22.6972) = 0.2099 +32'h3f98e7e8,32'h3f658a50,32'h3f6ee8c7, 32'h3f5e8378,32'h3f75efa0, 32'h3f52cd64,32'h3f80d2da,// invsqrt(1.1946) = 0.9149 +32'h409ec0a9,32'h3ee14616,32'h3eea77f8, 32'h3eda60ad,32'h3ef15d61, 32'h3ecee253,32'h3efcdbbb,// invsqrt(4.9610) = 0.4490 +32'h3e201165,32'h401ea303,32'h40251c9b, 32'h4019c7d1,32'h4029f7cd, 32'h4011afd4,32'h40320fca,// invsqrt(0.1563) = 2.5293 +32'h4085a4d3,32'h3ef58685,32'h3eff8c03, 32'h3eee0267,32'h3f038810, 32'h3ee17b8a,32'h3f09cb7f,// invsqrt(4.1764) = 0.4893 +32'h3f29c2b7,32'h3f9a0a9c,32'h3fa05430, 32'h3f95536e,32'h3fa50b5e, 32'h3f8d7775,32'h3face757,// invsqrt(0.6631) = 1.2280 +32'h3f44684a,32'h3f8f3614,32'h3f950e7e, 32'h3f8ad3c5,32'h3f9970cd, 32'h3f838542,32'h3fa0bf50,// invsqrt(0.7672) = 1.1417 +32'h3f591bc7,32'h3f883674,32'h3f8dc5bc, 32'h3f840afd,32'h3f91f133, 32'h3f7a2fc5,32'h3f98e44e,// invsqrt(0.8481) = 1.0859 +32'h3f72f9a8,32'h3f80c21c,32'h3f860380, 32'h3f79a221,32'h3f89f48c, 32'h3f6c7ea6,32'h3f908649,// invsqrt(0.9491) = 1.0265 +32'h3f4536db,32'h3f8eeb00,32'h3f94c059, 32'h3f8a8afe,32'h3f99205c, 32'h3f83404f,32'h3fa06b0b,// invsqrt(0.7704) = 1.1393 +32'h3fbd1014,32'h3f4e6d7e,32'h3f56da74, 32'h3f481bc6,32'h3f5d2c2c, 32'h3f3d9393,32'h3f67b45f,// invsqrt(1.4771) = 0.8228 +32'h3fa2e305,32'h3f5e6586,32'h3f677957, 32'h3f5796a8,32'h3f6e4834, 32'h3f4c3de3,32'h3f79a0f9,// invsqrt(1.2726) = 0.8865 +32'h419a7132,32'h3e646552,32'h3e6db7d2, 32'h3e5d6771,32'h3e74b5b3, 32'h3e51c050,32'h3e802e6a,// invsqrt(19.3053) = 0.2276 +32'h3f778f0a,32'h3f7f1f14,32'h3f84c46c, 32'h3f774fc3,32'h3f88ac14, 32'h3f6a4b91,32'h3f8f2e2e,// invsqrt(0.9670) = 1.0169 +32'h4017d93e,32'h3f22dfa3,32'h3f29857f, 32'h3f1de33d,32'h3f2e81e5, 32'h3f1593e9,32'h3f36d139,// invsqrt(2.3726) = 0.6492 +32'h401d0706,32'h3f202a4d,32'h3f26b3dd, 32'h3f1b4321,32'h3f2b9b09, 32'h3f13172c,32'h3f33c6fe,// invsqrt(2.4536) = 0.6384 +32'h3f3bf978,32'h3f92636c,32'h3f985d08, 32'h3f8de837,32'h3f9cd83d, 32'h3f867034,32'h3fa45040,// invsqrt(0.7343) = 1.1670 +32'h3f9db703,32'h3f62037f,32'h3f6b3d1b, 32'h3f5b1849,32'h3f722851, 32'h3f4f9045,32'h3f7db055,// invsqrt(1.2321) = 0.9009 +32'h3fe09b5c,32'h3f3d641d,32'h3f451f0f, 32'h3f3797e8,32'h3f4aeb44, 32'h3f2dee3a,32'h3f5494f2,// invsqrt(1.7547) = 0.7549 +32'h3eaf2f29,32'h3fd672e2,32'h3fdf33a7, 32'h3fcfe24d,32'h3fe5c43b, 32'h3fc4f157,32'h3ff0b531,// invsqrt(0.3422) = 1.7096 +32'h3faca8cf,32'h3f5802d2,32'h3f60d3ea, 32'h3f516600,32'h3f6770bc, 32'h3f4660a1,32'h3f72761b,// invsqrt(1.3489) = 0.8610 +32'h3f40cc27,32'h3f908bb7,32'h3f967213, 32'h3f8c1ef3,32'h3f9aded7, 32'h3f84bf01,32'h3fa23ec9,// invsqrt(0.7531) = 1.1523 +32'h3fcf265b,32'h3f4535bd,32'h3f4d4261, 32'h3f3f2c41,32'h3f534bdd, 32'h3f351c74,32'h3f5d5baa,// invsqrt(1.6184) = 0.7861 +32'h3fd607c1,32'h3f42039f,32'h3f49eedf, 32'h3f3c132f,32'h3f4fdf4f, 32'h3f322d1f,32'h3f59c55f,// invsqrt(1.6721) = 0.7733 +32'h3f1937a2,32'h3fa224fb,32'h3fa8c339, 32'h3f9d2e4c,32'h3fadb9e8, 32'h3f94e87e,32'h3fb5ffb6,// invsqrt(0.5985) = 1.2926 +32'h3f13c751,32'h3fa519ea,32'h3fabd70d, 32'h3fa00c0e,32'h3fb0e4e8, 32'h3f979fa2,32'h3fb95154,// invsqrt(0.5773) = 1.3162 +32'h3f0b65ee,32'h3fa9fddb,32'h3fb0ee18, 32'h3fa4c9ad,32'h3fb62245, 32'h3f9c1d60,32'h3fbece92,// invsqrt(0.5445) = 1.3552 +32'h40d23496,32'h3ec3c575,32'h3ecbc312, 32'h3ebdc741,32'h3ed1c147, 32'h3eb3ca3d,32'h3edbbe4b,// invsqrt(6.5689) = 0.3902 +32'h3e581bda,32'h40088703,32'h400e1996, 32'h40045916,32'h40124784, 32'h3ffac3be,32'h40193ebb,// invsqrt(0.2110) = 2.1768 +32'h3e1f883a,32'h401ee727,32'h40256387, 32'h401a09df,32'h402a40cf, 32'h4011ee68,32'h40325c46,// invsqrt(0.1558) = 2.5335 +32'h3f969159,32'h3f6750cf,32'h3f70c1d2, 32'h3f603c0c,32'h3f77d694, 32'h3f546ec8,32'h3f81d1ec,// invsqrt(1.1763) = 0.9220 +32'h3e94d050,32'h3fe8acc6,32'h3ff22bfe, 32'h3fe18d5d,32'h3ff94b67, 32'h3fd5ae58,32'h40029536,// invsqrt(0.2907) = 1.8549 +32'h3fb9e526,32'h3f502dd7,32'h3f58ad19, 32'h3f49ce65,32'h3f5f0c8b, 32'h3f3f2f52,32'h3f69ab9e,// invsqrt(1.4523) = 0.8298 +32'h4101722f,32'h3eb067c3,32'h3eb79b04, 32'h3eab0151,32'h3ebd0175, 32'h3ea20140,32'h3ec60187,// invsqrt(8.0904) = 0.3516 +32'h3ff43489,32'h3f35a1eb,32'h3f3d0bcb, 32'h3f301283,32'h3f429b33, 32'h3f26ce2c,32'h3f4bdf8b,// invsqrt(1.9079) = 0.7240 +32'h3fc4180a,32'h3f4ab16f,32'h3f52f75f, 32'h3f447cfb,32'h3f592bd3, 32'h3f3a2590,32'h3f63833e,// invsqrt(1.5320) = 0.8079 +32'h40bc5dc6,32'h3ececf1a,32'h3ed7400c, 32'h3ec87a65,32'h3edd94c1, 32'h3ebded38,32'h3ee821ef,// invsqrt(5.8864) = 0.4122 +32'h3f31a543,32'h3f969582,32'h3f9cbaf4, 32'h3f91f96b,32'h3fa1570b, 32'h3f8a4a9c,32'h3fa905da,// invsqrt(0.6939) = 1.2004 +32'h41c49586,32'h3e4a70b4,32'h3e52b400, 32'h3e443e3c,32'h3e58e678, 32'h3e39ea1e,32'h3e633a96,// invsqrt(24.5730) = 0.2017 +32'h40dc131c,32'h3ebf54d0,32'h3ec72408, 32'h3eb97967,32'h3eccff71, 32'h3eafb661,32'h3ed6c277,// invsqrt(6.8773) = 0.3813 +32'h413664b4,32'h3e949c77,32'h3e9aad4d, 32'h3e900fd7,32'h3e9f39ed, 32'h3e887acb,32'h3ea6cef9,// invsqrt(11.3996) = 0.2962 +32'h3f77c30e,32'h3f7f044b,32'h3f84b67b, 32'h3f7735cb,32'h3f889dba, 32'h3f6a32f6,32'h3f8f1f25,// invsqrt(0.9678) = 1.0165 +32'h3fd6a212,32'h3f41bdd4,32'h3f49a63a, 32'h3f3bcf87,32'h3f4f9487, 32'h3f31ed06,32'h3f597708,// invsqrt(1.6768) = 0.7722 +32'h3e8d314a,32'h3feedf38,32'h3ff89f30, 32'h3fe78f3e,32'h3fffef2a, 32'h3fdb5f48,32'h40060f90,// invsqrt(0.2758) = 1.9043 +32'h3fbc71af,32'h3f4ec42d,32'h3f5734ad, 32'h3f486fce,32'h3f5d890c, 32'h3f3de32f,32'h3f6815ab,// invsqrt(1.4722) = 0.8242 +32'h401ec2b6,32'h3f1f49e1,32'h3f25ca49, 32'h3f1a6994,32'h3f2aaa96, 32'h3f124913,32'h3f32cb17,// invsqrt(2.4806) = 0.6349 +32'h3f7ef5f7,32'h3f7b6409,32'h3f82d368, 32'h3f73b1f3,32'h3f86ac72, 32'h3f66de7b,32'h3f8d162f,// invsqrt(0.9959) = 1.0020 +32'h3ee3eb0b,32'h3fbc02a1,32'h3fc3af25, 32'h3fb6413e,32'h3fc97088, 32'h3faca999,32'h3fd3082d,// invsqrt(0.4452) = 1.4988 +32'h3ef29655,32'h3fb63cba,32'h3fbdaceb, 32'h3fb0a894,32'h3fc34110, 32'h3fa75c57,32'h3fcc8d4d,// invsqrt(0.4738) = 1.4528 +32'h3f801d5b,32'h3f7ac488,32'h3f828066, 32'h3f731755,32'h3f865700, 32'h3f664bff,32'h3f8cbcaa,// invsqrt(1.0009) = 0.9996 +32'h3fec244b,32'h3f38b521,32'h3f403f23, 32'h3f330da0,32'h3f45e6a4, 32'h3f29a11f,32'h3f4f5325,// invsqrt(1.8449) = 0.7362 +32'h3f26c500,32'h3f9b6aad,32'h3fa1c29f, 32'h3f96a8b7,32'h3fa68495, 32'h3f8ebac9,32'h3fae7283,// invsqrt(0.6514) = 1.2390 +32'h3fe03b75,32'h3f3d8c99,32'h3f454931, 32'h3f37bf26,32'h3f4b16a4, 32'h3f2e1368,32'h3f54c262,// invsqrt(1.7518) = 0.7555 +32'h3f64d644,32'h3f84ad1a,32'h3f8a176e, 32'h3f809d5a,32'h3f8e272e, 32'h3f73b0e1,32'h3f94ec18,// invsqrt(0.8939) = 1.0577 +32'h3f575d42,32'h3f88c360,32'h3f8e5869, 32'h3f849399,32'h3f92882f, 32'h3f7b329a,32'h3f99827b,// invsqrt(0.8413) = 1.0903 +32'h3f03b9fd,32'h3faedf25,32'h3fb6025f, 32'h3fa984b8,32'h3fbb5ccc, 32'h3fa098af,32'h3fc448d5,// invsqrt(0.5146) = 1.3941 +32'h3f4bb252,32'h3f8ca023,32'h3f925d87, 32'h3f885217,32'h3f96ab93, 32'h3f812559,32'h3f9dd851,// invsqrt(0.7957) = 1.1211 +32'h3ed08779,32'h3fc48e7c,32'h3fcc944d, 32'h3fbe8a20,32'h3fd298aa, 32'h3fb482db,32'h3fdc9fef,// invsqrt(0.4073) = 1.5669 +32'h3e36a208,32'h40148381,32'h401a9352, 32'h400ff7a4,32'h401f1f2e, 32'h400863de,32'h4026b2f4,// invsqrt(0.1784) = 2.3679 +32'h3f438ea0,32'h3f8f85b1,32'h3f95615b, 32'h3f8b20f2,32'h3f99c61a, 32'h3f83ce5f,32'h3fa118ad,// invsqrt(0.7639) = 1.1442 +32'h3f6fcb06,32'h3f819c1a,32'h3f86e664, 32'h3f7b48c4,32'h3f8ade1c, 32'h3f6e0f0b,32'h3f917af8,// invsqrt(0.9367) = 1.0332 +32'h3db4b761,32'h40532411,32'h405bc245, 32'h404cad69,32'h406238ed, 32'h4041e7a7,32'h406cfeaf,// invsqrt(0.0882) = 3.3664 +32'h3f4e3995,32'h3f8bc2c5,32'h3f917721, 32'h3f877b80,32'h3f95be66, 32'h3f805a0e,32'h3f9cdfd8,// invsqrt(0.8056) = 1.1142 +32'h3fa58aae,32'h3f5c9b22,32'h3f659c3e, 32'h3f55da4e,32'h3f6c5d12, 32'h3f4a98eb,32'h3f779e75,// invsqrt(1.2933) = 0.8793 +32'h3b8d74f7,32'h416ea60d,32'h417863b0, 32'h416757d4,32'h417fb1ea, 32'h415b2ac9,32'h4185ef7b,// invsqrt(0.0043) = 15.2199 +32'h3e0c8849,32'h40294de3,32'h403036f1, 32'h40241f18,32'h403565bc, 32'h401b7bc6,32'h403e090e,// invsqrt(0.1372) = 2.6994 +32'h3ea67e52,32'h3fdbf97d,32'h3fe4f3ff, 32'h3fd53d9b,32'h3febafe1, 32'h3fca0478,32'h3ff6e904,// invsqrt(0.3252) = 1.7536 +32'h3f461b41,32'h3f8e9886,32'h3f946a80, 32'h3f8a3b09,32'h3f98c7fd, 32'h3f82f490,32'h3fa00e76,// invsqrt(0.7739) = 1.1368 +32'h3f3a44ab,32'h3f930eac,32'h3f990f46, 32'h3f8e8e39,32'h3f9d8fb9, 32'h3f870d7a,32'h3fa51078,// invsqrt(0.7276) = 1.1723 +32'h3e003063,32'h403144a3,32'h403880e9, 32'h402bd76f,32'h403dee1d, 32'h4022cc19,32'h4046f973,// invsqrt(0.1252) = 2.8263 +32'h3fbdbf99,32'h3f4e0dee,32'h3f5676fd, 32'h3f47bf23,32'h3f5cc5c9, 32'h3f3d3bd1,32'h3f67491b,// invsqrt(1.4824) = 0.8213 +32'h3de9dda0,32'h40399aab,32'h40412e0b, 32'h4033ec23,32'h4046dc93, 32'h402a73ec,32'h405054cb,// invsqrt(0.1142) = 2.9593 +32'h3eacc54f,32'h3fd7f100,32'h3fe0c15e, 32'h3fd154b9,32'h3fe75da5, 32'h3fc65044,32'h3ff2621a,// invsqrt(0.3374) = 1.7215 +32'h406380bf,32'h3f05108a,32'h3f0a7eee, 32'h3f00fdbf,32'h3f0e91b9, 32'h3ef46786,32'h3f155bb5,// invsqrt(3.5547) = 0.5304 +32'h3f8c18cd,32'h3f6fcde0,32'h3f799796, 32'h3f687698,32'h3f80776f, 32'h3f5c3a75,32'h3f869580,// invsqrt(1.0945) = 0.9559 +32'h40af5b1a,32'h3ed65802,32'h3edf17ae, 32'h3ecfc840,32'h3ee5a770, 32'h3ec4d8a9,32'h3ef09707,// invsqrt(5.4799) = 0.4272 +32'h3f9ceb4d,32'h3f629604,32'h3f6bd59c, 32'h3f5ba652,32'h3f72c54e, 32'h3f5016d5,32'h3f7e54cb,// invsqrt(1.2259) = 0.9032 +32'h3f874c5f,32'h3f740508,32'h3f7dfaca, 32'h3f6c8cb7,32'h3f82b98e, 32'h3f601985,32'h3f88f327,// invsqrt(1.0570) = 0.9727 +32'h3d1698fc,32'h40a38c75,32'h40aa3960, 32'h409e8ac6,32'h40af3b10, 32'h409632a0,32'h40b79336,// invsqrt(0.0368) = 5.2152 +32'h3c9c460d,32'h40e30db1,32'h40ec522b, 32'h40dc1a55,32'h40f34587, 32'h40d084bd,32'h40fedb1f,// invsqrt(0.0191) = 7.2402 +32'h40836016,32'h3ef7a2dd,32'h3f00df34, 32'h3ef00e34,32'h3f04a989, 32'h3ee36bc6,32'h3f0afac0,// invsqrt(4.1055) = 0.4935 +32'h3ff7fa62,32'h3f343ed8,32'h3f3b9a3a, 32'h3f2eba4f,32'h3f411ec3, 32'h3f258815,32'h3f4a50fd,// invsqrt(1.9373) = 0.7185 +32'h40c6d7a1,32'h3ec94994,32'h3ed180d4, 32'h3ec32025,32'h3ed7aa43, 32'h3eb8db15,32'h3ee1ef53,// invsqrt(6.2138) = 0.4012 +32'h3f1133b3,32'h3fa68f45,32'h3fad5ba6, 32'h3fa175fd,32'h3fb274ef, 32'h3f98f684,32'h3fbaf468,// invsqrt(0.5672) = 1.3278 +32'h3f146173,32'h3fa4c413,32'h3fab7db5, 32'h3f9fb8d9,32'h3fb088ef, 32'h3f9750cd,32'h3fb8f0fb,// invsqrt(0.5796) = 1.3135 +32'h3f33020c,32'h3f960286,32'h3f9c21fa, 32'h3f916af0,32'h3fa0b990, 32'h3f89c3a0,32'h3fa860e0,// invsqrt(0.6992) = 1.1959 +32'h3f328b62,32'h3f963458,32'h3f9c55d3, 32'h3f919b3a,32'h3fa0eef0, 32'h3f89f160,32'h3fa898ca,// invsqrt(0.6974) = 1.1974 +32'h3e6bcc01,32'h4002b414,32'h400809cc, 32'h3ffd6795,32'h400c0a16, 32'h3ff0114a,32'h4012b53b,// invsqrt(0.2303) = 2.0839 +32'h3fc55047,32'h3f4a10d0,32'h3f525031, 32'h3f43e146,32'h3f587fba, 32'h3f39920d,32'h3f62cef3,// invsqrt(1.5415) = 0.8054 +32'h3f0cb987,32'h3fa93041,32'h3fb0181a, 32'h3fa4025f,32'h3fb545fd, 32'h3f9b6090,32'h3fbde7cc,// invsqrt(0.5497) = 1.3488 +32'h3f915a42,32'h3f6b6dc9,32'h3f7509c7, 32'h3f6438cb,32'h3f7c3ec5, 32'h3f5835ce,32'h3f8420e1,// invsqrt(1.1356) = 0.9384 +32'h3e90f54c,32'h3febbfb7,32'h3ff55f0d, 32'h3fe48837,32'h3ffc968d, 32'h3fd8810b,32'h40044edc,// invsqrt(0.2831) = 1.8794 +32'h3d620865,32'h40857f23,32'h408af20b, 32'h408168f6,32'h408f0838, 32'h407532a9,32'h4095d7d9,// invsqrt(0.0552) = 4.2569 +32'h3f30fc0d,32'h3f96dd6d,32'h3f9d05cf, 32'h3f923f23,32'h3fa1a419, 32'h3f8a8ca8,32'h3fa95694,// invsqrt(0.6913) = 1.2027 +32'h3fc7e6cc,32'h3f48c0e0,32'h3f50f28b, 32'h3f429b9f,32'h3f5717cb, 32'h3f385d89,32'h3f6155e1,// invsqrt(1.5617) = 0.8002 +32'h3ea357fa,32'h3fde15d9,32'h3fe72669, 32'h3fd7496c,32'h3fedf2d6, 32'h3fcbf4b7,32'h3ff9478b,// invsqrt(0.3190) = 1.7705 +32'h3f8f21dd,32'h3f6d3f71,32'h3f76ee71, 32'h3f65fc32,32'h3f7e31b0, 32'h3f59e172,32'h3f852638,// invsqrt(1.1182) = 0.9457 +32'h3e8925e9,32'h3ff25e55,32'h3ffc42d6, 32'h3feaf2f5,32'h4001d71c, 32'h3fde9554,32'h400805ec,// invsqrt(0.2679) = 1.9321 +32'h40126cd2,32'h3f25dccf,32'h3f2ca1e7, 32'h3f20c8fd,32'h3f31b5b9, 32'h3f18529f,32'h3f3a2c17,// invsqrt(2.2879) = 0.6611 +32'h3feca273,32'h3f3883de,32'h3f400bdc, 32'h3f32dddf,32'h3f45b1db, 32'h3f2973e0,32'h3f4f1bda,// invsqrt(1.8487) = 0.7355 +32'h407e819c,32'h3efb9d7a,32'h3f02f14d, 32'h3ef3e9a3,32'h3f06cb38, 32'h3ee7133c,32'h3f0d366c,// invsqrt(3.9767) = 0.5015 +32'h3f2893f5,32'h3f9a94b1,32'h3fa0e3e7, 32'h3f95d948,32'h3fa59f50, 32'h3f8df644,32'h3fad8254,// invsqrt(0.6585) = 1.2323 +32'h3eb3d088,32'h3fd3ab6e,32'h3fdc4f29, 32'h3fcd30a1,32'h3fe2c9f5, 32'h3fc263f7,32'h3fed969f,// invsqrt(0.3512) = 1.6874 +32'h3e2e2084,32'h4018190a,32'h401e4e4e, 32'h40137117,32'h4022f641, 32'h400bae81,32'h402ab8d7,// invsqrt(0.1700) = 2.4250 +32'h3ee3dc99,32'h3fbc0897,32'h3fc3b559, 32'h3fb64705,32'h3fc976eb, 32'h3facaf12,32'h3fd30ede,// invsqrt(0.4450) = 1.4990 +32'h3ed5bd40,32'h3fc2256d,32'h3fca120e, 32'h3fbc33f4,32'h3fd00386, 32'h3fb24c2a,32'h3fd9eb50,// invsqrt(0.4175) = 1.5477 +32'h401e6ae1,32'h3f1f7603,32'h3f25f838, 32'h3f1a945c,32'h3f2ad9e0, 32'h3f12719b,32'h3f32fca1,// invsqrt(2.4753) = 0.6356 +32'h3feeba9d,32'h3f37b436,32'h3f3f33ba, 32'h3f321492,32'h3f44d35e, 32'h3f28b52c,32'h3f4e32c4,// invsqrt(1.8651) = 0.7322 +32'h40331ac2,32'h3f15f82d,32'h3f1c1734, 32'h3f1160e7,32'h3f20ae79, 32'h3f09ba1e,32'h3f285542,// invsqrt(2.7985) = 0.5978 +32'h4061588e,32'h3f05b32f,32'h3f0b2836, 32'h3f019b69,32'h3f0f3ffb, 32'h3ef59240,32'h3f161244,// invsqrt(3.5210) = 0.5329 +32'h401caeb1,32'h3f20576c,32'h3f26e2d4, 32'h3f1b6ede,32'h3f2bcb62, 32'h3f13409d,32'h3f33f9a3,// invsqrt(2.4482) = 0.6391 +32'h3fd5ef0e,32'h3f420ed2,32'h3f49fa88, 32'h3f3c1e0b,32'h3f4feb4f, 32'h3f323768,32'h3f59d1f2,// invsqrt(1.6714) = 0.7735 +32'h3f2c9c34,32'h3f98c3bf,32'h3f9efffb, 32'h3f941692,32'h3fa3ad28, 32'h3f8c4b47,32'h3fab7873,// invsqrt(0.6743) = 1.2178 +32'h40527100,32'h3f0a5a85,32'h3f10002d, 32'h3f061e48,32'h3f143c6a, 32'h3efe1e6d,32'h3f1b4b7c,// invsqrt(3.2881) = 0.5515 +32'h3f0151f1,32'h3fb07dbf,32'h3fb7b1e6, 32'h3fab16a1,32'h3fbd1903, 32'h3fa21570,32'h3fc61a34,// invsqrt(0.5052) = 1.4070 +32'h40917492,32'h3eeb587c,32'h3ef4f39c, 32'h3ee42425,32'h3efc27f3, 32'h3ed8223e,32'h3f0414ed,// invsqrt(4.5455) = 0.4690 +32'h3f94f636,32'h3f688f2b,32'h3f720d2d, 32'h3f6170aa,32'h3f792bae, 32'h3f559328,32'h3f828498,// invsqrt(1.1638) = 0.9270 +32'h4020ab61,32'h3f1e56ec,32'h3f24cd68, 32'h3f197e0e,32'h3f29a646, 32'h3f1169f3,32'h3f31ba61,// invsqrt(2.5105) = 0.6311 +32'h3fc2db0b,32'h3f4b560c,32'h3f53a2b4, 32'h3f451c8e,32'h3f59dc32, 32'h3f3abcbd,32'h3f643c03,// invsqrt(1.5223) = 0.8105 +32'h3ea8d9df,32'h3fda6ef6,32'h3fe3595e, 32'h3fd3bf28,32'h3fea092c, 32'h3fc89a26,32'h3ff52e2e,// invsqrt(0.3298) = 1.7413 +32'h3ef66207,32'h3fb4d3f9,32'h3fbc3571, 32'h3faf4adf,32'h3fc1be8b, 32'h3fa61109,32'h3fcaf861,// invsqrt(0.4812) = 1.4415 +32'h3d549597,32'h4089a78d,32'h408f45e7, 32'h408570ca,32'h40937caa, 32'h407cd5b5,32'h409a8299,// invsqrt(0.0519) = 4.3895 +32'h3efb75ae,32'h3fb2fe4b,32'h3fba4c97, 32'h3fad8392,32'h3fbfc750, 32'h3fa461b2,32'h3fc8e930,// invsqrt(0.4911) = 1.4269 +32'h3d468cd4,32'h408e6fb7,32'h40944008, 32'h408a137b,32'h40989c45, 32'h4082cf16,32'h409fe0aa,// invsqrt(0.0485) = 4.5420 +32'h3faadf54,32'h3f59233c,32'h3f62001a, 32'h3f527d96,32'h3f68a5c0, 32'h3f476980,32'h3f73b9d6,// invsqrt(1.3349) = 0.8655 +32'h3fae2f4c,32'h3f571029,32'h3f5fd759, 32'h3f507ac4,32'h3f666cbe, 32'h3f4581c7,32'h3f7165bb,// invsqrt(1.3608) = 0.8572 +32'h3f079ac9,32'h3fac5a5c,32'h3fb36346, 32'h3fa713ad,32'h3fb8a9f5, 32'h3f9e4889,32'h3fc17519,// invsqrt(0.5297) = 1.3740 +32'h405a44f9,32'h3f07d998,32'h3f0d6516, 32'h3f03b0f9,32'h3f118db5, 32'h3ef98536,32'h3f187c13,// invsqrt(3.4105) = 0.5415 +32'h3db753d7,32'h4051a1bf,32'h405a3030, 32'h404b36ec,32'h40609b04, 32'h404084df,32'h406b4d11,// invsqrt(0.0895) = 3.3423 +32'h3f805d99,32'h3f7a85c0,32'h3f825fba, 32'h3f72da79,32'h3f86355e, 32'h3f661257,32'h3f8c996e,// invsqrt(1.0029) = 0.9986 +32'h3e2bd39e,32'h40191cd0,32'h401f5cae, 32'h40146ce9,32'h40240c95, 32'h400c9d12,32'h402bdc6c,// invsqrt(0.1678) = 2.4412 +32'h3f4dbe11,32'h3f8becb3,32'h3f91a2c5, 32'h3f87a426,32'h3f95eb52, 32'h3f808090,32'h3f9d0ee8,// invsqrt(0.8037) = 1.1155 +32'h3ef21e48,32'h3fb669e2,32'h3fbddbec, 32'h3fb0d45b,32'h3fc37173, 32'h3fa785d0,32'h3fccbffe,// invsqrt(0.4729) = 1.4542 +32'h40d2776a,32'h3ec3a65e,32'h3ecba2b6, 32'h3ebda91d,32'h3ed19ff7, 32'h3eb3adaf,32'h3edb9b65,// invsqrt(6.5771) = 0.3899 +32'h3eca9522,32'h3fc76bac,32'h3fcf8f6a, 32'h3fc150de,32'h3fd5aa38, 32'h3fb72430,32'h3fdfd6e6,// invsqrt(0.3957) = 1.5898 +32'h3fc87dc6,32'h3f48753b,32'h3f50a3d0, 32'h3f42524c,32'h3f56c6c0, 32'h3f381812,32'h3f6100fa,// invsqrt(1.5663) = 0.7990 +32'h40631218,32'h3f0530f2,32'h3f0aa0a8, 32'h3f011d29,32'h3f0eb471, 32'h3ef4a30b,32'h3f158015,// invsqrt(3.5480) = 0.5309 +32'h3d0ae421,32'h40aa4d37,32'h40b140b1, 32'h40a5169b,32'h40b6774d, 32'h409c6642,32'h40bf27a6,// invsqrt(0.0339) = 5.4305 +32'h40710320,32'h3f014813,32'h3f068eef, 32'h3efaa5dc,32'h3f0a8414, 32'h3eed74b5,32'h3f111ca8,// invsqrt(3.7658) = 0.5153 +32'h3f7a5b24,32'h3f7db130,32'h3f840602, 32'h3f75ed12,32'h3f87e811, 32'h3f68fb8b,32'h3f8e60d5,// invsqrt(0.9780) = 1.0112 +32'h3ec88e36,32'h3fc86d04,32'h3fd09b43, 32'h3fc24a55,32'h3fd6bdf3, 32'h3fb81087,32'h3fe0f7c1,// invsqrt(0.3917) = 1.5978 +32'h3f359eb8,32'h3f94ed61,32'h3f9b0185, 32'h3f905e47,32'h3f9f909f, 32'h3f88c51b,32'h3fa729cb,// invsqrt(0.7095) = 1.1872 +32'h3f84821a,32'h3f769349,32'h3f8051df, 32'h3f6f06f1,32'h3f84180c, 32'h3f62725d,32'h3f8a6255,// invsqrt(1.0352) = 0.9828 +32'h3f54b021,32'h3f899ef6,32'h3f8f3cf6, 32'h3f856876,32'h3f937376, 32'h3f7cc5ee,32'h3f9a78f5,// invsqrt(0.8308) = 1.0971 +32'h3f8e15f7,32'h3f6e1eb2,32'h3f77d6ce, 32'h3f66d49d,32'h3f7f20e3, 32'h3f5aae7a,32'h3f85a383,// invsqrt(1.1100) = 0.9491 +32'h3fa3acda,32'h3f5ddc3c,32'h3f66ea73, 32'h3f571194,32'h3f6db51c, 32'h3f4bbfcf,32'h3f7906e1,// invsqrt(1.2787) = 0.8843 +32'h3e49fe3f,32'h400d379d,32'h4012fb31, 32'h4008e4ef,32'h40174ddf, 32'h4001b076,32'h401e8258,// invsqrt(0.1973) = 2.2516 +32'h3ed9e3e0,32'h3fc049bc,32'h3fc822f2, 32'h3fba66d3,32'h3fce05db, 32'h3fb0974e,32'h3fd7d560,// invsqrt(0.4256) = 1.5329 +32'h3f9db140,32'h3f6207a0,32'h3f6b4168, 32'h3f5b1c4a,32'h3f722cbe, 32'h3f4f9410,32'h3f7db4f8,// invsqrt(1.2320) = 0.9009 +32'h3e820b3a,32'h3ff8e694,32'h400187ab, 32'h3ff14802,32'h400556f4, 32'h3fe49510,32'h400bb06d,// invsqrt(0.2540) = 1.9842 +32'h3ea5de47,32'h3fdc6383,32'h3fe56259, 32'h3fd5a462,32'h3fec217a, 32'h3fca65d6,32'h3ff76006,// invsqrt(0.3240) = 1.7569 +32'h3f73721e,32'h3f80a23d,32'h3f85e255, 32'h3f796458,32'h3f89d266, 32'h3f6c441d,32'h3f906284,// invsqrt(0.9510) = 1.0255 +32'h3fb62bc4,32'h3f524bd5,32'h3f5ae136, 32'h3f4bdbcb,32'h3f61513f, 32'h3f412111,32'h3f6c0bf9,// invsqrt(1.4232) = 0.8382 +32'h3f83b39c,32'h3f77544b,32'h3f80b651, 32'h3f6fc20a,32'h3f847f71, 32'h3f63239d,32'h3f8acea7,// invsqrt(1.0289) = 0.9858 +32'h3f103885,32'h3fa72011,32'h3fadf25b, 32'h3fa2025a,32'h3fb31012, 32'h3f997b7d,32'h3fbb96ef,// invsqrt(0.5634) = 1.3323 +32'h3fb21ce2,32'h3f54adad,32'h3f5d5bf3, 32'h3f4e2af9,32'h3f63dea7, 32'h3f435122,32'h3f6eb87e,// invsqrt(1.3915) = 0.8477 +32'h401d6f7b,32'h3f1ff522,32'h3f267c86, 32'h3f1b0f96,32'h3f2b6212, 32'h3f12e658,32'h3f338b50,// invsqrt(2.4599) = 0.6376 +32'h3e332561,32'h4015f3bb,32'h401c1293, 32'h40115c98,32'h4020a9b6, 32'h4009b60a,32'h40285044,// invsqrt(0.1749) = 2.3908 +32'h3ed7a986,32'h3fc14759,32'h3fc92aea, 32'h3fbb5cad,32'h3fcf1597, 32'h3fb18038,32'h3fd8f20c,// invsqrt(0.4212) = 1.5408 +32'h3f95eddc,32'h3f67cecb,32'h3f7144f2, 32'h3f60b62c,32'h3f785d90, 32'h3f54e27b,32'h3f8218a0,// invsqrt(1.1713) = 0.9240 +32'h3e8e381c,32'h3fee021a,32'h3ff7b90c, 32'h3fe6b8e5,32'h3fff0241, 32'h3fda9438,32'h40059377,// invsqrt(0.2778) = 1.8974 +32'h3f500ed8,32'h3f8b24d0,32'h3f90d2b9, 32'h3f86e261,32'h3f951527, 32'h3f7f91fa,32'h3f9c2e8b,// invsqrt(0.8127) = 1.1092 +32'h3f34bc23,32'h3f954a9e,32'h3f9b6290, 32'h3f90b8a9,32'h3f9ff485, 32'h3f891abb,32'h3fa79273,// invsqrt(0.7060) = 1.1901 +32'h3edda904,32'h3fbea550,32'h3fc66d5e, 32'h3fb8cf46,32'h3fcc4368, 32'h3faf1535,32'h3fd5fd79,// invsqrt(0.4329) = 1.5198 +32'h3f6d8666,32'h3f823a23,32'h3f878ae1, 32'h3f7c7b2a,32'h3f8b876f, 32'h3f6f3150,32'h3f922c5c,// invsqrt(0.9278) = 1.0382 +32'h3f19e190,32'h3fa1cb5b,32'h3fa865f1, 32'h3f9cd76a,32'h3fad59e2, 32'h3f94962f,32'h3fb59b1d,// invsqrt(0.6011) = 1.2898 +32'h418a7ead,32'h3e712fec,32'h3e7b0816, 32'h3e69cdce,32'h3e81351a, 32'h3e5d7f9a,32'h3e875c34,// invsqrt(17.3119) = 0.2403 +32'h3f4f7fef,32'h3f8b54b2,32'h3f910490, 32'h3f8710cc,32'h3f954876, 32'h3f7fe9ef,32'h3f9c644b,// invsqrt(0.8105) = 1.1107 +32'h403db2c2,32'h3f11b8c4,32'h3f17ab68, 32'h3f0d42c8,32'h3f1c2164, 32'h3f05d37a,32'h3f2390b2,// invsqrt(2.9640) = 0.5808 +32'h4079b5fd,32'h3efe0507,32'h3f0431a3, 32'h3ef63e57,32'h3f0814fa, 32'h3ee94889,32'h3f0e8fe2,// invsqrt(3.9017) = 0.5063 +32'h4096e1b8,32'h3ee7132b,32'h3ef081aa, 32'h3ee0004b,32'h3ef79489, 32'h3ed4362c,32'h3f01af54,// invsqrt(4.7151) = 0.4605 +32'h40834f37,32'h3ef7b2c5,32'h3f00e77b, 32'h3ef01da0,32'h3f04b20e, 32'h3ee37a61,32'h3f0b03ad,// invsqrt(4.1034) = 0.4937 +32'h3f9c03cc,32'h3f633de2,32'h3f6c8454, 32'h3f5c490d,32'h3f737929, 32'h3f50b0ff,32'h3f7f1137,// invsqrt(1.2189) = 0.9058 +32'h3fbcdef5,32'h3f4e8854,32'h3f56f662, 32'h3f4835ca,32'h3f5d48ec, 32'h3f3dac38,32'h3f67d27e,// invsqrt(1.4756) = 0.8232 +32'h408dcb6b,32'h3eee5d42,32'h3ef817eb, 32'h3ee71142,32'h3eff63ea, 32'h3edae7ed,32'h3f05c69f,// invsqrt(4.4311) = 0.4751 +32'h3f74fb28,32'h3f803ae3,32'h3f8576c3, 32'h3f789bf7,32'h3f8963aa, 32'h3f6b8649,32'h3f8fee82,// invsqrt(0.9570) = 1.0222 +32'h3ebda68e,32'h3fce1b89,32'h3fd68526, 32'h3fc7cc53,32'h3fdcd45b, 32'h3fbd484e,32'h3fe75860,// invsqrt(0.3704) = 1.6431 +32'h40e978cd,32'h3eb9c2ba,32'h3ec157bd, 32'h3eb412f9,32'h3ec7077f, 32'h3eaa98b6,32'h3ed081c2,// invsqrt(7.2960) = 0.3702 +32'h4060fe75,32'h3f05cdf1,32'h3f0b440f, 32'h3f01b55a,32'h3f0f5ca6, 32'h3ef5c366,32'h3f16304d,// invsqrt(3.5155) = 0.5333 +32'h3f028ea9,32'h3fafa729,32'h3fb6d28e, 32'h3faa469e,32'h3fbc331a, 32'h3fa15060,32'h3fc52958,// invsqrt(0.5100) = 1.4003 +32'h4094dd0a,32'h3ee8a2d4,32'h3ef221a4, 32'h3ee183b9,32'h3ef940bf, 32'h3ed5a536,32'h3f028fa1,// invsqrt(4.6520) = 0.4636 +32'h3f599050,32'h3f8811f4,32'h3f8d9fbf, 32'h3f83e79b,32'h3f91ca17, 32'h3f79ecba,32'h3f98bb55,// invsqrt(0.8499) = 1.0847 +32'h3f8ac7ef,32'h3f70f03b,32'h3f7ac5cb, 32'h3f699010,32'h3f8112fb, 32'h3f5d451c,32'h3f873875,// invsqrt(1.0842) = 0.9604 +32'h3e55eed4,32'h4009384e,32'h400ed21c, 32'h400504f2,32'h40130578, 32'h3ffc095f,32'h401a05ba,// invsqrt(0.2089) = 2.1878 +32'h402820f1,32'h3f1ac988,32'h3f211ae6, 32'h3f160c81,32'h3f25d7ed, 32'h3f0e26cb,32'h3f2dbda3,// invsqrt(2.6270) = 0.6170 +32'h3eaff5ea,32'h3fd5f9a2,32'h3fdeb575, 32'h3fcf6cc5,32'h3fe54253, 32'h3fc481fe,32'h3ff02d1a,// invsqrt(0.3437) = 1.7058 +32'h3f6b8aff,32'h3f82c61c,32'h3f881c90, 32'h3f7d8a8a,32'h3f8c1d67, 32'h3f703268,32'h3f92c978,// invsqrt(0.9201) = 1.0425 +32'h408e4661,32'h3eedf62a,32'h3ef7ac9f, 32'h3ee6ad53,32'h3efef577, 32'h3eda8941,32'h3f058cc4,// invsqrt(4.4461) = 0.4743 +32'h3f6580d6,32'h3f847bc3,32'h3f89e414, 32'h3f806d86,32'h3f8df250, 32'h3f735641,32'h3f94b4b6,// invsqrt(0.8965) = 1.0561 +32'h3d0b652d,32'h40a9fe50,32'h40b0ee92, 32'h40a4ca1f,32'h40b622c3, 32'h409c1dcc,32'h40becf16,// invsqrt(0.0340) = 5.4207 +32'h3f433fdd,32'h3f8fa2a1,32'h3f957f79, 32'h3f8b3d00,32'h3f99e51a, 32'h3f83e8f2,32'h3fa13928,// invsqrt(0.7627) = 1.1451 +32'h3ec8e6a4,32'h3fc840e3,32'h3fd06d55, 32'h3fc21f8e,32'h3fd68eaa, 32'h3fb7e800,32'h3fe0c638,// invsqrt(0.3924) = 1.5964 +32'h3f8c1a54,32'h3f6fcc92,32'h3f79963a, 32'h3f687554,32'h3f8076bc, 32'h3f5c3942,32'h3f8694c5,// invsqrt(1.0946) = 0.9558 +32'h3f74f0b6,32'h3f803d9f,32'h3f85799b, 32'h3f78a144,32'h3f896698, 32'h3f6b8b4e,32'h3f8ff193,// invsqrt(0.9568) = 1.0223 +32'h4200cdd4,32'h3e30d82b,32'h3e381003, 32'h3e2b6e49,32'h3e3d79e5, 32'h3e22687b,32'h3e467fb3,// invsqrt(32.2010) = 0.1762 +32'h3f8eb6de,32'h3f6d9850,32'h3f774af0, 32'h3f665258,32'h3f7e90e8, 32'h3f5a3310,32'h3f855818,// invsqrt(1.1150) = 0.9470 +32'h3fc51c11,32'h3f4a2b91,32'h3f526c09, 32'h3f43fb36,32'h3f589c64, 32'h3f39aa9f,32'h3f62ecfb,// invsqrt(1.5399) = 0.8058 +32'h432522d1,32'h3d9c2efa,32'h3da28eef, 32'h3d976701,32'h3da756e7, 32'h3d8f6f0f,32'h3daf4ed9,// invsqrt(165.1360) = 0.0778 +32'h3f0154c4,32'h3fb07bd2,32'h3fb7afe4, 32'h3fab14c3,32'h3fbd16f3, 32'h3fa213ac,32'h3fc6180b,// invsqrt(0.5052) = 1.4069 +32'h3d8e9584,32'h406db418,32'h407767da, 32'h40666d46,32'h407eaeac, 32'h405a4c93,32'h408567af,// invsqrt(0.0696) = 3.7899 +32'h40fe39e4,32'h3eb2044b,32'h3eb94863, 32'h3eac9139,32'h3ebebb75, 32'h3ea37c1b,32'h3ec7d093,// invsqrt(7.9446) = 0.3548 +32'h3f917764,32'h3f6b5634,32'h3f74f13c, 32'h3f6421ef,32'h3f7c2581, 32'h3f582026,32'h3f8413a5,// invsqrt(1.1365) = 0.9380 +32'h3f6cffe7,32'h3f825f12,32'h3f87b151, 32'h3f7cc2c4,32'h3f8baf00, 32'h3f6f7525,32'h3f9255d0,// invsqrt(0.9258) = 1.0393 +32'h3e7cdd8e,32'h3ffc6e20,32'h40035de2, 32'h3ff4b3e6,32'h40073aff, 32'h3fe7d2da,32'h400dab85,// invsqrt(0.2469) = 2.0124 +32'h3e09635a,32'h402b3b0b,32'h4032383b, 32'h4025fd28,32'h4037761e, 32'h401d40ac,32'h4040329a,// invsqrt(0.1342) = 2.7301 +32'h3f92a6ab,32'h3f6a625f,32'h3f73f373, 32'h3f633591,32'h3f7b2041, 32'h3f574038,32'h3f838acd,// invsqrt(1.1457) = 0.9342 +32'h3e069678,32'h402d00ba,32'h4034106e, 32'h4027b4f3,32'h40395c35, 32'h401ee152,32'h40422fd6,// invsqrt(0.1314) = 2.7583 +32'h3f8e957e,32'h3f6db41d,32'h3f7767df, 32'h3f666d4b,32'h3f7eaeb1, 32'h3f5a4c98,32'h3f8567b2,// invsqrt(1.1139) = 0.9475 +32'h3f2ee4ea,32'h3f97c38c,32'h3f9df552, 32'h3f931e36,32'h3fa29aa8, 32'h3f8b5ffe,32'h3faa58e0,// invsqrt(0.6832) = 1.2099 +32'h3fb043d1,32'h3f55ca54,32'h3f5e8438, 32'h3f4f3ee9,32'h3f650fa3, 32'h3f44568c,32'h3f6ff800,// invsqrt(1.3771) = 0.8522 +32'h40422176,32'h3f100c6e,32'h3f15ed98, 32'h3f0ba390,32'h3f1a5676, 32'h3f044a1c,32'h3f21afea,// invsqrt(3.0333) = 0.5742 +32'h3e4777a4,32'h400e1bc8,32'h4013e8ac, 32'h4009c21e,32'h40184256, 32'h40028201,32'h401f8273,// invsqrt(0.1948) = 2.2658 +32'h3f8003cc,32'h3f7add8f,32'h3f828d6c, 32'h3f732f98,32'h3f866468, 32'h3f6662fc,32'h3f8ccab6,// invsqrt(1.0001) = 0.9999 +32'h3f8aaeed,32'h3f7105f4,32'h3f7adc66, 32'h3f69a51e,32'h3f811e9e, 32'h3f5d590f,32'h3f8744a6,// invsqrt(1.0835) = 0.9607 +32'h3f6bd600,32'h3f82b14f,32'h3f8806e9, 32'h3f7d6235,32'h3f8c071d, 32'h3f700c32,32'h3f92b21f,// invsqrt(0.9212) = 1.0419 +32'h3ffbe56c,32'h3f32d693,32'h3f3a2340, 32'h3f2d5d11,32'h3f3f9cc1, 32'h3f243d38,32'h3f48bc9a,// invsqrt(1.9679) = 0.7128 +32'h3f630bb0,32'h3f8532d3,32'h3f8aa29d, 32'h3f811efc,32'h3f8eb674, 32'h3f74a67e,32'h3f958231,// invsqrt(0.8869) = 1.0619 +32'h3f8cb7b6,32'h3f6f4653,32'h3f790a80, 32'h3f67f331,32'h3f802ed1, 32'h3f5bbdf8,32'h3f86496d,// invsqrt(1.0994) = 0.9537 +32'h3f2bd4e2,32'h3f991c40,32'h3f9f5c18, 32'h3f946c5d,32'h3fa40bfb, 32'h3f8c9c8e,32'h3fabdbca,// invsqrt(0.6712) = 1.2206 +32'h3f8fe119,32'h3f6ca192,32'h3f764a20, 32'h3f656328,32'h3f7d888a, 32'h3f595076,32'h3f84cd9e,// invsqrt(1.1241) = 0.9432 +32'h3f87c359,32'h3f739a04,32'h3f7d8b68, 32'h3f6c24fa,32'h3f828039, 32'h3f5fb73d,32'h3f88b717,// invsqrt(1.0606) = 0.9710 +32'h3cd880bb,32'h40c0e732,32'h40c8c6d6, 32'h40baff77,32'h40ceae91, 32'h40b127ea,32'h40d8861e,// invsqrt(0.0264) = 6.1512 +32'h40681e0b,32'h3f03bc3e,32'h3f091cbe, 32'h3eff67bc,32'h3f0d251e, 32'h3ef1f67c,32'h3f13ddbe,// invsqrt(3.6268) = 0.5251 +32'h3f01a36a,32'h3fb04641,32'h3fb77824, 32'h3faae0d6,32'h3fbcdd8e, 32'h3fa1e27a,32'h3fc5dbea,// invsqrt(0.5064) = 1.4052 +32'h3fd91740,32'h3f40a446,32'h3f48812f, 32'h3f3abe98,32'h3f4e66de, 32'h3f30ea75,32'h3f583b01,// invsqrt(1.6960) = 0.7679 +32'h4142c83b,32'h3e8fceb6,32'h3e95ad5b, 32'h3e8b67bc,32'h3e9a1456, 32'h3e84116e,32'h3ea16aa4,// invsqrt(12.1739) = 0.2866 +32'h3f75d309,32'h3f800289,32'h3f853c1b, 32'h3f782eb5,32'h3f892749, 32'h3f6b1ec7,32'h3f8faf41,// invsqrt(0.9603) = 1.0205 +32'h3f2b7440,32'h3f99475f,32'h3f9f88fb, 32'h3f94962b,32'h3fa43a2f, 32'h3f8cc428,32'h3fac0c32,// invsqrt(0.6697) = 1.2219 +32'h3e306baf,32'h40171b1a,32'h401d4601, 32'h40127aed,32'h4021e62f, 32'h400ac54d,32'h40299bcf,// invsqrt(0.1723) = 2.4092 +32'h3f4dd6ca,32'h3f8be44b,32'h3f919a05, 32'h3f879c00,32'h3f95e250, 32'h3f8078d7,32'h3f9d0579,// invsqrt(0.8041) = 1.1152 +32'h3f1ac33f,32'h3fa15538,32'h3fa7eafc, 32'h3f9c64e6,32'h3facdb4e, 32'h3f9429b1,32'h3fb51683,// invsqrt(0.6045) = 1.2861 +32'h3cf502e3,32'h40b5555f,32'h40bcbc1f, 32'h40afc84f,32'h40c2492f, 32'h40a687df,32'h40cb899f,// invsqrt(0.0299) = 5.7823 +32'h3f8b234b,32'h3f70a114,32'h3f7a7369, 32'h3f694355,32'h3f80e894, 32'h3f5cfc6c,32'h3f870c09,// invsqrt(1.0870) = 0.9591 +32'h41b7a54c,32'h3e51733c,32'h3e59ffc7, 32'h3e4b09d5,32'h3e60692f, 32'h3e405a28,32'h3e6b18dc,// invsqrt(22.9557) = 0.2087 +32'h4006fbb0,32'h3f2cbfd1,32'h3f33ccdf, 32'h3f277607,32'h3f3916a9, 32'h3f1ea5b6,32'h3f41e6fa,// invsqrt(2.1091) = 0.6886 +32'h3e803350,32'h3ffaaf0d,32'h40027538, 32'h3ff30282,32'h40064b7e, 32'h3fe63846,32'h400cb09c,// invsqrt(0.2504) = 1.9984 +32'h3ce6aea9,32'h40bae167,32'h40c2821c, 32'h40b528de,32'h40c83aa4, 32'h40ab9ffa,32'h40d1c388,// invsqrt(0.0282) = 5.9592 +32'h40f19b43,32'h3eb69b51,32'h3ebe0f5f, 32'h3eb10447,32'h3ec3a669, 32'h3ea7b336,32'h3eccf77a,// invsqrt(7.5502) = 0.3639 +32'h3f90468e,32'h3f6c4e50,32'h3f75f378, 32'h3f651272,32'h3f7d2f56, 32'h3f590400,32'h3f849ee4,// invsqrt(1.1272) = 0.9419 +32'h402a4831,32'h3f19ce30,32'h3f20154d, 32'h3f1518dc,32'h3f24caa2, 32'h3f0d3ff9,32'h3f2ca385,// invsqrt(2.6607) = 0.6131 +32'h3fec5b4c,32'h3f389fa2,32'h3f4028c2, 32'h3f32f8c9,32'h3f45cf9b, 32'h3f298d60,32'h3f4f3b04,// invsqrt(1.8465) = 0.7359 +32'h3f2abf54,32'h3f99987f,32'h3f9fdd6a, 32'h3f94e4ce,32'h3fa4911a, 32'h3f8d0ea8,32'h3fac6740,// invsqrt(0.6670) = 1.2245 +32'h41094987,32'h3eab4b25,32'h3eb248fd, 32'h3ea60cc3,32'h3eb7875f, 32'h3e9d4f76,32'h3ec044ac,// invsqrt(8.5805) = 0.3414 +32'h3fdbdbb9,32'h3f3f6ce8,32'h3f473d1c, 32'h3f3990c2,32'h3f4d1942, 32'h3f2fcc82,32'h3f56dd82,// invsqrt(1.7176) = 0.7630 +32'h3f9ca31f,32'h3f62ca33,32'h3f6c0beb, 32'h3f5bd8e8,32'h3f72fd36, 32'h3f5046c1,32'h3f7e8f5d,// invsqrt(1.2237) = 0.9040 +32'h3ecee2dc,32'h3fc555e6,32'h3fcd63da, 32'h3fbf4b6e,32'h3fd36e52, 32'h3fb539fd,32'h3fdd7fc3,// invsqrt(0.4041) = 1.5731 +32'h3f2c60eb,32'h3f98de02,32'h3f9f1b50, 32'h3f943007,32'h3fa3c94b, 32'h3f8c6365,32'h3fab95ed,// invsqrt(0.6734) = 1.2186 +32'h40661e09,32'h3f044e7b,32'h3f09b4f3, 32'h3f0041a1,32'h3f0dc1cd, 32'h3ef30316,32'h3f1481e3,// invsqrt(3.5956) = 0.5274 +32'h3fdfddaa,32'h3f3db44a,32'h3f457282, 32'h3f37e5a1,32'h3f4b412b, 32'h3f2e37dc,32'h3f54eef0,// invsqrt(1.7490) = 0.7562 +32'h3fc6473a,32'h3f4992d3,32'h3f51cd10, 32'h3f436726,32'h3f57f8be, 32'h3f391e5a,32'h3f62418a,// invsqrt(1.5490) = 0.8035 +32'h405b811c,32'h3f0777a1,32'h3f0cff1f, 32'h3f035202,32'h3f1124be, 32'h3ef8d146,32'h3f180e1d,// invsqrt(3.4298) = 0.5400 +32'h402f4068,32'h3f179be9,32'h3f1dcc11, 32'h3f12f7ca,32'h3f227030, 32'h3f0b3b97,32'h3f2a2c63,// invsqrt(2.7383) = 0.6043 +32'h3f455439,32'h3f8ee05d,32'h3f94b547, 32'h3f8a80ae,32'h3f9914f6, 32'h3f83368a,32'h3fa05f1a,// invsqrt(0.7708) = 1.1390 +32'h3d5f8427,32'h40863efd,32'h408bb9b9, 32'h408222f0,32'h408fd5c6, 32'h4076930a,32'h4096af31,// invsqrt(0.0546) = 4.2808 +32'h3ccc385d,32'h40c69e92,32'h40ceb9f1, 32'h40c08a0c,32'h40d4ce78, 32'h40b667d5,32'h40def0af,// invsqrt(0.0249) = 6.3335 +32'h4001f17d,32'h3f301144,32'h3f3740fe, 32'h3f2aad79,32'h3f3ca4c9, 32'h3f21b1d1,32'h3f45a071,// invsqrt(2.0304) = 0.7018 +32'h3f3a6a80,32'h3f92ffbf,32'h3f98ffbd, 32'h3f8e7fc1,32'h3f9d7fbb, 32'h3f86ffc5,32'h3fa4ffb7,// invsqrt(0.7282) = 1.1719 +32'h3e736767,32'h4000a512,32'h4005e546, 32'h3ff969d4,32'h4009d56e, 32'h3fec494f,32'h401065b0,// invsqrt(0.2377) = 2.0511 +32'h3f96f505,32'h3f670465,32'h3f707249, 32'h3f5ff1f9,32'h3f7784b5, 32'h3f54289b,32'h3f81a709,// invsqrt(1.1794) = 0.9208 +32'h40856461,32'h3ef5c1cd,32'h3effc9b7, 32'h3eee3bdf,32'h3f03a7d3, 32'h3ee1b1fb,32'h3f09ecc4,// invsqrt(4.1685) = 0.4898 +32'h3da37679,32'h405e0120,32'h406710d8, 32'h40573556,32'h406ddca2, 32'h404be1b0,32'h40793048,// invsqrt(0.0798) = 3.5396 +32'h3f2fcc44,32'h3f975f8e,32'h3f9d8d40, 32'h3f92bd48,32'h3fa22f86, 32'h3f8b042a,32'h3fa9e8a4,// invsqrt(0.6867) = 1.2067 +32'h40f6e489,32'h3eb4a428,32'h3ebc03ac, 32'h3eaf1c85,32'h3ec18b4f, 32'h3ea5e51f,32'h3ecac2b5,// invsqrt(7.7154) = 0.3600 +32'h3ea14c62,32'h3fdf7d2b,32'h3fe89c67, 32'h3fd8a5bf,32'h3fef73d3, 32'h3fcd3eb5,32'h3ffadadd,// invsqrt(0.3150) = 1.7816 +32'h3f12b7e0,32'h3fa5b25d,32'h3fac75b9, 32'h3fa09fd7,32'h3fb1883f, 32'h3f982ba4,32'h3fb9fc73,// invsqrt(0.5731) = 1.3209 +32'h3f3107ab,32'h3f96d879,32'h3f9d00a8, 32'h3f923a57,32'h3fa19ecb, 32'h3f8a881c,32'h3fa95106,// invsqrt(0.6915) = 1.2025 +32'h3f610ee8,32'h3f85c90d,32'h3f8b3ef9, 32'h3f81b09c,32'h3f8f576a, 32'h3f75ba6c,32'h3f962ad0,// invsqrt(0.8791) = 1.0665 +32'h3ef3f1a0,32'h3fb5bad3,32'h3fbd25b7, 32'h3fb02aa8,32'h3fc2b5e2, 32'h3fa6e50b,32'h3fcbfb7f,// invsqrt(0.4765) = 1.4487 +32'h3ea71eef,32'h3fdb8faf,32'h3fe485e0, 32'h3fd4d70b,32'h3feb3e85, 32'h3fc9a34e,32'h3ff67242,// invsqrt(0.3264) = 1.7503 +32'h400673db,32'h3f2d16fd,32'h3f34279a, 32'h3f27ca88,32'h3f397410, 32'h3f1ef5c4,32'h3f4248d4,// invsqrt(2.1008) = 0.6899 +32'h3f84ede3,32'h3f762f3d,32'h3f801dcf, 32'h3f6ea5f5,32'h3f83e273, 32'h3f62167d,32'h3f8a2a30,// invsqrt(1.0385) = 0.9813 +32'h3e1a0ce2,32'h4021b49a,32'h40284e42, 32'h401cc15c,32'h402d4180, 32'h40148149,32'h40358193,// invsqrt(0.1504) = 2.5782 +32'h3f062af5,32'h3fad45fd,32'h3fb45885, 32'h3fa7f817,32'h3fb9a66b, 32'h3f9f20ee,32'h3fc27d94,// invsqrt(0.5241) = 1.3813 +32'h3f326e05,32'h3f9640b3,32'h3f9c62af, 32'h3f91a735,32'h3fa0fc2d, 32'h3f89fcb9,32'h3fa8a6a9,// invsqrt(0.6970) = 1.1978 +32'h40050796,32'h3f2e0358,32'h3f351d9a, 32'h3f28afa6,32'h3f3a714c, 32'h3f1fced3,32'h3f43521f,// invsqrt(2.0786) = 0.6936 +32'h40644ceb,32'h3f04d4fc,32'h3f0a40f2, 32'h3f00c404,32'h3f0e51ea, 32'h3ef3fa23,32'h3f1518dc,// invsqrt(3.5672) = 0.5295 +32'h3f46f07d,32'h3f8e4c05,32'h3f941ae1, 32'h3f89f0e0,32'h3f987606, 32'h3f82ae4e,32'h3f9fb898,// invsqrt(0.7771) = 1.1344 +32'h3ffa1cb9,32'h3f337990,32'h3f3acce4, 32'h3f2dfb11,32'h3f404b63, 32'h3f24d2e7,32'h3f49738d,// invsqrt(1.9540) = 0.7154 +32'h3d06ba06,32'h40ace9e5,32'h40b3f8aa, 32'h40a79ed0,32'h40b943be, 32'h409ecc5a,32'h40c21635,// invsqrt(0.0329) = 5.5138 +32'h3c965b8c,32'h40e77a2d,32'h40f0ece1, 32'h40e06427,32'h40f802e7, 32'h40d494c6,32'h4101e924,// invsqrt(0.0184) = 7.3813 +32'h401c22cc,32'h3f209f31,32'h3f272d87, 32'h3f1bb471,32'h3f2c1847, 32'h3f138286,32'h3f344a32,// invsqrt(2.4396) = 0.6402 +32'h3f502d68,32'h3f8b1a98,32'h3f90c817, 32'h3f86d87a,32'h3f950a36, 32'h3f7f7f38,32'h3f9c2314,// invsqrt(0.8132) = 1.1089 +32'h3fb94d5d,32'h3f508308,32'h3f5905c5, 32'h3f4a20fb,32'h3f5f67d3, 32'h3f3f7d90,32'h3f6a0b3e,// invsqrt(1.4477) = 0.8311 +32'h3fe01bd5,32'h3f3d99f8,32'h3f45571d, 32'h3f37cc1e,32'h3f4b24f8, 32'h3f2e1fb0,32'h3f54d166,// invsqrt(1.7508) = 0.7557 +32'h3ef77f76,32'h3fb46b95,32'h3fbbc8cb, 32'h3faee5ae,32'h3fc14eb2, 32'h3fa5b12b,32'h3fca8335,// invsqrt(0.4834) = 1.4383 +32'h3fd6ba7f,32'h3f41b2cf,32'h3f499ac2, 32'h3f3bc4d8,32'h3f4f88b8, 32'h3f31e2e7,32'h3f596aa9,// invsqrt(1.6776) = 0.7721 +32'h3e1b315a,32'h40211bf3,32'h4027af60, 32'h401c2d61,32'h402c9df1, 32'h4013f518,32'h4034d63a,// invsqrt(0.1516) = 2.5687 +32'h400cbe20,32'h3f292d7e,32'h3f30153a, 32'h3f23ffb1,32'h3f354307, 32'h3f1b5e06,32'h3f3de4b2,// invsqrt(2.1991) = 0.6743 +32'h3f57059a,32'h3f88df3d,32'h3f8e7569, 32'h3f84ae9c,32'h3f92a60a, 32'h3f7b65c9,32'h3f99a1c2,// invsqrt(0.8399) = 1.0911 +32'h3f18fca7,32'h3fa24439,32'h3fa8e3be, 32'h3f9d4c96,32'h3faddb62, 32'h3f950530,32'h3fb622c8,// invsqrt(0.5976) = 1.2936 +32'h431a5f23,32'h3da1897f,32'h3da82165, 32'h3d9c9793,32'h3dad1351, 32'h3d9459b3,32'h3db55131,// invsqrt(154.3716) = 0.0805 +32'h3ffc76e3,32'h3f32a306,32'h3f39ed98, 32'h3f2d2b18,32'h3f3f6586, 32'h3f240de1,32'h3f4882bd,// invsqrt(1.9724) = 0.7120 +32'h3f05c359,32'h3fad890b,32'h3fb49e50, 32'h3fa83918,32'h3fb9ee44, 32'h3f9f5e83,32'h3fc2c8d9,// invsqrt(0.5225) = 1.3834 +32'h3e44b4b2,32'h400f1a41,32'h4014f188, 32'h400ab8cd,32'h401952fd, 32'h40036bb4,32'h4020a016,// invsqrt(0.1921) = 2.2816 +32'h3edab006,32'h3fbfefe7,32'h3fc7c573, 32'h3fba0fbe,32'h3fcda59c, 32'h3fb044cf,32'h3fd7708b,// invsqrt(0.4271) = 1.5301 +32'h3cad0967,32'h40d7c67f,32'h40e09521, 32'h40d12b86,32'h40e7301a, 32'h40c6293b,32'h40f23265,// invsqrt(0.0211) = 6.8806 +32'h3fae556a,32'h3f56f8a5,32'h3f5fbedf, 32'h3f5063f8,32'h3f66538c, 32'h3f456c2f,32'h3f714b55,// invsqrt(1.3620) = 0.8569 +32'h408ee722,32'h3eed702d,32'h3ef72129, 32'h3ee62b6f,32'h3efe65e7, 32'h3eda0e34,32'h3f054191,// invsqrt(4.4657) = 0.4732 +32'h3fbdc3b5,32'h3f4e0bb3,32'h3f5674ab, 32'h3f47bcf9,32'h3f5cc365, 32'h3f3d39c4,32'h3f67469a,// invsqrt(1.4825) = 0.8213 +32'h4285a56a,32'h3df585fa,32'h3dff8b72, 32'h3dee01e0,32'h3e0387c6, 32'h3de17b0a,32'h3e09cb31,// invsqrt(66.8231) = 0.1223 +32'h423b46cf,32'h3e12a92f,32'h3e18a5a4, 32'h3e0e2bd8,32'h3e1d22fc, 32'h3e06b046,32'h3e249e8e,// invsqrt(46.8191) = 0.1461 +32'h40f76e83,32'h3eb471c3,32'h3ebbcf39, 32'h3eaeebab,32'h3ec15551, 32'h3ea5b6d8,32'h3eca8a24,// invsqrt(7.7322) = 0.3596 +32'h3f9a481c,32'h3f6483b9,32'h3f6dd777, 32'h3f5d84ea,32'h3f74d646, 32'h3f51dc3c,32'h3f803f7a,// invsqrt(1.2053) = 0.9109 +32'h3f1eb423,32'h3f9f5131,32'h3fa5d1e5, 32'h3f9a70aa,32'h3faab26c, 32'h3f924fca,32'h3fb2d34c,// invsqrt(0.6199) = 1.2701 +32'h3f6d2c1a,32'h3f8252eb,32'h3f87a4ab, 32'h3f7cab35,32'h3f8ba1fc, 32'h3f6f5ed4,32'h3f92482c,// invsqrt(0.9265) = 1.0389 +32'h3e33e732,32'h4015a2df,32'h401bbe6a, 32'h40110e35,32'h40205313, 32'h40096bc7,32'h4027f581,// invsqrt(0.1757) = 2.3858 +32'h41a093db,32'h3e5ffd70,32'h3e6921e7, 32'h3e592216,32'h3e6ffd40, 32'h3e4db480,32'h3e7b6ad6,// invsqrt(20.0722) = 0.2232 +32'h3f88b956,32'h3f72be7e,32'h3f7ca6ec, 32'h3f6b502c,32'h3f820a9f, 32'h3f5eeda3,32'h3f883be4,// invsqrt(1.0682) = 0.9676 +32'h401746c0,32'h3f232e6c,32'h3f29d780, 32'h3f1e2f9d,32'h3f2ed64f, 32'h3f15dc44,32'h3f3729a8,// invsqrt(2.3637) = 0.6504 +32'h3f397a84,32'h3f935eba,32'h3f996298, 32'h3f8edbd4,32'h3f9de57e, 32'h3f8756fe,32'h3fa56a54,// invsqrt(0.7245) = 1.1748 +32'h3f3733c4,32'h3f944863,32'h3f9a55cb, 32'h3f8fbe56,32'h3f9edfd8, 32'h3f882d95,32'h3fa67099,// invsqrt(0.7156) = 1.1821 +32'h3f0c4049,32'h3fa97953,32'h3fb06427, 32'h3fa44934,32'h3fb59446, 32'h3f9ba3aa,32'h3fbe39d0,// invsqrt(0.5479) = 1.3510 +32'h400708cf,32'h3f2cb76c,32'h3f33c422, 32'h3f276de3,32'h3f390dab, 32'h3f1e9e00,32'h3f41dd8e,// invsqrt(2.1099) = 0.6884 +32'h3f729df2,32'h3f80da70,32'h3f861cd2, 32'h3f79d14c,32'h3f8a0e9c, 32'h3f6cab55,32'h3f90a198,// invsqrt(0.9477) = 1.0272 +32'h3fc14bc4,32'h3f4c27a2,32'h3f547cd8, 32'h3f45e7ba,32'h3f5abcc0, 32'h3f3b7d37,32'h3f652743,// invsqrt(1.5101) = 0.8138 +32'h40145d06,32'h3f24c688,32'h3f2b8044, 32'h3f1fbb3a,32'h3f308b92, 32'h3f17530f,32'h3f38f3bd,// invsqrt(2.3182) = 0.6568 +32'h3eb4131b,32'h3fd3844a,32'h3fdc266c, 32'h3fcd0ab0,32'h3fe2a006, 32'h3fc24005,32'h3fed6ab1,// invsqrt(0.3517) = 1.6862 +32'h3f1cee1b,32'h3fa03704,32'h3fa6c119, 32'h3f9b4f74,32'h3faba8a8, 32'h3f9322d9,32'h3fb3d543,// invsqrt(0.6130) = 1.2772 +32'h4389dc5b,32'h3d71bdc0,32'h3d7b9bb2, 32'h3d6a5749,32'h3d818114, 32'h3d5e01da,32'h3d87abcc,// invsqrt(275.7215) = 0.0602 +32'h409bb256,32'h3ee3794c,32'h3eecc22b, 32'h3edc82a6,32'h3ef3b8d2, 32'h3ed0e790,32'h3eff53e8,// invsqrt(4.8655) = 0.4534 +32'h3f8afdb6,32'h3f70c19a,32'h3f7a9543, 32'h3f6962dd,32'h3f80fa01, 32'h3f5d1a4a,32'h3f871e4a,// invsqrt(1.0859) = 0.9596 +32'h3e629673,32'h40055544,32'h400ac676, 32'h4001405f,32'h400edb5b, 32'h3ff4e5c1,32'h4015a8da,// invsqrt(0.2213) = 2.1258 +32'h3f47bfe7,32'h3f8e0211,32'h3f93cde8, 32'h3f89a930,32'h3f9826ca, 32'h3f826a64,32'h3f9f6596,// invsqrt(0.7803) = 1.1321 +32'h408b7201,32'h3ef05d21,32'h3efa2cb0, 32'h3ee90177,32'h3f00c42e, 32'h3edcbe05,32'h3f06e5e7,// invsqrt(4.3577) = 0.4790 +32'h3f701f45,32'h3f81855b,32'h3f86ceb7, 32'h3f7b1cab,32'h3f8ac5bd, 32'h3f6de543,32'h3f916170,// invsqrt(0.9380) = 1.0325 +32'h3f994cf2,32'h3f653e9f,32'h3f6e99ff, 32'h3f5e3a18,32'h3f759e86, 32'h3f5287e1,32'h3f80a85f,// invsqrt(1.1977) = 0.9138 +32'h4025944e,32'h3f1bf96a,32'h3f225730, 32'h3f173316,32'h3f271d84, 32'h3f0f3ddf,32'h3f2f12bb,// invsqrt(2.5872) = 0.6217 +32'h429b999a,32'h3de38b60,32'h3decd4fc, 32'h3ddc942c,32'h3df3cc30, 32'h3dd0f829,32'h3dff6833,// invsqrt(77.8000) = 0.1134 +32'h41472570,32'h3e8e3919,32'h3e94072f, 32'h3e89de89,32'h3e9861bf, 32'h3e829ced,32'h3e9fa35b,// invsqrt(12.4466) = 0.2834 +32'h3fa6baf4,32'h3f5bd17a,32'h3f64ca5a, 32'h3f5516d2,32'h3f6b8502, 32'h3f49dfb9,32'h3f76bc1b,// invsqrt(1.3026) = 0.8762 +32'h3f48f474,32'h3f8d94e2,32'h3f935c44, 32'h3f893f58,32'h3f97b1ce, 32'h3f82061e,32'h3f9eeb08,// invsqrt(0.7850) = 1.1287 +32'h3f9b713a,32'h3f63a8eb,32'h3f6cf3bc, 32'h3f5cb0d0,32'h3f73ebd8, 32'h3f51134c,32'h3f7f895c,// invsqrt(1.2144) = 0.9074 +32'h3f5bb4d6,32'h3f8767ae,32'h3f8cee86, 32'h3f83428c,32'h3f9113a8, 32'h3f78b3fc,32'h3f97fc36,// invsqrt(0.8582) = 1.0794 +32'h3e2bde1e,32'h40191823,32'h401f57d1, 32'h40146861,32'h40240793, 32'h400c98c7,32'h402bd72d,// invsqrt(0.1678) = 2.4409 +32'h3fe3fb7b,32'h3f3bfbda,32'h3f43a818, 32'h3f363aad,32'h3f496945, 32'h3f2ca360,32'h3f530092,// invsqrt(1.7811) = 0.7493 +32'h415ca7f5,32'h3e871d01,32'h3e8ca0cd, 32'h3e82fa28,32'h3e90c3a6, 32'h3e782ad3,32'h3e97a864,// invsqrt(13.7910) = 0.2693 +32'h3f56bbff,32'h3f88f6b0,32'h3f8e8dd2, 32'h3f84c557,32'h3f92bf2b, 32'h3f7b90db,32'h3f99bc14,// invsqrt(0.8388) = 1.0919 +32'h3f425876,32'h3f8ff80b,32'h3f95d85f, 32'h3f8b8fcc,32'h3f9a409e, 32'h3f843763,32'h3fa19907,// invsqrt(0.7592) = 1.1477 +32'h3e419c69,32'h40103de5,32'h40162113, 32'h400bd383,32'h401a8b75, 32'h40047789,32'h4021e76f,// invsqrt(0.1891) = 2.2998 +32'h3faf4b5b,32'h3f5661a2,32'h3f5f21b4, 32'h3f4fd196,32'h3f65b1c0, 32'h3f44e180,32'h3f70a1d6,// invsqrt(1.3695) = 0.8545 +32'h3c2f6103,32'h41178dd0,32'h411dbd66, 32'h4112ea20,32'h41226116, 32'h410b2ea5,32'h412a1c91,// invsqrt(0.0107) = 9.6654 +32'h3e108f0b,32'h4026ee06,32'h402dbe44, 32'h4021d1d6,32'h4032da74, 32'h40194d88,32'h403b5ec2,// invsqrt(0.1412) = 2.6615 +32'h3f30daff,32'h3f96eb85,32'h3f9d147b, 32'h3f924ccd,32'h3fa1b333, 32'h3f8a999a,32'h3fa96666,// invsqrt(0.6908) = 1.2031 +32'h3fadc571,32'h3f5751a0,32'h3f601b7d, 32'h3f50ba3b,32'h3f66b2e3, 32'h3f45bde7,32'h3f71af37,// invsqrt(1.3576) = 0.8583 +32'h3fb73e82,32'h3f51adf3,32'h3f5a3ce3, 32'h3f4b42bf,32'h3f60a817, 32'h3f409014,32'h3f6b5ac3,// invsqrt(1.4316) = 0.8358 +32'h3fe513d4,32'h3f3b88b0,32'h3f433039, 32'h3f35cb08,32'h3f48ede0, 32'h3f2c399c,32'h3f527f4c,// invsqrt(1.7897) = 0.7475 +32'h3f835286,32'h3f77afa6,32'h3f80e5dc, 32'h3f701a99,32'h3f84b062, 32'h3f637784,32'h3f8b01ed,// invsqrt(1.0260) = 0.9873 +32'h3f786a08,32'h3f7eae87,32'h3f8489d9, 32'h3f76e2a7,32'h3f886fc8, 32'h3f69e433,32'h3f8eef03,// invsqrt(0.9704) = 1.0152 +32'h3ee2080e,32'h3fbccb16,32'h3fc47fc8, 32'h3fb70390,32'h3fca474e, 32'h3fad61b1,32'h3fd3e92d,// invsqrt(0.4415) = 1.5050 +32'h3e90a778,32'h3febff1a,32'h3ff5a106, 32'h3fe4c5a9,32'h3ffcda77, 32'h3fd8bb42,32'h4004726f,// invsqrt(0.2825) = 1.8813 +32'h3fce5fef,32'h3f459474,32'h3f4da4f6, 32'h3f3f8812,32'h3f53b158, 32'h3f357370,32'h3f5dc5fa,// invsqrt(1.6123) = 0.7875 +32'h3f927b76,32'h3f6a84ee,32'h3f74176a, 32'h3f635710,32'h3f7b4548, 32'h3f575ff4,32'h3f839e32,// invsqrt(1.1444) = 0.9348 +32'h3f379ad9,32'h3f941ebd,32'h3f9a2a71, 32'h3f8f95f6,32'h3f9eb338, 32'h3f880755,32'h3fa641d9,// invsqrt(0.7172) = 1.1808 +32'h403d0a95,32'h3f11f987,32'h3f17eed1, 32'h3f0d8190,32'h3f1c66c8, 32'h3f060ef4,32'h3f23d964,// invsqrt(2.9538) = 0.5819 +32'h3ddcdf8c,32'h403efc2f,32'h4046c7c9, 32'h4039237c,32'h404ca07c, 32'h402f64fc,32'h40565efc,// invsqrt(0.1078) = 3.0450 +32'h3eefd748,32'h3fb74710,32'h3fbec220, 32'h3fb1aac4,32'h3fc45e6c, 32'h3fa850ef,32'h3fcdb841,// invsqrt(0.4684) = 1.4611 +32'h3cd3801e,32'h40c32bc9,32'h40cb231f, 32'h40bd3248,32'h40d11ca0, 32'h40b33d1c,32'h40db11cc,// invsqrt(0.0258) = 6.2236 +32'h3f9e1fd6,32'h3f61b888,32'h3f6aef16, 32'h3f5acf9e,32'h3f71d800, 32'h3f4f4b6e,32'h3f7d5c31,// invsqrt(1.2353) = 0.8997 +32'h4007206f,32'h3f2ca852,32'h3f33b46a, 32'h3f275f40,32'h3f38fd7c, 32'h3f1e9021,32'h3f41cc9b,// invsqrt(2.1114) = 0.6882 +32'h3f6b70a6,32'h3f82cd6d,32'h3f88242e, 32'h3f7d98bb,32'h3f8c253f, 32'h3f703fd9,32'h3f92d1af,// invsqrt(0.9197) = 1.0427 +32'h3c560494,32'h41093155,32'h410ecadb, 32'h4104fe30,32'h4112fe00, 32'h40fbfc92,32'h4119fde7,// invsqrt(0.0131) = 8.7495 +32'h4040eba8,32'h3f107fe9,32'h3f1665c9, 32'h3f0c1381,32'h3f1ad231, 32'h3f04b42a,32'h3f223188,// invsqrt(3.0144) = 0.5760 +32'h402df481,32'h3f182c46,32'h3f1e6254, 32'h3f1383bc,32'h3f230ade, 32'h3f0bc02c,32'h3f2ace6e,// invsqrt(2.7180) = 0.6066 +32'h3fe7ce2c,32'h3f3a6d5d,32'h3f420957, 32'h3f34b862,32'h3f47be52, 32'h3f2b356b,32'h3f514149,// invsqrt(1.8110) = 0.7431 +32'h3fe32ee9,32'h3f3c506a,32'h3f44001a, 32'h3f368ca5,32'h3f49c3df, 32'h3f2cf108,32'h3f535f7c,// invsqrt(1.7749) = 0.7506 +32'h3e2796bd,32'h401b094e,32'h40215d46, 32'h40164a53,32'h40261c41, 32'h400e615c,32'h402e0538,// invsqrt(0.1637) = 2.4719 +32'h3e282426,32'h401ac80e,32'h4021195d, 32'h40160b13,32'h4025d659, 32'h400e2571,32'h402dbbfb,// invsqrt(0.1642) = 2.4678 +32'h3c75cc64,32'h41000444,32'h41053de8, 32'h40f83210,32'h41092924, 32'h40eb21f4,32'h410fb132,// invsqrt(0.0150) = 8.1643 +32'h3eb7c4f1,32'h3fd16133,32'h3fd9ed01, 32'h3fcaf859,32'h3fe055db, 32'h3fc04997,32'h3feb049d,// invsqrt(0.3589) = 1.6692 +32'h3e8ac20e,32'h3ff0f556,32'h3ffacb1a, 32'h3fe99502,32'h400115b7, 32'h3fdd49cc,32'h40073b52,// invsqrt(0.2710) = 1.9209 +32'h3fa81d03,32'h3f5ae986,32'h3f63d8ee, 32'h3f5435f7,32'h3f6a8c7d, 32'h3f490ab4,32'h3f75b7c0,// invsqrt(1.3134) = 0.8726 +32'h3f175194,32'h3fa32895,32'h3fa9d16d, 32'h3f9e29f4,32'h3faed00e, 32'h3f95d6e7,32'h3fb7231b,// invsqrt(0.5911) = 1.3007 +32'h3f9e88e9,32'h3f616daf,32'h3f6aa12e, 32'h3f5a870f,32'h3f7187cd, 32'h3f4f06b0,32'h3f7d082c,// invsqrt(1.2386) = 0.8986 +32'h3f882b4c,32'h3f733cf8,32'h3f7d2a8f, 32'h3f6bcac6,32'h3f824e60, 32'h3f5f61c9,32'h3f8882de,// invsqrt(1.0638) = 0.9695 +32'h3f8055fe,32'h3f7a8d2c,32'h3f826397, 32'h3f72e1ab,32'h3f863958, 32'h3f661928,32'h3f8c9d99,// invsqrt(1.0026) = 0.9987 +32'h3f81c7eb,32'h3f792717,32'h3f81a93e, 32'h3f71868c,32'h3f857983, 32'h3f64d04e,32'h3f8bd4a2,// invsqrt(1.0139) = 0.9931 +32'h3ee20e94,32'h3fbcc85c,32'h3fc47cf2, 32'h3fb700eb,32'h3fca4463, 32'h3fad5f30,32'h3fd3e61e,// invsqrt(0.4415) = 1.5050 +32'h40064a35,32'h3f2d31d3,32'h3f344388, 32'h3f27e48b,32'h3f3990cf, 32'h3f1f0e68,32'h3f4266f2,// invsqrt(2.0983) = 0.6903 +32'h3fd161df,32'h3f4427df,32'h3f4c297f, 32'h3f3e26a6,32'h3f522ab8, 32'h3f34249e,32'h3f5c2cc1,// invsqrt(1.6358) = 0.7819 +32'h3fb8eea2,32'h3f50b869,32'h3f593d53, 32'h3f4a54b9,32'h3f5fa103, 32'h3f3fae95,32'h3f6a4727,// invsqrt(1.4448) = 0.8320 +32'h3dec9199,32'h40388a70,32'h404012b4, 32'h4032e43e,32'h4045b8e6, 32'h402979ea,32'h404f233a,// invsqrt(0.1155) = 2.9423 +32'h40c0aca6,32'h3ecc7bdd,32'h3ed4d483, 32'h3ec63961,32'h3edb16ff, 32'h3ebbca92,32'h3ee585ce,// invsqrt(6.0211) = 0.4075 +32'h3f0da3e4,32'h3fa8a40e,32'h3faf862e, 32'h3fa37a76,32'h3fb4afc6, 32'h3f9adfce,32'h3fbd4a6e,// invsqrt(0.5533) = 1.3444 +32'h3f45a7a0,32'h3f8ec235,32'h3f9495e4, 32'h3f8a6373,32'h3f98f4a7, 32'h3f831ad8,32'h3fa03d42,// invsqrt(0.7721) = 1.1381 +32'h40bbe680,32'h3ecf10b3,32'h3ed78451, 32'h3ec8b9fc,32'h3edddb08, 32'h3ebe2975,32'h3ee86b8f,// invsqrt(5.8719) = 0.4127 +32'h3f2dc4d3,32'h3f984125,32'h3f9e780d, 32'h3f9397f8,32'h3fa3213a, 32'h3f8bd356,32'h3faae5dc,// invsqrt(0.6788) = 1.2138 +32'h3f374296,32'h3f944264,32'h3f9a4f8d, 32'h3f8fb886,32'h3f9ed96c, 32'h3f882813,32'h3fa669df,// invsqrt(0.7159) = 1.1819 +32'h3ea54d59,32'h3fdcc40b,32'h3fe5c6d2, 32'h3fd601f6,32'h3fec88e8, 32'h3fcabe7e,32'h3ff7cc61,// invsqrt(0.3229) = 1.7599 +32'h3f8993d9,32'h3f71fd6b,32'h3f7bddf7, 32'h3f6a9502,32'h3f81a330, 32'h3f5e3c52,32'h3f87cf88,// invsqrt(1.0748) = 0.9646 +32'h3f5c9bfd,32'h3f8720ab,32'h3f8ca49d, 32'h3f82fdb5,32'h3f90c793, 32'h3f78318e,32'h3f97ac81,// invsqrt(0.8618) = 1.0772 +32'h40e79ead,32'h3eba807a,32'h3ec21d3b, 32'h3eb4cae9,32'h3ec7d2cb, 32'h3eab46f7,32'h3ed156bd,// invsqrt(7.2381) = 0.3717 +32'h425154c4,32'h3e0ab853,32'h3e1061cf, 32'h3e067937,32'h3e14a0eb, 32'h3dfecab8,32'h3e1bb4c6,// invsqrt(52.3328) = 0.1382 +32'h3f4b05c1,32'h3f8cdbda,32'h3f929bae, 32'h3f888bfa,32'h3f96eb8e, 32'h3f815c30,32'h3f9e1b58,// invsqrt(0.7931) = 1.1229 +32'h400e20c4,32'h3f2859e8,32'h3f2f3902, 32'h3f233296,32'h3f346054, 32'h3f1a9bb6,32'h3f3cf734,// invsqrt(2.2207) = 0.6710 +32'h3eb846f3,32'h3fd1174a,32'h3fd9a014, 32'h3fcab0b3,32'h3fe006ab, 32'h3fc005b7,32'h3feab1a7,// invsqrt(0.3599) = 1.6669 +32'h3f228c0b,32'h3f9d6c22,32'h3fa3d909, 32'h3f989a74,32'h3fa8aab6, 32'h3f909253,32'h3fb0b2d7,// invsqrt(0.6349) = 1.2550 +32'h3eda17ce,32'h3fc032d6,32'h3fc80b1e, 32'h3fba50a1,32'h3fcded53, 32'h3fb08247,32'h3fd7bbad,// invsqrt(0.4260) = 1.5322 +32'h3fd49d37,32'h3f42a8c2,32'h3f4a9ac0, 32'h3f3cb344,32'h3f50903e, 32'h3f32c4c7,32'h3f5a7ebb,// invsqrt(1.6610) = 0.7759 +32'h3ecffb71,32'h3fc4d09d,32'h3fccd920, 32'h3fbeca39,32'h3fd2df83, 32'h3fb4bf95,32'h3fdcea27,// invsqrt(0.4062) = 1.5690 +32'h3f9cca9f,32'h3f62ad9f,32'h3f6bee2e, 32'h3f5bbd35,32'h3f72de99, 32'h3f502c83,32'h3f7e6f4b,// invsqrt(1.2249) = 0.9035 +32'h3f9c4516,32'h3f630e64,32'h3f6c52e6, 32'h3f5c1b03,32'h3f734647, 32'h3f508561,32'h3f7edbe9,// invsqrt(1.2209) = 0.9050 +32'h4010d9bc,32'h3f26c2f7,32'h3f2d9174, 32'h3f21a819,32'h3f32ac51, 32'h3f1925fc,32'h3f3b2e6e,// invsqrt(2.2633) = 0.6647 +32'h3f41e6d1,32'h3f902235,32'h3f960441, 32'h3f8bb8ab,32'h3f9a6dcb, 32'h3f845e1c,32'h3fa1c85a,// invsqrt(0.7574) = 1.1490 +32'h3fbd30a5,32'h3f4e5bb9,32'h3f56c7f5, 32'h3f480a8c,32'h3f5d1922, 32'h3f3d8342,32'h3f67a06d,// invsqrt(1.4780) = 0.8225 +32'h3d938664,32'h4069b062,32'h40733a32, 32'h40628906,32'h407a618e, 32'h40569cc3,32'h408326e9,// invsqrt(0.0720) = 3.7259 +32'h3fae21de,32'h3f571874,32'h3f5fdffc, 32'h3f5082cf,32'h3f6675a1, 32'h3f458966,32'h3f716f0a,// invsqrt(1.3604) = 0.8574 +32'h40099450,32'h3f2b1c91,32'h3f321882, 32'h3f25df9c,32'h3f375576, 32'h3f1d24ae,32'h3f401064,// invsqrt(2.1497) = 0.6820 +32'h3f5da6d3,32'h3f86cf3c,32'h3f8c4fdc, 32'h3f82aec5,32'h3f907053, 32'h3f779bfc,32'h3f97511a,// invsqrt(0.8658) = 1.0747 +32'h3f216bd8,32'h3f9df86b,32'h3fa46b0c, 32'h3f992272,32'h3fa94104, 32'h3f911328,32'h3fb1504e,// invsqrt(0.6306) = 1.2593 +32'h40d94abc,32'h3ec08d73,32'h3ec8696d, 32'h3ebaa877,32'h3ece4e69, 32'h3eb0d57e,32'h3ed82162,// invsqrt(6.7904) = 0.3838 +32'h40262c78,32'h3f1bb1f0,32'h3f220ccb, 32'h3f16edcc,32'h3f26d0f0, 32'h3f0efc3b,32'h3f2ec281,// invsqrt(2.5965) = 0.6206 +32'h3f8c5f0d,32'h3f6f91d7,32'h3f79591a, 32'h3f683c66,32'h3f805746, 32'h3f5c0353,32'h3f8673cf,// invsqrt(1.0967) = 0.9549 +32'h4117f7a0,32'h3ea2cf5a,32'h3ea9748c, 32'h3e9dd374,32'h3eae7072, 32'h3e9584f4,32'h3eb6bef2,// invsqrt(9.4980) = 0.3245 +32'h41461547,32'h3e8e9aac,32'h3e946cbe, 32'h3e8a3d1f,32'h3e98ca4b, 32'h3e82f689,32'h3ea010e1,// invsqrt(12.3802) = 0.2842 +32'h3f8b9684,32'h3f703db0,32'h3f7a0bf6, 32'h3f68e2fc,32'h3f80b355, 32'h3f5ca124,32'h3f86d441,// invsqrt(1.0905) = 0.9576 +32'h3fb86140,32'h3f510860,32'h3f59908e, 32'h3f4aa23e,32'h3f5ff6b0, 32'h3f3ff805,32'h3f6aa0e9,// invsqrt(1.4405) = 0.8332 +32'h3f02a944,32'h3faf9546,32'h3fb6bff0, 32'h3faa3546,32'h3fbc1ff0, 32'h3fa13ff2,32'h3fc51544,// invsqrt(0.5104) = 1.3997 +32'h4098ec1e,32'h3ee58727,32'h3eeee57d, 32'h3ede8068,32'h3ef5ec3c, 32'h3ed2ca7d,32'h3f00d114,// invsqrt(4.7788) = 0.4574 +32'h3f1c296c,32'h3fa09bc9,32'h3fa729fb, 32'h3f9bb124,32'h3fac14a0, 32'h3f937f65,32'h3fb4465f,// invsqrt(0.6100) = 1.2804 +32'h3f982422,32'h3f661dd0,32'h3f6f824c, 32'h3f5f1274,32'h3f768da8, 32'h3f5354d9,32'h3f8125a1,// invsqrt(1.1886) = 0.9172 +32'h3ea72646,32'h3fdb8add,32'h3fe480db, 32'h3fd4d25e,32'h3feb395a, 32'h3fc99ee0,32'h3ff66cd8,// invsqrt(0.3265) = 1.7502 +32'h3ebe9889,32'h3fcd9889,32'h3fd5fccd, 32'h3fc74d56,32'h3fdc4800, 32'h3fbcd000,32'h3fe6c556,// invsqrt(0.3723) = 1.6390 +32'h400adaa7,32'h3f2a5306,32'h3f3146be, 32'h3f251c3d,32'h3f367d87, 32'h3f1c6b98,32'h3f3f2e2c,// invsqrt(2.1696) = 0.6789 +32'h40e4154a,32'h3ebbf137,32'h3ec39d05, 32'h3eb6305c,32'h3ec95de0, 32'h3eac999b,32'h3ed2f4a1,// invsqrt(7.1276) = 0.3746 +32'h3fa72556,32'h3f5b8b7b,32'h3f648180, 32'h3f54d2f7,32'h3f6b3a03, 32'h3f499f70,32'h3f766d8a,// invsqrt(1.3058) = 0.8751 +32'h3f10596f,32'h3fa70d03,32'h3fadde85, 32'h3fa1efe1,32'h3fb2fba7, 32'h3f9969fd,32'h3fbb818b,// invsqrt(0.5639) = 1.3317 +32'h3e6c03b2,32'h4002a4a7,32'h4007f9be, 32'h3ffd49ae,32'h400bf98f, 32'h3feff4f5,32'h4012a3eb,// invsqrt(0.2305) = 2.0830 +32'h3f6d9e42,32'h3f823399,32'h3f878413, 32'h3f7c6e7d,32'h3f8b806e, 32'h3f6f254e,32'h3f922505,// invsqrt(0.9282) = 1.0380 +32'h3f5bcd9e,32'h3f87600b,32'h3f8ce694, 32'h3f833b26,32'h3f910b7a, 32'h3f78a5f6,32'h3f97f3a5,// invsqrt(0.8586) = 1.0792 +32'h3ec31769,32'h3fcb3694,32'h3fd381f3, 32'h3fc4fe0d,32'h3fd9ba7b, 32'h3fba9fd7,32'h3fe418b1,// invsqrt(0.3810) = 1.6200 +32'h3f54627d,32'h3f89b81b,32'h3f8f5722, 32'h3f8580d7,32'h3f938e67, 32'h3f7cf41e,32'h3f9a952f,// invsqrt(0.8296) = 1.0979 +32'h3f3024a7,32'h3f97398f,32'h3f9d65b4, 32'h3f929873,32'h3fa206cf, 32'h3f8ae144,32'h3fa9bdfe,// invsqrt(0.6881) = 1.2056 +32'h3ed44c01,32'h3fc2cdfa,32'h3fcac17d, 32'h3fbcd759,32'h3fd0b81f, 32'h3fb2e6f6,32'h3fdaa882,// invsqrt(0.4146) = 1.5530 +32'h3f31c292,32'h3f968917,32'h3f9cae09, 32'h3f91ed62,32'h3fa149be, 32'h3f8a3f35,32'h3fa8f7eb,// invsqrt(0.6944) = 1.2001 +32'h3f011248,32'h3fb0a940,32'h3fb7df2e, 32'h3fab40ce,32'h3fbd47a0, 32'h3fa23d65,32'h3fc64b09,// invsqrt(0.5042) = 1.4083 +32'h400bf261,32'h3f29a878,32'h3f30953a, 32'h3f2476e8,32'h3f35c6ca, 32'h3f1bcef6,32'h3f3e6ebc,// invsqrt(2.1867) = 0.6763 +32'h3f00bfa9,32'h3fb0e1e6,32'h3fb81a23, 32'h3fab77b7,32'h3fbd8451, 32'h3fa2716a,32'h3fc68a9e,// invsqrt(0.5029) = 1.4101 +32'h40372ed5,32'h3f144a62,32'h3f1a57df, 32'h3f0fc046,32'h3f1ee1fc, 32'h3f082f6a,32'h3f2672d8,// invsqrt(2.8622) = 0.5911 +32'h3dd186b2,32'h404416a1,32'h404c178e, 32'h403e15f0,32'h40521840, 32'h403414c9,32'h405c1967,// invsqrt(0.1023) = 3.1264 +32'h3f5db051,32'h3f86cc59,32'h3f8c4cdb, 32'h3f82abf9,32'h3f906d3b, 32'h3f7796af,32'h3f974ddd,// invsqrt(0.8660) = 1.0746 +32'h3fb2f7e0,32'h3f542b66,32'h3f5cd45b, 32'h3f4dacaf,32'h3f635313, 32'h3f42d97e,32'h3f6e2644,// invsqrt(1.3982) = 0.8457 +32'h3d54435d,32'h4089c234,32'h408f61a4, 32'h40858aa0,32'h40939938, 32'h407d06a9,32'h409aa084,// invsqrt(0.0518) = 4.3928 +32'h40aceb2d,32'h3ed7d95a,32'h3ee0a8c0, 32'h3ed13dcc,32'h3ee7444e, 32'h3ec63a8c,32'h3ef2478e,// invsqrt(5.4037) = 0.4302 +32'h3f084779,32'h3fabed06,32'h3fb2f17a, 32'h3fa6a9b0,32'h3fb834d0, 32'h3f9de420,32'h3fc0fa60,// invsqrt(0.5323) = 1.3706 +32'h3f0c35a4,32'h3fa97fc1,32'h3fb06ad9, 32'h3fa44f70,32'h3fb59b2a, 32'h3f9ba992,32'h3fbe4108,// invsqrt(0.5477) = 1.3512 +32'h3f2c36c5,32'h3f98f0b6,32'h3f9f2ec8, 32'h3f944229,32'h3fa3dd55, 32'h3f8c7492,32'h3fabaaec,// invsqrt(0.6727) = 1.2192 +32'h3f81715c,32'h3f797a57,32'h3f81d491, 32'h3f71d73f,32'h3f85a61c, 32'h3f651cc3,32'h3f8c035b,// invsqrt(1.0113) = 0.9944 +32'h40cf02e4,32'h3ec546a1,32'h3ecd53f5, 32'h3ebf3ca1,32'h3ed35df5, 32'h3eb52bf7,32'h3edd6e9f,// invsqrt(6.4691) = 0.3932 +32'h40bafc2e,32'h3ecf9248,32'h3ed80b31, 32'h3ec9379a,32'h3ede65e0, 32'h3ebea077,32'h3ee8fd03,// invsqrt(5.8433) = 0.4137 +32'h3f6d4dc6,32'h3f8249ac,32'h3f879b0c, 32'h3f7c9948,32'h3f8b9814, 32'h3f6f4dd9,32'h3f923dcc,// invsqrt(0.9270) = 1.0386 +32'h3d1a6f4e,32'h40a1810b,32'h40a81898, 32'h409c8f60,32'h40ad0a42, 32'h409451ef,32'h40b547b3,// invsqrt(0.0377) = 5.1500 +32'h410c9a0e,32'h3ea94330,32'h3eb02bce, 32'h3ea414b9,32'h3eb55a45, 32'h3e9b71f2,32'h3ebdfd0c,// invsqrt(8.7876) = 0.3373 +32'h3fde9e37,32'h3f3e3c36,32'h3f45fff9, 32'h3f386963,32'h3f4bd2cb, 32'h3f2eb4ae,32'h3f558780,// invsqrt(1.7392) = 0.7583 +32'h3cb061aa,32'h40d5b83d,32'h40de7164, 32'h40cf2d5f,32'h40e4fc41, 32'h40c445ee,32'h40efe3b2,// invsqrt(0.0215) = 6.8150 +32'h3f28716a,32'h3f9aa48a,32'h3fa0f466, 32'h3f95e8a5,32'h3fa5b04b, 32'h3f8e04d2,32'h3fad941e,// invsqrt(0.6580) = 1.2328 +32'h3fd06a38,32'h3f449c47,32'h3f4ca2a8, 32'h3f3e977f,32'h3f52a771, 32'h3f348f86,32'h3f5caf6a,// invsqrt(1.6282) = 0.7837 +32'h3fd599b8,32'h3f423592,32'h3f4a22dc, 32'h3f3c439b,32'h3f5014d3, 32'h3f325afe,32'h3f59fd70,// invsqrt(1.6688) = 0.7741 +32'h3f0d344a,32'h3fa8e6a6,32'h3fafcb7e, 32'h3fa3bb04,32'h3fb4f720, 32'h3f9b1cf7,32'h3fbd952d,// invsqrt(0.5516) = 1.3465 +32'h403ac8e5,32'h3f12da96,32'h3f18d90f, 32'h3f0e5bbb,32'h3f1d57e9, 32'h3f06dda3,32'h3f24d601,// invsqrt(2.9185) = 0.5854 +32'h3ebb5535,32'h3fcf60f0,32'h3fd7d7d6, 32'h3fc907c4,32'h3fde3102, 32'h3fbe7326,32'h3fe8c5a0,// invsqrt(0.3659) = 1.6532 +32'h409a28a5,32'h3ee49b0a,32'h3eedefbc, 32'h3edd9b84,32'h3ef4ef42, 32'h3ed1f1a6,32'h3f004c90,// invsqrt(4.8175) = 0.4556 +32'h3f66cc28,32'h3f841c89,32'h3f8980f7, 32'h3f801136,32'h3f8d8c4a, 32'h3f72a75a,32'h3f9449d3,// invsqrt(0.9016) = 1.0532 +32'h3f9620fa,32'h3f67a750,32'h3f711bdc, 32'h3f608fe8,32'h3f783344, 32'h3f54be3a,32'h3f820279,// invsqrt(1.1729) = 0.9234 +32'h3e3ec88d,32'h40114e86,32'h40173cd4, 32'h400cdbcb,32'h401baf8f, 32'h400571e8,32'h40231972,// invsqrt(0.1863) = 2.3168 +32'h3f837508,32'h3f778f22,32'h3f80d4f0, 32'h3f6ffb14,32'h3f849ef7, 32'h3f6359a7,32'h3f8aefad,// invsqrt(1.0270) = 0.9868 +32'h3f45e76d,32'h3f8eab31,32'h3f947def, 32'h3f8a4d22,32'h3f98dbfe, 32'h3f8305b5,32'h3fa0236b,// invsqrt(0.7731) = 1.1373 +32'h415041a7,32'h3e8b13d5,32'h3e90c10d, 32'h3e86d1eb,32'h3e9502f7, 32'h3e7f72cb,32'h3e9c1b7c,// invsqrt(13.0160) = 0.2772 +32'h3f72247c,32'h3f80fabd,32'h3f863e71, 32'h3f7a0fec,32'h3f8a3138, 32'h3f6ce6a9,32'h3f90c5d9,// invsqrt(0.9459) = 1.0282 +32'h3e9193d2,32'h3feb3f38,32'h3ff4d950, 32'h3fe40ba7,32'h3ffc0ce1, 32'h3fd80b0a,32'h400406bf,// invsqrt(0.2843) = 1.8754 +32'h3fafac52,32'h3f562670,32'h3f5ee416, 32'h3f4f9833,32'h3f657253, 32'h3f44ab23,32'h3f705f63,// invsqrt(1.3724) = 0.8536 +32'h3f8f10ab,32'h3f6d4db3,32'h3f76fd47, 32'h3f660a04,32'h3f7e40f6, 32'h3f59ee8a,32'h3f852e38,// invsqrt(1.1177) = 0.9459 +32'h3eb84e41,32'h3fd11325,32'h3fd99bc3, 32'h3fcaacae,32'h3fe0023a, 32'h3fc001e8,32'h3feaad00,// invsqrt(0.3600) = 1.6667 +32'h400e63fa,32'h3f283228,32'h3f2f0fa2, 32'h3f230c0d,32'h3f3435bd, 32'h3f1a7734,32'h3f3cca96,// invsqrt(2.2249) = 0.6704 +32'h3f815f12,32'h3f798bf9,32'h3f81ddbe, 32'h3f71e857,32'h3f85af8e, 32'h3f652cf4,32'h3f8c0d40,// invsqrt(1.0107) = 0.9947 +32'h3ec21506,32'h3fcbbdad,32'h3fd40e8f, 32'h3fc58103,32'h3fda4b39, 32'h3fbb1be8,32'h3fe4b054,// invsqrt(0.3791) = 1.6242 +32'h3eade4c1,32'h3fd73e3d,32'h3fe0074f, 32'h3fd0a76f,32'h3fe69e1d, 32'h3fc5ac19,32'h3ff19973,// invsqrt(0.3396) = 1.7159 +32'h3fa2ee05,32'h3f5e5e04,32'h3f677186, 32'h3f578f62,32'h3f6e4028, 32'h3f4c36fe,32'h3f79988c,// invsqrt(1.2729) = 0.8863 +32'h40880729,32'h3ef35d45,32'h3efd4c2d, 32'h3eebea16,32'h3f025fae, 32'h3edf7f73,32'h3f0894ff,// invsqrt(4.2509) = 0.4850 +32'h403ce824,32'h3f1206d5,32'h3f17fca9, 32'h3f0d8e75,32'h3f1c7509, 32'h3f061b2c,32'h3f23e852,// invsqrt(2.9517) = 0.5821 +32'h3f3b767a,32'h3f929688,32'h3f98923a, 32'h3f8e19c3,32'h3f9d0eff, 32'h3f869f24,32'h3fa4899e,// invsqrt(0.7323) = 1.1686 +32'h3f2d740b,32'h3f986496,32'h3f9e9cef, 32'h3f93ba52,32'h3fa34732, 32'h3f8bf3e2,32'h3fab0da2,// invsqrt(0.6776) = 1.2149 +32'h3ef3fd38,32'h3fb5b681,32'h3fbd2138, 32'h3fb02678,32'h3fc2b142, 32'h3fa6e114,32'h3fcbf6a6,// invsqrt(0.4765) = 1.4486 +32'h3e8c6fdc,32'h3fef8381,32'h3ff94a2d, 32'h3fe82e7f,32'h40004f97, 32'h3fdbf628,32'h40066bc3,// invsqrt(0.2743) = 1.9094 +32'h3da2ae20,32'h405e89ab,32'h40679ef5, 32'h4057b9b2,32'h406e6eee, 32'h404c5f15,32'h4079c98b,// invsqrt(0.0794) = 3.5481 +32'h3ea60e90,32'h3fdc4376,32'h3fe540fe, 32'h3fd58551,32'h3febff23, 32'h3fca4867,32'h3ff73c0d,// invsqrt(0.3243) = 1.7559 +32'h3f94a727,32'h3f68ccfa,32'h3f724d82, 32'h3f61ac94,32'h3f796de8, 32'h3f55cbeb,32'h3f82a748,// invsqrt(1.1614) = 0.9279 +32'h3dfa16a7,32'h40337bbd,32'h403acf28, 32'h402dfd2d,32'h40404db9, 32'h4024d4e8,32'h404975ff,// invsqrt(0.1221) = 2.8617 +32'h3ee92faf,32'h3fb9dfd8,32'h3fc1760a, 32'h3fb42f32,32'h3fc726b0, 32'h3faab372,32'h3fd0a270,// invsqrt(0.4554) = 1.4818 +32'h3fa51ce6,32'h3f5ce46d,32'h3f65e886, 32'h3f562159,32'h3f6cab99, 32'h3f4adc3a,32'h3f77f0b8,// invsqrt(1.2899) = 0.8805 +32'h41446114,32'h3e8f38b5,32'h3e95113a, 32'h3e8ad652,32'h3e99739e, 32'h3e8387ac,32'h3ea0c244,// invsqrt(12.2737) = 0.2854 +32'h3f00c181,32'h3fb0e0a1,32'h3fb818d2, 32'h3fab767e,32'h3fbd82f6, 32'h3fa27041,32'h3fc68933,// invsqrt(0.5030) = 1.4101 +32'h3fb6ea7a,32'h3f51de17,32'h3f5a6efd, 32'h3f4b716a,32'h3f60dbaa, 32'h3f40bc49,32'h3f6b90cb,// invsqrt(1.4290) = 0.8365 +32'h404459dc,32'h3f0f3b57,32'h3f1513f8, 32'h3f0ad8e0,32'h3f197670, 32'h3f038a17,32'h3f20c539,// invsqrt(3.0680) = 0.5709 +32'h3ed84a56,32'h3fc0ff72,32'h3fc8e014, 32'h3fbb16f9,32'h3fcec88d, 32'h3fb13e30,32'h3fd8a157,// invsqrt(0.4224) = 1.5386 +32'h40f474ff,32'h3eb589f7,32'h3ebcf2dd, 32'h3eaffb4b,32'h3ec28189, 32'h3ea6b82c,32'h3ecbc4a8,// invsqrt(7.6393) = 0.3618 +32'h3d6f7478,32'h4081b384,32'h4086fec3, 32'h407b762b,32'h408af733, 32'h406e3a0e,32'h40919541,// invsqrt(0.0585) = 4.1359 +32'h3d4f5d1e,32'h408b6064,32'h409110bc, 32'h40871c22,32'h409554fe, 32'h407fff6a,32'h409c716b,// invsqrt(0.0506) = 4.4444 +32'h3eb13c7e,32'h3fd53424,32'h3fdde7e7, 32'h3fcead52,32'h3fe46eba, 32'h3fc3cc9f,32'h3fef4f6d,// invsqrt(0.3462) = 1.6996 +32'h3fc86f2f,32'h3f487c87,32'h3f50ab67, 32'h3f42595e,32'h3f56ce90, 32'h3f381ec5,32'h3f610929,// invsqrt(1.5659) = 0.7991 +32'h40237777,32'h3f1cfa9d,32'h3f2362e2, 32'h3f182c69,32'h3f283115, 32'h3f102a12,32'h3f30336c,// invsqrt(2.5542) = 0.6257 +32'h42aa0906,32'h3dd9abe7,32'h3de28e59, 32'h3dd30212,32'h3de9382e, 32'h3dc7e703,32'h3df4533d,// invsqrt(85.0176) = 0.1085 +32'h3c2a0274,32'h4119edb9,32'h4120361f, 32'h4115376d,32'h4124ec6b, 32'h410d5cee,32'h412cc6ea,// invsqrt(0.0104) = 9.8169 +32'h3e7210fa,32'h4000ffef,32'h400643d9, 32'h3ffa19fe,32'h400a36c9, 32'h3fecf034,32'h4010cbae,// invsqrt(0.2364) = 2.0568 +32'h3f09ef1c,32'h3faae436,32'h3fb1ddda, 32'h3fa5a8fb,32'h3fb71915, 32'h3f9cf0ee,32'h3fbfd122,// invsqrt(0.5388) = 1.3623 +32'h3f5e0786,32'h3f86b1de,32'h3f8c314a, 32'h3f82924d,32'h3f9050db, 32'h3f77660a,32'h3f973023,// invsqrt(0.8673) = 1.0738 +32'h3f80e3d2,32'h3f7a032c,32'h3f821bc6, 32'h3f725be4,32'h3f85ef6a, 32'h3f659a6c,32'h3f8c5026,// invsqrt(1.0070) = 0.9965 +32'h3e55be4f,32'h400947e0,32'h400ee252, 32'h4005140b,32'h40131627, 32'h3ffc25fa,32'h401a1735,// invsqrt(0.2087) = 2.1888 +32'h412673d4,32'h3e9b908d,32'h3ea1ea0b, 32'h3e96cd6f,32'h3ea6ad29, 32'h3e8edd91,32'h3eae9d07,// invsqrt(10.4033) = 0.3100 +32'h3f02bbde,32'h3faf88c8,32'h3fb6b2f0, 32'h3faa292b,32'h3fbc128d, 32'h3fa13479,32'h3fc5073f,// invsqrt(0.5107) = 1.3993 +32'h403ce2d6,32'h3f1208e2,32'h3f17fecc, 32'h3f0d9073,32'h3f1c773b, 32'h3f061d0e,32'h3f23eaa0,// invsqrt(2.9513) = 0.5821 +32'h3f833c77,32'h3f77c476,32'h3f80f0b1, 32'h3f702ec7,32'h3f84bb89, 32'h3f638aa1,32'h3f8b0d9b,// invsqrt(1.0253) = 0.9876 +32'h3ebe4f40,32'h3fcdc01b,32'h3fd625fd, 32'h3fc773b2,32'h3fdc7266, 32'h3fbcf458,32'h3fe6f1c0,// invsqrt(0.3717) = 1.6402 +32'h3f9e252e,32'h3f61b4b8,32'h3f6aeb1e, 32'h3f5acbec,32'h3f71d3ea, 32'h3f4f47ed,32'h3f7d57e9,// invsqrt(1.2355) = 0.8997 +32'h3f14b607,32'h3fa49532,32'h3fab4cea, 32'h3f9f8b67,32'h3fb056b5, 32'h3f9725c0,32'h3fb8bc5c,// invsqrt(0.5809) = 1.3120 +32'h3fa70306,32'h3f5ba207,32'h3f6498f7, 32'h3f54e8d2,32'h3f6b522c, 32'h3f49b426,32'h3f7686d9,// invsqrt(1.3048) = 0.8755 +32'h3f4e8901,32'h3f8ba7e3,32'h3f915b26, 32'h3f876172,32'h3f95a198, 32'h3f80415e,32'h3f9cc1ac,// invsqrt(0.8068) = 1.1133 +32'h3f01f877,32'h3fb00c8a,32'h3fb73c12, 32'h3faaa8e4,32'h3fbc9fb8, 32'h3fa1ad79,32'h3fc59b23,// invsqrt(0.5077) = 1.4035 +32'h3f432dcd,32'h3f8fa946,32'h3f958664, 32'h3f8b4371,32'h3f99ec39, 32'h3f83ef0c,32'h3fa1409e,// invsqrt(0.7624) = 1.1453 +32'h3f45f941,32'h3f8ea4c4,32'h3f94773e, 32'h3f8a46e7,32'h3f98d51b, 32'h3f82ffce,32'h3fa01c34,// invsqrt(0.7733) = 1.1371 +32'h3fdfaa1b,32'h3f3dca26,32'h3f458942, 32'h3f37fad1,32'h3f4b5897, 32'h3f2e4bef,32'h3f550779,// invsqrt(1.7474) = 0.7565 +32'h3d0f4b65,32'h40a7aa20,32'h40ae820c, 32'h40a2882e,32'h40b3a3fe, 32'h4099fa47,32'h40bc31e5,// invsqrt(0.0350) = 5.3464 +32'h3f273c38,32'h3f9b333d,32'h3fa188ec, 32'h3f9672fa,32'h3fa64930, 32'h3f8e87e0,32'h3fae344a,// invsqrt(0.6533) = 1.2372 +32'h40cb0820,32'h3ec7332b,32'h3ecf549b, 32'h3ec11a18,32'h3ed56dae, 32'h3eb6f04c,32'h3edf977a,// invsqrt(6.3447) = 0.3970 +32'h404f700a,32'h3f0b5a08,32'h3f110a1e, 32'h3f0715f8,32'h3f154e2e, 32'h3efff3bc,32'h3f1c6a48,// invsqrt(3.2412) = 0.5555 +32'h3edaae8c,32'h3fbff08c,32'h3fc7c61f, 32'h3fba105e,32'h3fcda64e, 32'h3fb04567,32'h3fd77145,// invsqrt(0.4271) = 1.5301 +32'h3effa75b,32'h3fb184e0,32'h3fb8c3c5, 32'h3fac15b5,32'h3fbe32f1, 32'h3fa30718,32'h3fc7418f,// invsqrt(0.4993) = 1.4152 +32'h3f4c7872,32'h3f8c5bf1,32'h3f92168d, 32'h3f880ffc,32'h3f966282, 32'h3f80e6b9,32'h3f9d8bc5,// invsqrt(0.7987) = 1.1189 +32'h3f906052,32'h3f6c3939,32'h3f75dd85, 32'h3f64fe01,32'h3f7d18bd, 32'h3f58f0a2,32'h3f84930e,// invsqrt(1.1279) = 0.9416 +32'h3f8a1696,32'h3f718ac2,32'h3f7b66a0, 32'h3f6a25db,32'h3f8165c3, 32'h3f5dd306,32'h3f878f2e,// invsqrt(1.0788) = 0.9628 +32'h3e8ff4bd,32'h3fec916d,32'h3ff63953, 32'h3fe55382,32'h3ffd773e, 32'h3fd941a3,32'h4004c48e,// invsqrt(0.2812) = 1.8859 +32'h400c2b2f,32'h3f298614,32'h3f30716e, 32'h3f245591,32'h3f35a1f1, 32'h3f1baf61,32'h3f3e4821,// invsqrt(2.1901) = 0.6757 +32'h3e0aaa40,32'h402a70be,32'h403165ac, 32'h4025390c,32'h40369d5e, 32'h401c86e3,32'h403f4f87,// invsqrt(0.1354) = 2.7175 +32'h4083e73f,32'h3ef723dc,32'h3f009d1d, 32'h3eef9317,32'h3f04657f, 32'h3ee2f724,32'h3f0ab379,// invsqrt(4.1220) = 0.4925 +32'h3f987d59,32'h3f65da76,32'h3f6f3c32, 32'h3f5ed129,32'h3f76457f, 32'h3f5316ff,32'h3f80ffd5,// invsqrt(1.1913) = 0.9162 +32'h3fb5902e,32'h3f52a5dc,32'h3f5b3eea, 32'h3f4c3311,32'h3f61b1b5, 32'h3f4173c0,32'h3f6c7107,// invsqrt(1.4185) = 0.8396 +32'h3f83c5c3,32'h3f774341,32'h3f80ad73, 32'h3f6fb185,32'h3f847650, 32'h3f6313f8,32'h3f8ac517,// invsqrt(1.0295) = 0.9856 +32'h3f6b6d07,32'h3f82ce6f,32'h3f88253a, 32'h3f7d9aac,32'h3f8c2652, 32'h3f7041b1,32'h3f92d2d0,// invsqrt(0.9196) = 1.0428 +32'h3c7533e6,32'h41002c0c,32'h41056751, 32'h40f87f33,32'h410953c5, 32'h40eb6b08,32'h410fddda,// invsqrt(0.0150) = 8.1742 +32'h3fdcc641,32'h3f3f0720,32'h3f46d32c, 32'h3f392e17,32'h3f4cac35, 32'h3f2f6f09,32'h3f566b43,// invsqrt(1.7248) = 0.7614 +32'h408eaae8,32'h3eeda245,32'h3ef7554d, 32'h3ee65bff,32'h3efe9b93, 32'h3eda3c35,32'h3f055daf,// invsqrt(4.4584) = 0.4736 +32'h3ef4dcd8,32'h3fb56374,32'h3fbccac8, 32'h3fafd5f6,32'h3fc25846, 32'h3fa694ce,32'h3fcb996e,// invsqrt(0.4782) = 1.4460 +32'h3fef4729,32'h3f377e3a,32'h3f3efb8a, 32'h3f31e03d,32'h3f449987, 32'h3f288398,32'h3f4df62c,// invsqrt(1.8694) = 0.7314 +32'h3f1535a4,32'h3fa44ec1,32'h3fab039a, 32'h3f9f471f,32'h3fb00b3d, 32'h3f96e510,32'h3fb86d4c,// invsqrt(0.5828) = 1.3099 +32'h3e9d0cd2,32'h3fe27dd4,32'h3febbc6f, 32'h3fdb8ee0,32'h3ff2ab64, 32'h3fd0009f,32'h3ffe39a5,// invsqrt(0.3067) = 1.8056 +32'h402e8005,32'h3f17ef65,32'h3f1e22f7, 32'h3f1348b8,32'h3f22c9a4, 32'h3f0b8843,32'h3f2a8a19,// invsqrt(2.7266) = 0.6056 +32'h3d693e09,32'h40836ad0,32'h4088c7fe, 32'h407ec9dd,32'h408ccddf, 32'h407160ed,32'h40938258,// invsqrt(0.0569) = 4.1906 +32'h3f0c8a0a,32'h3fa94cd5,32'h3fb035d8, 32'h3fa41e12,32'h3fb5649a, 32'h3f9b7acd,32'h3fbe07df,// invsqrt(0.5490) = 1.3497 +32'h3e857a15,32'h3ff5add2,32'h3fffb4ea, 32'h3fee2880,32'h40039d1e, 32'h3fe19fa1,32'h4009e18d,// invsqrt(0.2607) = 1.9585 +32'h3fc31933,32'h3f4b35a6,32'h3f5380fb, 32'h3f44fd26,32'h3f59b97a, 32'h3f3a9efb,32'h3f6417a5,// invsqrt(1.5242) = 0.8100 +32'h3e5d560b,32'h4006e7d4,32'h400c6974, 32'h4002c69c,32'h40108aac, 32'h3ff7c927,32'h40176cb4,// invsqrt(0.2161) = 2.1509 +32'h41ca4110,32'h3e47951a,32'h3e4fba88, 32'h3e417907,32'h3e55d69b, 32'h3e374a3c,32'h3e600566,// invsqrt(25.2818) = 0.1989 +32'h3ee7f984,32'h3fba5bf2,32'h3fc1f736, 32'h3fb4a780,32'h3fc7aba8, 32'h3fab256c,32'h3fd12dbc,// invsqrt(0.4531) = 1.4856 +32'h3f5d1c26,32'h3f86f97c,32'h3f8c7bd4, 32'h3f82d7b9,32'h3f909d97, 32'h3f77e995,32'h3f978086,// invsqrt(0.8637) = 1.0760 +32'h3f783f7d,32'h3f7ec459,32'h3f849534, 32'h3f76f7ce,32'h3f887b79, 32'h3f69f83d,32'h3f8efb42,// invsqrt(0.9697) = 1.0155 +32'h3e8d95af,32'h3fee8a79,32'h3ff846fb, 32'h3fe73d17,32'h3fff945d, 32'h3fdb1174,32'h4005e000,// invsqrt(0.2765) = 1.9016 +32'h3d24f230,32'h409c45fe,32'h40a2a6e4, 32'h40977d52,32'h40a76f90, 32'h408f8432,32'h40af68b0,// invsqrt(0.0403) = 4.9832 +32'h3f4d5006,32'h3f8c122d,32'h3f91c9c7, 32'h3f87c87a,32'h3f96137a, 32'h3f80a2fb,32'h3f9d38f9,// invsqrt(0.8020) = 1.1166 +32'h40ffa0a5,32'h3eb18735,32'h3eb8c631, 32'h3eac17f7,32'h3ebe356f, 32'h3ea3093b,32'h3ec7442b,// invsqrt(7.9884) = 0.3538 +32'h3f1a12a2,32'h3fa1b195,32'h3fa84b1e, 32'h3f9cbe6f,32'h3fad3e45, 32'h3f947e84,32'h3fb57e30,// invsqrt(0.6018) = 1.2890 +32'h3f883dd8,32'h3f732c69,32'h3f7d1953, 32'h3f6bbab9,32'h3f824581, 32'h3f5f5295,32'h3f887994,// invsqrt(1.0644) = 0.9693 +32'h3fe299c6,32'h3f3c8e58,32'h3f444090, 32'h3f36c8ae,32'h3f4a063a, 32'h3f2d29e8,32'h3f53a500,// invsqrt(1.7703) = 0.7516 +32'h3f417441,32'h3f904cdc,32'h3f9630a6, 32'h3f8be204,32'h3f9a9b7e, 32'h3f848547,32'h3fa1f83b,// invsqrt(0.7557) = 1.1504 +32'h3f6fb21c,32'h3f81a2d6,32'h3f86ed66, 32'h3f7b55d3,32'h3f8ae553, 32'h3f6e1b69,32'h3f918287,// invsqrt(0.9363) = 1.0335 +32'h40146d53,32'h3f24bd7c,32'h3f2b76da, 32'h3f1fb276,32'h3f3081e0, 32'h3f174ac0,32'h3f38e996,// invsqrt(2.3192) = 0.6566 +32'h3de2add3,32'h403c8601,32'h404437e1, 32'h4036c098,32'h4049fd4a, 32'h402d223f,32'h40539ba3,// invsqrt(0.1107) = 3.0058 +32'h3ebcd848,32'h3fce8bfb,32'h3fd6fa2f, 32'h3fc83954,32'h3fdd4cd6, 32'h3fbdaf93,32'h3fe7d697,// invsqrt(0.3688) = 1.6466 +32'h3e6a11fc,32'h40032f43,32'h40088a02, 32'h3ffe5669,32'h400c8e12, 32'h3ff0f38c,32'h40133f80,// invsqrt(0.2286) = 2.0916 +32'h3f9d7340,32'h3f62341c,32'h3f6b6fb4, 32'h3f5b4769,32'h3f725c67, 32'h3f4fbcea,32'h3f7de6e6,// invsqrt(1.2301) = 0.9016 +32'h3fd7b2ca,32'h3f414333,32'h3f492698, 32'h3f3b58a6,32'h3f4f1124, 32'h3f317c68,32'h3f58ed62,// invsqrt(1.6851) = 0.7703 +32'h3fadaa13,32'h3f576297,32'h3f602d25, 32'h3f50caac,32'h3f66c510, 32'h3f45cd7b,32'h3f71c241,// invsqrt(1.3568) = 0.8585 +32'h40021e09,32'h3f2ff31e,32'h3f37219c, 32'h3f2a903f,32'h3f3c847b, 32'h3f219620,32'h3f457e9a,// invsqrt(2.0331) = 0.7013 +32'h3fdefe10,32'h3f3e134f,32'h3f45d567, 32'h3f3841bd,32'h3f4ba6f9, 32'h3f2e8f1f,32'h3f555997,// invsqrt(1.7421) = 0.7576 +32'h3f0dcda9,32'h3fa88b36,32'h3faf6c52, 32'h3fa36261,32'h3fb49527, 32'h3f9ac8fd,32'h3fbd2e8b,// invsqrt(0.5539) = 1.3436 +32'h3f6dcaee,32'h3f82275e,32'h3f877758, 32'h3f7c56c6,32'h3f8b7353, 32'h3f6f0ed7,32'h3f92174a,// invsqrt(0.9289) = 1.0376 +32'h3fe2dfb0,32'h3f3c7148,32'h3f442250, 32'h3f36ac82,32'h3f49e716, 32'h3f2d0f38,32'h3f538460,// invsqrt(1.7725) = 0.7511 +32'h40b7facf,32'h3ed1428a,32'h3ed9cd18, 32'h3ecadaa0,32'h3ee03502, 32'h3ec02d6f,32'h3eeae233,// invsqrt(5.7494) = 0.4171 +32'h3fbe68c9,32'h3f4db24f,32'h3f5617a0, 32'h3f476651,32'h3f5c639d, 32'h3f3ce7ab,32'h3f66e243,// invsqrt(1.4876) = 0.8199 +32'h3e48fc0a,32'h400d9236,32'h4013597c, 32'h40093cc1,32'h4017aef1, 32'h400203aa,32'h401ee808,// invsqrt(0.1963) = 2.2572 +32'h3e7e03ac,32'h3ffbdbd2,32'h400311be, 32'h3ff42611,32'h4006ec9e, 32'h3fe74c7c,32'h400d5968,// invsqrt(0.2481) = 2.0078 +32'h3f2c414b,32'h3f98ec0a,32'h3f9f29eb, 32'h3f943da1,32'h3fa3d853, 32'h3f8c7047,32'h3faba5ad,// invsqrt(0.6729) = 1.2191 +32'h3f81fa11,32'h3f78f702,32'h3f819038, 32'h3f7157f0,32'h3f855fc1, 32'h3f64a426,32'h3f8bb9a6,// invsqrt(1.0154) = 0.9924 +32'h404b69d9,32'h3f0cb92e,32'h3f127798, 32'h3f086a5e,32'h3f16c668, 32'h3f013c59,32'h3f1df46d,// invsqrt(3.1783) = 0.5609 +32'h3fd8df31,32'h3f40bd2a,32'h3f489b17, 32'h3f3ad6b9,32'h3f4e8189, 32'h3f310151,32'h3f5856f1,// invsqrt(1.6943) = 0.7683 +32'h3ef5d7dd,32'h3fb506c2,32'h3fbc6a4c, 32'h3faf7c1a,32'h3fc1f4f4, 32'h3fa63fad,32'h3fcb3161,// invsqrt(0.4802) = 1.4431 +32'h3f09e3c7,32'h3faaeb3b,32'h3fb1e529, 32'h3fa5afc9,32'h3fb7209b, 32'h3f9cf760,32'h3fbfd904,// invsqrt(0.5386) = 1.3626 +32'h3f6cbf2a,32'h3f8270e4,32'h3f87c3dd, 32'h3f7ce550,32'h3f8bc218, 32'h3f6f95e0,32'h3f9269d0,// invsqrt(0.9248) = 1.0399 +32'h3fe1c271,32'h3f3ce82f,32'h3f449e11, 32'h3f371fc5,32'h3f4a667b, 32'h3f2d7c6a,32'h3f5409d6,// invsqrt(1.7637) = 0.7530 +32'h3f475ee1,32'h3f8e249b,32'h3f93f1db, 32'h3f89caab,32'h3f984bcb, 32'h3f828a1c,32'h3f9f8c5a,// invsqrt(0.7788) = 1.1332 +32'h4091f711,32'h3eeaef31,32'h3ef48604, 32'h3ee3be13,32'h3efbb723, 32'h3ed7c18c,32'h3f03d9d5,// invsqrt(4.5614) = 0.4682 +32'h40575cfa,32'h3f08c376,32'h3f0e5880, 32'h3f0493ae,32'h3f128848, 32'h3efb32c4,32'h3f198294,// invsqrt(3.3650) = 0.5451 +32'h40066309,32'h3f2d21d2,32'h3f3432e0, 32'h3f27d508,32'h3f397faa, 32'h3f1effb6,32'h3f4254fc,// invsqrt(2.0998) = 0.6901 +32'h3c95f990,32'h40e7c5bf,32'h40f13b89, 32'h40e0ad69,32'h40f853df, 32'h40d4da2d,32'h4102138d,// invsqrt(0.0183) = 7.3907 +32'h3f22c787,32'h3f9d4f5b,32'h3fa3bb16, 32'h3f987e90,32'h3fa88be2, 32'h3f9077e6,32'h3fb0928c,// invsqrt(0.6359) = 1.2541 +32'h4018b0dc,32'h3f226c7a,32'h3f290da4, 32'h3f1d739b,32'h3f2e0683, 32'h3f152a27,32'h3f364ff7,// invsqrt(2.3858) = 0.6474 +32'h41c87cbb,32'h3e4875c1,32'h3e50a45b, 32'h3e4252cd,32'h3e56c74f, 32'h3e38188d,32'h3e61018f,// invsqrt(25.0609) = 0.1998 +32'h40039b7f,32'h3f2ef366,32'h3f361774, 32'h3f29985b,32'h3f3b727f, 32'h3f20ab48,32'h3f445f92,// invsqrt(2.0564) = 0.6973 +32'h3f17158e,32'h3fa348fb,32'h3fa9f325, 32'h3f9e495c,32'h3faef2c4, 32'h3f95f4a8,32'h3fb74778,// invsqrt(0.5902) = 1.3017 +32'h3fe82938,32'h3f3a48cc,32'h3f41e347, 32'h3f3494ef,32'h3f479723, 32'h3f2b13d5,32'h3f51183d,// invsqrt(1.8138) = 0.7425 +32'h40838957,32'h3ef77c05,32'h3f00cafd, 32'h3eefe88d,32'h3f0494ba, 32'h3ee3481a,32'h3f0ae4f3,// invsqrt(4.1105) = 0.4932 +32'h3e7d37ea,32'h3ffc4112,32'h4003466f, 32'h3ff48838,32'h400722dc, 32'h3fe7a979,32'h400d923c,// invsqrt(0.2473) = 2.0110 +32'h3f6527e8,32'h3f849575,32'h3f89fed3, 32'h3f80866f,32'h3f8e0dd9, 32'h3f738574,32'h3f94d18e,// invsqrt(0.8951) = 1.0570 +32'h3e6dedab,32'h40021ddd,32'h40076d73, 32'h3ffc4459,32'h400b6924, 32'h3feefd62,32'h40120c9f,// invsqrt(0.2324) = 2.0746 +32'h3e14ff74,32'h40246c9f,32'h402b22b0, 32'h401f6413,32'h40302b3d, 32'h4017007e,32'h40388ed2,// invsqrt(0.1455) = 2.6216 +32'h3e1febb7,32'h401eb5b2,32'h4025300d, 32'h4019d9ee,32'h402a0bd2, 32'h4011c0fd,32'h403224c3,// invsqrt(0.1562) = 2.5304 +32'h40064e7e,32'h3f2d2f0f,32'h3f3440a7, 32'h3f27e1dd,32'h3f398dd9, 32'h3f1f0bdf,32'h3f4263d7,// invsqrt(2.0985) = 0.6903 +32'h3f127d43,32'h3fa5d380,32'h3fac9836, 32'h3fa0bff6,32'h3fb1abc0, 32'h3f984a12,32'h3fba21a4,// invsqrt(0.5722) = 1.3220 +32'h40277355,32'h3f1b19b1,32'h3f216e55, 32'h3f165a36,32'h3f262dd0, 32'h3f0e7069,32'h3f2e179d,// invsqrt(2.6164) = 0.6182 +32'h3f936492,32'h3f69cb30,32'h3f735618, 32'h3f62a302,32'h3f7a7e46, 32'h3f56b560,32'h3f8335f4,// invsqrt(1.1515) = 0.9319 +32'h400e2d17,32'h3f28529c,32'h3f2f3169, 32'h3f232b83,32'h3f345883, 32'h3f1a9503,32'h3f3cef03,// invsqrt(2.2215) = 0.6709 +32'h4091abec,32'h3eeb2bc2,32'h3ef4c50e, 32'h3ee3f8c9,32'h3efbf807, 32'h3ed7f92a,32'h3f03fbd3,// invsqrt(4.5522) = 0.4687 +32'h40d70d65,32'h3ec18d76,32'h3ec973e3, 32'h3ebba0a3,32'h3ecf60b5, 32'h3eb1c09b,32'h3ed940bd,// invsqrt(6.7204) = 0.3857 +32'h4011d107,32'h3f263552,32'h3f2cfe08, 32'h3f211ecb,32'h3f32148f, 32'h3f18a3e8,32'h3f3a8f72,// invsqrt(2.2784) = 0.6625 +32'h4158d2f4,32'h3e884d52,32'h3e8ddd89, 32'h3e842128,32'h3e9209b2, 32'h3e7a59c4,32'h3e98fdf8,// invsqrt(13.5515) = 0.2716 +32'h3fcdb468,32'h3f45e6c3,32'h3f4dfaa1, 32'h3f3fd7dc,32'h3f540988, 32'h3f35bf07,32'h3f5e225d,// invsqrt(1.6071) = 0.7888 +32'h3f9245fe,32'h3f6aafc7,32'h3f744403, 32'h3f63809a,32'h3f7b7330, 32'h3f57874e,32'h3f83b63e,// invsqrt(1.1428) = 0.9355 +32'h3b6ac17d,32'h4182fe31,32'h418856ef, 32'h417df745,32'h418c597e, 32'h4170996a,32'h4193086b,// invsqrt(0.0036) = 16.7083 +32'h42055e7d,32'h3e2dca9d,32'h3e34e28f, 32'h3e2878a8,32'h3e3a3484, 32'h3e1f9aba,32'h3e431272,// invsqrt(33.3423) = 0.1732 +32'h400af6df,32'h3f2a41ba,32'h3f3134bd, 32'h3f250b79,32'h3f366aff, 32'h3f1c5bb6,32'h3f3f1ac2,// invsqrt(2.1713) = 0.6786 +32'h3f30862a,32'h3f970fc4,32'h3f9d3a35, 32'h3f926ff0,32'h3fa1da0a, 32'h3f8abae4,32'h3fa98f16,// invsqrt(0.6895) = 1.2043 +32'h3ea98dbd,32'h3fd9fafb,32'h3fe2e0a7, 32'h3fd34eba,32'h3fe98ce8, 32'h3fc82fa2,32'h3ff4ac00,// invsqrt(0.3312) = 1.7377 +32'h3f9cbffc,32'h3f62b550,32'h3f6bf62f, 32'h3f5bc4aa,32'h3f72e6d6, 32'h3f503393,32'h3f7e77ed,// invsqrt(1.2246) = 0.9037 +32'h3f548efc,32'h3f89a9b1,32'h3f8f4820, 32'h3f8572dc,32'h3f937ef4, 32'h3f7cd9a2,32'h3f9a84ff,// invsqrt(0.8303) = 1.0974 +32'h3e4f9ca5,32'h400b4b0f,32'h4010fa88, 32'h40070775,32'h40153e23, 32'h3fffd83c,32'h401c597a,// invsqrt(0.2027) = 2.2209 +32'h3f8bccc9,32'h3f700f0a,32'h3f79db68, 32'h3f68b5c3,32'h3f809a58, 32'h3f5c764d,32'h3f86ba13,// invsqrt(1.0922) = 0.9569 +32'h3f52e140,32'h3f8a35ad,32'h3f8fd9d4, 32'h3f85fa91,32'h3f9414f1, 32'h3f7ddac2,32'h3f9b2221,// invsqrt(0.8237) = 1.1018 +32'h3f6c6d90,32'h3f828764,32'h3f87db49, 32'h3f7d10f2,32'h3f8bda35, 32'h3f6fbf36,32'h3f928313,// invsqrt(0.9235) = 1.0406 +32'h3f6eb25b,32'h3f81e836,32'h3f87359c, 32'h3f7bdc54,32'h3f8b2fa8, 32'h3f6e9ad7,32'h3f91d067,// invsqrt(0.9324) = 1.0356 +32'h3f3c4cf9,32'h3f9242f3,32'h3f983b3b, 32'h3f8dc8bc,32'h3f9cb572, 32'h3f865262,32'h3fa42bcc,// invsqrt(0.7355) = 1.1660 +32'h3f1c6738,32'h3fa07c0b,32'h3fa708f1, 32'h3f9b925e,32'h3fabf29e, 32'h3f93623e,32'h3fb422be,// invsqrt(0.6109) = 1.2794 +32'h3f55e8cb,32'h3f893a3d,32'h3f8ed421, 32'h3f8506d3,32'h3f93078b, 32'h3f7c0cee,32'h3f9a07e7,// invsqrt(0.8356) = 1.0940 +32'h3fdea4a1,32'h3f3e3978,32'h3f45fd1e, 32'h3f3866ba,32'h3f4bcfdc, 32'h3f2eb22a,32'h3f55846c,// invsqrt(1.7394) = 0.7582 +32'h4014d493,32'h3f24844d,32'h3f2b3b55, 32'h3f1f7b07,32'h3f30449b, 32'h3f17163c,32'h3f38a966,// invsqrt(2.3255) = 0.6558 +32'h41807e56,32'h3e7a65d4,32'h3e824f1d, 32'h3e72bb87,32'h3e862444, 32'h3e65f506,32'h3e8c8784,// invsqrt(16.0617) = 0.2495 +32'h407d162d,32'h3efc51e2,32'h3f034f2f, 32'h3ef49885,32'h3f072bde, 32'h3ee7b8ea,32'h3f0d9bab,// invsqrt(3.9545) = 0.5029 +32'h4041bde0,32'h3f10316f,32'h3f16141b, 32'h3f0bc76e,32'h3f1a7e1c, 32'h3f046c18,32'h3f21d972,// invsqrt(3.0272) = 0.5747 +32'h3f97415a,32'h3f66ca13,32'h3f703596, 32'h3f5fb970,32'h3f774638, 32'h3f53f30c,32'h3f81864e,// invsqrt(1.1817) = 0.9199 +32'h3f53a4bb,32'h3f89f5cb,32'h3f8f9755, 32'h3f85bca2,32'h3f93d07e, 32'h3f7d656a,32'h3f9ada6b,// invsqrt(0.8267) = 1.0998 +32'h3f610a1b,32'h3f85ca7a,32'h3f8b4075, 32'h3f81b1ff,32'h3f8f58f1, 32'h3f75bd0b,32'h3f962c6a,// invsqrt(0.8791) = 1.0666 +32'h4021524c,32'h3f1e04ec,32'h3f247810, 32'h3f192e91,32'h3f294e6b, 32'h3f111ea5,32'h3f315e57,// invsqrt(2.5206) = 0.6299 +32'h400b6ff3,32'h3f29f7bf,32'h3f30e7bd, 32'h3f24c3c1,32'h3f361bbb, 32'h3f1c17c5,32'h3f3ec7b7,// invsqrt(2.1787) = 0.6775 +32'h3f6372b3,32'h3f8514a6,32'h3f8a8334, 32'h3f8101bb,32'h3f8e961f, 32'h3f746f11,32'h3f956052,// invsqrt(0.8885) = 1.0609 +32'h3ed8a7a9,32'h3fc0d5dc,32'h3fc8b4cb, 32'h3fbaeea9,32'h3fce9bff, 32'h3fb117ff,32'h3fd872a9,// invsqrt(0.4232) = 1.5373 +32'h3d6c696a,32'h40828889,32'h4087dc7a, 32'h407d132a,32'h408bdb6f, 32'h406fc150,32'h4092845c,// invsqrt(0.0577) = 4.1624 +32'h3e3eed01,32'h401140a6,32'h40172e64, 32'h400cce58,32'h401ba0b2, 32'h4005652b,32'h402309df,// invsqrt(0.1865) = 2.3159 +32'h4087aba0,32'h3ef3af50,32'h3efda192, 32'h3eec399f,32'h3f028ba2, 32'h3edfcacc,32'h3f08c30b,// invsqrt(4.2397) = 0.4857 +32'h3fa1363f,32'h3f5f8c83,32'h3f68ac5f, 32'h3f58b49f,32'h3f6f8443, 32'h3f4d4ccc,32'h3f7aec16,// invsqrt(1.2595) = 0.8911 +32'h3f2a8181,32'h3f99b455,32'h3f9ffa63, 32'h3f94ffcb,32'h3fa4aeed, 32'h3f8d2839,32'h3fac867f,// invsqrt(0.6660) = 1.2253 +32'h3f89c08f,32'h3f71d622,32'h3f7bb514, 32'h3f6a6eed,32'h3f818e25, 32'h3f5e183f,32'h3f87b97c,// invsqrt(1.0762) = 0.9640 +32'h40a28fc9,32'h3ede9e6e,32'h3ee7b492, 32'h3ed7cdd3,32'h3eee852d, 32'h3ecc7226,32'h3ef9e0da,// invsqrt(5.0801) = 0.4437 +32'h3f92a984,32'h3f6a6018,32'h3f73f114, 32'h3f63335b,32'h3f7b1dd1, 32'h3f573e21,32'h3f838986,// invsqrt(1.1458) = 0.9342 +32'h3e40079c,32'h4010d59d,32'h4016befc, 32'h400c6695,32'h401b2e03, 32'h400502de,32'h402291ba,// invsqrt(0.1875) = 2.3092 +32'h40cb6814,32'h3ec70429,32'h3ecf23ae, 32'h3ec0ec87,32'h3ed53b51, 32'h3eb6c521,32'h3edf62b7,// invsqrt(6.3565) = 0.3966 +32'h40d536c9,32'h3ec2629b,32'h3eca51bc, 32'h3ebc6f43,32'h3ed04515, 32'h3eb2845b,32'h3eda2ffd,// invsqrt(6.6629) = 0.3874 +32'h3f178d0b,32'h3fa30890,32'h3fa9b018, 32'h3f9e0ae9,32'h3faeadbf, 32'h3f95b97f,32'h3fb6ff29,// invsqrt(0.5920) = 1.2997 +32'h3f1193bf,32'h3fa6584a,32'h3fad226c, 32'h3fa140b0,32'h3fb23a06, 32'h3f98c405,32'h3fbab6b1,// invsqrt(0.5687) = 1.3261 +32'h415fbad3,32'h3e862e95,32'h3e8ba8a5, 32'h3e821308,32'h3e8fc432, 32'h3e7674e7,32'h3e969cc6,// invsqrt(13.9831) = 0.2674 +32'h3f3c9cb1,32'h3f922407,32'h3f981b0d, 32'h3f8daac3,32'h3f9c9451, 32'h3f8635fc,32'h3fa40918,// invsqrt(0.7368) = 1.1650 +32'h4006f6bd,32'h3f2cc2fc,32'h3f33d02a, 32'h3f277918,32'h3f391a0e, 32'h3f1ea89e,32'h3f41ea88,// invsqrt(2.1088) = 0.6886 +32'h3ec5d1a8,32'h3fc9ceb1,32'h3fd20b5f, 32'h3fc3a12e,32'h3fd838e2, 32'h3fb95554,32'h3fe284bc,// invsqrt(0.3864) = 1.6088 +32'h3fbbbe32,32'h3f4f26ec,32'h3f579b72, 32'h3f48cf86,32'h3f5df2d8, 32'h3f3e3dde,32'h3f688481,// invsqrt(1.4667) = 0.8257 +32'h3f5d1ac8,32'h3f86f9e7,32'h3f8c7c44, 32'h3f82d821,32'h3f909e09, 32'h3f77ea59,32'h3f9780fe,// invsqrt(0.8637) = 1.0760 +32'h3e6b4f4e,32'h4002d6b1,32'h40082dd3, 32'h3ffdaab1,32'h400c2f2c, 32'h3ff050dd,32'h4012dc15,// invsqrt(0.2298) = 2.0861 +32'h40550ecc,32'h3f098060,32'h3f0f1d20, 32'h3f054ad0,32'h3f1352b0, 32'h3efc8dc0,32'h3f1a56a0,// invsqrt(3.3290) = 0.5481 +32'h3dea8ca6,32'h4039555e,32'h4040e5ea, 32'h4033a8f5,32'h40469253, 32'h402a3447,32'h40500701,// invsqrt(0.1145) = 2.9549 +32'h3f70397f,32'h3f817e49,32'h3f86c75b, 32'h3f7b0ef5,32'h3f8abe29, 32'h3f6dd847,32'h3f915981,// invsqrt(0.9384) = 1.0323 +32'h3f38c6e3,32'h3f93a64a,32'h3f99ad14, 32'h3f8f2133,32'h3f9e322b, 32'h3f8798b7,32'h3fa5baa7,// invsqrt(0.7218) = 1.1771 +32'h3f972833,32'h3f66dd45,32'h3f704991, 32'h3f5fcc0c,32'h3f775aca, 32'h3f5404ad,32'h3f819114,// invsqrt(1.1809) = 0.9202 +32'h3f183436,32'h3fa2aeef,32'h3fa952cf, 32'h3f9db407,32'h3fae4db7, 32'h3f95672f,32'h3fb69a8f,// invsqrt(0.5945) = 1.2969 +32'h3f4ef805,32'h3f8b826a,32'h3f913425, 32'h3f873d1d,32'h3f957971, 32'h3f801ef3,32'h3f9c979b,// invsqrt(0.8085) = 1.1122 +32'h3f1da1ac,32'h3f9fdba8,32'h3fa66203, 32'h3f9af6e5,32'h3fab46c7, 32'h3f92cef4,32'h3fb36eb8,// invsqrt(0.6157) = 1.2744 +32'h404e985a,32'h3f0ba2b3,32'h3f1155c0, 32'h3f075c6a,32'h3f159c0a, 32'h3f003c9b,32'h3f1cbbd9,// invsqrt(3.2280) = 0.5566 +32'h3f93a4e0,32'h3f699841,32'h3f732115, 32'h3f6271a2,32'h3f7a47b4, 32'h3f56869a,32'h3f83195e,// invsqrt(1.1535) = 0.9311 +32'h3e940c56,32'h3fe94693,32'h3ff2cc12, 32'h3fe22275,32'h3ff9f031, 32'h3fd63b98,32'h4002eb87,// invsqrt(0.2892) = 1.8597 +32'h3fdd51f8,32'h3f3ecacb,32'h3f469460, 32'h3f38f39a,32'h3f4c6b90, 32'h3f2f37a0,32'h3f56278a,// invsqrt(1.7291) = 0.7605 +32'h3ea2cc69,32'h3fde74f7,32'h3fe78969, 32'h3fd7a5a1,32'h3fee58bf, 32'h3fcc4c12,32'h3ff9b24e,// invsqrt(0.3180) = 1.7734 +32'h3ec64a74,32'h3fc9912f,32'h3fd1cb5b, 32'h3fc3658e,32'h3fd7f6fc, 32'h3fb91cd8,32'h3fe23fb2,// invsqrt(0.3873) = 1.6069 +32'h4090bb4b,32'h3eebeef0,32'h3ef59033, 32'h3ee4b5fd,32'h3efcc925, 32'h3ed8ac69,32'h3f04695d,// invsqrt(4.5229) = 0.4702 +32'h42bb6250,32'h3dcf59af,32'h3dd7d049, 32'h3dc900bc,32'h3dde293c, 32'h3dbe6c7c,32'h3de8bd7c,// invsqrt(93.6920) = 0.1033 +32'h3e8be21f,32'h3feffcba,32'h3ff9c85a, 32'h3fe8a403,32'h40009088, 32'h3fdc657c,32'h4006afcc,// invsqrt(0.2732) = 1.9132 +32'h40980e02,32'h3ee62e8e,32'h3eef93b8, 32'h3edf22ae,32'h3ef69f98, 32'h3ed36439,32'h3f012f06,// invsqrt(4.7517) = 0.4587 +32'h3e95efc9,32'h3fe7cd4d,32'h3ff14365, 32'h3fe0b4bb,32'h3ff85bf7, 32'h3fd4e11d,32'h400217cb,// invsqrt(0.2928) = 1.8479 +32'h3b7f254e,32'h417b4cb6,32'h4182c745, 32'h41739b58,32'h41869ff4, 32'h4166c910,32'h418d0918,// invsqrt(0.0039) = 16.0268 +32'h40875db9,32'h3ef3f564,32'h3efdea82, 32'h3eec7d8d,32'h3f02b12c, 32'h3ee00b28,32'h3f08ea5f,// invsqrt(4.2302) = 0.4862 +32'h3ba64590,32'h415c1f05,32'h41651b10, 32'h415561fe,32'h416bd818, 32'h414a26f0,32'h41771326,// invsqrt(0.0051) = 14.0383 +32'h3fa98b0c,32'h3f59fcb6,32'h3f62e274, 32'h3f535067,32'h3f698ec3, 32'h3f483139,32'h3f74adf1,// invsqrt(1.3246) = 0.8689 +32'h40a775ef,32'h3edb569f,32'h3ee44a7b, 32'h3ed49fb9,32'h3eeb0161, 32'h3ec96ee5,32'h3ef63235,// invsqrt(5.2331) = 0.4371 +32'h3ef1cb56,32'h3fb68929,32'h3fbdfc79, 32'h3fb0f2ad,32'h3fc392f5, 32'h3fa7a289,32'h3fcce319,// invsqrt(0.4723) = 1.4552 +32'h3fe0c2cd,32'h3f3d537f,32'h3f450dc3, 32'h3f3787cc,32'h3f4ad976, 32'h3f2ddef7,32'h3f54824b,// invsqrt(1.7559) = 0.7546 +32'h3ffca18a,32'h3f3293f1,32'h3f39dde5, 32'h3f2d1c79,32'h3f3f555d, 32'h3f240007,32'h3f4871cf,// invsqrt(1.9737) = 0.7118 +32'h3f7d2dde,32'h3f7c4614,32'h3f83490a, 32'h3f748d13,32'h3f87258a, 32'h3f67ae12,32'h3f8d950b,// invsqrt(0.9890) = 1.0056 +32'h3f9ea640,32'h3f6158d5,32'h3f6a8b7b, 32'h3f5a72d9,32'h3f717177, 32'h3f4ef38a,32'h3f7cf0c6,// invsqrt(1.2394) = 0.8982 +32'h4072a132,32'h3f00d993,32'h3f061bed, 32'h3ef9cfa0,32'h3f0a0db0, 32'h3eeca9c0,32'h3f10a0a0,// invsqrt(3.7911) = 0.5136 +32'h3e9af3c3,32'h3fe40504,32'h3fed5396, 32'h3fdd0a16,32'h3ff44e84, 32'h3fd167df,32'h3ffff0bb,// invsqrt(0.3026) = 1.8178 +32'h40bb023c,32'h3ecf8eec,32'h3ed807b2, 32'h3ec93458,32'h3ede6246, 32'h3ebe9d61,32'h3ee8f93d,// invsqrt(5.8440) = 0.4137 +32'h3caf6e8c,32'h40d64c20,32'h40df0b50, 32'h40cfbcbc,32'h40e59ab4, 32'h40c4cdbf,32'h40f089b1,// invsqrt(0.0214) = 6.8335 +32'h3f2f6d33,32'h3f97888d,32'h3f9db7eb, 32'h3f92e506,32'h3fa25b72, 32'h3f8b29d0,32'h3faa16a8,// invsqrt(0.6853) = 1.2080 +32'h3fa40b65,32'h3f5d9c44,32'h3f66a7df, 32'h3f56d391,32'h3f6d7093, 32'h3f4b8510,32'h3f78bf14,// invsqrt(1.2816) = 0.8833 +32'h3f45ad63,32'h3f8ec021,32'h3f9493b9, 32'h3f8a616e,32'h3f98f26c, 32'h3f8318ef,32'h3fa03aeb,// invsqrt(0.7722) = 1.1380 +32'h3fb1b1f2,32'h3f54eda2,32'h3f5d9e84, 32'h3f4e68f8,32'h3f64232e, 32'h3f438bde,32'h3f6f0048,// invsqrt(1.3882) = 0.8487 +32'h3fbcfb65,32'h3f4e78c9,32'h3f56e635, 32'h3f4826b9,32'h3f5d3845, 32'h3f3d9df2,32'h3f67c10c,// invsqrt(1.4764) = 0.8230 +32'h3e45892f,32'h400ecd35,32'h4014a157, 32'h400a6e1c,32'h40190070, 32'h400324f2,32'h4020499a,// invsqrt(0.1929) = 2.2768 +32'h3eb67ce0,32'h3fd21d13,32'h3fdab08c, 32'h3fcbae79,32'h3fe11f27, 32'h3fc0f622,32'h3febd77e,// invsqrt(0.3564) = 1.6750 +32'h4286f5c3,32'h3df45348,32'h3dfe4c3c, 32'h3decd892,32'h3e02e379, 32'h3de06162,32'h3e091f11,// invsqrt(67.4800) = 0.1217 +32'h3f9667c5,32'h3f6770c5,32'h3f70e317, 32'h3f605b08,32'h3f77f8d4, 32'h3f548c23,32'h3f81e3dc,// invsqrt(1.1750) = 0.9225 +32'h3ebf0453,32'h3fcd5e7f,32'h3fd5c064, 32'h3fc71512,32'h3fdc09d0, 32'h3fbc9ab2,32'h3fe68430,// invsqrt(0.3731) = 1.6372 +32'h3f65f932,32'h3f845913,32'h3f89bff9, 32'h3f804be6,32'h3f8dcd26, 32'h3f73168b,32'h3f948dc7,// invsqrt(0.8983) = 1.0551 +32'h3eececba,32'h3fb866f0,32'h3fbfedc0, 32'h3fb2c1d4,32'h3fc592dc, 32'h3fa9594f,32'h3fcefb61,// invsqrt(0.4627) = 1.4700 +32'h3e1853f8,32'h40229df9,32'h40294127, 32'h401da396,32'h402e3b8a, 32'h4015579b,32'h40368785,// invsqrt(0.1488) = 2.5927 +32'h3fe9b9b1,32'h3f39a8ef,32'h3f413ce4, 32'h3f33f9f8,32'h3f46ebdc, 32'h3f2a8106,32'h3f5064ce,// invsqrt(1.8260) = 0.7400 +32'h3e1659b3,32'h4023aedd,32'h402a5d30, 32'h401eac20,32'h402f5fee, 32'h4016523a,32'h4037b9d5,// invsqrt(0.1468) = 2.6097 +32'h3f33c974,32'h3f95af3f,32'h3f9bcb4c, 32'h3f911a35,32'h3fa06055, 32'h3f897724,32'h3fa80366,// invsqrt(0.7023) = 1.1933 +32'h3f6f36ec,32'h3f81c433,32'h3f871020, 32'h3f7b9681,32'h3f8b0911, 32'h3f6e58b0,32'h3f91a7fa,// invsqrt(0.9344) = 1.0345 +32'h3e33213b,32'h4015f577,32'h401c1462, 32'h40115e48,32'h4020ab92, 32'h4009b7a2,32'h40285238,// invsqrt(0.1749) = 2.3909 +32'h405a2e8c,32'h3f07e093,32'h3f0d6c5b, 32'h3f03b7be,32'h3f119530, 32'h3ef99209,32'h3f1883e9,// invsqrt(3.4091) = 0.5416 +32'h408f7fbc,32'h3eecf1cb,32'h3ef69d9f, 32'h3ee5b0ec,32'h3efdde7e, 32'h3ed99a23,32'h3f04faa4,// invsqrt(4.4843) = 0.4722 +32'h3fc48a2d,32'h3f4a768c,32'h3f52ba14, 32'h3f4443e6,32'h3f58ecba, 32'h3f39ef7b,32'h3f634125,// invsqrt(1.5355) = 0.8070 +32'h3f84617f,32'h3f76b1a5,32'h3f8061ac, 32'h3f6f245f,32'h3f84284f, 32'h3f628e3f,32'h3f8a735f,// invsqrt(1.0342) = 0.9833 +32'h3f8202d5,32'h3f78ee9d,32'h3f818bda, 32'h3f714fcc,32'h3f855b42, 32'h3f649c71,32'h3f8bb4f0,// invsqrt(1.0157) = 0.9922 +32'h42853927,32'h3df5e9a9,32'h3dfff332, 32'h3dee6281,32'h3e03bd2c, 32'h3de1d695,32'h3e0a0322,// invsqrt(66.6116) = 0.1225 +32'h3f919cf6,32'h3f6b37d6,32'h3f74d1a0, 32'h3f64047e,32'h3f7c04f8, 32'h3f580442,32'h3f84029a,// invsqrt(1.1376) = 0.9376 +32'h40cd1f2b,32'h3ec62eb4,32'h3ece4582, 32'h3ec01d9a,32'h3ed4569c, 32'h3eb60118,32'h3ede731e,// invsqrt(6.4101) = 0.3950 +32'h3dd3ff2a,32'h4042f145,32'h404ae639, 32'h403cf98f,32'h4050ddef, 32'h4033075f,32'h405ad01f,// invsqrt(0.1035) = 3.1081 +32'h3f73f423,32'h3f807ff1,32'h3f85bea3, 32'h3f7921d9,32'h3f89ada7, 32'h3f6c051f,32'h3f903c05,// invsqrt(0.9529) = 1.0244 +32'h3f517c23,32'h3f8aab49,32'h3f90543d, 32'h3f866c93,32'h3f9492f3, 32'h3f7eb2c5,32'h3f9ba623,// invsqrt(0.8183) = 1.1055 +32'h3d28e3a9,32'h409a7033,32'h40a0bdec, 32'h4095b5e9,32'h40a57837, 32'h408dd4c2,32'h40ad595e,// invsqrt(0.0412) = 4.9247 +32'h3e611444,32'h4005c775,32'h400b3d50, 32'h4001af11,32'h400f55b5, 32'h3ff5b77f,32'h40162906,// invsqrt(0.2198) = 2.1330 +32'h4113cc9a,32'h3ea516f6,32'h3eabd3fa, 32'h3ea00932,32'h3eb0e1be, 32'h3e979cec,32'h3eb94e04,// invsqrt(9.2375) = 0.3290 +32'h3f580b94,32'h3f888c28,32'h3f8e1ef0, 32'h3f845e12,32'h3f924d06, 32'h3f7acd2f,32'h3f994480,// invsqrt(0.8439) = 1.0885 +32'h3dea536e,32'h40396bfe,32'h4040fd76, 32'h4033bee4,32'h4046aa90, 32'h402a490e,32'h40502066,// invsqrt(0.1144) = 2.9563 +32'h4020d06f,32'h3f1e44ad,32'h3f24ba6b, 32'h3f196c5f,32'h3f2992b9, 32'h3f115931,32'h3f31a5e7,// invsqrt(2.5127) = 0.6309 +32'h3fe65724,32'h3f3b04e4,32'h3f42a70c, 32'h3f354b45,32'h3f4860ab, 32'h3f2bc092,32'h3f51eb5e,// invsqrt(1.7995) = 0.7455 +32'h3f06b64a,32'h3facec4a,32'h3fb3fb28, 32'h3fa7a123,32'h3fb9464f, 32'h3f9ece8d,32'h3fc218e5,// invsqrt(0.5262) = 1.3785 +32'h40443e7b,32'h3f0f4555,32'h3f151e5d, 32'h3f0ae28e,32'h3f198124, 32'h3f039343,32'h3f20d06f,// invsqrt(3.0663) = 0.5711 +32'h3f06e8a7,32'h3faccc00,32'h3fb3d98e, 32'h3fa781d7,32'h3fb923b7, 32'h3f9eb0e6,32'h3fc1f4a8,// invsqrt(0.5270) = 1.3775 +32'h3fe57fe4,32'h3f3b5c84,32'h3f430240, 32'h3f35a037,32'h3f48be8d, 32'h3f2c110b,32'h3f524db9,// invsqrt(1.7930) = 0.7468 +32'h3ee3fb94,32'h3fbbfbd0,32'h3fc3a80c, 32'h3fb63aa2,32'h3fc9693a, 32'h3faca356,32'h3fd30086,// invsqrt(0.4453) = 1.4986 +32'h3e86458a,32'h3ff4f368,32'h3ffef2e5, 32'h3fed73cb,32'h40033941, 32'h3fe0f470,32'h400978ef,// invsqrt(0.2622) = 1.9527 +32'h3fec390f,32'h3f38ad03,32'h3f4036af, 32'h3f3305c1,32'h3f45ddf1, 32'h3f2999aa,32'h3f4f4a08,// invsqrt(1.8455) = 0.7361 +32'h3e727fd6,32'h4000e26f,32'h40062525, 32'h3ff9e0cd,32'h400a172e, 32'h3fecba05,32'h4010aa91,// invsqrt(0.2368) = 2.0549 +32'h408223ee,32'h3ef8cef3,32'h3f017b5f, 32'h3ef1311b,32'h3f054a4c, 32'h3ee47f5d,32'h3f0ba32b,// invsqrt(4.0669) = 0.4959 +32'h3fecee9f,32'h3f386633,32'h3f3fecfb, 32'h3f32c11c,32'h3f459212, 32'h3f2958a2,32'h3f4efa8d,// invsqrt(1.8510) = 0.7350 +32'h3f5f0fac,32'h3f866205,32'h3f8bde2f, 32'h3f8244e6,32'h3f8ffb4e, 32'h3f76d362,32'h3f96d683,// invsqrt(0.8713) = 1.0713 +32'h3f15e792,32'h3fa3ed21,32'h3faa9dfd, 32'h3f9ee87b,32'h3fafa2a3, 32'h3f968b67,32'h3fb7ffb7,// invsqrt(0.5856) = 1.3068 +32'h3f823428,32'h3f78bf72,32'h3f81734e, 32'h3f712213,32'h3f8541fd, 32'h3f647120,32'h3f8b9a77,// invsqrt(1.0172) = 0.9915 +32'h3cebb08b,32'h40b8e277,32'h40c06e52, 32'h40b33992,32'h40c61736, 32'h40a9cac0,32'h40cf8608,// invsqrt(0.0288) = 5.8956 +32'h4383dd34,32'h3d772d46,32'h3d80a202, 32'h3d6f9c36,32'h3d846a8a, 32'h3d62ffc8,32'h3d8ab8c1,// invsqrt(263.7281) = 0.0616 +32'h3edcf77a,32'h3fbef1d8,32'h3fc6bd05, 32'h3fb91975,32'h3fcc9567, 32'h3faf5b7d,32'h3fd6535f,// invsqrt(0.4316) = 1.5222 +32'h40120f31,32'h3f2611f0,32'h3f2cd933, 32'h3f20fc7d,32'h3f31eea5, 32'h3f188369,32'h3f3a67b9,// invsqrt(2.2822) = 0.6620 +32'h40d24e77,32'h3ec3b96a,32'h3ecbb688, 32'h3ebdbb93,32'h3ed1b45f, 32'h3eb3bf2d,32'h3edbb0c5,// invsqrt(6.5721) = 0.3901 +32'h3f4f6d05,32'h3f8b5b0c,32'h3f910b2c, 32'h3f8716f4,32'h3f954f44, 32'h3f7ff599,32'h3f9c6b6c,// invsqrt(0.8103) = 1.1109 +32'h4032445a,32'h3f165241,32'h3f1c74f6, 32'h3f11b83b,32'h3f210efd, 32'h3f0a0cd9,32'h3f28ba5f,// invsqrt(2.7854) = 0.5992 +32'h3f9a1087,32'h3f64acee,32'h3f6e025c, 32'h3f5dacdd,32'h3f75026d, 32'h3f520214,32'h3f80569b,// invsqrt(1.2036) = 0.9115 +32'h41d78d56,32'h3e4153fc,32'h3e493810, 32'h3e3b68ec,32'h3e4f2320, 32'h3e318bd2,32'h3e59003a,// invsqrt(26.9440) = 0.1926 +32'h3f69e4f4,32'h3f833be3,32'h3f889726, 32'h3f7e6ee3,32'h3f8c9b98, 32'h3f710abc,32'h3f934dac,// invsqrt(0.9136) = 1.0462 +32'h4073c673,32'h3f008bfb,32'h3f05cb2a, 32'h3ef93931,32'h3f09ba8e, 32'h3eec1b3c,32'h3f104988,// invsqrt(3.8090) = 0.5124 +32'h402564c2,32'h3f1c0fd4,32'h3f226e84, 32'h3f1748d0,32'h3f273588, 32'h3f0f5274,32'h3f2f2be4,// invsqrt(2.5843) = 0.6221 +32'h40f5df3a,32'h3eb5040c,32'h3ebc677a, 32'h3eaf7979,32'h3ec1f20d, 32'h3ea63d30,32'h3ecb2e57,// invsqrt(7.6835) = 0.3608 +32'h3fb240c3,32'h3f549845,32'h3f5d45ab, 32'h3f4e1638,32'h3f63c7b8, 32'h3f433d79,32'h3f6ea077,// invsqrt(1.3926) = 0.8474 +32'h3dbd9fa4,32'h404e1f4b,32'h4056890f, 32'h4047cff8,32'h405cd862, 32'h403d4bc2,32'h40675c98,// invsqrt(0.0926) = 3.2864 +32'h3f8ce65d,32'h3f6f1eb3,32'h3f78e142, 32'h3f67ccc7,32'h3f801996, 32'h3f5b9994,32'h3f863330,// invsqrt(1.1008) = 0.9531 +32'h3ed77c96,32'h3fc15b7f,32'h3fc93fe3, 32'h3fbb7035,32'h3fcf2b2d, 32'h3fb192b9,32'h3fd908a9,// invsqrt(0.4209) = 1.5414 +32'h3da9ad41,32'h4059e6bc,32'h4062cb94, 32'h40533b19,32'h40697737, 32'h40481d0a,32'h40749546,// invsqrt(0.0828) = 3.4742 +32'h3fb20153,32'h3f54be23,32'h3f5d6d15, 32'h3f4e3aee,32'h3f63f04a, 32'h3f436040,32'h3f6ecaf8,// invsqrt(1.3907) = 0.8480 +32'h3ffbcbbe,32'h3f32dfb1,32'h3f3a2cbd, 32'h3f2d65e7,32'h3f3fa687, 32'h3f244598,32'h3f48c6d6,// invsqrt(1.9672) = 0.7130 +32'h3eb162c6,32'h3fd51d22,32'h3fddcff4, 32'h3fce9704,32'h3fe45612, 32'h3fc3b77d,32'h3fef3599,// invsqrt(0.3465) = 1.6989 +32'h3f5fab3e,32'h3f863341,32'h3f8bad83, 32'h3f821790,32'h3f8fc934, 32'h3f767d7d,32'h3f96a205,// invsqrt(0.8737) = 1.0698 +32'h3ea77a5b,32'h3fdb53ba,32'h3fe44778, 32'h3fd49ceb,32'h3feafe47, 32'h3fc96c3d,32'h3ff62ef5,// invsqrt(0.3271) = 1.7485 +32'h3ffd913c,32'h3f323f75,32'h3f3985f7, 32'h3f2cca93,32'h3f3efad9, 32'h3f23b271,32'h3f4812fb,// invsqrt(1.9810) = 0.7105 +32'h408d9e45,32'h3eee833d,32'h3ef83f74, 32'h3ee73615,32'h3eff8c9d, 32'h3edb0ad0,32'h3f05dbf1,// invsqrt(4.4256) = 0.4754 +32'h41077bc1,32'h3eac6e18,32'h3eb377d0, 32'h3ea726ce,32'h3eb8bf1a, 32'h3e9e5aa8,32'h3ec18b40,// invsqrt(8.4677) = 0.3437 +32'h3e1614c9,32'h4023d46e,32'h402a8448, 32'h401ed08a,32'h402f882c, 32'h401674b8,32'h4037e3fe,// invsqrt(0.1466) = 2.6121 +32'h3f722537,32'h3f80fa8b,32'h3f863e3d, 32'h3f7a0f8b,32'h3f8a3103, 32'h3f6ce64e,32'h3f90c5a1,// invsqrt(0.9459) = 1.0282 +32'h3dcb6ca8,32'h404701ec,32'h404f2159, 32'h4040ea5b,32'h405538eb, 32'h4036c313,32'h405f6033,// invsqrt(0.0993) = 3.1730 +32'h3f854b42,32'h3f75d8f5,32'h3f7fe1cf, 32'h3f6e5250,32'h3f83b43a, 32'h3f61c73f,32'h3f89f9c3,// invsqrt(1.0414) = 0.9799 +32'h3eb30aed,32'h3fd4201c,32'h3fdcc89b, 32'h3fcda1be,32'h3fe346fa, 32'h3fc2cf20,32'h3fee1998,// invsqrt(0.3497) = 1.6911 +32'h3ec19ad0,32'h3fcbfdf1,32'h3fd45173, 32'h3fc5bf50,32'h3fda9014, 32'h3fbb56ed,32'h3fe4f877,// invsqrt(0.3781) = 1.6262 +32'h3e6997d3,32'h4003518c,32'h4008adb2, 32'h3ffe98e1,32'h400cb2cd, 32'h3ff13285,32'h401365fc,// invsqrt(0.2281) = 2.0937 +32'h3f7efb89,32'h3f7b614a,32'h3f82d1fb, 32'h3f73af4b,32'h3f86aafa, 32'h3f66dbf6,32'h3f8d14a5,// invsqrt(0.9960) = 1.0020 +32'h3f92ca3f,32'h3f6a45f6,32'h3f73d5e0, 32'h3f631a06,32'h3f7b01d0, 32'h3f572620,32'h3f837adb,// invsqrt(1.1468) = 0.9338 +32'h3f0f7c5d,32'h3fa78d81,32'h3fae6443, 32'h3fa26c70,32'h3fb38554, 32'h3f99dfff,32'h3fbc11c5,// invsqrt(0.5605) = 1.3357 +32'h3ed7471c,32'h3fc17382,32'h3fc958e0, 32'h3fbb877b,32'h3fcf44e7, 32'h3fb1a8c6,32'h3fd9239c,// invsqrt(0.4205) = 1.5422 +32'h402adb31,32'h3f198bf8,32'h3f1fd060, 32'h3f14d8aa,32'h3f2483ae, 32'h3f0d0328,32'h3f2c5930,// invsqrt(2.6696) = 0.6120 +32'h41215f5c,32'h3e9dfe87,32'h3ea47167, 32'h3e99285e,32'h3ea94790, 32'h3e9118c5,32'h3eb15729,// invsqrt(10.0858) = 0.3149 +32'h3f9035cf,32'h3f6c5c08,32'h3f7601bf, 32'h3f651fbe,32'h3f7d3e08, 32'h3f591099,32'h3f84a696,// invsqrt(1.1266) = 0.9421 +32'h3f8babba,32'h3f702b71,32'h3f79f8f9, 32'h3f68d14c,32'h3f80a98f, 32'h3f5c9063,32'h3f86ca04,// invsqrt(1.0912) = 0.9573 +32'h3f8cbaaa,32'h3f6f43d0,32'h3f7907e3, 32'h3f67f0c2,32'h3f802d79, 32'h3f5bbbab,32'h3f864805,// invsqrt(1.0994) = 0.9537 +32'h40adaaab,32'h3ed76239,32'h3ee02cc3, 32'h3ed0ca51,32'h3ee6c4ab, 32'h3ec5cd25,32'h3ef1c1d7,// invsqrt(5.4271) = 0.4293 +32'h40378a8a,32'h3f142552,32'h3f1a314a, 32'h3f0f9c57,32'h3f1eba45, 32'h3f080d60,32'h3f26493c,// invsqrt(2.8678) = 0.5905 +32'h421d46f3,32'h3e2009bd,32'h3e2691f9, 32'h3e1b2390,32'h3e2b7826, 32'h3e12f945,32'h3e33a271,// invsqrt(39.3193) = 0.1595 +32'h4043d0bb,32'h3f0f6d76,32'h3f154822, 32'h3f0b0975,32'h3f19ac23, 32'h3f03b81e,32'h3f20fd7a,// invsqrt(3.0596) = 0.5717 +32'h3f00876d,32'h3fb10893,32'h3fb84265, 32'h3fab9d36,32'h3fbdadc2, 32'h3fa294f0,32'h3fc6b608,// invsqrt(0.5021) = 1.4113 +32'h3f5efe82,32'h3f866731,32'h3f8be391, 32'h3f8249e9,32'h3f9000d9, 32'h3f76dce2,32'h3f96dc51,// invsqrt(0.8711) = 1.0715 +32'h3f978fb2,32'h3f668e65,32'h3f6ff779, 32'h3f5f7f96,32'h3f770648, 32'h3f53bc3e,32'h3f8164d0,// invsqrt(1.1841) = 0.9190 +32'h3f8ce17e,32'h3f6f22d5,32'h3f78e58f, 32'h3f67d0c9,32'h3f801bcd, 32'h3f5b9d60,32'h3f863582,// invsqrt(1.1006) = 0.9532 +32'h3fe650f4,32'h3f3b0767,32'h3f42a9aa, 32'h3f354db5,32'h3f48635d, 32'h3f2bc2e2,32'h3f51ee30,// invsqrt(1.7993) = 0.7455 +32'h405ffb5e,32'h3f061b3e,32'h3f0b9484, 32'h3f020049,32'h3f0faf79, 32'h3ef65162,32'h3f168711,// invsqrt(3.4997) = 0.5345 +32'h40c0cce6,32'h3ecc6ac3,32'h3ed4c2b6, 32'h3ec628cc,32'h3edb04ac, 32'h3ebbbadc,32'h3ee5729c,// invsqrt(6.0250) = 0.4074 +32'h3fd79bac,32'h3f414d8f,32'h3f493160, 32'h3f3b62b1,32'h3f4f1c3d, 32'h3f3185eb,32'h3f58f903,// invsqrt(1.6844) = 0.7705 +32'h40611bff,32'h3f05c529,32'h3f0b3aec, 32'h3f01acd7,32'h3f0f533f, 32'h3ef5b347,32'h3f162672,// invsqrt(3.5173) = 0.5332 +32'h3f5bf6a2,32'h3f87536c,32'h3f8cd970, 32'h3f832ee8,32'h3f90fdf4, 32'h3f788ec6,32'h3f97e579,// invsqrt(0.8592) = 1.0788 +32'h3f15b179,32'h3fa40abd,32'h3faabccf, 32'h3f9f052f,32'h3fafc25d, 32'h3f96a699,32'h3fb820f3,// invsqrt(0.5847) = 1.3077 +32'h3ed21b29,32'h3fc3d14e,32'h3fcbcf66, 32'h3fbdd2bc,32'h3fd1cdf8, 32'h3fb3d51e,32'h3fdbcb96,// invsqrt(0.4104) = 1.5610 +32'h3f3a6019,32'h3f9303d9,32'h3f990402, 32'h3f8e83bc,32'h3f9d8420, 32'h3f870389,32'h3fa50453,// invsqrt(0.7280) = 1.1720 +32'h3f82a8cf,32'h3f78504f,32'h3f813977, 32'h3f70b657,32'h3f850673, 32'h3f640b0f,32'h3f8b5c17,// invsqrt(1.0208) = 0.9898 +32'h413acf6c,32'h3e92d805,32'h3e98d663, 32'h3e8e593e,32'h3e9d552a, 32'h3e86db48,32'h3ea4d320,// invsqrt(11.6756) = 0.2927 +32'h3f861826,32'h3f751cda,32'h3f7f1e08, 32'h3f6d9bf8,32'h3f834f75, 32'h3f611a7f,32'h3f899031,// invsqrt(1.0476) = 0.9770 +32'h406b4ce6,32'h3f02d75d,32'h3f082e85, 32'h3efdabfd,32'h3f0c2fe3, 32'h3ef05218,32'h3f12dcd6,// invsqrt(3.6766) = 0.5215 +32'h4073976e,32'h3f009863,32'h3f05d813, 32'h3ef9513d,32'h3f09c7d8, 32'h3eec3204,32'h3f105774,// invsqrt(3.8061) = 0.5126 +32'h408195f1,32'h3ef9571e,32'h3f01c23c, 32'h3ef1b51a,32'h3f05933e, 32'h3ee4fc6a,32'h3f0bef96,// invsqrt(4.0496) = 0.4969 +32'h403249ce,32'h3f164ff5,32'h3f1c7291, 32'h3f11b600,32'h3f210c86, 32'h3f0a0abc,32'h3f28b7ca,// invsqrt(2.7858) = 0.5991 +32'h3ff047ab,32'h3f371c2e,32'h3f3e957e, 32'h3f318132,32'h3f44307a, 32'h3f28298d,32'h3f4d881f,// invsqrt(1.8772) = 0.7299 +32'h3fb0fd83,32'h3f555a10,32'h3f5e0f5e, 32'h3f4ed214,32'h3f64975a, 32'h3f43ef72,32'h3f6f79fd,// invsqrt(1.3827) = 0.8504 +32'h4011e8fe,32'h3f2627ac,32'h3f2cefd2, 32'h3f21118f,32'h3f3205ef, 32'h3f18975f,32'h3f3a801f,// invsqrt(2.2798) = 0.6623 +32'h3f0e734f,32'h3fa8291b,32'h3faf0636, 32'h3fa30346,32'h3fb42c0a, 32'h3f9a6ee4,32'h3fbcc06c,// invsqrt(0.5564) = 1.3406 +32'h3f9e0d3f,32'h3f61c5ce,32'h3f6afce6, 32'h3f5adc7c,32'h3f71e638, 32'h3f4f579e,32'h3f7d6b16,// invsqrt(1.2348) = 0.8999 +32'h3defb60b,32'h403753c5,32'h403ecf5a, 32'h4031b715,32'h40446c09, 32'h40285c9a,32'h404dc684,// invsqrt(0.1170) = 2.9229 +32'h3ee2745c,32'h3fbc9deb,32'h3fc450c5, 32'h3fb6d7c7,32'h3fca16e9, 32'h3fad3836,32'h3fd3b67a,// invsqrt(0.4423) = 1.5036 +32'h4137918f,32'h3e94227d,32'h3e9a2e58, 32'h3e8f9998,32'h3e9eb73c, 32'h3e880ac6,32'h3ea6460e,// invsqrt(11.4730) = 0.2952 +32'h40cf41c3,32'h3ec528b2,32'h3ecd34cf, 32'h3ebf1f9e,32'h3ed33de4, 32'h3eb5107a,32'h3edd4d08,// invsqrt(6.4768) = 0.3929 +32'h3f467e44,32'h3f8e74f1,32'h3f944578, 32'h3f8a188b,32'h3f98a1dd, 32'h3f82d3e2,32'h3f9fe686,// invsqrt(0.7754) = 1.1357 +32'h3f88621c,32'h3f730c12,32'h3f7cf7aa, 32'h3f6b9b60,32'h3f82342e, 32'h3f5f34e1,32'h3f88676d,// invsqrt(1.0655) = 0.9688 +32'h3dba9bec,32'h404fc7cb,32'h405842e3, 32'h40496b79,32'h405e9f35, 32'h403ed19b,32'h40693913,// invsqrt(0.0911) = 3.3128 +32'h3f332eb7,32'h3f95efd3,32'h3f9c0e82, 32'h3f9158ce,32'h3fa0a586, 32'h3f89b273,32'h3fa84be1,// invsqrt(0.6999) = 1.1953 +32'h40d4ee82,32'h3ec28396,32'h3eca7410, 32'h3ebc8f3c,32'h3ed0686a, 32'h3eb2a2a4,32'h3eda5502,// invsqrt(6.6541) = 0.3877 +32'h3fc0d24e,32'h3f4c67e5,32'h3f54bfbb, 32'h3f462606,32'h3f5b019a, 32'h3f3bb83b,32'h3f656f65,// invsqrt(1.5064) = 0.8148 +32'h406b91a6,32'h3f02c444,32'h3f081aa4, 32'h3efd86f6,32'h3f0c1b6d, 32'h3ef02f04,32'h3f12c766,// invsqrt(3.6808) = 0.5212 +32'h3f215441,32'h3f9e03f7,32'h3fa47711, 32'h3f992da4,32'h3fa94d64, 32'h3f911dc4,32'h3fb15d44,// invsqrt(0.6302) = 1.2597 +32'h3ffb1704,32'h3f332005,32'h3f3a6fb2, 32'h3f2da444,32'h3f3feb74, 32'h3f2480ac,32'h3f490f0c,// invsqrt(1.9616) = 0.7140 +32'h3eca301d,32'h3fc79d77,32'h3fcfc33e, 32'h3fc18123,32'h3fd5df93, 32'h3fb751ec,32'h3fe00ecb,// invsqrt(0.3949) = 1.5913 +32'h3f9f8e8b,32'h3f60b490,32'h3f69e080, 32'h3f59d39b,32'h3f70c175, 32'h3f4e5cae,32'h3f7c3862,// invsqrt(1.2465) = 0.8957 +32'h417736ef,32'h3e7f4c86,32'h3e84dc11, 32'h3e777bd0,32'h3e88c46c, 32'h3e6a754c,32'h3e8f47ae,// invsqrt(15.4509) = 0.2544 +32'h3ff4f760,32'h3f3559a1,32'h3f3cc08e, 32'h3f2fcc70,32'h3f424dc0, 32'h3f268bc9,32'h3f4b8e67,// invsqrt(1.9138) = 0.7229 +32'h3ee107bc,32'h3fbd367d,32'h3fc4ef91, 32'h3fb76bad,32'h3fcaba61, 32'h3fadc453,32'h3fd461bb,// invsqrt(0.4395) = 1.5084 +32'h3d3fb66d,32'h4090f444,32'h4096dee4, 32'h408c844d,32'h409b4edb, 32'h40851f05,32'h40a2b423,// invsqrt(0.0468) = 4.6223 +32'h3f194efa,32'h3fa218a2,32'h3fa8b660, 32'h3f9d2254,32'h3fadacae, 32'h3f94dd27,32'h3fb5f1db,// invsqrt(0.5989) = 1.2922 +32'h3e992e0f,32'h3fe555bb,32'h3feeb20b, 32'h3fde507e,32'h3ff5b748, 32'h3fd29d19,32'h4000b556,// invsqrt(0.2992) = 1.8282 +32'h3f739839,32'h3f80982d,32'h3f85d7db, 32'h3f7950d4,32'h3f89c79e, 32'h3f6c31a1,32'h3f905738,// invsqrt(0.9515) = 1.0251 +32'h3fa860cd,32'h3f5abd70,32'h3f63ab0c, 32'h3f540b3b,32'h3f6a5d41, 32'h3f48e238,32'h3f758644,// invsqrt(1.3155) = 0.8719 +32'h40108893,32'h3f26f1c2,32'h3f2dc228, 32'h3f21d576,32'h3f32de74, 32'h3f1950f6,32'h3f3b62f4,// invsqrt(2.2583) = 0.6654 +32'h3fb8169c,32'h3f5132bc,32'h3f59bca4, 32'h3f4acb4e,32'h3f602412, 32'h3f401eeb,32'h3f6ad075,// invsqrt(1.4382) = 0.8339 +32'h4203a1da,32'h3e2eef2d,32'h3e36130f, 32'h3e299443,32'h3e3b6df9, 32'h3e20a768,32'h3e445ad4,// invsqrt(32.9081) = 0.1743 +32'h3f486186,32'h3f8dc8c1,32'h3f939241, 32'h3f8971a1,32'h3f97e961, 32'h3f8235c1,32'h3f9f2541,// invsqrt(0.7827) = 1.1303 +32'h3fc3368b,32'h3f4b265f,32'h3f537115, 32'h3f44ee57,32'h3f59a91d, 32'h3f3a90f4,32'h3f640680,// invsqrt(1.5251) = 0.8097 +32'h3e89177f,32'h3ff26b13,32'h3ffc5019, 32'h3feaff4e,32'h4001ddef, 32'h3fdea107,32'h40080d12,// invsqrt(0.2678) = 1.9325 +32'h3fd805eb,32'h3f411e00,32'h3f48ffe0, 32'h3f3b3497,32'h3f4ee949, 32'h3f315a3e,32'h3f58c3a2,// invsqrt(1.6877) = 0.7698 +32'h3d20a679,32'h409e5957,32'h40a4cfed, 32'h40998067,32'h40a9a8dd, 32'h40916c2b,32'h40b1bd19,// invsqrt(0.0392) = 5.0494 +32'h4106211a,32'h3ead4c5b,32'h3eb45f25, 32'h3ea7fe43,32'h3eb9ad3d, 32'h3e9f26c6,32'h3ec284ba,// invsqrt(8.3831) = 0.3454 +32'h409d4b31,32'h3ee250e8,32'h3eeb8dae, 32'h3edb6354,32'h3ef27b42, 32'h3ecfd75d,32'h3efe0739,// invsqrt(4.9154) = 0.4510 +32'h3fe1cd75,32'h3f3ce393,32'h3f449945, 32'h3f371b4d,32'h3f4a618b, 32'h3f2d782e,32'h3f5404aa,// invsqrt(1.7641) = 0.7529 +32'h3ebd32cb,32'h3fce5a8d,32'h3fd6c6bd, 32'h3fc8096a,32'h3fdd17e0, 32'h3fbd822e,32'h3fe79f1c,// invsqrt(0.3695) = 1.6450 +32'h4039d5ba,32'h3f133a8b,32'h3f193cef, 32'h3f0eb8c0,32'h3f1dbeba, 32'h3f0735c4,32'h3f2541b6,// invsqrt(2.9037) = 0.5868 +32'h3fab45b5,32'h3f58e24c,32'h3f61bc84, 32'h3f523ea3,32'h3f68602d, 32'h3f472dde,32'h3f7370f2,// invsqrt(1.3381) = 0.8645 +32'h3fcdf72f,32'h3f45c6ac,32'h3f4dd93a, 32'h3f3fb8c0,32'h3f53e726, 32'h3f35a18e,32'h3f5dfe58,// invsqrt(1.6091) = 0.7883 +32'h3fe76cda,32'h3f3a948c,32'h3f42321e, 32'h3f34de5e,32'h3f47e84c, 32'h3f2b5966,32'h3f516d44,// invsqrt(1.8080) = 0.7437 +32'h40131704,32'h3f257cbe,32'h3f2c3dea, 32'h3f206bdd,32'h3f314ecb, 32'h3f17fa65,32'h3f39c043,// invsqrt(2.2983) = 0.6596 +32'h40e2b7a2,32'h3ebc81ed,32'h3ec433a3, 32'h3eb6bca4,32'h3ec9f8ec, 32'h3ead1e81,32'h3ed3970f,// invsqrt(7.0849) = 0.3757 +32'h4014e182,32'h3f247d27,32'h3f2b33e5, 32'h3f1f7419,32'h3f303cf3, 32'h3f170fac,32'h3f38a160,// invsqrt(2.3263) = 0.6556 +32'h3f9cbce6,32'h3f62b78c,32'h3f6bf882, 32'h3f5bc6d3,32'h3f72e93b, 32'h3f5035a0,32'h3f7e7a6e,// invsqrt(1.2245) = 0.9037 +32'h3d70b867,32'h40815c22,32'h4086a3d0, 32'h407accc0,32'h408a9992, 32'h406d998d,32'h4091332c,// invsqrt(0.0588) = 4.1250 +32'h3d24afd7,32'h409c6575,32'h40a2c7a4, 32'h40979bd3,32'h40a79147, 32'h408fa118,32'h40af8c02,// invsqrt(0.0402) = 4.9871 +32'h3e09fcda,32'h402adbb3,32'h4031d4ff, 32'h4025a0bb,32'h40370ff7, 32'h401ce91d,32'h403fc795,// invsqrt(0.1348) = 2.7241 +32'h418d8f7d,32'h3e6e8fb1,32'h3e784c69, 32'h3e674226,32'h3e7f99f4, 32'h3e5b163f,32'h3e85e2ee,// invsqrt(17.6951) = 0.2377 +32'h40040b88,32'h3f2ea91e,32'h3f35ca24, 32'h3f295059,32'h3f3b22e9, 32'h3f206711,32'h3f440c31,// invsqrt(2.0632) = 0.6962 +32'h416ad94c,32'h3e82f78d,32'h3e885005, 32'h3e7dea64,32'h3e8c5260, 32'h3e708d37,32'h3e9300f7,// invsqrt(14.6781) = 0.2610 +32'h3d478f7c,32'h408e134b,32'h4093dfd5, 32'h4089b9e2,32'h4098393e, 32'h40827a35,32'h409f78eb,// invsqrt(0.0487) = 4.5305 +32'h3f116ee6,32'h3fa66d5c,32'h3fad385a, 32'h3fa1551d,32'h3fb25099, 32'h3f98d75f,32'h3fbace57,// invsqrt(0.5681) = 1.3267 +32'h3c4060d3,32'h4110b404,32'h41169c04, 32'h410c4604,32'h411b0a04, 32'h4104e404,32'h41226c04,// invsqrt(0.0117) = 9.2285 +32'h40516999,32'h3f0ab16d,32'h3f105aa0, 32'h3f067286,32'h3f149986, 32'h3efebe0b,32'h3f1bad07,// invsqrt(3.2721) = 0.5528 +32'h3f188287,32'h3fa28524,32'h3fa92750, 32'h3f9d8b84,32'h3fae20f0, 32'h3f9540ce,32'h3fb66ba6,// invsqrt(0.5957) = 1.2956 +32'h3f553dbe,32'h3f89713c,32'h3f8f0d5e, 32'h3f853c23,32'h3f934277, 32'h3f7c71f1,32'h3f9a45a2,// invsqrt(0.8330) = 1.0957 +32'h3ed64d10,32'h3fc1e43d,32'h3fc9ce35, 32'h3fbbf4c3,32'h3fcfbdaf, 32'h3fb2104d,32'h3fd9a225,// invsqrt(0.4186) = 1.5457 +32'h40bfa565,32'h3ecd081f,32'h3ed5667f, 32'h3ec6c158,32'h3edbad46, 32'h3ebc4b61,32'h3ee6233d,// invsqrt(5.9889) = 0.4086 +32'h3be61ff2,32'h413b1b50,32'h4142be63, 32'h41356102,32'h414878b2, 32'h412bd52b,32'h41520489,// invsqrt(0.0070) = 11.9328 +32'h400d0bd1,32'h3f28fee0,32'h3f2fe4b4, 32'h3f23d280,32'h3f351114, 32'h3f1b3336,32'h3f3db05e,// invsqrt(2.2038) = 0.6736 +32'h3f6c2902,32'h3f829a55,32'h3f87eeff, 32'h3f7d35a9,32'h3f8bee7f, 32'h3f6fe1ff,32'h3f929855,// invsqrt(0.9225) = 1.0412 +32'h3e04bb52,32'h402e354f,32'h4035519b, 32'h4028e016,32'h403aa6d4, 32'h401ffcb6,32'h40438a34,// invsqrt(0.1296) = 2.7776 +32'h3f377301,32'h3f942ed2,32'h3f9a3b2e, 32'h3f8fa58d,32'h3f9ec473, 32'h3f88161a,32'h3fa653e6,// invsqrt(0.7166) = 1.1813 +32'h3f8c5782,32'h3f6f9847,32'h3f795fcd, 32'h3f6842a3,32'h3f805ab8, 32'h3f5c093c,32'h3f86776c,// invsqrt(1.0964) = 0.9550 +32'h3fb604e7,32'h3f526247,32'h3f5af893, 32'h3f4bf18e,32'h3f61694c, 32'h3f4135af,32'h3f6c252b,// invsqrt(1.4220) = 0.8386 +32'h3f6a82e8,32'h3f830faa,32'h3f88691e, 32'h3f7e1924,32'h3f8c6c36, 32'h3f70b981,32'h3f931c08,// invsqrt(0.9161) = 1.0448 +32'h3f667ea3,32'h3f8432be,32'h3f899814, 32'h3f8026bd,32'h3f8da415, 32'h3f72d023,32'h3f9462c0,// invsqrt(0.9004) = 1.0539 +32'h422c87ee,32'h3e18ccb9,32'h3e1f0953, 32'h3e141f46,32'h3e23b6c6, 32'h3e0c5385,32'h3e2b8287,// invsqrt(43.1327) = 0.1523 +32'h3ecfcca7,32'h3fc4e6c4,32'h3fccf02f, 32'h3fbedfb3,32'h3fd2f73f, 32'h3fb4d3ed,32'h3fdd0305,// invsqrt(0.4059) = 1.5697 +32'h3f5b9818,32'h3f87708a,32'h3f8cf7be, 32'h3f834b22,32'h3f911d26, 32'h3f78c441,32'h3f980628,// invsqrt(0.8578) = 1.0797 +32'h4030484d,32'h3f172a44,32'h3f1d55c9, 32'h3f12899f,32'h3f21f66d, 32'h3f0ad339,32'h3f29acd3,// invsqrt(2.7544) = 0.6025 +32'h405f79e1,32'h3f064213,32'h3f0bbcef, 32'h3f0225ee,32'h3f0fd914, 32'h3ef698b5,32'h3f16b2a8,// invsqrt(3.4918) = 0.5351 +32'h40def928,32'h3ebe1566,32'h3ec5d794, 32'h3eb843c4,32'h3ecba936, 32'h3eae910a,32'h3ed55bf0,// invsqrt(6.9679) = 0.3788 +32'h3f55d438,32'h3f8940d7,32'h3f8edaff, 32'h3f850d39,32'h3f930e9d, 32'h3f7c190d,32'h3f9a0f4f,// invsqrt(0.8353) = 1.0942 +32'h3e4e615b,32'h400bb54d,32'h4011691b, 32'h40076e71,32'h4015aff7, 32'h40004daf,32'h401cd0b9,// invsqrt(0.2015) = 2.2275 +32'h40929bfa,32'h3eea6aea,32'h3ef3fc57, 32'h3ee33dd9,32'h3efb2969, 32'h3ed74811,32'h3f038f99,// invsqrt(4.5815) = 0.4672 +32'h3fcd1f1c,32'h3f462ebc,32'h3f4e458a, 32'h3f401da1,32'h3f5456a5, 32'h3f360120,32'h3f5e7327,// invsqrt(1.6025) = 0.7899 +32'h3fb18b18,32'h3f5504ed,32'h3f5db6c3, 32'h3f4e7f8d,32'h3f643c23, 32'h3f43a142,32'h3f6f1a6e,// invsqrt(1.3871) = 0.8491 +32'h3ee0553c,32'h3fbd81b5,32'h3fc53ddb, 32'h3fb7b498,32'h3fcb0af8, 32'h3fae0967,32'h3fd4b629,// invsqrt(0.4382) = 1.5107 +32'h3fe07bc7,32'h3f3d716f,32'h3f452cec, 32'h3f37a4d2,32'h3f4af98a, 32'h3f2dfa76,32'h3f54a3e6,// invsqrt(1.7538) = 0.7551 +32'h3f7a8db1,32'h3f7d9797,32'h3f83f8af, 32'h3f75d441,32'h3f87da5a, 32'h3f68e407,32'h3f8e5276,// invsqrt(0.9787) = 1.0108 +32'h3eb50296,32'h3fd2f82f,32'h3fdb949a, 32'h3fcc82e0,32'h3fe209ea, 32'h3fc1bf5b,32'h3feccd6f,// invsqrt(0.3535) = 1.6818 +32'h3e16a98a,32'h40238379,32'h402a3005, 32'h401e820f,32'h402f316f, 32'h40162a5f,32'h4037891f,// invsqrt(0.1471) = 2.6070 +32'h3eb3c4b9,32'h3fd3b261,32'h3fdc5665, 32'h3fcd375e,32'h3fe2d168, 32'h3fc26a59,32'h3fed9e6d,// invsqrt(0.3511) = 1.6876 +32'h406917b1,32'h3f03759f,32'h3f08d33d, 32'h3efeded1,32'h3f0cd974, 32'h3ef174c6,32'h3f138e79,// invsqrt(3.6421) = 0.5240 +32'h3cc97054,32'h40c7fc67,32'h40d0260d, 32'h40c1dd2a,32'h40d6454a, 32'h40b7a91b,32'h40e07959,// invsqrt(0.0246) = 6.3771 +32'h3e7475f5,32'h40005dce,32'h40059b1a, 32'h3ff8dfa9,32'h40098913, 32'h3febc66a,32'h401015b3,// invsqrt(0.2387) = 2.0467 +32'h3fc70f9e,32'h3f492d44,32'h3f51635c, 32'h3f4304b2,32'h3f578bee, 32'h3f38c115,32'h3f61cf8b,// invsqrt(1.5552) = 0.8019 +32'h3fa2ca5c,32'h3f5e765d,32'h3f678adf, 32'h3f57a6fc,32'h3f6e5a40, 32'h3f4c4d5b,32'h3f79b3e1,// invsqrt(1.2718) = 0.8867 +32'h3e66d24c,32'h40041ac7,32'h40097f23, 32'h40000f82,32'h400d8a68, 32'h3ff2a41f,32'h401447da,// invsqrt(0.2254) = 2.1063 +32'h3f650bd6,32'h3f849d95,32'h3f8a0747, 32'h3f808e4f,32'h3f8e168d, 32'h3f739460,32'h3f94daac,// invsqrt(0.8947) = 1.0572 +32'h3f35cc9b,32'h3f94da94,32'h3f9aedf3, 32'h3f904c0d,32'h3f9f7c7b, 32'h3f88b3d7,32'h3fa714b1,// invsqrt(0.7102) = 1.1867 +32'h400dea7d,32'h3f287a17,32'h3f2f5a81, 32'h3f2351c8,32'h3f3482d0, 32'h3f1ab944,32'h3f3d1b54,// invsqrt(2.2174) = 0.6715 +32'h3ff2930c,32'h3f363df6,32'h3f3dae34, 32'h3f30a9c7,32'h3f434263, 32'h3f275d79,32'h3f4c8eb1,// invsqrt(1.8951) = 0.7264 +32'h40ef0c74,32'h3eb794c1,32'h3ebf12fd, 32'h3eb1f614,32'h3ec4b1aa, 32'h3ea89849,32'h3ece0f75,// invsqrt(7.4703) = 0.3659 +32'h4076f03e,32'h3eff710e,32'h3f04ef15, 32'h3ef79f3b,32'h3f08d7ff, 32'h3eea96d9,32'h3f0f5c2f,// invsqrt(3.8584) = 0.5091 +32'h408b210d,32'h3ef0a305,32'h3efa756d, 32'h3ee94536,32'h3f00e99e, 32'h3edcfe33,32'h3f070d1f,// invsqrt(4.3478) = 0.4796 +32'h41005465,32'h3eb12bc3,32'h3eb86705, 32'h3eabbf52,32'h3ebdd376, 32'h3ea2b540,32'h3ec6dd88,// invsqrt(8.0206) = 0.3531 +32'h3f995c9a,32'h3f6532eb,32'h3f6e8dd1, 32'h3f5e2ec0,32'h3f7591fc, 32'h3f527d21,32'h3f80a1cd,// invsqrt(1.1981) = 0.9136 +32'h3f8526aa,32'h3f75fabb,32'h3f80027b, 32'h3f6e730e,32'h3f83c652, 32'h3f61e643,32'h3f8a0cb7,// invsqrt(1.0402) = 0.9805 +32'h3f975b8d,32'h3f66b618,32'h3f7020cb, 32'h3f5fa613,32'h3f7730d1, 32'h3f53e0b3,32'h3f817b18,// invsqrt(1.1825) = 0.9196 +32'h3f303da1,32'h3f972ed7,32'h3f9d5a8d, 32'h3f928e10,32'h3fa1fb54, 32'h3f8ad76d,32'h3fa9b1f7,// invsqrt(0.6884) = 1.2052 +32'h4012c05b,32'h3f25ad93,32'h3f2c70be, 32'h3f209b34,32'h3f31831e, 32'h3f18273e,32'h3f39f714,// invsqrt(2.2930) = 0.6604 +32'h401ddc17,32'h3f1fbe12,32'h3f264337, 32'h3f1ada35,32'h3f2b2713, 32'h3f12b3c7,32'h3f334d81,// invsqrt(2.4666) = 0.6367 +32'h3f6afc79,32'h3f82edbf,32'h3f8845d1, 32'h3f7dd762,32'h3f8c47df, 32'h3f707b35,32'h3f92f5f6,// invsqrt(0.9179) = 1.0438 +32'h3eed467b,32'h3fb8440c,32'h3fbfc970, 32'h3fb2a001,32'h3fc56d7b, 32'h3fa93945,32'h3fced437,// invsqrt(0.4634) = 1.4690 +32'h3f07e8ac,32'h3fac28f2,32'h3fb32fd8, 32'h3fa6e3c6,32'h3fb87504, 32'h3f9e1b28,32'h3fc13da2,// invsqrt(0.5309) = 1.3724 +32'h3db3cdad,32'h4053ad1c,32'h405c50e9, 32'h404d3243,32'h4062cbc3, 32'h40426583,32'h406d9883,// invsqrt(0.0878) = 3.3749 +32'h401fe98c,32'h3f1eb6c6,32'h3f25312c, 32'h3f19daf9,32'h3f2a0cf9, 32'h3f11c1fa,32'h3f3225f8,// invsqrt(2.4986) = 0.6326 +32'h3f4f564c,32'h3f8b62af,32'h3f91131e, 32'h3f871e5a,32'h3f955772, 32'h3f8001cf,32'h3f9c73fd,// invsqrt(0.8099) = 1.1112 +32'h3eac73b8,32'h3fd8240f,32'h3fe0f683, 32'h3fd18639,32'h3fe79459, 32'h3fc67f28,32'h3ff29b6a,// invsqrt(0.3368) = 1.7231 +32'h3f3a3541,32'h3f9314c2,32'h3f99159b, 32'h3f8e9420,32'h3f9d963e, 32'h3f871311,32'h3fa5174d,// invsqrt(0.7274) = 1.1725 +32'h3f1076cd,32'h3fa6fc07,32'h3fadccd9, 32'h3fa1df6a,32'h3fb2e976, 32'h3f995a65,32'h3fbb6e7b,// invsqrt(0.5643) = 1.3312 +32'h3f2e56bb,32'h3f980162,32'h3f9e35af, 32'h3f935a28,32'h3fa2dce8, 32'h3f8b98c7,32'h3faa9e49,// invsqrt(0.6810) = 1.2118 +32'h3fcbe324,32'h3f46c811,32'h3f4ee521, 32'h3f40b245,32'h3f54faed, 32'h3f368df0,32'h3f5f1f42,// invsqrt(1.5929) = 0.7923 +32'h3f81fa0f,32'h3f78f704,32'h3f819039, 32'h3f7157f2,32'h3f855fc2, 32'h3f64a428,32'h3f8bb9a7,// invsqrt(1.0154) = 0.9924 +32'h3f0f6137,32'h3fa79d5e,32'h3fae74c5, 32'h3fa27bd0,32'h3fb39652, 32'h3f99ee8f,32'h3fbc2393,// invsqrt(0.5601) = 1.3362 +32'h3d8ce2a1,32'h406f21de,32'h4078e48e, 32'h4067cfda,32'h40801b49, 32'h405b9c7d,32'h408634f7,// invsqrt(0.0688) = 3.8127 +32'h3f17214e,32'h3fa342a2,32'h3fa9ec8a, 32'h3f9e4335,32'h3faeebf7, 32'h3f95eed4,32'h3fb74058,// invsqrt(0.5904) = 1.3015 +32'h42d21f40,32'h3dc3cf66,32'h3dcbcd6a, 32'h3dbdd0e3,32'h3dd1cbed, 32'h3db3d35e,32'h3ddbc972,// invsqrt(105.0610) = 0.0976 +32'h4172fb45,32'h3e80c1af,32'h3e86030f, 32'h3e79a14e,32'h3e89f417, 32'h3e6c7dde,32'h3e9085cf,// invsqrt(15.1863) = 0.2566 +32'h3e708125,32'h40016afd,32'h4006b347, 32'h3ffae98d,32'h400aa97d, 32'h3fedb4d7,32'h401143d9,// invsqrt(0.2349) = 2.0634 +32'h40184d5d,32'h3f22a180,32'h3f2944d3, 32'h3f1da701,32'h3f2e3f51, 32'h3f155ad8,32'h3f368b7a,// invsqrt(2.3797) = 0.6482 +32'h408b96e7,32'h3ef03d5b,32'h3efa0b9d, 32'h3ee8e2a9,32'h3f00b328, 32'h3edca0d6,32'h3f06d411,// invsqrt(4.3622) = 0.4788 +32'h3f642825,32'h3f84dfb0,32'h3f8a4c16, 32'h3f80ce64,32'h3f8e5d62, 32'h3f740dcc,32'h3f9524e0,// invsqrt(0.8912) = 1.0593 +32'h3db28b7f,32'h40546bc2,32'h405d1756, 32'h404deb12,32'h40639806, 32'h40431498,32'h406e6e80,// invsqrt(0.0872) = 3.3868 +32'h3f794a7f,32'h3f7e3bc5,32'h3f844e20, 32'h3f767368,32'h3f88324e, 32'h3f697acf,32'h3f8eae9b,// invsqrt(0.9738) = 1.0134 +32'h3f461e52,32'h3f8e976b,32'h3f94695b, 32'h3f8a39f8,32'h3f98c6ce, 32'h3f82f38c,32'h3fa00d3a,// invsqrt(0.7739) = 1.1367 +32'h40993da3,32'h3ee54a12,32'h3eeea5e9, 32'h3ede4531,32'h3ef5aacb, 32'h3ed29265,32'h3f00aecc,// invsqrt(4.7888) = 0.4570 +32'h3fd3ef00,32'h3f42f8b4,32'h3f4aedf4, 32'h3f3d00c3,32'h3f50e5e5, 32'h3f330e32,32'h3f5ad876,// invsqrt(1.6557) = 0.7772 +32'h3e5d1448,32'h4006fbe3,32'h400c7e55, 32'h4002da0e,32'h4010a02a, 32'h3ff7edff,32'h40178338,// invsqrt(0.2159) = 2.1522 +32'h3f6ed89d,32'h3f81ddcf,32'h3f872ac7, 32'h3f7bc828,32'h3f8b2482, 32'h3f6e87ba,32'h3f91c4b9,// invsqrt(0.9330) = 1.0353 +32'h402dada8,32'h3f184b4d,32'h3f1e829e, 32'h3f13a1cf,32'h3f232c1b, 32'h3f0bdca9,32'h3f2af141,// invsqrt(2.7137) = 0.6070 +32'h3ebc9fb2,32'h3fceaaf3,32'h3fd71a6b, 32'h3fc85759,32'h3fdd6e05, 32'h3fbdcc04,32'h3fe7f95a,// invsqrt(0.3684) = 1.6475 +32'h3f3f6cda,32'h3f91101d,32'h3f96fbdf, 32'h3f8c9f4b,32'h3f9b6cb1, 32'h3f853898,32'h3fa2d364,// invsqrt(0.7478) = 1.1564 +32'h3e8d2273,32'h3feeebc7,32'h3ff8ac42, 32'h3fe79b6a,32'h3ffffc9e, 32'h3fdb6ad0,32'h4006169c,// invsqrt(0.2757) = 1.9047 +32'h3ea3f6a5,32'h3fddaa4a,32'h3fe6b676, 32'h3fd6e128,32'h3fed7f98, 32'h3fcb91f0,32'h3ff8ced0,// invsqrt(0.3202) = 1.7671 +32'h3ee729df,32'h3fbaaf92,32'h3fc24e40, 32'h3fb4f891,32'h3fc80541, 32'h3fab7238,32'h3fd18b9a,// invsqrt(0.4515) = 1.4882 +32'h40045758,32'h3f2e7710,32'h3f35960b, 32'h3f291fd3,32'h3f3aed47, 32'h3f203918,32'h3f43d402,// invsqrt(2.0678) = 0.6954 +32'h3f9f4381,32'h3f60e979,32'h3f6a1793, 32'h3f5a06e6,32'h3f70fa26, 32'h3f4e8d45,32'h3f7c73c7,// invsqrt(1.2442) = 0.8965 +32'h4033dc2b,32'h3f15a775,32'h3f1bc331, 32'h3f1112a8,32'h3f2057fe, 32'h3f096ffe,32'h3f27faa8,// invsqrt(2.8103) = 0.5965 +32'h3e6e4fa0,32'h4002031c,32'h4007519b, 32'h3ffc107c,32'h400b4c7a, 32'h3feecc3f,32'h4011ee98,// invsqrt(0.2327) = 2.0729 +32'h3e59c777,32'h400800b8,32'h400d8dcf, 32'h4003d6e6,32'h4011b7a0, 32'h3ff9cd12,32'h4018a7fd,// invsqrt(0.2127) = 2.1684 +32'h3fa50bee,32'h3f5cefc7,32'h3f65f457, 32'h3f562c5b,32'h3f6cb7c3, 32'h3f4ae6a7,32'h3f77fd77,// invsqrt(1.2894) = 0.8806 +32'h3ed797ea,32'h3fc14f3e,32'h3fc93321, 32'h3fbb6453,32'h3fcf1e0b, 32'h3fb18777,32'h3fd8fae7,// invsqrt(0.4211) = 1.5411 +32'h4139429b,32'h3e9374f5,32'h3e9979bb, 32'h3e8ef160,32'h3e9dfd50, 32'h3e876b69,32'h3ea58347,// invsqrt(11.5788) = 0.2939 +32'h3f2c1900,32'h3f98fdf0,32'h3f9f3c8c, 32'h3f944efb,32'h3fa3eb81, 32'h3f8c80b8,32'h3fabb9c4,// invsqrt(0.6723) = 1.2196 +32'h3f77b790,32'h3f7f0a35,32'h3f84b98f, 32'h3f773b88,32'h3f88a0e6, 32'h3f6a3866,32'h3f8f2277,// invsqrt(0.9676) = 1.0166 +32'h3ee84625,32'h3fba3d32,32'h3fc1d734, 32'h3fb489b0,32'h3fc78ab6, 32'h3fab092e,32'h3fd10b38,// invsqrt(0.4537) = 1.4847 +32'h40046af5,32'h3f2e6a23,32'h3f358898, 32'h3f29134c,32'h3f3adf70, 32'h3f202d3b,32'h3f43c581,// invsqrt(2.0690) = 0.6952 +32'h3fe4b6e4,32'h3f3baec6,32'h3f4357de, 32'h3f35eff4,32'h3f4916b0, 32'h3f2c5c97,32'h3f52aa0d,// invsqrt(1.7868) = 0.7481 +32'h3fd89172,32'h3f40dfc0,32'h3f48bf16, 32'h3f3af83f,32'h3f4ea697, 32'h3f312114,32'h3f587dc3,// invsqrt(1.6919) = 0.7688 +32'h3f1fe0b1,32'h3f9ebb2b,32'h3fa535bf, 32'h3f99df3c,32'h3faa11ae, 32'h3f91c603,32'h3fb22ae7,// invsqrt(0.6245) = 1.2654 +32'h45882800,32'h3c733fe9,32'h3c7d2d9f, 32'h3c6bcda1,32'h3c824ff4, 32'h3c5f647d,32'h3c888485,// invsqrt(4357.0000) = 0.0151 +32'h3eafe05b,32'h3fd606bf,32'h3fdec31b, 32'h3fcf797b,32'h3fe5505f, 32'h3fc48e08,32'h3ff03bd2,// invsqrt(0.3435) = 1.7062 +32'h3eb8e485,32'h3fd0be1e,32'h3fd94344, 32'h3fca5a42,32'h3fdfa720, 32'h3fbfb3d2,32'h3fea4d90,// invsqrt(0.3611) = 1.6641 +32'h3fe3c8e2,32'h3f3c10ba,32'h3f43bdd1, 32'h3f364ee8,32'h3f497fa2, 32'h3f2cb68b,32'h3f5317ff,// invsqrt(1.7796) = 0.7496 +32'h3f94c4dc,32'h3f68b5bb,32'h3f72354f, 32'h3f61960b,32'h3f7954ff, 32'h3f55b691,32'h3f829a3c,// invsqrt(1.1623) = 0.9276 +32'h4006b03f,32'h3f2cf02b,32'h3f33ff32, 32'h3f27a4e6,32'h3f394a78, 32'h3f1ed21e,32'h3f421d41,// invsqrt(2.1045) = 0.6893 +32'h3fd06729,32'h3f449db9,32'h3f4ca429, 32'h3f3e98e5,32'h3f52a8fd, 32'h3f3490d9,32'h3f5cb109,// invsqrt(1.6281) = 0.7837 +32'h403b5a49,32'h3f12a18f,32'h3f189db5, 32'h3f0e2474,32'h3f1d1ad0, 32'h3f06a945,32'h3f2495ff,// invsqrt(2.9274) = 0.5845 +32'h3feafcd7,32'h3f39291b,32'h3f40b7d8, 32'h3f337e0d,32'h3f4662e5, 32'h3f2a0ba0,32'h3f4fd552,// invsqrt(1.8358) = 0.7380 +32'h40200514,32'h3f1ea91e,32'h3f2522f5, 32'h3f19cdbc,32'h3f29fe56, 32'h3f11b56e,32'h3f3216a4,// invsqrt(2.5003) = 0.6324 +32'h41246d25,32'h3e9c852a,32'h3ea2e8a4, 32'h3e97ba8f,32'h3ea7b33f, 32'h3e8fbe36,32'h3eafaf98,// invsqrt(10.2766) = 0.3119 +32'h3da32f01,32'h405e31b8,32'h4067436c, 32'h40576471,32'h406e10b3, 32'h404c0e50,32'h407966d4,// invsqrt(0.0797) = 3.5426 +32'h3ec5b6d9,32'h3fc9dc5f,32'h3fd2199d, 32'h3fc3ae71,32'h3fd8478b, 32'h3fb961e5,32'h3fe29417,// invsqrt(0.3862) = 1.6092 +32'h3f340f5e,32'h3f95922c,32'h3f9bad0a, 32'h3f90fe06,32'h3fa04130, 32'h3f895c72,32'h3fa7e2c4,// invsqrt(0.7034) = 1.1924 +32'h3ec6f90a,32'h3fc938ad,32'h3fd16f3c, 32'h3fc30fc2,32'h3fd79828, 32'h3fb8cb90,32'h3fe1dc5a,// invsqrt(0.3886) = 1.6041 +32'h3f507996,32'h3f8b012c,32'h3f90ada0, 32'h3f86bfd4,32'h3f94eef8, 32'h3f7f5084,32'h3f9c068a,// invsqrt(0.8144) = 1.1081 +32'h3ed952a7,32'h3fc089f0,32'h3fc865c6, 32'h3fbaa510,32'h3fce4aa6, 32'h3fb0d245,32'h3fd81d71,// invsqrt(0.4245) = 1.5349 +32'h400d8e54,32'h3f28b0e6,32'h3f2f938c, 32'h3f2386e9,32'h3f34bd89, 32'h3f1aeb9a,32'h3f3d58d8,// invsqrt(2.2118) = 0.6724 +32'h3f9ddebf,32'h3f61e70c,32'h3f6b1f7f, 32'h3f5afcb5,32'h3f7209d5, 32'h3f4f7624,32'h3f7d9066,// invsqrt(1.2334) = 0.9004 +32'h40a8039d,32'h3edafa11,32'h3ee3ea27, 32'h3ed44601,32'h3eea9e37, 32'h3ec919e6,32'h3ef5ca52,// invsqrt(5.2504) = 0.4364 +32'h3eba4368,32'h3fcff924,32'h3fd8763f, 32'h3fc99b4f,32'h3fded413, 32'h3fbefeec,32'h3fe97076,// invsqrt(0.3638) = 1.6579 +32'h3ffc0a8f,32'h3f32c965,32'h3f3a1589, 32'h3f2d504b,32'h3f3f8ea3, 32'h3f24311e,32'h3f48add0,// invsqrt(1.9691) = 0.7126 +32'h3f8a8496,32'h3f712ac7,32'h3f7b02ba, 32'h3f69c8d0,32'h3f813258, 32'h3f5d7ae0,32'h3f875950,// invsqrt(1.0822) = 0.9613 +32'h3e7fd5bf,32'h3ffaf5ff,32'h40029a24, 32'h3ff34749,32'h40067180, 32'h3fe6796d,32'h400cd86d,// invsqrt(0.2498) = 2.0006 +32'h3e47ae08,32'h400e086c,32'h4013d486, 32'h4009af59,32'h40182d99, 32'h4002703a,32'h401f6cb8,// invsqrt(0.1950) = 2.2646 +32'h3eb7a7c1,32'h3fd171d6,32'h3fd9fe52, 32'h3fcb0879,32'h3fe067af, 32'h3fc058df,32'h3feb1749,// invsqrt(0.3587) = 1.6697 +32'h3ee8e7c7,32'h3fb9fc87,32'h3fc193e5, 32'h3fb44b00,32'h3fc7456c, 32'h3faacdca,32'h3fd0c2a2,// invsqrt(0.4549) = 1.4827 +32'h3f987e2e,32'h3f65d9d5,32'h3f6f3b8b, 32'h3f5ed08e,32'h3f7644d2, 32'h3f53166b,32'h3f80ff7a,// invsqrt(1.1914) = 0.9162 +32'h41184e86,32'h3ea2a0e1,32'h3ea9442f, 32'h3e9da668,32'h3eae3ea8, 32'h3e955a47,32'h3eb68ac9,// invsqrt(9.5192) = 0.3241 +32'h400b9045,32'h3f29e410,32'h3f30d33f, 32'h3f24b0ab,32'h3f3606a3, 32'h3f1c05b0,32'h3f3eb19e,// invsqrt(2.1807) = 0.6772 +32'h3e057a7f,32'h402db860,32'h4034cf93, 32'h402866fa,32'h403a20fa, 32'h401f89fb,32'h4042fdf9,// invsqrt(0.1304) = 2.7698 +32'h3d54d964,32'h4089919f,32'h408f2f13, 32'h40855b88,32'h4093652a, 32'h407cad6d,32'h409a69fc,// invsqrt(0.0520) = 4.3868 +32'h4025dd9c,32'h3f1bd6ef,32'h3f22334d, 32'h3f1711a9,32'h3f26f893, 32'h3f0f1e35,32'h3f2eec07,// invsqrt(2.5917) = 0.6212 +32'h3c7a9cba,32'h40fd8ffc,32'h4103f4ba, 32'h40f5cce2,32'h4107d647, 32'h40e8dd0c,32'h410e4e32,// invsqrt(0.0153) = 8.0855 +32'h3ea25691,32'h3fdec5a6,32'h3fe7dd64, 32'h3fd7f3d8,32'h3feeaf32, 32'h3fcc962b,32'h3ffa0cdf,// invsqrt(0.3171) = 1.7759 +32'h3f695c0a,32'h3f83625d,32'h3f88bf32, 32'h3f7eb97c,32'h3f8cc4d2, 32'h3f715168,32'h3f9378dc,// invsqrt(0.9116) = 1.0474 +32'h3f9195a2,32'h3f6b3dc2,32'h3f74d7ca, 32'h3f640a3c,32'h3f7c0b50, 32'h3f5809b2,32'h3f8405ed,// invsqrt(1.1374) = 0.9377 +32'h41100729,32'h3ea73cb2,32'h3eae1028, 32'h3ea21e1b,32'h3eb32ebf, 32'h3e9995c8,32'h3ebbb712,// invsqrt(9.0017) = 0.3333 +32'h3f725333,32'h3f80ee4e,32'h3f863180, 32'h3f79f7d0,32'h3f8a23e6, 32'h3f6ccfd3,32'h3f90b7e4,// invsqrt(0.9466) = 1.0278 +32'h3fbf2224,32'h3f4d4e79,32'h3f55afb7, 32'h3f47058a,32'h3f5bf8a6, 32'h3f3c8bfc,32'h3f667234,// invsqrt(1.4932) = 0.8183 +32'h3f7db056,32'h3f7c052c,32'h3f832744, 32'h3f744e29,32'h3f8702c6, 32'h3f677277,32'h3f8d709e,// invsqrt(0.9910) = 1.0045 +32'h3f124cff,32'h3fa5eed8,32'h3facb4ac, 32'h3fa0da78,32'h3fb1c90c, 32'h3f98632f,32'h3fba4055,// invsqrt(0.5715) = 1.3228 +32'h400ab5ad,32'h3f2a69b9,32'h3f315e5d, 32'h3f25323e,32'h3f3695d8, 32'h3f1c8070,32'h3f3f47a6,// invsqrt(2.1673) = 0.6793 +32'h3f8bf0ea,32'h3f6ff00b,32'h3f79bb25, 32'h3f6897b7,32'h3f8089bd, 32'h3f5c59d6,32'h3f86a8ad,// invsqrt(1.0933) = 0.9564 +32'h3e9bc628,32'h3fe36ad3,32'h3fecb31b, 32'h3fdc749e,32'h3ff3a950, 32'h3fd0da45,32'h3fff43a9,// invsqrt(0.3042) = 1.8130 +32'h40b9c801,32'h3ed03e2a,32'h3ed8be17, 32'h3ec9de39,32'h3edf1e09, 32'h3ebf3e51,32'h3ee9bdf1,// invsqrt(5.8057) = 0.4150 +32'h42bee8ba,32'h3dcd6d56,32'h3dd5cfd7, 32'h3dc72376,32'h3ddc19b8, 32'h3dbca855,32'h3de694d9,// invsqrt(95.4545) = 0.1024 +32'h3f58d826,32'h3f884bb0,32'h3f8ddbd6, 32'h3f841f93,32'h3f9207f3, 32'h3f7a56c5,32'h3f98fc23,// invsqrt(0.8470) = 1.0865 +32'h3f23068b,32'h3f9d30f1,32'h3fa39b6e, 32'h3f986114,32'h3fa86b4c, 32'h3f905bf8,32'h3fb07068,// invsqrt(0.6368) = 1.2531 +32'h3efcfe7b,32'h3fb27321,32'h3fb9bbbf, 32'h3facfcaa,32'h3fbf3236, 32'h3fa3e1e5,32'h3fc84cfb,// invsqrt(0.4941) = 1.4226 +32'h3f88b7da,32'h3f72bfcf,32'h3f7ca84b, 32'h3f6b5173,32'h3f820b54, 32'h3f5eeed8,32'h3f883ca1,// invsqrt(1.0681) = 0.9676 +32'h3e6d0dde,32'h40025b3a,32'h4007ad52, 32'h3ffcbb52,32'h400baae3, 32'h3fef6e18,32'h40125180,// invsqrt(0.2315) = 2.0784 +32'h3ecffebe,32'h3fc4cf0d,32'h3fccd780, 32'h3fbec8b6,32'h3fd2ddd6, 32'h3fb4be25,32'h3fdce867,// invsqrt(0.4062) = 1.5689 +32'h3f419d77,32'h3f903d80,32'h3f9620aa, 32'h3f8bd321,32'h3f9a8b09, 32'h3f84772c,32'h3fa1e6fe,// invsqrt(0.7563) = 1.1499 +32'h406ece1d,32'h3f01e0a9,32'h3f072dc0, 32'h3efbcdb2,32'h3f0b2791, 32'h3eee8cf9,32'h3f11c7ee,// invsqrt(3.7313) = 0.5177 +32'h3b4a015d,32'h418d3686,32'h4192fa0e, 32'h4188e3e0,32'h41974cb4, 32'h4181af76,32'h419e811e,// invsqrt(0.0031) = 18.0119 +32'h3f72a7db,32'h3f80d7ce,32'h3f861a16, 32'h3f79cc32,32'h3f8a0bcb, 32'h3f6ca680,32'h3f909ea4,// invsqrt(0.9479) = 1.0271 +32'h3ef68e37,32'h3fb4c3c4,32'h3fbc2492, 32'h3faf3b29,32'h3fc1ad2d, 32'h3fa60227,32'h3fcae62f,// invsqrt(0.4816) = 1.4410 +32'h41a24ba1,32'h3e5ecd28,32'h3e67e534, 32'h3e57fb1f,32'h3e6eb73d, 32'h3e4c9d10,32'h3e7a154c,// invsqrt(20.2869) = 0.2220 +32'h40810455,32'h3ef9e3aa,32'h3f020b60, 32'h3ef23d59,32'h3f05de89, 32'h3ee57d7c,32'h3f0c3e77,// invsqrt(4.0318) = 0.4980 +32'h3e0e3c82,32'h4028497d,32'h402f27ea, 32'h402322aa,32'h40344ebc, 32'h401a8ca1,32'h403ce4c5,// invsqrt(0.1389) = 2.6831 +32'h3f971497,32'h3f66ec40,32'h3f705929, 32'h3f5fda92,32'h3f776ad8, 32'h3f541270,32'h3f81997d,// invsqrt(1.1803) = 0.9205 +32'h3e872b9c,32'h3ff42299,32'h3ffe198f, 32'h3feca960,32'h4002c964, 32'h3fe034ac,32'h400903be,// invsqrt(0.2640) = 1.9462 +32'h40602705,32'h3f060e2f,32'h3f0b86ed, 32'h3f01f3a0,32'h3f0fa17c, 32'h3ef63966,32'h3f167869,// invsqrt(3.5024) = 0.5343 +32'h40005914,32'h3f312887,32'h3f3863a7, 32'h3f2bbc2f,32'h3f3dcfff, 32'h3f22b248,32'h3f46d9e6,// invsqrt(2.0054) = 0.7061 +32'h3e425094,32'h400ffaf6,32'h4015db69, 32'h400b92a1,32'h401a43bf, 32'h40043a12,32'h40219c4e,// invsqrt(0.1898) = 2.2956 +32'h3e005fba,32'h403123f1,32'h40385ee1, 32'h402bb7bd,32'h403dcb15, 32'h4022ae12,32'h4046d4c0,// invsqrt(0.1254) = 2.8243 +32'h414a8614,32'h3e8d0839,32'h3e92c9de, 32'h3e88b6ff,32'h3e971b19, 32'h3e8184f1,32'h3e9e4d27,// invsqrt(12.6577) = 0.2811 +32'h3f1eefa5,32'h3f9f335b,32'h3fa5b2d7, 32'h3f9a53be,32'h3faa9274, 32'h3f923463,32'h3fb2b1cf,// invsqrt(0.6208) = 1.2691 +32'h41ff9ca3,32'h3e318899,32'h3e38c7a5, 32'h3e2c1951,32'h3e3e36ed, 32'h3e230a82,32'h3e4745bc,// invsqrt(31.9515) = 0.1769 +32'h3eed540e,32'h3fb83ec7,32'h3fbfc3f3, 32'h3fb29ae5,32'h3fc567d5, 32'h3fa9346d,32'h3fcece4d,// invsqrt(0.4635) = 1.4688 +32'h3ef270d2,32'h3fb64ad2,32'h3fbdbb97, 32'h3fb0b63f,32'h3fc3502b, 32'h3fa76949,32'h3fcc9d21,// invsqrt(0.4735) = 1.4532 +32'h3ef08ee2,32'h3fb70112,32'h3fbe7946, 32'h3fb166ea,32'h3fc4136e, 32'h3fa810a8,32'h3fcd69b0,// invsqrt(0.4698) = 1.4589 +32'h3eb0a622,32'h3fd58ece,32'h3fde4644, 32'h3fcf0535,32'h3fe4cfdd, 32'h3fc41fe2,32'h3fefb530,// invsqrt(0.3450) = 1.7025 +32'h3f006135,32'h3fb122eb,32'h3fb85dd1, 32'h3fabb6c0,32'h3fbdc9fc, 32'h3fa2ad21,32'h3fc6d39b,// invsqrt(0.5015) = 1.4121 +32'h4008c3c5,32'h3f2b9ed4,32'h3f32a016, 32'h3f265de2,32'h3f37e108, 32'h3f1d9c50,32'h3f40a29a,// invsqrt(2.1369) = 0.6841 +32'h3db54309,32'h4052d2ab,32'h405b6d8d, 32'h404c5e81,32'h4061e1b7, 32'h40419ce6,32'h406ca352,// invsqrt(0.0885) = 3.3613 +32'h3f3bab3d,32'h3f9281ec,32'h3f987cc6, 32'h3f8e05c8,32'h3f9cf8ea, 32'h3f868c36,32'h3fa4727c,// invsqrt(0.7331) = 1.1679 +32'h3ee8e91f,32'h3fb9fbfe,32'h3fc19356, 32'h3fb44a7b,32'h3fc744d9, 32'h3faacd4c,32'h3fd0c208,// invsqrt(0.4549) = 1.4827 +32'h413c04ab,32'h3e925f10,32'h3e98587e, 32'h3e8de3fd,32'h3e9cd391, 32'h3e866c33,32'h3ea44b5b,// invsqrt(11.7511) = 0.2917 +32'h3ebf0ea8,32'h3fcd58f1,32'h3fd5ba9d, 32'h3fc70fb0,32'h3fdc03de, 32'h3fbc959a,32'h3fe67df5,// invsqrt(0.3732) = 1.6370 +32'h3f5d9070,32'h3f86d60c,32'h3f8c56f2, 32'h3f82b55f,32'h3f90779f, 32'h3f77a87e,32'h3f9758bf,// invsqrt(0.8655) = 1.0749 +32'h4001a98f,32'h3f304213,32'h3f3773cb, 32'h3f2adcc9,32'h3f3cd915, 32'h3f21dea4,32'h3f45d73a,// invsqrt(2.0260) = 0.7026 +32'h3f6b5331,32'h3f82d59d,32'h3f882cb3, 32'h3f7da899,32'h3f8c2e04, 32'h3f704ee2,32'h3f92dadf,// invsqrt(0.9192) = 1.0430 +32'h3fa461ff,32'h3f5d61dd,32'h3f666b15, 32'h3f569af3,32'h3f6d31ff, 32'h3f4b4f6d,32'h3f787d85,// invsqrt(1.2842) = 0.8824 +32'h3d1cbee2,32'h40a04f24,32'h40a6da36, 32'h409b66d8,32'h40abc282, 32'h40933902,32'h40b3f058,// invsqrt(0.0383) = 5.1119 +32'h3fe093c8,32'h3f3d674f,32'h3f452262, 32'h3f379b01,32'h3f4aeeb1, 32'h3f2df12a,32'h3f549888,// invsqrt(1.7545) = 0.7550 +32'h402cc80b,32'h3f18b05d,32'h3f1eebcf, 32'h3f1403c8,32'h3f239864, 32'h3f0c397a,32'h3f2b62b2,// invsqrt(2.6997) = 0.6086 +32'h3f4f325e,32'h3f8b6ec4,32'h3f911fb2, 32'h3f872a12,32'h3f956464, 32'h3f800ce8,32'h3f9c818e,// invsqrt(0.8094) = 1.1115 +32'h3f373df4,32'h3f944444,32'h3f9a5180, 32'h3f8fba57,32'h3f9edb6d, 32'h3f8829cb,32'h3fa66bf9,// invsqrt(0.7158) = 1.1820 +32'h3fd8665a,32'h3f40f2f3,32'h3f48d312, 32'h3f3b0adc,32'h3f4ebb2a, 32'h3f3132b6,32'h3f589350,// invsqrt(1.6906) = 0.7691 +32'h4036a9d8,32'h3f148054,32'h3f1a9004, 32'h3f0ff490,32'h3f1f1bc8, 32'h3f0860f4,32'h3f26af64,// invsqrt(2.8541) = 0.5919 +32'h403355bc,32'h3f15df82,32'h3f1bfd88, 32'h3f1148fe,32'h3f20940c, 32'h3f09a378,32'h3f283992,// invsqrt(2.8021) = 0.5974 +32'h3f2bd4a7,32'h3f991c5a,32'h3f9f5c34, 32'h3f946c77,32'h3fa40c17, 32'h3f8c9ca6,32'h3fabdbe8,// invsqrt(0.6712) = 1.2206 +32'h40e789c9,32'h3eba88e3,32'h3ec225fc, 32'h3eb4d311,32'h3ec7dbcf, 32'h3eab4eb2,32'h3ed1602e,// invsqrt(7.2356) = 0.3718 +32'h3ff59711,32'h3f351ea2,32'h3f3c8326, 32'h3f2f933f,32'h3f420e89, 32'h3f26559a,32'h3f4b4c2e,// invsqrt(1.9187) = 0.7219 +32'h3f516139,32'h3f8ab433,32'h3f905d83, 32'h3f867536,32'h3f949c80, 32'h3f7ec324,32'h3f9bb024,// invsqrt(0.8179) = 1.1057 +32'h3fb50acd,32'h3f52f366,32'h3f5b8f9e, 32'h3f4c7e3c,32'h3f6204c8, 32'h3f41baf5,32'h3f6cc80f,// invsqrt(1.4144) = 0.8408 +32'h41208469,32'h3e9e6a23,32'h3ea4e169, 32'h3e9990af,32'h3ea9badd, 32'h3e917b99,32'h3eb1cff3,// invsqrt(10.0323) = 0.3157 +32'h409c02d8,32'h3ee33e94,32'h3eec850c, 32'h3edc49b9,32'h3ef379e7, 32'h3ed0b1a2,32'h3eff11fe,// invsqrt(4.8753) = 0.4529 +32'h3f523c0b,32'h3f8a6bf1,32'h3f90124e, 32'h3f862f2a,32'h3f944f14, 32'h3f7e3e6b,32'h3f9b5f08,// invsqrt(0.8212) = 1.1035 +32'h40800000,32'h3efae148,32'h3f028f5c, 32'h3ef33333,32'h3f066666, 32'h3ee66666,32'h3f0ccccd,// invsqrt(4.0000) = 0.5000 +32'h408f6805,32'h3eed0562,32'h3ef6b202, 32'h3ee5c3e9,32'h3efdf37b, 32'h3ed9ac20,32'h3f0505a2,// invsqrt(4.4814) = 0.4724 +32'h3f84edc2,32'h3f762f5c,32'h3f801ddf, 32'h3f6ea613,32'h3f83e284, 32'h3f621698,32'h3f8a2a41,// invsqrt(1.0385) = 0.9813 +32'h400e5d8a,32'h3f2835f6,32'h3f2f1397, 32'h3f230fbc,32'h3f3439d0, 32'h3f1a7ab2,32'h3f3cceda,// invsqrt(2.2245) = 0.6705 +32'h3cc4b331,32'h40ca616f,32'h40d2a41b, 32'h40c42f6e,32'h40d8d61c, 32'h40b9dc18,32'h40e32972,// invsqrt(0.0240) = 6.4535 +32'h3eb5af26,32'h3fd293e7,32'h3fdb2c3a, 32'h3fcc21aa,32'h3fe19e78, 32'h3fc16342,32'h3fec5ce0,// invsqrt(0.3549) = 1.6787 +32'h3f7b51ea,32'h3f7d3484,32'h3f83c520, 32'h3f757437,32'h3f87a547, 32'h3f68890b,32'h3f8e1adc,// invsqrt(0.9817) = 1.0093 +32'h4049f90d,32'h3f0d396e,32'h3f12fd14, 32'h3f08e6b1,32'h3f174fd1, 32'h3f01b221,32'h3f1e8461,// invsqrt(3.1558) = 0.5629 +32'h3fdd4d5f,32'h3f3eccc6,32'h3f469670, 32'h3f38f586,32'h3f4c6db0, 32'h3f2f3972,32'h3f5629c4,// invsqrt(1.7289) = 0.7605 +32'h4034eb69,32'h3f15371c,32'h3f1b4e41, 32'h3f10a5bf,32'h3f1fdf9d, 32'h3f0908d0,32'h3f277c8c,// invsqrt(2.8269) = 0.5948 +32'h404387ec,32'h3f0f8827,32'h3f1563ea, 32'h3f0b2355,32'h3f19c8bd, 32'h3f03d0a2,32'h3f211b70,// invsqrt(3.0552) = 0.5721 +32'h3f7713e6,32'h3f7f5e9f,32'h3f84e57d, 32'h3f778d5b,32'h3f88ce1e, 32'h3f6a85eb,32'h3f8f51d7,// invsqrt(0.9651) = 1.0179 +32'h40e8f29e,32'h3eb9f833,32'h3ec18f65, 32'h3eb446cf,32'h3ec740c9, 32'h3eaac9d1,32'h3ed0bdc7,// invsqrt(7.2796) = 0.3706 +32'h3e0059fe,32'h403127e6,32'h403862ff, 32'h402bbb93,32'h403dcf51, 32'h4022b1b3,32'h4046d931,// invsqrt(0.1253) = 2.8246 +32'h3fd4412c,32'h3f42d2f3,32'h3f4ac6a9, 32'h3f3cdc2a,32'h3f50bd72, 32'h3f32eb86,32'h3f5aae16,// invsqrt(1.6582) = 0.7766 +32'h3e441c05,32'h400f51eb,32'h40152b77, 32'h400aeec2,32'h40198ea0, 32'h40039ed2,32'h4020de90,// invsqrt(0.1915) = 2.2851 +32'h3fe97fc4,32'h3f39bff5,32'h3f4154db, 32'h3f341049,32'h3f470487, 32'h3f2a962a,32'h3f507ea6,// invsqrt(1.8242) = 0.7404 +32'h43bf1746,32'h3d4d5450,32'h3d55b5cb, 32'h3d470b33,32'h3d5bfee7, 32'h3d3c9158,32'h3d6678c2,// invsqrt(382.1818) = 0.0512 +32'h3f808676,32'h3f7a5dea,32'h3f824aff, 32'h3f72b3db,32'h3f862006, 32'h3f65edc2,32'h3f8c8313,// invsqrt(1.0041) = 0.9980 +32'h40880996,32'h3ef35b19,32'h3efd49eb, 32'h3eebe7fc,32'h3f025e84, 32'h3edf7d75,32'h3f0893c8,// invsqrt(4.2512) = 0.4850 +32'h3f30e273,32'h3f96e857,32'h3f9d112b, 32'h3f9249b7,32'h3fa1afcb, 32'h3f8a96ae,32'h3fa962d4,// invsqrt(0.6910) = 1.2030 +32'h3ffbd621,32'h3f32dc01,32'h3f3a28e7, 32'h3f2d6255,32'h3f3fa293, 32'h3f244235,32'h3f48c2b3,// invsqrt(1.9675) = 0.7129 +32'h3f917606,32'h3f6b574f,32'h3f74f262, 32'h3f642301,32'h3f7c26b1, 32'h3f58212a,32'h3f841444,// invsqrt(1.1364) = 0.9381 +32'h3c061309,32'h412d5572,32'h4134689b, 32'h41280712,32'h4139b6fa, 32'h411f2f1f,32'h41428eed,// invsqrt(0.0082) = 11.0544 +32'h3f4f70fb,32'h3f8b59b7,32'h3f9109c9, 32'h3f8715aa,32'h3f954dd6, 32'h3f7ff326,32'h3f9c69ed,// invsqrt(0.8103) = 1.1109 +32'h400e056b,32'h3f286a1d,32'h3f2f49df, 32'h3f23424b,32'h3f3471b1, 32'h3f1aaa98,32'h3f3d0964,// invsqrt(2.2191) = 0.6713 +32'h3fd4d138,32'h3f4290f8,32'h3f4a81fd, 32'h3f3c9c35,32'h3f5076c1, 32'h3f32aeef,32'h3f5a6407,// invsqrt(1.6626) = 0.7755 +32'h3e78b075,32'h3ffe8a75,32'h40047713, 32'h3ff6bfb0,32'h40085c76, 32'h3fe9c313,32'h400edac4,// invsqrt(0.2429) = 2.0292 +32'h3f238461,32'h3f9cf46a,32'h3fa35c6e, 32'h3f982667,32'h3fa82a71, 32'h3f902461,32'h3fb02c77,// invsqrt(0.6387) = 1.2512 +32'h3f3e257a,32'h3f918cc8,32'h3f977da1, 32'h3f8d1825,32'h3f9bf243, 32'h3f85ab15,32'h3fa35f53,// invsqrt(0.7428) = 1.1603 +32'h3ef5c594,32'h3fb50d7e,32'h3fbc714e, 32'h3faf82a1,32'h3fc1fc2b, 32'h3fa645dc,32'h3fcb38f0,// invsqrt(0.4800) = 1.4433 +32'h3f89a5f8,32'h3f71ed7d,32'h3f7bcd63, 32'h3f6a8591,32'h3f819aa8, 32'h3f5e2db2,32'h3f87c697,// invsqrt(1.0754) = 0.9643 +32'h40376b04,32'h3f14320c,32'h3f1a3e8a, 32'h3f0fa8ae,32'h3f1ec7e8, 32'h3f081910,32'h3f265786,// invsqrt(2.8659) = 0.5907 +32'h3e7eda0f,32'h3ffb71cc,32'h4002da92, 32'h3ff3bf4c,32'h4006b3d2, 32'h3fe6eb1f,32'h400d1de8,// invsqrt(0.2489) = 2.0045 +32'h3f95e117,32'h3f67d8aa,32'h3f714f39, 32'h3f60bfc0,32'h3f786824, 32'h3f54eb8d,32'h3f821e2c,// invsqrt(1.1709) = 0.9241 +32'h3c095791,32'h412b4264,32'h41323fe0, 32'h41260447,32'h41377dfd, 32'h411d476b,32'h41403ad9,// invsqrt(0.0084) = 10.9222 +32'h3d3e6ce6,32'h4091717a,32'h40976136, 32'h408cfdad,32'h409bd503, 32'h40859202,32'h40a340ae,// invsqrt(0.0465) = 4.6379 +32'h3f37799c,32'h3f942c27,32'h3f9a3868, 32'h3f8fa2f8,32'h3f9ec198, 32'h3f8813a7,32'h3fa650e9,// invsqrt(0.7167) = 1.1812 +32'h3fa072b5,32'h3f601492,32'h3f6939fb, 32'h3f593882,32'h3f70160a, 32'h3f4dc9bf,32'h3f7b84cd,// invsqrt(1.2535) = 0.8932 +32'h3c81b04c,32'h40f93dc6,32'h4101b50c, 32'h40f19c89,32'h410585aa, 32'h40e4e524,32'h410be15d,// invsqrt(0.0158) = 7.9477 +32'h40b1442f,32'h3ed52f84,32'h3edde316, 32'h3ecea8d6,32'h3ee469c4, 32'h3ec3c85f,32'h3eef4a3b,// invsqrt(5.5396) = 0.4249 +32'h405fa10d,32'h3f063650,32'h3f0bb0b2, 32'h3f021a87,32'h3f0fcc7b, 32'h3ef6831b,32'h3f16a574,// invsqrt(3.4942) = 0.5350 +32'h3f934c48,32'h3f69de76,32'h3f736a28, 32'h3f62b5b1,32'h3f7a92ed, 32'h3f56c714,32'h3f8340c5,// invsqrt(1.1508) = 0.9322 +32'h3fa6781e,32'h3f5bfd96,32'h3f64f844, 32'h3f554194,32'h3f6bb446, 32'h3f4a083c,32'h3f76ed9e,// invsqrt(1.3005) = 0.8769 +32'h4301d5e5,32'h3db023f9,32'h3db75476, 32'h3daabf9b,32'h3dbcb8d3, 32'h3da1c2fe,32'h3dc5b570,// invsqrt(129.8355) = 0.0878 +32'h3f8d4174,32'h3f6ed18d,32'h3f7890f7, 32'h3f6781ff,32'h3f7fe085, 32'h3f5b52bb,32'h3f8607e4,// invsqrt(1.1036) = 0.9519 +32'h3fe56ebe,32'h3f3b6384,32'h3f43098a, 32'h3f35a700,32'h3f48c60e, 32'h3f2c177a,32'h3f525595,// invsqrt(1.7924) = 0.7469 +32'h3f4adb4a,32'h3f8cea97,32'h3f92ab06, 32'h3f889a45,32'h3f96fb59, 32'h3f8169ba,32'h3f9e2be4,// invsqrt(0.7924) = 1.1234 +32'h40ab719f,32'h3ed8c684,32'h3ee19f99, 32'h3ed223b4,32'h3ee84268, 32'h3ec71459,32'h3ef351c3,// invsqrt(5.3576) = 0.4320 +32'h3e992016,32'h3fe56031,32'h3feebcef, 32'h3fde5aa2,32'h3ff5c27e, 32'h3fd2a6b5,32'h4000bb36,// invsqrt(0.2991) = 1.8286 +32'h4076a180,32'h3eff99d2,32'h3f05044b, 32'h3ef7c6be,32'h3f08edd5, 32'h3eeabc48,32'h3f0f7310,// invsqrt(3.8536) = 0.5094 +32'h40071241,32'h3f2cb162,32'h3f33bdd8, 32'h3f276808,32'h3f390732, 32'h3f1e9874,32'h3f41d6c6,// invsqrt(2.1105) = 0.6883 +32'h3f6bab41,32'h3f82bd29,32'h3f88133f, 32'h3f7d7930,32'h3f8c13d0, 32'h3f7021f7,32'h3f92bf6c,// invsqrt(0.9206) = 1.0422 +32'h3f9f8e87,32'h3f60b493,32'h3f69e084, 32'h3f59d39e,32'h3f70c178, 32'h3f4e5cb0,32'h3f7c3866,// invsqrt(1.2465) = 0.8957 +32'h3f5cb1e5,32'h3f8719f6,32'h3f8c9da2, 32'h3f82f735,32'h3f90c063, 32'h3f78253c,32'h3f97a4fa,// invsqrt(0.8621) = 1.0770 +32'h3de5f735,32'h403b2be2,32'h4042cfa2, 32'h40357112,32'h40488a72, 32'h402be462,32'h40521722,// invsqrt(0.1123) = 2.9842 +32'h3f70af61,32'h3f815e8f,32'h3f86a656, 32'h3f7ad172,32'h3f8a9c2b, 32'h3f6d9e00,32'h3f9135e4,// invsqrt(0.9402) = 1.0313 +32'h3f9cecbd,32'h3f6294fa,32'h3f6bd486, 32'h3f5ba550,32'h3f72c430, 32'h3f5015e0,32'h3f7e53a0,// invsqrt(1.2260) = 0.9031 +32'h3ff25852,32'h3f365409,32'h3f3dc52d, 32'h3f30bf2d,32'h3f435a09, 32'h3f2771bf,32'h3f4ca777,// invsqrt(1.8933) = 0.7268 +32'h3f986e57,32'h3f65e5c6,32'h3f6f47f8, 32'h3f5edc21,32'h3f76519d, 32'h3f532162,32'h3f81062e,// invsqrt(1.1909) = 0.9164 +32'h3f30892c,32'h3f970e7b,32'h3f9d38de, 32'h3f926eb0,32'h3fa1d8a8, 32'h3f8ab9b4,32'h3fa98da4,// invsqrt(0.6896) = 1.2042 +32'h3ebd2006,32'h3fce64ca,32'h3fd6d164, 32'h3fc81356,32'h3fdd22d8, 32'h3fbd8b95,32'h3fe7aa99,// invsqrt(0.3694) = 1.6454 +32'h3b193da8,32'h41a221cb,32'h41a8bfe9, 32'h419d2b36,32'h41adb67e, 32'h4194e591,32'h41b5fc23,// invsqrt(0.0023) = 20.6801 +32'h4001d851,32'h3f302254,32'h3f3752c0, 32'h3f2abe03,32'h3f3cb711, 32'h3f21c17c,32'h3f45b398,// invsqrt(2.0288) = 0.7021 +32'h3f4eafb2,32'h3f8b9ad0,32'h3f914d8a, 32'h3f8754c4,32'h3f959396, 32'h3f80355c,32'h3f9cb2fe,// invsqrt(0.8074) = 1.1129 +32'h3fbfdae0,32'h3f4ceb89,32'h3f5548be, 32'h3f46a5a2,32'h3f5b8ea6, 32'h3f3c3120,32'h3f660328,// invsqrt(1.4989) = 0.8168 +32'h3f016944,32'h3fb06dd7,32'h3fb7a157, 32'h3fab0736,32'h3fbd07f8, 32'h3fa206d5,32'h3fc60859,// invsqrt(0.5055) = 1.4065 +32'h3f2792d3,32'h3f9b0b1d,32'h3fa15f29, 32'h3f964c14,32'h3fa61e32, 32'h3f8e6306,32'h3fae0740,// invsqrt(0.6546) = 1.2360 +32'h3fa28c82,32'h3f5ea0ac,32'h3f67b6e8, 32'h3f57d000,32'h3f6e8794, 32'h3f4c7436,32'h3f79e35e,// invsqrt(1.2699) = 0.8874 +32'h3efd01fb,32'h3fb271e5,32'h3fb9ba76, 32'h3facfb78,32'h3fbf30e2, 32'h3fa3e0c2,32'h3fc84b98,// invsqrt(0.4942) = 1.4226 +32'h3f4d7c21,32'h3f8c0324,32'h3f91ba20, 32'h3f87b9e7,32'h3f96035d, 32'h3f80952b,32'h3f9d2819,// invsqrt(0.8027) = 1.1162 +32'h3f850d79,32'h3f761203,32'h3f800e99, 32'h3f6e899f,32'h3f83d2ca, 32'h3f61fba4,32'h3f8a19c8,// invsqrt(1.0395) = 0.9808 +32'h3fd6b477,32'h3f41b587,32'h3f499d97, 32'h3f3bc77b,32'h3f4f8ba3, 32'h3f31e567,32'h3f596db7,// invsqrt(1.6774) = 0.7721 +32'h3fc3942b,32'h3f4af5b9,32'h3f533e73, 32'h3f44bf2e,32'h3f5974fe, 32'h3f3a6447,32'h3f63cfe5,// invsqrt(1.5280) = 0.8090 +32'h3e673236,32'h4003ff5d,32'h4009629b, 32'h3fffe9df,32'h400d6d09, 32'h3ff271c6,32'h40142915,// invsqrt(0.2258) = 2.1046 +32'h403cb4af,32'h3f121abc,32'h3f181161, 32'h3f0da1c1,32'h3f1c8a5d, 32'h3f062d74,32'h3f23feaa,// invsqrt(2.9485) = 0.5824 +32'h3f6646e6,32'h3f8442bd,32'h3f89a8ba, 32'h3f80363e,32'h3f8db538, 32'h3f72ed84,32'h3f9474b4,// invsqrt(0.8995) = 1.0544 +32'h402719cb,32'h3f1b4339,32'h3f21998f, 32'h3f168279,32'h3f265a4f, 32'h3f0e968d,32'h3f2e463b,// invsqrt(2.6109) = 0.6189 +32'h40279398,32'h3f1b0ac2,32'h3f215eca, 32'h3f164bbc,32'h3f261dd0, 32'h3f0e62b2,32'h3f2e06da,// invsqrt(2.6184) = 0.6180 +32'h3e866069,32'h3ff4dae9,32'h3ffed966, 32'h3fed5c0c,32'h40032c22, 32'h3fe0ddf1,32'h40096b30,// invsqrt(0.2625) = 1.9520 +32'h3f13bfae,32'h3fa51e2e,32'h3fabdb7e, 32'h3fa01032,32'h3fb0e97a, 32'h3f97a38d,32'h3fb9561f,// invsqrt(0.5771) = 1.3163 +32'h3ebda438,32'h3fce1cce,32'h3fd68678, 32'h3fc7cd8e,32'h3fdcd5b8, 32'h3fbd4979,32'h3fe759cd,// invsqrt(0.3704) = 1.6431 +32'h3ff721c3,32'h3f348dc6,32'h3f3bec60, 32'h3f2f06d2,32'h3f417354, 32'h3f25d091,32'h3f4aa995,// invsqrt(1.9307) = 0.7197 +32'h3f4bcd10,32'h3f8c96e9,32'h3f9253ed, 32'h3f884926,32'h3f96a1b0, 32'h3f811ce0,32'h3f9dcdf6,// invsqrt(0.7961) = 1.1208 +32'h40b4dbf3,32'h3ed30eb7,32'h3edbac0d, 32'h3ecc98b7,32'h3ee2220d, 32'h3ec1d40b,32'h3eece6b9,// invsqrt(5.6518) = 0.4206 +32'h3edaf898,32'h3fbfd016,32'h3fc7a456, 32'h3fb9f0e6,32'h3fcd8386, 32'h3fb02797,32'h3fd74cd5,// invsqrt(0.4277) = 1.5291 +32'h3f859e31,32'h3f758c9d,32'h3f7f925b, 32'h3f6e084f,32'h3f838b54, 32'h3f618123,32'h3f89ceeb,// invsqrt(1.0439) = 0.9788 +32'h3f78dcba,32'h3f7e73d0,32'h3f846b4a, 32'h3f76a9bc,32'h3f885054, 32'h3f69ae47,32'h3f8ece0f,// invsqrt(0.9721) = 1.0142 +32'h3f4662fb,32'h3f8e7ebc,32'h3f944faa, 32'h3f8a220a,32'h3f98ac5c, 32'h3f82dce1,32'h3f9ff185,// invsqrt(0.7749) = 1.1360 +32'h406f3b1d,32'h3f01c30f,32'h3f070ef1, 32'h3efb944d,32'h3f0b07da, 32'h3eee569a,32'h3f11a6b3,// invsqrt(3.7380) = 0.5172 +32'h3df9f90e,32'h4033865d,32'h403ada37, 32'h402e077a,32'h4040591a, 32'h4024dea9,32'h404981eb,// invsqrt(0.1221) = 2.8623 +32'h3ff6ee74,32'h3f34a087,32'h3f3bffe5, 32'h3f2f1900,32'h3f41876c, 32'h3f25e1ca,32'h3f4abea2,// invsqrt(1.9292) = 0.7200 +32'h4074eabc,32'h3f003f30,32'h3f057b3c, 32'h3ef8a44d,32'h3f096846, 32'h3eeb8e2e,32'h3f0ff355,// invsqrt(3.8268) = 0.5112 +32'h3f4f9fc7,32'h3f8b4a02,32'h3f90f970, 32'h3f870670,32'h3f953d02, 32'h3f7fd64d,32'h3f9c584c,// invsqrt(0.8110) = 1.1104 +32'h3f6c69d3,32'h3f82886d,32'h3f87dc5c, 32'h3f7d12f1,32'h3f8bdb4f, 32'h3f6fc11a,32'h3f92843b,// invsqrt(0.9235) = 1.0406 +32'h3fc4963c,32'h3f4a7056,32'h3f52b39e, 32'h3f443de1,32'h3f58e613, 32'h3f39e9c7,32'h3f633a2d,// invsqrt(1.5358) = 0.8069 +32'h4005fd47,32'h3f2d6384,32'h3f347740, 32'h3f2814b7,32'h3f39c60d, 32'h3f1f3c0b,32'h3f429eb9,// invsqrt(2.0936) = 0.6911 +32'h419fc8c9,32'h3e608b98,32'h3e69b5dd, 32'h3e59abe5,32'h3e709591, 32'h3e4e370f,32'h3e7c0a67,// invsqrt(19.9730) = 0.2238 +32'h40203075,32'h3f1e93a1,32'h3f250c97, 32'h3f19b8e7,32'h3f29e751, 32'h3f11a1b3,32'h3f31fe85,// invsqrt(2.5030) = 0.6321 +32'h3f59e3ae,32'h3f87f7e9,32'h3f8d84a5, 32'h3f83ce5d,32'h3f91ae31, 32'h3f79bce6,32'h3f989e1b,// invsqrt(0.8511) = 1.0839 +32'h3f8ad048,32'h3f70e8fd,32'h3f7abe41, 32'h3f69890a,32'h3f810f1a, 32'h3f5d3e76,32'h3f873464,// invsqrt(1.0845) = 0.9603 +32'h3ff67495,32'h3f34cd2a,32'h3f3c2e5b, 32'h3f2f4446,32'h3f41b740, 32'h3f260ac9,32'h3f4af0bd,// invsqrt(1.9254) = 0.7207 +32'h3fdf9bf4,32'h3f3dd028,32'h3f458f82, 32'h3f3800a4,32'h3f4b5f06, 32'h3f2e5173,32'h3f550e37,// invsqrt(1.7469) = 0.7566 +32'h3f38a6a2,32'h3f93b32f,32'h3f99ba7f, 32'h3f8f2db3,32'h3f9e3ffb, 32'h3f87a48e,32'h3fa5c920,// invsqrt(0.7213) = 1.1775 +32'h3fed0ce9,32'h3f385a6b,32'h3f3fe0b8, 32'h3f32b5b0,32'h3f458572, 32'h3f294dcf,32'h3f4eed53,// invsqrt(1.8520) = 0.7348 +32'h3e495795,32'h400d7203,32'h401337f9, 32'h40091d8b,32'h40178c71, 32'h4001e618,32'h401ec3e4,// invsqrt(0.1966) = 2.2552 +32'h3ee13328,32'h3fbd243e,32'h3fc4dc94, 32'h3fb759fd,32'h3fcaa6d5, 32'h3fadb392,32'h3fd44d40,// invsqrt(0.4398) = 1.5078 +32'h4032901d,32'h3f16325a,32'h3f1c53c1, 32'h3f11994d,32'h3f20eccf, 32'h3f09ef8d,32'h3f28968f,// invsqrt(2.7900) = 0.5987 +32'h406452a0,32'h3f04d353,32'h3f0a3f37, 32'h3f00c268,32'h3f0e5022, 32'h3ef3f716,32'h3f1516ff,// invsqrt(3.5675) = 0.5294 +32'h3fcf66a8,32'h3f451728,32'h3f4d228d, 32'h3f3f0e9d,32'h3f532b19, 32'h3f35005f,32'h3f5d3957,// invsqrt(1.6203) = 0.7856 +32'h40520fe2,32'h3f0a7a7d,32'h3f102172, 32'h3f063d44,32'h3f145eaa, 32'h3efe5923,32'h3f1b6f5c,// invsqrt(3.2822) = 0.5520 +32'h44a35174,32'h3cde1a48,32'h3ce72b08, 32'h3cd74db9,32'h3cedf797, 32'h3ccbf8ca,32'h3cf94c86,// invsqrt(1306.5454) = 0.0277 +32'h40091389,32'h3f2b6cde,32'h3f326c16, 32'h3f262d74,32'h3f37ab80, 32'h3f1d6e6e,32'h3f406a86,// invsqrt(2.1418) = 0.6833 +32'h40947d61,32'h3ee8edb7,32'h3ef26f95, 32'h3ee1cc51,32'h3ef990fb, 32'h3ed5e9fc,32'h3f02b9a8,// invsqrt(4.6403) = 0.4642 +32'h3f3ba9f7,32'h3f92826b,32'h3f987d4b, 32'h3f8e0643,32'h3f9cf973, 32'h3f868cac,32'h3fa4730a,// invsqrt(0.7331) = 1.1680 +32'h3f91bbf3,32'h3f6b1ed3,32'h3f74b797, 32'h3f63ec3f,32'h3f7bea2b, 32'h3f57ed49,32'h3f83f490,// invsqrt(1.1385) = 0.9372 +32'h3f38ed3a,32'h3f9396fb,32'h3f999d25, 32'h3f8f125c,32'h3f9e21c4, 32'h3f878aa8,32'h3fa5a978,// invsqrt(0.7224) = 1.1766 +32'h40c02115,32'h3eccc615,32'h3ed521c3, 32'h3ec68153,32'h3edb6685, 32'h3ebc0ebb,32'h3ee5d91d,// invsqrt(6.0040) = 0.4081 +32'h407a2157,32'h3efdce7e,32'h3f041541, 32'h3ef6097a,32'h3f07f7c3, 32'h3ee91673,32'h3f0e7146,// invsqrt(3.9083) = 0.5058 +32'h40295ae6,32'h3f1a39cc,32'h3f20854c, 32'h3f15812b,32'h3f253ded, 32'h3f0da2cb,32'h3f2d1c4d,// invsqrt(2.6462) = 0.6147 +32'h3e21e38b,32'h401dbdf9,32'h40242e37, 32'h4018e9ca,32'h40290266, 32'h4010dd7c,32'h40310eb4,// invsqrt(0.1581) = 2.5150 +32'h3e1a45b5,32'h402196cf,32'h40282f40, 32'h401ca47b,32'h402d2195, 32'h401465ee,32'h40356022,// invsqrt(0.1507) = 2.5764 +32'h3fc2a525,32'h3f4b7231,32'h3f53bfff, 32'h3f4537d7,32'h3f59fa59, 32'h3f3ad696,32'h3f645b9a,// invsqrt(1.5207) = 0.8109 +32'h3f371148,32'h3f94565a,32'h3f9a6454, 32'h3f8fcbdf,32'h3f9eeecf, 32'h3f883a68,32'h3fa68046,// invsqrt(0.7151) = 1.1825 +32'h3f8a1c20,32'h3f7185ea,32'h3f7b6196, 32'h3f6a212a,32'h3f81632b, 32'h3f5dce93,32'h3f878c76,// invsqrt(1.0790) = 0.9627 +32'h3ed28c52,32'h3fc39ca7,32'h3fcb9899, 32'h3fbd9fb2,32'h3fd1958e, 32'h3fb3a4c3,32'h3fdb907d,// invsqrt(0.4112) = 1.5594 +32'h3e8bb4e1,32'h3ff02393,32'h3ff9f0c9, 32'h3fe8c9ac,32'h4000a558, 32'h3fdc8929,32'h4006c599,// invsqrt(0.2729) = 1.9144 +32'h3f41ab69,32'h3f90384e,32'h3f961b42, 32'h3f8bce18,32'h3f9a8578, 32'h3f847267,32'h3fa1e129,// invsqrt(0.7565) = 1.1497 +32'h3fdaa8e8,32'h3f3ff306,32'h3f47c8b3, 32'h3f3a12c5,32'h3f4da8f5, 32'h3f3047ad,32'h3f57740d,// invsqrt(1.7083) = 0.7651 +32'h3f88fe3c,32'h3f72816b,32'h3f7c675b, 32'h3f6b14f8,32'h3f81e9e7, 32'h3f5eb58c,32'h3f88199d,// invsqrt(1.0703) = 0.9666 +32'h401ceb94,32'h3f20384e,32'h3f26c270, 32'h3f1b50b4,32'h3f2baa0a, 32'h3f132409,32'h3f33d6b5,// invsqrt(2.4519) = 0.6386 +32'h420a84b2,32'h3e2a87d7,32'h3e317db6, 32'h3e254f70,32'h3e36b61e, 32'h3e1c9c1a,32'h3e3f6975,// invsqrt(34.6296) = 0.1699 +32'h40336e98,32'h3f15d520,32'h3f1bf2b8, 32'h3f113eed,32'h3f2088eb, 32'h3f0999ee,32'h3f282dea,// invsqrt(2.8036) = 0.5972 +32'h3f114d92,32'h3fa68071,32'h3fad4c37, 32'h3fa1679d,32'h3fb2650b, 32'h3f98e8e5,32'h3fbae3c3,// invsqrt(0.5676) = 1.3273 +32'h3fd8006d,32'h3f412074,32'h3f49026e, 32'h3f3b36f8,32'h3f4eebea, 32'h3f315c7f,32'h3f58c663,// invsqrt(1.6875) = 0.7698 +32'h3eb579ba,32'h3fd2b2e4,32'h3fdb4c7a, 32'h3fcc3fb3,32'h3fe1bfab, 32'h3fc17fb7,32'h3fec7fa7,// invsqrt(0.3544) = 1.6797 +32'h42629156,32'h3e0556c5,32'h3e0ac807, 32'h3e0141d4,32'h3e0edcf8, 32'h3df4e884,32'h3e15aa8a,// invsqrt(56.6419) = 0.1329 +32'h401ad419,32'h3f214c70,32'h3f27e1d8, 32'h3f1c5c62,32'h3f2cd1e6, 32'h3f1421a0,32'h3f350ca8,// invsqrt(2.4192) = 0.6429 +32'h3f9be098,32'h3f635789,32'h3f6c9f07, 32'h3f5c61eb,32'h3f7394a5, 32'h3f50c88e,32'h3f7f2e02,// invsqrt(1.2178) = 0.9062 +32'h3f3a5c7e,32'h3f930545,32'h3f99057d, 32'h3f8e851c,32'h3f9d85a6, 32'h3f8704d7,32'h3fa505eb,// invsqrt(0.7280) = 1.1720 +32'h3f03520c,32'h3faf244c,32'h3fb64a5a, 32'h3fa9c7c2,32'h3fbba6e4, 32'h3fa0d831,32'h3fc49675,// invsqrt(0.5130) = 1.3962 +32'h3ff3f9e2,32'h3f35b7bf,32'h3f3d2283, 32'h3f3027ac,32'h3f42b296, 32'h3f26e237,32'h3f4bf80b,// invsqrt(1.9061) = 0.7243 +32'h3e41548c,32'h401058b1,32'h40163cf7, 32'h400bed7d,32'h401aa82b, 32'h40049025,32'h40220583,// invsqrt(0.1888) = 2.3014 +32'h403dd766,32'h3f11aab3,32'h3f179cc5, 32'h3f0d3526,32'h3f1c1252, 32'h3f05c690,32'h3f2380e8,// invsqrt(2.9663) = 0.5806 +32'h3e9b7047,32'h3fe3a99d,32'h3fecf475, 32'h3fdcb17c,32'h3ff3ec96, 32'h3fd113ee,32'h3fff8a24,// invsqrt(0.3036) = 1.8149 +32'h40c90067,32'h3ec8340e,32'h3ed05ff9, 32'h3ec2131c,32'h3ed680ea, 32'h3eb7dc36,32'h3ee0b7d0,// invsqrt(6.2813) = 0.3990 +32'h40c1fb9c,32'h3ecbcb05,32'h3ed41c73, 32'h3ec58df3,32'h3eda5985, 32'h3ebb2829,32'h3ee4bf4f,// invsqrt(6.0620) = 0.4062 +32'h3db0ad55,32'h40558a74,32'h405e41bc, 32'h404f00fd,32'h4064cb33, 32'h40441be2,32'h406fb04e,// invsqrt(0.0863) = 3.4047 +32'h4054a0c3,32'h3f09a3ef,32'h3f0f4223, 32'h3f056d49,32'h3f1378c9, 32'h3efccf10,32'h3f1a7e8a,// invsqrt(3.3223) = 0.5486 +32'h3f9c2edc,32'h3f631e8c,32'h3f6c63b6, 32'h3f5c2aac,32'h3f735796, 32'h3f509437,32'h3f7eee0b,// invsqrt(1.2202) = 0.9053 +32'h3ecb5d56,32'h3fc7096b,32'h3fcf2927, 32'h3fc0f19f,32'h3fd540f3, 32'h3fb6c9f5,32'h3fdf689d,// invsqrt(0.3972) = 1.5867 +32'h3f6481c7,32'h3f84c59e,32'h3f8a30f3, 32'h3f80b51f,32'h3f8e4173, 32'h3f73ddea,32'h3f95079d,// invsqrt(0.8926) = 1.0584 +32'h3fb2bff6,32'h3f544c93,32'h3f5cf6e2, 32'h3f4dccd7,32'h3f63769d, 32'h3f42f7f4,32'h3f6e4b80,// invsqrt(1.3965) = 0.8462 +32'h4096d9ad,32'h3ee71954,32'h3ef08813, 32'h3ee00644,32'h3ef79b22, 32'h3ed43bd4,32'h3f01b2c9,// invsqrt(4.7141) = 0.4606 +32'h3e915fbf,32'h3feb6957,32'h3ff50527, 32'h3fe4347c,32'h3ffc3a02, 32'h3fd831b9,32'h40041e63,// invsqrt(0.2839) = 1.8767 +32'h3fb3d174,32'h3f53aae3,32'h3f5c4e99, 32'h3f4d301b,32'h3f62c961, 32'h3f426378,32'h3f6d9604,// invsqrt(1.4048) = 0.8437 +32'h3f5031c5,32'h3f8b1923,32'h3f90c693, 32'h3f86d710,32'h3f9508a6, 32'h3f7f7c8a,32'h3f9c2171,// invsqrt(0.8133) = 1.1089 +32'h3ef28910,32'h3fb641b6,32'h3fbdb21c, 32'h3fb0ad6a,32'h3fc34668, 32'h3fa760eb,32'h3fcc92e7,// invsqrt(0.4737) = 1.4529 +32'h3e1c05e7,32'h4020ae10,32'h40273d00, 32'h401bc2db,32'h402c2835, 32'h4013902e,32'h40345ae2,// invsqrt(0.1524) = 2.5619 +32'h401d9c9a,32'h3f1fde3b,32'h3f2664b0, 32'h3f1af962,32'h3f2b4988, 32'h3f12d150,32'h3f33719a,// invsqrt(2.4627) = 0.6372 +32'h3ef43bfe,32'h3fb59f26,32'h3fbd08e8, 32'h3fb00fd3,32'h3fc2983b, 32'h3fa6cba0,32'h3fcbdc6e,// invsqrt(0.4770) = 1.4479 +32'h3f3c0019,32'h3f9260d7,32'h3f985a58, 32'h3f8de5b7,32'h3f9cd579, 32'h3f866dd6,32'h3fa44d5a,// invsqrt(0.7344) = 1.1669 +32'h3e9b0ed3,32'h3fe3f11d,32'h3fed3edf, 32'h3fdcf6cb,32'h3ff43931, 32'h3fd15598,32'h3fffda64,// invsqrt(0.3028) = 1.8171 +32'h3faf78bf,32'h3f5645e6,32'h3f5f04d5, 32'h3f4fb6b2,32'h3f659408, 32'h3f44c807,32'h3f7082b3,// invsqrt(1.3709) = 0.8541 +32'h3f78234a,32'h3f7ed2d2,32'h3f849cbc, 32'h3f7705d7,32'h3f88833a, 32'h3f6a0589,32'h3f8f0362,// invsqrt(0.9693) = 1.0157 +32'h3f907ff9,32'h3f6c1f58,32'h3f75c296, 32'h3f64e4eb,32'h3f7cfd03, 32'h3f58d8de,32'h3f848488,// invsqrt(1.1289) = 0.9412 +32'h3f7f60db,32'h3f7b2f67,32'h3f82b804, 32'h3f737eef,32'h3f869041, 32'h3f66ae26,32'h3f8cf8a5,// invsqrt(0.9976) = 1.0012 +32'h4136abd1,32'h3e947f87,32'h3e9a8f2e, 32'h3e8ff3c9,32'h3e9f1aeb, 32'h3e886037,32'h3ea6ae7d,// invsqrt(11.4169) = 0.2960 +32'h40e9412b,32'h3eb9d8e0,32'h3ec16eca, 32'h3eb42871,32'h3ec71f39, 32'h3eaaad0c,32'h3ed09a9e,// invsqrt(7.2892) = 0.3704 +32'h3ee60f5e,32'h3fbb220e,32'h3fc2c568, 32'h3fb5678b,32'h3fc87feb, 32'h3fabdb5c,32'h3fd20c1b,// invsqrt(0.4493) = 1.4918 +32'h3d4fdb52,32'h408b360d,32'h4090e4ab, 32'h4086f317,32'h409527a1, 32'h407fb1a6,32'h409c41e5,// invsqrt(0.0507) = 4.4391 +32'h3f8dd249,32'h3f6e577c,32'h3f7811ea, 32'h3f670baa,32'h3f7f5dbc, 32'h3f5ae2a1,32'h3f85c362,// invsqrt(1.1080) = 0.9500 +32'h3e80233c,32'h3ffabec7,32'h40027d68, 32'h3ff311c1,32'h400653eb, 32'h3fe646b7,32'h400cb970,// invsqrt(0.2503) = 1.9989 +32'h408a516a,32'h3ef1575f,32'h3efb3125, 32'h3ee9f40c,32'h3f014a3c, 32'h3edda3d5,32'h3f077258,// invsqrt(4.3224) = 0.4810 +32'h3e665218,32'h40043f86,32'h4009a562, 32'h40003321,32'h400db1c7, 32'h3ff2e79e,32'h40147119,// invsqrt(0.2249) = 2.1085 +32'h3f85d3d5,32'h3f755b62,32'h3f7f5f1d, 32'h3f6dd896,32'h3f8370f5, 32'h3f6153ed,32'h3f89b34a,// invsqrt(1.0455) = 0.9780 +32'h3f6462fa,32'h3f84ce92,32'h3f8a3a44, 32'h3f80bdcc,32'h3f8e4b0a, 32'h3f73ee5a,32'h3f9511a9,// invsqrt(0.8921) = 1.0587 +32'h3f78e39f,32'h3f7e704a,32'h3f846975, 32'h3f76a652,32'h3f884e71, 32'h3f69ab0b,32'h3f8ecc15,// invsqrt(0.9722) = 1.0142 +32'h400480d5,32'h3f2e5bbd,32'h3f35799b, 32'h3f290557,32'h3f3ad001, 32'h3f202001,32'h3f43b557,// invsqrt(2.0704) = 0.6950 +32'h3f2382a3,32'h3f9cf540,32'h3fa35d4d, 32'h3f982736,32'h3fa82b56, 32'h3f902525,32'h3fb02d67,// invsqrt(0.6387) = 1.2513 +32'h41368f03,32'h3e948b3d,32'h3e9a9b5f, 32'h3e8fff24,32'h3e9f2778, 32'h3e886af9,32'h3ea6bba3,// invsqrt(11.4099) = 0.2960 +32'h3fb8eb29,32'h3f50ba5f,32'h3f593f5d, 32'h3f4a56a0,32'h3f5fa31c, 32'h3f3fb061,32'h3f6a495b,// invsqrt(1.4447) = 0.8320 +32'h3fc8c823,32'h3f485019,32'h3f507d29, 32'h3f422e4c,32'h3f569ef6, 32'h3f37f5f7,32'h3f60d74b,// invsqrt(1.5686) = 0.7984 +32'h3f33aac0,32'h3f95bc08,32'h3f9bd89b, 32'h3f91269b,32'h3fa06e09, 32'h3f8982e3,32'h3fa811c1,// invsqrt(0.7018) = 1.1937 +32'h3ef9653b,32'h3fb3bb8a,32'h3fbb118f, 32'h3fae3b05,32'h3fc09213, 32'h3fa50f7e,32'h3fc9bd9a,// invsqrt(0.4871) = 1.4328 +32'h40230e0f,32'h3f1d2d52,32'h3f2397a8, 32'h3f185d90,32'h3f28676a, 32'h3f1058a4,32'h3f306c56,// invsqrt(2.5477) = 0.6265 +32'h401f5de5,32'h3f1efc41,32'h3f25797d, 32'h3f1a1e54,32'h3f2a576a, 32'h3f1201c9,32'h3f3273f5,// invsqrt(2.4901) = 0.6337 +32'h3ed3510c,32'h3fc34185,32'h3fcb39be, 32'h3fbd4759,32'h3fd133e9, 32'h3fb35111,32'h3fdb2a31,// invsqrt(0.4127) = 1.5566 +32'h3fa87602,32'h3f5aafab,32'h3f639cb7, 32'h3f53fde2,32'h3f6a4e80, 32'h3f48d592,32'h3f7576d0,// invsqrt(1.3161) = 0.8717 +32'h3eecc2f2,32'h3fb87734,32'h3fbffeae, 32'h3fb2d198,32'h3fc5a44a, 32'h3fa9683f,32'h3fcf0da3,// invsqrt(0.4624) = 1.4705 +32'h3fcd7571,32'h3f460514,32'h3f4e1a2f, 32'h3f3ff540,32'h3f542a04, 32'h3f35dadf,32'h3f5e4465,// invsqrt(1.6051) = 0.7893 +32'h3f6ad568,32'h3f82f8a2,32'h3f885126, 32'h3f7dec7e,32'h3f8c5389, 32'h3f708f34,32'h3f93022e,// invsqrt(0.9173) = 1.0441 +32'h4027c603,32'h3f1af374,32'h3f214689, 32'h3f163525,32'h3f2604d9, 32'h3f0e4d4c,32'h3f2decb2,// invsqrt(2.6215) = 0.6176 +32'h3f30a9e0,32'h3f97007f,32'h3f9d2a4f, 32'h3f926122,32'h3fa1c9ac, 32'h3f8aacdd,32'h3fa97df1,// invsqrt(0.6901) = 1.2038 +32'h3e12ff06,32'h40258a3f,32'h402c4bf8, 32'h402078f3,32'h40315d43, 32'h401806cc,32'h4039cf6b,// invsqrt(0.1436) = 2.6393 +32'h3f38495e,32'h3f93d88a,32'h3f99e160, 32'h3f8f51e9,32'h3f9e6801, 32'h3f87c6dc,32'h3fa5f30e,// invsqrt(0.7199) = 1.1786 +32'h3f6d4510,32'h3f824c10,32'h3f879d89, 32'h3f7c9dec,32'h3f8b9aa4, 32'h3f6f523e,32'h3f92407b,// invsqrt(0.9268) = 1.0387 +32'h3fcec747,32'h3f45630e,32'h3f4d718c, 32'h3f3f5830,32'h3f537c6a, 32'h3f354612,32'h3f5d8e88,// invsqrt(1.6155) = 0.7868 +32'h3ebf1d06,32'h3fcd5139,32'h3fd5b294, 32'h3fc70834,32'h3fdbfb98, 32'h3fbc8e82,32'h3fe6754a,// invsqrt(0.3733) = 1.6368 +32'h3e807664,32'h3ffa6d92,32'h40025325, 32'h3ff2c308,32'h4006286a, 32'h3fe5fc23,32'h400c8bdc,// invsqrt(0.2509) = 1.9964 +32'h3f2abc8b,32'h3f9999bf,32'h3f9fdeb7, 32'h3f94e605,32'h3fa49271, 32'h3f8d0fcf,32'h3fac68a7,// invsqrt(0.6669) = 1.2245 +32'h3faee358,32'h3f56a158,32'h3f5f6403, 32'h3f500f58,32'h3f65f604, 32'h3f451c03,32'h3f70e959,// invsqrt(1.3663) = 0.8555 +32'h3f7f3700,32'h3f7b43ff,32'h3f82c2bc, 32'h3f7392e6,32'h3f869b49, 32'h3f66c110,32'h3f8d0434,// invsqrt(0.9969) = 1.0015 +32'h40dae510,32'h3ebfd8a4,32'h3ec7ad3e, 32'h3eb9f932,32'h3ecd8cb0, 32'h3eb02f72,32'h3ed75670,// invsqrt(6.8405) = 0.3823 +32'h400b47ec,32'h3f2a1029,32'h3f310126, 32'h3f24db6c,32'h3f3635e4, 32'h3f1c2e31,32'h3f3ee31f,// invsqrt(2.1763) = 0.6779 +32'h414b8682,32'h3e8caf45,32'h3e926d47, 32'h3e8860c2,32'h3e96bbca, 32'h3e81333f,32'h3e9de94d,// invsqrt(12.7203) = 0.2804 +32'h3f66d3ad,32'h3f841a62,32'h3f897eba, 32'h3f800f20,32'h3f8d89fc, 32'h3f72a366,32'h3f944769,// invsqrt(0.9017) = 1.0531 +32'h3f8ca8e3,32'h3f6f52ee,32'h3f79179f, 32'h3f67ff6a,32'h3f803592, 32'h3f5bc98d,32'h3f865081,// invsqrt(1.0989) = 0.9539 +32'h3f7298d3,32'h3f80dbcc,32'h3f861e3c, 32'h3f79d3ee,32'h3f8a1011, 32'h3f6cadd4,32'h3f90a31e,// invsqrt(0.9476) = 1.0273 +32'h40249ec6,32'h3f1c6d90,32'h3f22d014, 32'h3f17a3ae,32'h3f2799f6, 32'h3f0fa88a,32'h3f2f951a,// invsqrt(2.5722) = 0.6235 +32'h3fe4ab03,32'h3f3bb3a6,32'h3f435cf0, 32'h3f35f4ae,32'h3f491be8, 32'h3f2c6110,32'h3f52af86,// invsqrt(1.7865) = 0.7482 +32'h3f842ebe,32'h3f76e0fd,32'h3f807a4f, 32'h3f6f5244,32'h3f8441ac, 32'h3f62b9b9,32'h3f8a8df1,// invsqrt(1.0327) = 0.9841 +32'h41719b41,32'h3e811f59,32'h3e86648b, 32'h3e7a56e5,32'h3e8a5871, 32'h3e6d29e7,32'h3e90eef1,// invsqrt(15.1004) = 0.2573 +32'h3f83a8a2,32'h3f775e9a,32'h3f80bbae, 32'h3f6fcc08,32'h3f8484f7, 32'h3f632d15,32'h3f8ad470,// invsqrt(1.0286) = 0.9860 +32'h3eb9ef86,32'h3fd02808,32'h3fd8a70e, 32'h3fc9c8c4,32'h3fdf0652, 32'h3fbf29fd,32'h3fe9a519,// invsqrt(0.3632) = 1.6594 +32'h3f673ccb,32'h3f83fc58,32'h3f895f76, 32'h3f7fe404,32'h3f8d69cc, 32'h3f726c39,32'h3f9425b1,// invsqrt(0.9033) = 1.0522 +32'h3f2e3c32,32'h3f980cf5,32'h3f9e41bb, 32'h3f936560,32'h3fa2e950, 32'h3f8ba369,32'h3faaab47,// invsqrt(0.6806) = 1.2121 +32'h40c287f0,32'h3ecb8177,32'h3ed3cfe4, 32'h3ec546a4,32'h3eda0ab6, 32'h3ebae49c,32'h3ee46cbe,// invsqrt(6.0791) = 0.4056 +32'h40121edd,32'h3f260908,32'h3f2ccfee, 32'h3f20f3db,32'h3f31e51b, 32'h3f187b3c,32'h3f3a5dbb,// invsqrt(2.2831) = 0.6618 +32'h3dac7c88,32'h40581e8a,32'h4060f0c4, 32'h405180df,32'h40678e6f, 32'h40467a16,32'h40729538,// invsqrt(0.0842) = 3.4458 +32'h412f57ef,32'h3e9791bd,32'h3e9dc17b, 32'h3e92edee,32'h3ea2654a, 32'h3e8b3240,32'h3eaa20f8,// invsqrt(10.9590) = 0.3021 +32'h418517df,32'h3e760866,32'h3e800998, 32'h3e6e804e,32'h3e83cda4, 32'h3e61f2d0,32'h3e8a1463,// invsqrt(16.6367) = 0.2452 +32'h3dfff5e6,32'h403169a2,32'h4038a76a, 32'h402bfb4c,32'h403e15c0, 32'h4022ee12,32'h404722fa,// invsqrt(0.1250) = 2.8286 +32'h413d58eb,32'h3e91db52,32'h3e97cf60, 32'h3e8d6448,32'h3e9c466a, 32'h3e85f336,32'h3ea3b77c,// invsqrt(11.8342) = 0.2907 +32'h3e5a50ef,32'h4007d5e0,32'h400d6137, 32'h4003ad5e,32'h401189b8, 32'h3ff97e61,32'h401877e6,// invsqrt(0.2132) = 2.1657 +32'h408bfe3b,32'h3eefe4a1,32'h3ef9af45, 32'h3ee88ca7,32'h3f0083a0, 32'h3edc4f5b,32'h3f06a246,// invsqrt(4.3748) = 0.4781 +32'h3ed0668c,32'h3fc49e03,32'h3fcca476, 32'h3fbe992c,32'h3fd2a94c, 32'h3fb4911c,32'h3fdcb15c,// invsqrt(0.4070) = 1.5674 +32'h3f0cb867,32'h3fa930ef,32'h3fb018cf, 32'h3fa40307,32'h3fb546b7, 32'h3f9b612f,32'h3fbde88f,// invsqrt(0.5497) = 1.3488 +32'h3ef68a9d,32'h3fb4c516,32'h3fbc25f2, 32'h3faf3c71,32'h3fc1ae97, 32'h3fa6035d,32'h3fcae7ab,// invsqrt(0.4815) = 1.4411 +32'h3e2cb779,32'h4018b7b0,32'h401ef36e, 32'h40140ae1,32'h4023a03d, 32'h400c4034,32'h402b6aea,// invsqrt(0.1687) = 2.4349 +32'h3fe6b7ff,32'h3f3add9f,32'h3f427e2d, 32'h3f352534,32'h3f483698, 32'h3f2b9c82,32'h3f51bf4a,// invsqrt(1.8025) = 0.7448 +32'h40f82d90,32'h3eb42c41,32'h3ebb86e1, 32'h3eaea84a,32'h3ec10ad8, 32'h3ea57702,32'h3eca3c20,// invsqrt(7.7556) = 0.3591 +32'h40272e0a,32'h3f1b39d2,32'h3f218fc6, 32'h3f16795b,32'h3f26503d, 32'h3f0e8deb,32'h3f2e3bad,// invsqrt(2.6122) = 0.6187 +32'h3d3e4a25,32'h40917ec1,32'h40976f07, 32'h408d0a8c,32'h409be33c, 32'h40859e34,32'h40a34f94,// invsqrt(0.0465) = 4.6395 +32'h3e5bc646,32'h4007624e,32'h400ce8ee, 32'h40033d56,32'h40110de6, 32'h3ff8aa1c,32'h4017f62e,// invsqrt(0.2146) = 2.1585 +32'h3e9ba8ec,32'h3fe3802d,32'h3fecc954, 32'h3fdc8951,32'h3ff3c031, 32'h3fd0ede1,32'h3fff5ba1,// invsqrt(0.3040) = 1.8136 +32'h4072a0a9,32'h3f00d9b7,32'h3f061c13, 32'h3ef9cfe6,32'h3f0a0dd7, 32'h3eecaa03,32'h3f10a0c9,// invsqrt(3.7911) = 0.5136 +32'h3f9b41b1,32'h3f63cbc3,32'h3f6d17ff, 32'h3f5cd296,32'h3f74112c, 32'h3f51334a,32'h3f7fb078,// invsqrt(1.2129) = 0.9080 +32'h3fd86337,32'h3f40f459,32'h3f48d487, 32'h3f3b0c37,32'h3f4ebca9, 32'h3f3133fe,32'h3f5894e2,// invsqrt(1.6905) = 0.7691 +32'h401ae57a,32'h3f214364,32'h3f27d86d, 32'h3f1c539c,32'h3f2cc834, 32'h3f141951,32'h3f35027f,// invsqrt(2.4203) = 0.6428 +32'h3e0aab66,32'h402a7009,32'h403164ef, 32'h4025385c,32'h40369c9c, 32'h401c863c,32'h403f4ebc,// invsqrt(0.1354) = 2.7174 +32'h3f803010,32'h3f7ab23b,32'h3f8276e0, 32'h3f730598,32'h3f864d32, 32'h3f663b31,32'h3f8cb265,// invsqrt(1.0015) = 0.9993 +32'h415362dc,32'h3e8a0b48,32'h3e8fadb4, 32'h3e85d178,32'h3e93e784, 32'h3e7d8ce3,32'h3e9af28b,// invsqrt(13.2116) = 0.2751 +32'h410748d0,32'h3eac8e8c,32'h3eb39996, 32'h3ea74643,32'h3eb8e1df, 32'h3e9e7876,32'h3ec1afac,// invsqrt(8.4553) = 0.3439 +32'h3f3d3f6a,32'h3f91e525,32'h3f97d99a, 32'h3f8d6dce,32'h3f9c50f2, 32'h3f85fc3d,32'h3fa3c283,// invsqrt(0.7392) = 1.1631 +32'h3eaf5f41,32'h3fd65578,32'h3fdf150a, 32'h3fcfc5cb,32'h3fe5a4b7, 32'h3fc4d654,32'h3ff0942e,// invsqrt(0.3425) = 1.7087 +32'h3fb5226e,32'h3f52e5a3,32'h3f5b814c, 32'h3f4c70e5,32'h3f61f60b, 32'h3f41ae52,32'h3f6cb89e,// invsqrt(1.4151) = 0.8406 +32'h3a941a9d,32'h41e93b55,32'h41f2c05d, 32'h41e2178e,32'h41f9e424, 32'h41d63143,32'h4202e537,// invsqrt(0.0011) = 29.7489 +32'h3fe42297,32'h3f3bebbc,32'h3f439751, 32'h3f362b0d,32'h3f495801, 32'h3f2c9493,32'h3f52ee7b,// invsqrt(1.7823) = 0.7490 +32'h3fa34f91,32'h3f5e1b91,32'h3f672c5d, 32'h3f574ef7,32'h3f6df8f7, 32'h3f4bf9f8,32'h3f794df6,// invsqrt(1.2759) = 0.8853 +32'h41174e18,32'h3ea32a76,32'h3ea9d361, 32'h3e9e2bc7,32'h3eaed211, 32'h3e95d8a1,32'h3eb72537,// invsqrt(9.4566) = 0.3252 +32'h3f4204c9,32'h3f901713,32'h3f95f8ab, 32'h3f8bade1,32'h3f9a61dd, 32'h3f8453e2,32'h3fa1bbdc,// invsqrt(0.7579) = 1.1487 +32'h3ec3a237,32'h3fcaee70,32'h3fd336de, 32'h3fc4b81f,32'h3fd96d2f, 32'h3fba5d96,32'h3fe3c7b8,// invsqrt(0.3821) = 1.6178 +32'h3ef58b78,32'h3fb522e9,32'h3fbc8799, 32'h3faf9764,32'h3fc2131e, 32'h3fa65987,32'h3fcb50fb,// invsqrt(0.4796) = 1.4440 +32'h3ef7d982,32'h3fb44acc,32'h3fbba6aa, 32'h3faec5e5,32'h3fc12b91, 32'h3fa5930f,32'h3fca5e67,// invsqrt(0.4841) = 1.4373 +32'h3ea71894,32'h3fdb93dc,32'h3fe48a38, 32'h3fd4db16,32'h3feb42fe, 32'h3fc9a723,32'h3ff676f1,// invsqrt(0.3264) = 1.7505 +32'h3f902a2d,32'h3f6c6591,32'h3f760bab, 32'h3f6528fc,32'h3f7d4840, 32'h3f59195b,32'h3f84abf0,// invsqrt(1.1263) = 0.9423 +32'h40166a97,32'h3f23a5ac,32'h3f2a539f, 32'h3f1ea337,32'h3f2f5615, 32'h3f1649c9,32'h3f37af83,// invsqrt(2.3503) = 0.6523 +32'h3fefa678,32'h3f3759b9,32'h3f3ed58d, 32'h3f31bcdb,32'h3f44726b, 32'h3f286213,32'h3f4dcd33,// invsqrt(1.8723) = 0.7308 +32'h3f269b17,32'h3f9b7e38,32'h3fa1d6f6, 32'h3f96bba9,32'h3fa69985, 32'h3f8eccbb,32'h3fae8873,// invsqrt(0.6508) = 1.2396 +32'h3f96d728,32'h3f671b42,32'h3f708a16, 32'h3f600823,32'h3f779d35, 32'h3f543d9b,32'h3f81b3df,// invsqrt(1.1784) = 0.9212 +32'h3e314311,32'h4016bf31,32'h401ce658, 32'h401221d5,32'h402183b5, 32'h400a70e4,32'h402934a6,// invsqrt(0.1731) = 2.4035 +32'h406acfe1,32'h3f02fa2d,32'h3f0852c1, 32'h3efdef7c,32'h3f0c5530, 32'h3ef09209,32'h3f1303e9,// invsqrt(3.6689) = 0.5221 +32'h3f861a8b,32'h3f751aaa,32'h3f7f1bc0, 32'h3f6d99d9,32'h3f834e49, 32'h3f61187c,32'h3f898ef7,// invsqrt(1.0477) = 0.9770 +32'h40f50d04,32'h3eb5519f,32'h3ebcb838, 32'h3eafc4ad,32'h3ec2452b, 32'h3ea6846e,32'h3ecb856a,// invsqrt(7.6578) = 0.3614 +32'h3dadc26d,32'h4057537f,32'h40601d6f, 32'h4050bc0b,32'h4066b4e3, 32'h4045bf9e,32'h4071b150,// invsqrt(0.0848) = 3.4331 +32'h3e066213,32'h402d2271,32'h40343385, 32'h4027d5a2,32'h40398054, 32'h401f0048,32'h404255ae,// invsqrt(0.1312) = 2.7604 +32'h3f0a8d4e,32'h3faa828b,32'h3fb17833, 32'h3fa54a4d,32'h3fb6b071, 32'h3f9c973c,32'h3fbf6382,// invsqrt(0.5412) = 1.3593 +32'h3f86ae8c,32'h3f7493d8,32'h3f7e8f6e, 32'h3f6d1728,32'h3f83060f, 32'h3f609cac,32'h3f89434d,// invsqrt(1.0522) = 0.9749 +32'h3eec82e8,32'h3fb8902c,32'h3fc018ab, 32'h3fb2e9cc,32'h3fc5bf0a, 32'h3fa97f2d,32'h3fcf29a9,// invsqrt(0.4619) = 1.4713 +32'h3dd563a6,32'h40424e2b,32'h404a3c76, 32'h403c5b73,32'h40502f2f, 32'h40327196,32'h405a190c,// invsqrt(0.1042) = 3.0980 +32'h3edb4a05,32'h3fbfac76,32'h3fc77f41, 32'h3fb9ce5d,32'h3fcd5d59, 32'h3fb006df,32'h3fd724d7,// invsqrt(0.4283) = 1.5280 +32'h3f3c917a,32'h3f922860,32'h3f981f92, 32'h3f8daef9,32'h3f9c98f9, 32'h3f8639fa,32'h3fa40df8,// invsqrt(0.7366) = 1.1652 +32'h3e197973,32'h40220233,32'h40289f06, 32'h401d0c94,32'h402d94a4, 32'h4014c88c,32'h4035d8ac,// invsqrt(0.1499) = 2.5830 +32'h3fad879f,32'h3f5777f7,32'h3f604365, 32'h3f50df65,32'h3f66dbf7, 32'h3f45e11d,32'h3f71da3f,// invsqrt(1.3557) = 0.8589 +32'h3fae53ee,32'h3f56f98f,32'h3f5fbfd4, 32'h3f5064dc,32'h3f665488, 32'h3f456d07,32'h3f714c5d,// invsqrt(1.3619) = 0.8569 +32'h3f67f9d8,32'h3f83c685,32'h3f892770, 32'h3f7f7ba8,32'h3f8d3020, 32'h3f72095c,32'h3f93e946,// invsqrt(0.9062) = 1.0505 +32'h3ee51085,32'h3fbb8a0a,32'h3fc331a2, 32'h3fb5cc58,32'h3fc8ef54, 32'h3fac3ada,32'h3fd280d2,// invsqrt(0.4474) = 1.4951 +32'h3f534655,32'h3f8a1499,32'h3f8fb766, 32'h3f85da80,32'h3f93f180, 32'h3f7d9e00,32'h3f9afd00,// invsqrt(0.8253) = 1.1008 +32'h401305b8,32'h3f25867a,32'h3f2c480c, 32'h3f20754c,32'h3f31593a, 32'h3f180356,32'h3f39cb30,// invsqrt(2.2972) = 0.6598 +32'h3eb39d70,32'h3fd3c987,32'h3fdc6e7d, 32'h3fcd4dcf,32'h3fe2ea35, 32'h3fc27f9b,32'h3fedb869,// invsqrt(0.3508) = 1.6884 +32'h412e15de,32'h3e981db1,32'h3e9e5325, 32'h3e937599,32'h3ea2fb3d, 32'h3e8bb2c7,32'h3eaabe0f,// invsqrt(10.8803) = 0.3032 +32'h40221006,32'h3f1da852,32'h3f2417ae, 32'h3f18d4cd,32'h3f28eb33, 32'h3f10c99a,32'h3f30f666,// invsqrt(2.5322) = 0.6284 +32'h43d2b1ac,32'h3d438b50,32'h3d4b868c, 32'h3d3d8ee2,32'h3d5182fa, 32'h3d3394d6,32'h3d5b7d06,// invsqrt(421.3881) = 0.0487 +32'h3ed64672,32'h3fc1e73c,32'h3fc9d153, 32'h3fbbf7aa,32'h3fcfc0e4, 32'h3fb2130d,32'h3fd9a581,// invsqrt(0.4185) = 1.5458 +32'h3f8cb884,32'h3f6f45a4,32'h3f7909ca, 32'h3f67f287,32'h3f802e73, 32'h3f5bbd58,32'h3f86490b,// invsqrt(1.0994) = 0.9537 +32'h3ff97f0b,32'h3f33b23d,32'h3f3b07e1, 32'h3f2e3201,32'h3f40881d, 32'h3f2506f4,32'h3f49b32a,// invsqrt(1.9492) = 0.7163 +32'h3e06f174,32'h402cc65e,32'h4033d3b0, 32'h40277c60,32'h40391dae, 32'h401eabba,32'h4041ee55,// invsqrt(0.1318) = 2.7547 +32'h3f869c4f,32'h3f74a469,32'h3f7ea0ac, 32'h3f6d2737,32'h3f830eef, 32'h3f60abe4,32'h3f894c99,// invsqrt(1.0516) = 0.9751 +32'h4047e99b,32'h3f0df341,32'h3f13be7d, 32'h3f099ad4,32'h3f1816ea, 32'h3f025cc9,32'h3f1f54f5,// invsqrt(3.1236) = 0.5658 +32'h406be897,32'h3f02ac29,32'h3f08018d, 32'h3efd583a,32'h3f0c0199, 32'h3ef002bd,32'h3f12ac57,// invsqrt(3.6861) = 0.5209 +32'h3f267903,32'h3f9b8e21,32'h3fa1e785, 32'h3f96cb15,32'h3fa6aa91, 32'h3f8edb58,32'h3fae9a4e,// invsqrt(0.6503) = 1.2401 +32'h3d2ed0c3,32'h4097cc4b,32'h409dfe6d, 32'h409326b1,32'h40a2a407, 32'h408b6806,32'h40aa62b2,// invsqrt(0.0427) = 4.8405 +32'h3fbc9ed3,32'h3f4eab6e,32'h3f571aea, 32'h3f4857d0,32'h3f5d6e88, 32'h3f3dcc74,32'h3f67f9e4,// invsqrt(1.4736) = 0.8238 +32'h3fb24096,32'h3f54985f,32'h3f5d45c7, 32'h3f4e1652,32'h3f63c7d4, 32'h3f433d91,32'h3f6ea095,// invsqrt(1.3926) = 0.8474 +32'h3e9ee83b,32'h3fe12a08,32'h3fea5ac4, 32'h3fda457a,32'h3ff13f52, 32'h3fcec88f,32'h3ffcbc3d,// invsqrt(0.3104) = 1.7950 +32'h3fdde63f,32'h3f3e8b01,32'h3f4651fb, 32'h3f38b5c4,32'h3f4c2738, 32'h3f2efd0b,32'h3f55dff1,// invsqrt(1.7336) = 0.7595 +32'h408cf190,32'h3eef1533,32'h3ef8d75f, 32'h3ee7c392,32'h3f001480, 32'h3edb90db,32'h3f062ddb,// invsqrt(4.4045) = 0.4765 +32'h3ed6828d,32'h3fc1cc0f,32'h3fc9b50b, 32'h3fbbdd53,32'h3fcfa3c7, 32'h3fb1fa18,32'h3fd98702,// invsqrt(0.4190) = 1.5449 +32'h4123b7ae,32'h3e9cdbd1,32'h3ea342d4, 32'h3e980e8e,32'h3ea81016, 32'h3e900dca,32'h3eb010da,// invsqrt(10.2323) = 0.3126 +32'h3d3d30a8,32'h4091ead6,32'h4097df86, 32'h408d7352,32'h409c570a, 32'h40860176,32'h40a3c8e6,// invsqrt(0.0462) = 4.6530 +32'h3d0285f7,32'h40afad03,32'h40b6d8a5, 32'h40aa4c49,32'h40bc395f, 32'h40a155bf,32'h40c52fe9,// invsqrt(0.0319) = 5.6019 +32'h403b70ad,32'h3f1298cd,32'h3f189497, 32'h3f0e1bf6,32'h3f1d116e, 32'h3f06a13a,32'h3f248c2a,// invsqrt(2.9288) = 0.5843 +32'h3fe661e9,32'h3f3b0085,32'h3f42a27f, 32'h3f354708,32'h3f485bfc, 32'h3f2bbc8f,32'h3f51e675,// invsqrt(1.7999) = 0.7454 +32'h3f7239f0,32'h3f80f507,32'h3f86387f, 32'h3f7a04d9,32'h3f8a2b1a, 32'h3f6cdc2c,32'h3f90bf70,// invsqrt(0.9462) = 1.0280 +32'h3fd22398,32'h3f43cd60,32'h3f4bcb4e, 32'h3f3dceec,32'h3f51c9c2, 32'h3f33d182,32'h3f5bc72d,// invsqrt(1.6417) = 0.7805 +32'h3f1303ed,32'h3fa5877c,32'h3fac4918, 32'h3fa07646,32'h3fb15a4e, 32'h3f980443,32'h3fb9cc51,// invsqrt(0.5743) = 1.3196 +32'h3f438d78,32'h3f8f861e,32'h3f9561cc, 32'h3f8b215c,32'h3f99c68e, 32'h3f83cec3,32'h3fa11927,// invsqrt(0.7639) = 1.1442 +32'h3f7d9b0c,32'h3f7c0fc0,32'h3f832cc4, 32'h3f745869,32'h3f870870, 32'h3f677c2d,32'h3f8d768d,// invsqrt(0.9906) = 1.0047 +32'h4033408b,32'h3f15e85e,32'h3f1c06bf, 32'h3f115194,32'h3f209d88, 32'h3f09ab99,32'h3f284383,// invsqrt(2.8008) = 0.5975 +32'h3ebd33e4,32'h3fce59f4,32'h3fd6c61d, 32'h3fc808d4,32'h3fdd173c, 32'h3fbd81a1,32'h3fe79e6f,// invsqrt(0.3695) = 1.6450 +32'h40434f9b,32'h3f0f9cd7,32'h3f157973, 32'h3f0b3763,32'h3f19dee7, 32'h3f03e3a1,32'h3f2132a9,// invsqrt(3.0517) = 0.5724 +32'h419b0160,32'h3e63fb00,32'h3e6d492a, 32'h3e5d0061,32'h3e7443c9, 32'h3e515eac,32'h3e7fe57e,// invsqrt(19.3757) = 0.2272 +32'h3f848987,32'h3f768c61,32'h3f804e47, 32'h3f6f003f,32'h3f841459, 32'h3f626c06,32'h3f8a5e75,// invsqrt(1.0354) = 0.9827 +32'h3f144fe0,32'h3fa4cdd6,32'h3fab87de, 32'h3f9fc24f,32'h3fb09365, 32'h3f9759c4,32'h3fb8fbf0,// invsqrt(0.5793) = 1.3138 +32'h3f9d6d0b,32'h3f623892,32'h3f6b7459, 32'h3f5b4bbc,32'h3f72612e, 32'h3f4fc103,32'h3f7debe7,// invsqrt(1.2299) = 0.9017 +32'h40998900,32'h3ee511c5,32'h3eee6b50, 32'h3ede0e9e,32'h3ef56e78, 32'h3ed25eb0,32'h3f008f33,// invsqrt(4.7980) = 0.4565 +32'h3f817353,32'h3f797872,32'h3f81d394, 32'h3f71d569,32'h3f85a518, 32'h3f651b05,32'h3f8c024a,// invsqrt(1.0113) = 0.9944 +32'h3fd90fca,32'h3f40a796,32'h3f4884a1, 32'h3f3ac1cd,32'h3f4e6a69, 32'h3f30ed7f,32'h3f583eb7,// invsqrt(1.6958) = 0.7679 +32'h3f6d8495,32'h3f823aa3,32'h3f878b65, 32'h3f7c7c21,32'h3f8b87f7, 32'h3f6f323a,32'h3f922ceb,// invsqrt(0.9278) = 1.0382 +32'h3e5c8848,32'h400726b4,32'h400caae6, 32'h40030390,32'h4010ce0a, 32'h3ff83ca4,32'h4017b348,// invsqrt(0.2154) = 2.1548 +32'h3f3c5792,32'h3f923ed6,32'h3f9836f3, 32'h3f8dc4bf,32'h3f9cb109, 32'h3f864e9a,32'h3fa4272e,// invsqrt(0.7357) = 1.1659 +32'h408cbf11,32'h3eef4012,32'h3ef903fe, 32'h3ee7ed21,32'h3f002b77, 32'h3edbb83a,32'h3f0645eb,// invsqrt(4.3983) = 0.4768 +32'h40747639,32'h3f005dbc,32'h3f059b08, 32'h3ef8df87,32'h3f098900, 32'h3eebc64a,32'h3f10159f,// invsqrt(3.8197) = 0.5117 +32'h3e8d8703,32'h3fee96d6,32'h3ff853d9, 32'h3fe74913,32'h3fffa19b, 32'h3fdb1cce,32'h4005e6f0,// invsqrt(0.2764) = 1.9020 +32'h3ca208ce,32'h40defb14,32'h40e81500, 32'h40d827a3,32'h40eee871, 32'h40ccc73c,32'h40fa48d8,// invsqrt(0.0198) = 7.1104 +32'h3f719860,32'h3f81201e,32'h3f866558, 32'h3f7a5863,32'h3f8a5944, 32'h3f6d2b51,32'h3f90efce,// invsqrt(0.9437) = 1.0294 +32'h3fbdee15,32'h3f4df4b6,32'h3f565cbd, 32'h3f47a6b0,32'h3f5caac2, 32'h3f3d24a6,32'h3f672ccc,// invsqrt(1.4838) = 0.8209 +32'h3f62dc7f,32'h3f8540ad,32'h3f8ab107, 32'h3f812c69,32'h3f8ec54b, 32'h3f74bfef,32'h3f9591bd,// invsqrt(0.8862) = 1.0623 +32'h3ee2c22d,32'h3fbc7d8b,32'h3fc42f13, 32'h3fb6b865,32'h3fc9f439, 32'h3fad1a7a,32'h3fd39224,// invsqrt(0.4429) = 1.5026 +32'h406aef79,32'h3f02f15e,32'h3f084996, 32'h3efdde68,32'h3f0c4bc0, 32'h3ef081dc,32'h3f12fa06,// invsqrt(3.6709) = 0.5219 +32'h3e951c2e,32'h3fe8718e,32'h3ff1ee5a, 32'h3fe153f5,32'h3ff90bf3, 32'h3fd577f5,32'h400273f9,// invsqrt(0.2912) = 1.8530 +32'h3f5b10c0,32'h3f879a5a,32'h3f8d2344, 32'h3f8373ab,32'h3f9149f3, 32'h3f79110e,32'h3f983517,// invsqrt(0.8557) = 1.0810 +32'h3db686ee,32'h4052174a,32'h405aaa86, 32'h404ba8dc,32'h406118f4, 32'h4040f0d1,32'h406bd0ff,// invsqrt(0.0891) = 3.3497 +32'h43a6199a,32'h3d5c3c24,32'h3d65395f, 32'h3d557e38,32'h3d6bf74c, 32'h3d4a41af,32'h3d7733d5,// invsqrt(332.2000) = 0.0549 +32'h3f582440,32'h3f88845c,32'h3f8e16d3, 32'h3f845684,32'h3f9244ac, 32'h3f7abede,32'h3f993bc1,// invsqrt(0.8443) = 1.0883 +32'h40fd98f5,32'h3eb23cbf,32'h3eb98324, 32'h3eacc7f2,32'h3ebef7f0, 32'h3ea3aff2,32'h3ec80ff0,// invsqrt(7.9249) = 0.3552 +32'h3f2b5953,32'h3f99536a,32'h3f9f9584, 32'h3f94a1d7,32'h3fa44717, 32'h3f8ccf38,32'h3fac19b6,// invsqrt(0.6693) = 1.2223 +32'h3e1a3509,32'h40219f8b,32'h40283857, 32'h401cacf2,32'h402d2af0, 32'h40146df2,32'h403569f0,// invsqrt(0.1506) = 2.5769 +32'h3f977207,32'h3f66a4f9,32'h3f700ef9, 32'h3f5f9579,32'h3f771e79, 32'h3f53d0fa,32'h3f81717c,// invsqrt(1.1832) = 0.9193 +32'h4053b489,32'h3f09f0a4,32'h3f0f91fa, 32'h3f05b7a5,32'h3f13caf9, 32'h3efd5bf5,32'h3f1ad4a4,// invsqrt(3.3079) = 0.5498 +32'h40c9a8c4,32'h3ec7e069,32'h3ed008eb, 32'h3ec1c208,32'h3ed6274c, 32'h3eb78f66,32'h3ee059ee,// invsqrt(6.3019) = 0.3984 +32'h3e6e5b02,32'h40020002,32'h40074e60, 32'h3ffc0a77,32'h400b4927, 32'h3feec68b,32'h4011eb1c,// invsqrt(0.2328) = 2.0727 +32'h3f3ded87,32'h3f91a236,32'h3f9793f0, 32'h3f8d2cec,32'h3f9c093a, 32'h3f85bec4,32'h3fa37762,// invsqrt(0.7419) = 1.1610 +32'h3f883ff4,32'h3f732a87,32'h3f7d175d, 32'h3f6bb8e6,32'h3f82447f, 32'h3f5f50da,32'h3f887885,// invsqrt(1.0645) = 0.9693 +32'h3fbebe22,32'h3f4d8445,32'h3f55e7b5, 32'h3f4739b0,32'h3f5c324a, 32'h3f3cbd64,32'h3f66ae96,// invsqrt(1.4902) = 0.8192 +32'h41207293,32'h3e9e72f1,32'h3ea4ea93, 32'h3e999938,32'h3ea9c44c, 32'h3e9183af,32'h3eb1d9d5,// invsqrt(10.0280) = 0.3158 +32'h3ec6f3b6,32'h3fc93b5f,32'h3fd1720b, 32'h3fc3125f,32'h3fd79b0b, 32'h3fb8ce09,32'h3fe1df61,// invsqrt(0.3886) = 1.6042 +32'h3f71d469,32'h3f811016,32'h3f8654a9, 32'h3f7a394e,32'h3f8a4817, 32'h3f6d0dde,32'h3f90ddcf,// invsqrt(0.9446) = 1.0289 +32'h3f5a6c8a,32'h3f87cd4a,32'h3f8d5848, 32'h3f83a50c,32'h3f918086, 32'h3f796e9d,32'h3f986e44,// invsqrt(0.8532) = 1.0826 +32'h3fef3b59,32'h3f3782c2,32'h3f3f0042, 32'h3f31e4a2,32'h3f449e62, 32'h3f2887c2,32'h3f4dfb42,// invsqrt(1.8690) = 0.7315 +32'h3f45f170,32'h3f8ea795,32'h3f947a2d, 32'h3f8a49a3,32'h3f98d81f, 32'h3f830264,32'h3fa01f5e,// invsqrt(0.7732) = 1.1372 +32'h40acbe28,32'h3ed7f579,32'h3ee0c605, 32'h3ed1590f,32'h3ee7626f, 32'h3ec6545f,32'h3ef2671f,// invsqrt(5.3982) = 0.4304 +32'h3fb99662,32'h3f5059ff,32'h3f58db0f, 32'h3f49f933,32'h3f5f3bdb, 32'h3f3f57e0,32'h3f69dd2e,// invsqrt(1.4499) = 0.8305 +32'h4022a274,32'h3f1d6149,32'h3f23cdbf, 32'h3f188ff1,32'h3f289f17, 32'h3f10885d,32'h3f30a6ab,// invsqrt(2.5412) = 0.6273 +32'h3fc35799,32'h3f4b152e,32'h3f535f30, 32'h3f44ddad,32'h3f5996b1, 32'h3f3a812a,32'h3f63f334,// invsqrt(1.5261) = 0.8095 +32'h3e75d298,32'h400002a7,32'h40053c3a, 32'h3ff82eee,32'h40092769, 32'h3feb1efd,32'h400faf62,// invsqrt(0.2401) = 2.0410 +32'h3f1aac3f,32'h3fa16136,32'h3fa7f777, 32'h3f9c7086,32'h3face828, 32'h3f9434b5,32'h3fb523f9,// invsqrt(0.6042) = 1.2865 +32'h3f4cdded,32'h3f8c3929,32'h3f91f259, 32'h3f87ee44,32'h3f963d3e, 32'h3f80c6c7,32'h3f9d64bb,// invsqrt(0.8003) = 1.1179 +32'h3ec88c45,32'h3fc86dfd,32'h3fd09c46, 32'h3fc24b46,32'h3fd6befc, 32'h3fb8116a,32'h3fe0f8d8,// invsqrt(0.3917) = 1.5978 +32'h3fda7e33,32'h3f4005c8,32'h3f47dc38, 32'h3f3a24f3,32'h3f4dbd0d, 32'h3f3058e6,32'h3f57891a,// invsqrt(1.7070) = 0.7654 +32'h3fccb874,32'h3f466066,32'h3f4e793b, 32'h3f404dc6,32'h3f548bda, 32'h3f362ebb,32'h3f5eaae5,// invsqrt(1.5994) = 0.7907 +32'h3fa09309,32'h3f5ffe02,32'h3f692280, 32'h3f5922a4,32'h3f6ffdde, 32'h3f4db507,32'h3f7b6b7b,// invsqrt(1.2545) = 0.8928 +32'h400806d9,32'h3f2c15d9,32'h3f331bf7, 32'h3f26d143,32'h3f38608d, 32'h3f1e099e,32'h3f412832,// invsqrt(2.1254) = 0.6859 +32'h3fa07ebe,32'h3f600c2b,32'h3f69313c, 32'h3f59305d,32'h3f700d09, 32'h3f4dc208,32'h3f7b7b5f,// invsqrt(1.2539) = 0.8930 +32'h3ed3dd5f,32'h3fc300d0,32'h3fcaf666, 32'h3fbd08a0,32'h3fd0ee96, 32'h3fb315a5,32'h3fdae191,// invsqrt(0.4138) = 1.5546 +32'h3fae2756,32'h3f571513,32'h3f5fdc77, 32'h3f507f88,32'h3f667202, 32'h3f45864b,32'h3f716b3f,// invsqrt(1.3606) = 0.8573 +32'h4037b882,32'h3f1412c8,32'h3f1a1e00, 32'h3f0f8a5f,32'h3f1ea669, 32'h3f07fc5a,32'h3f26346e,// invsqrt(2.8706) = 0.5902 +32'h3f34e1b2,32'h3f953b1d,32'h3f9b526d, 32'h3f90a9a2,32'h3f9fe3e8, 32'h3f890c7e,32'h3fa7810c,// invsqrt(0.7066) = 1.1897 +32'h3fa7e889,32'h3f5b0bb8,32'h3f63fc86, 32'h3f54571d,32'h3f6ab121, 32'h3f492a1c,32'h3f75de22,// invsqrt(1.3118) = 0.8731 +32'h3db5c630,32'h4052868f,32'h405b1e56, 32'h404c14b9,32'h4061902b, 32'h40415700,32'h406c4de4,// invsqrt(0.0888) = 3.3566 +32'h3fb2adc8,32'h3f54575f,32'h3f5d021f, 32'h3f4dd74f,32'h3f63822f, 32'h3f4301df,32'h3f6e579f,// invsqrt(1.3959) = 0.8464 +32'h3e1a671f,32'h40218552,32'h40281d0c, 32'h401c9386,32'h402d0ed8, 32'h401455de,32'h40354c81,// invsqrt(0.1508) = 2.5753 +32'h4079be1c,32'h3efe00e5,32'h3f042f7d, 32'h3ef63a57,32'h3f0812c4, 32'h3ee944be,32'h3f0e8d91,// invsqrt(3.9022) = 0.5062 +32'h3fbed63b,32'h3f4d774a,32'h3f55da33, 32'h3f472d1c,32'h3f5c2462, 32'h3f3cb179,32'h3f66a005,// invsqrt(1.4909) = 0.8190 +32'h3fd9ec27,32'h3f404615,32'h3f481f25, 32'h3f3a6348,32'h3f4e01f2, 32'h3f3093f4,32'h3f57d146,// invsqrt(1.7025) = 0.7664 +32'h3f062f28,32'h3fad4347,32'h3fb455b3, 32'h3fa7f577,32'h3fb9a383, 32'h3f9f1e70,32'h3fc27a8a,// invsqrt(0.5242) = 1.3812 +32'h3e22ab9d,32'h401d5cda,32'h4023c922, 32'h40188ba4,32'h40289a58, 32'h4010844b,32'h4030a1b1,// invsqrt(0.1589) = 2.5090 +32'h3f6fe4b9,32'h3f819528,32'h3f86df2a, 32'h3f7b3b4e,32'h3f8ad6ab, 32'h3f6e024a,32'h3f91732d,// invsqrt(0.9371) = 1.0330 +32'h3fda172c,32'h3f40331e,32'h3f480b68, 32'h3f3a50e6,32'h3f4deda0, 32'h3f308289,32'h3f57bbfd,// invsqrt(1.7038) = 0.7661 +32'h3f02abc3,32'h3faf9399,32'h3fb6be31, 32'h3faa33a6,32'h3fbc1e24, 32'h3fa13e68,32'h3fc51362,// invsqrt(0.5104) = 1.3997 +32'h400e9cb4,32'h3f2810b1,32'h3f2eeccd, 32'h3f22eb9c,32'h3f3411e2, 32'h3f1a5879,32'h3f3ca505,// invsqrt(2.2283) = 0.6699 +32'h3f9176f7,32'h3f6b568c,32'h3f74f197, 32'h3f642244,32'h3f7c25e0, 32'h3f582077,32'h3f8413d7,// invsqrt(1.1364) = 0.9381 +32'h42c00e23,32'h3dccd02f,32'h3dd52c45, 32'h3dc68b1e,32'h3ddb7156, 32'h3dbc1801,32'h3de5e473,// invsqrt(96.0276) = 0.1020 +32'h3dd0ec58,32'h40445f03,32'h404c62e4, 32'h403e5c1b,32'h405265cd, 32'h40345742,32'h405c6aa6,// invsqrt(0.1020) = 3.1309 +32'h3f958760,32'h3f681e2d,32'h3f719793, 32'h3f610322,32'h3f78b29e, 32'h3f552b63,32'h3f82452e,// invsqrt(1.1682) = 0.9252 +32'h408ec9cf,32'h3eed888d,32'h3ef73a89, 32'h3ee64311,32'h3efe8005, 32'h3eda2497,32'h3f054f40,// invsqrt(4.4621) = 0.4734 +32'h3f207f80,32'h3f9e6c90,32'h3fa4e3ee, 32'h3f999309,32'h3fa9bd75, 32'h3f917dd2,32'h3fb1d2ac,// invsqrt(0.6269) = 1.2629 +32'h3f21388d,32'h3f9e118a,32'h3fa48532, 32'h3f993acc,32'h3fa95bf0, 32'h3f912a3b,32'h3fb16c81,// invsqrt(0.6298) = 1.2601 +32'h4000d4e3,32'h3f30d353,32'h3f380af8, 32'h3f2b6996,32'h3f3d74b4, 32'h3f226408,32'h3f467a42,// invsqrt(2.0130) = 0.7048 +32'h3e7bb1d8,32'h3ffd043f,32'h4003ac01, 32'h3ff5456b,32'h40078b6a, 32'h3fe85cb6,32'h400dffc5,// invsqrt(0.2458) = 2.0170 +32'h3efa24d2,32'h3fb376a8,32'h3fbac9de, 32'h3fadf840,32'h3fc04846, 32'h3fa4d03c,32'h3fc9704a,// invsqrt(0.4886) = 1.4307 +32'h4115c219,32'h3ea401a2,32'h3eaab354, 32'h3e9efc5b,32'h3eafb89b, 32'h3e969e3c,32'h3eb816bb,// invsqrt(9.3599) = 0.3269 +32'h3f96a239,32'h3f6743d9,32'h3f70b455, 32'h3f602f7c,32'h3f77c8b2, 32'h3f5462e2,32'h3f81caa6,// invsqrt(1.1768) = 0.9218 +32'h40cb703b,32'h3ec7002d,32'h3ecf1f87, 32'h3ec0e8a9,32'h3ed5370b, 32'h3eb6c177,32'h3edf5e3d,// invsqrt(6.3575) = 0.3966 +32'h3f80f6c1,32'h3f79f0d2,32'h3f821239, 32'h3f724a1a,32'h3f85e595, 32'h3f658992,32'h3f8c45d9,// invsqrt(1.0075) = 0.9963 +32'h3d95518d,32'h406847ff,32'h4071c319, 32'h40612bab,32'h4078df6d, 32'h405551cb,32'h40825ca7,// invsqrt(0.0729) = 3.7035 +32'h3fab1ba6,32'h3f58fcf2,32'h3f61d840, 32'h3f525878,32'h3f687cba, 32'h3f474656,32'h3f738edc,// invsqrt(1.3368) = 0.8649 +32'h3f842203,32'h3f76ece1,32'h3f808080, 32'h3f6f5dca,32'h3f84480b, 32'h3f62c4a5,32'h3f8a949e,// invsqrt(1.0323) = 0.9842 +32'h3fe1814e,32'h3f3d0375,32'h3f44ba75, 32'h3f373a36,32'h3f4a83b4, 32'h3f2d9576,32'h3f542874,// invsqrt(1.7618) = 0.7534 +32'h3eaf17f1,32'h3fd68119,32'h3fdf4273, 32'h3fcff016,32'h3fe5d376, 32'h3fc4fe65,32'h3ff0c527,// invsqrt(0.3420) = 1.7100 +32'h4018110e,32'h3f22c1bc,32'h3f296660, 32'h3f1dc640,32'h3f2e61dc, 32'h3f157873,32'h3f36afa9,// invsqrt(2.3760) = 0.6487 +32'h3f837a1a,32'h3f778a5c,32'h3f80d274, 32'h3f6ff674,32'h3f849c68, 32'h3f635545,32'h3f8aed00,// invsqrt(1.0272) = 0.9867 +32'h3f2554b3,32'h3f9c1768,32'h3fa27668, 32'h3f975029,32'h3fa73da7, 32'h3f8f596a,32'h3faf3466,// invsqrt(0.6458) = 1.2444 +32'h3de4ffba,32'h403b90ea,32'h404338ca, 32'h4035d302,32'h4048f6b2, 32'h402c412b,32'h40528889,// invsqrt(0.1118) = 2.9905 +32'h404bf7c7,32'h3f0c882f,32'h3f124499, 32'h3f083adf,32'h3f1691e9, 32'h3f010f5a,32'h3f1dbd6e,// invsqrt(3.1870) = 0.5602 +32'h4045abba,32'h3f0ec0ba,32'h3f14945a, 32'h3f0a6203,32'h3f18f311, 32'h3f03197c,32'h3f203b98,// invsqrt(3.0886) = 0.5690 +32'h404cf77f,32'h3f0c306a,32'h3f11e93f, 32'h3f07e5c9,32'h3f1633df, 32'h3f00bebf,32'h3f1d5ae9,// invsqrt(3.2026) = 0.5588 +32'h3f479fe6,32'h3f8e0d73,32'h3f93d9c1, 32'h3f89b439,32'h3f9832fb, 32'h3f8274d7,32'h3f9f725d,// invsqrt(0.7798) = 1.1324 +32'h3f20e6dc,32'h3f9e39a5,32'h3fa4aeef, 32'h3f9961ad,32'h3fa986e7, 32'h3f914f0f,32'h3fb19985,// invsqrt(0.6285) = 1.2614 +32'h4072169d,32'h3f00fe6f,32'h3f064249, 32'h3efa1715,32'h3f0a352d, 32'h3eeced72,32'h3f10c9ff,// invsqrt(3.7826) = 0.5142 +32'h3f84cd26,32'h3f764d94,32'h3f802d98, 32'h3f6ec35d,32'h3f83f2b3, 32'h3f623258,32'h3f8a3b36,// invsqrt(1.0375) = 0.9818 +32'h42033ef3,32'h3e2f310a,32'h3e36579c, 32'h3e29d41c,32'h3e3bb48a, 32'h3e20e3e4,32'h3e44a4c2,// invsqrt(32.8115) = 0.1746 +32'h40daef77,32'h3ebfd415,32'h3ec7a87f, 32'h3eb9f4c6,32'h3ecd87ce, 32'h3eb02b43,32'h3ed75151,// invsqrt(6.8417) = 0.3823 +32'h3f617ffe,32'h3f85a77d,32'h3f8b1c0a, 32'h3f819014,32'h3f8f3374, 32'h3f757cc7,32'h3f960524,// invsqrt(0.8809) = 1.0655 +32'h3d8c6e42,32'h406f84de,32'h40794b99, 32'h40682fd3,32'h40805053, 32'h405bf769,32'h40866c87,// invsqrt(0.0686) = 3.8189 +32'h3f737cee,32'h3f809f62,32'h3f85df5c, 32'h3f795ece,32'h3f89cf57, 32'h3f6c3ede,32'h3f905f4f,// invsqrt(0.9511) = 1.0254 +32'h40559100,32'h3f09566f,32'h3f0ef179, 32'h3f052228,32'h3f1325c0, 32'h3efc40b7,32'h3f1a278c,// invsqrt(3.3370) = 0.5474 +32'h402cc9e9,32'h3f18af8a,32'h3f1eeaf2, 32'h3f1402fb,32'h3f239781, 32'h3f0c38b8,32'h3f2b61c4,// invsqrt(2.6998) = 0.6086 +32'h3e581ed3,32'h40088613,32'h400e189b, 32'h4004582c,32'h40124682, 32'h3ffac203,32'h40193dac,// invsqrt(0.2111) = 2.1767 +32'h3edbcf28,32'h3fbf7261,32'h3fc742cd, 32'h3fb9960f,32'h3fcd1f1f, 32'h3fafd188,32'h3fd6e3a6,// invsqrt(0.4293) = 1.5262 +32'h3f418aa1,32'h3f904485,32'h3f9627f8, 32'h3f8bd9ee,32'h3f9a928e, 32'h3f847d9e,32'h3fa1eede,// invsqrt(0.7560) = 1.1501 +32'h40080c8f,32'h3f2c123c,32'h3f331834, 32'h3f26cdc2,32'h3f385cae, 32'h3f1e064c,32'h3f412424,// invsqrt(2.1258) = 0.6859 +32'h3e3cb622,32'h40121a2d,32'h401810cb, 32'h400da136,32'h401c89c2, 32'h40062cf0,32'h4023fe08,// invsqrt(0.1843) = 2.3294 +32'h3d5144f2,32'h408abd91,32'h40906743, 32'h40867e4b,32'h4094a689, 32'h407ed458,32'h409bbaa8,// invsqrt(0.0511) = 4.4241 +32'h3d512133,32'h408ac96c,32'h4090739a, 32'h408689c9,32'h4094b33d, 32'h407eea1f,32'h409bc7f6,// invsqrt(0.0511) = 4.4256 +32'h3fe09e60,32'h3f3d62d8,32'h3f451dbc, 32'h3f3796ad,32'h3f4ae9e7, 32'h3f2ded0f,32'h3f549385,// invsqrt(1.7548) = 0.7549 +32'h3fa95305,32'h3f5a20c4,32'h3f6307fa, 32'h3f53735a,32'h3f69b564, 32'h3f485256,32'h3f74d669,// invsqrt(1.3228) = 0.8695 +32'h3f924501,32'h3f6ab092,32'h3f7444d6, 32'h3f63815e,32'h3f7b740a, 32'h3f578808,32'h3f83b6b0,// invsqrt(1.1427) = 0.9355 +32'h3f6d6f16,32'h3f824088,32'h3f879188, 32'h3f7c878f,32'h3f8b8e48, 32'h3f6f3d0e,32'h3f923389,// invsqrt(0.9275) = 1.0384 +32'h3d8c2303,32'h406fc524,32'h40798e7e, 32'h40686e20,32'h408072c1, 32'h405c326f,32'h40869099,// invsqrt(0.0684) = 3.8229 +32'h4006a879,32'h3f2cf529,32'h3f340465, 32'h3f27a9bd,32'h3f394fd1, 32'h3f1ed6b3,32'h3f4222db,// invsqrt(2.1040) = 0.6894 +32'h3f9700c3,32'h3f66fb69,32'h3f7068f0, 32'h3f5fe944,32'h3f777b16, 32'h3f54205c,32'h3f81a1ff,// invsqrt(1.1797) = 0.9207 +32'h40214f4c,32'h3f1e0664,32'h3f247998, 32'h3f192ffe,32'h3f294ffe, 32'h3f111ffe,32'h3f315ffe,// invsqrt(2.5205) = 0.6299 +32'h3ec9f009,32'h3fc7bd21,32'h3fcfe432, 32'h3fc19fd4,32'h3fd6017e, 32'h3fb76efe,32'h3fe03254,// invsqrt(0.3944) = 1.5923 +32'h3f7e9046,32'h3f7b963a,32'h3f82ed87, 32'h3f73e29c,32'h3f86c756, 32'h3f670c94,32'h3f8d325a,// invsqrt(0.9944) = 1.0028 +32'h3f45c76d,32'h3f8eb6bb,32'h3f9489f1, 32'h3f8a5852,32'h3f98e85a, 32'h3f83104d,32'h3fa0305f,// invsqrt(0.7726) = 1.1377 +32'h41301ff6,32'h3e973b92,32'h3e9d67cd, 32'h3e929a67,32'h3ea208f9, 32'h3e8ae31e,32'h3ea9c042,// invsqrt(11.0078) = 0.3014 +32'h3e1b8134,32'h4020f290,32'h4027844d, 32'h401c0543,32'h402c719b, 32'h4013cf17,32'h4034a7c7,// invsqrt(0.1519) = 2.5661 +32'h3e84dea6,32'h3ff63d5b,32'h40002528, 32'h3feeb3a4,32'h4003ea03, 32'h3fe22373,32'h400a321c,// invsqrt(0.2595) = 1.9630 +32'h3ec8c755,32'h3fc85080,32'h3fd07d94, 32'h3fc22eb0,32'h3fd69f64, 32'h3fb7f656,32'h3fe0d7be,// invsqrt(0.3921) = 1.5969 +32'h3ff7c297,32'h3f345323,32'h3f3baf58, 32'h3f2ecdfa,32'h3f413480, 32'h3f259ab7,32'h3f4a67c3,// invsqrt(1.9356) = 0.7188 +32'h40d99769,32'h3ec06b82,32'h3ec8461a, 32'h3eba8790,32'h3ece2a0c, 32'h3eb0b653,32'h3ed7fb49,// invsqrt(6.7997) = 0.3835 +32'h3dd99e21,32'h4040688a,32'h40484302, 32'h403a84af,32'h404e26dd, 32'h4030b399,32'h4057f7f3,// invsqrt(0.1063) = 3.0677 +32'h3f8c84e9,32'h3f6f718f,32'h3f793780, 32'h3f681d1b,32'h3f8045fb, 32'h3f5be5ae,32'h3f8661b1,// invsqrt(1.0978) = 0.9544 +32'h41a8cb8a,32'h3e5a783c,32'h3e636304, 32'h3e53c825,32'h3e6a131b, 32'h3e48a2aa,32'h3e753896,// invsqrt(21.0994) = 0.2177 +32'h3fea2c1a,32'h3f397b8f,32'h3f410da9, 32'h3f33cdfb,32'h3f46bb3d, 32'h3f2a5759,32'h3f5031df,// invsqrt(1.8295) = 0.7393 +32'h405c56f2,32'h3f0735d5,32'h3f0cbaa5, 32'h3f03123a,32'h3f10de40, 32'h3ef8586e,32'h3f17c443,// invsqrt(3.4428) = 0.5389 +32'h3f685e73,32'h3f83a9fb,32'h3f8909bc, 32'h3f7f4453,32'h3f8d118c, 32'h3f71d4f1,32'h3f93c93e,// invsqrt(0.9077) = 1.0496 +32'h3dfa6263,32'h40336096,32'h403ab2e6, 32'h402de2db,32'h404030a1, 32'h4024bbf8,32'h40495784,// invsqrt(0.1223) = 2.8600 +32'h3f33a1b7,32'h3f95bfcc,32'h3f9bdc86, 32'h3f912a41,32'h3fa07211, 32'h3f898658,32'h3fa815fa,// invsqrt(0.7017) = 1.1938 +32'h3ee5650a,32'h3fbb677b,32'h3fc30da9, 32'h3fb5aad8,32'h3fc8ca4c, 32'h3fac1b1d,32'h3fd25a07,// invsqrt(0.4480) = 1.4940 +32'h40674dd7,32'h3f03f77a,32'h3f095a65, 32'h3effda95,32'h3f0d6496, 32'h3ef2634a,32'h3f14203b,// invsqrt(3.6141) = 0.5260 +32'h3faac0b1,32'h3f5936b6,32'h3f62145f, 32'h3f529076,32'h3f68ba9e, 32'h3f477b63,32'h3f73cfb1,// invsqrt(1.3340) = 0.8658 +32'h3ff7ba80,32'h3f345614,32'h3f3bb268, 32'h3f2ed0d4,32'h3f4137a8, 32'h3f259d6b,32'h3f4a6b11,// invsqrt(1.9354) = 0.7188 +32'h3f965c64,32'h3f677987,32'h3f70ec34, 32'h3f606386,32'h3f780236, 32'h3f54942e,32'h3f81e8c7,// invsqrt(1.1747) = 0.9227 +32'h3f0ca71e,32'h3fa93b54,32'h3fb023a0, 32'h3fa40d1a,32'h3fb551da, 32'h3f9b6abb,32'h3fbdf439,// invsqrt(0.5494) = 1.3491 +32'h403950a1,32'h3f136f61,32'h3f1973ec, 32'h3f0eebf7,32'h3f1df755, 32'h3f076649,32'h3f257d03,// invsqrt(2.8955) = 0.5877 +32'h3f06cfbd,32'h3facdbf7,32'h3fb3ea2b, 32'h3fa79150,32'h3fb934d2, 32'h3f9ebf8f,32'h3fc20693,// invsqrt(0.5266) = 1.3780 +32'h431fca53,32'h3d9ec646,32'h3da5414e, 32'h3d99ea00,32'h3daa1d94, 32'h3d91d036,32'h3db2375e,// invsqrt(159.7903) = 0.0791 +32'h3f23a92c,32'h3f9ce2c4,32'h3fa34a10, 32'h3f98154b,32'h3fa81789, 32'h3f90142c,32'h3fb018a8,// invsqrt(0.6393) = 1.2507 +32'h3f3f5067,32'h3f911ae6,32'h3f970719, 32'h3f8ca9bf,32'h3f9b783f, 32'h3f85427f,32'h3fa2df7f,// invsqrt(0.7473) = 1.1568 +32'h4048418d,32'h3f0dd412,32'h3f139e08, 32'h3f097c99,32'h3f17f581, 32'h3f024025,32'h3f1f31f5,// invsqrt(3.1290) = 0.5653 +32'h414b7d03,32'h3e8cb28d,32'h3e9270b3, 32'h3e8863f2,32'h3e96bf4e, 32'h3e813643,32'h3e9decfd,// invsqrt(12.7180) = 0.2804 +32'h3f649dcd,32'h3f84bd7b,32'h3f8a287b, 32'h3f80ad3b,32'h3f8e38bb, 32'h3f73cef7,32'h3f94fe7a,// invsqrt(0.8930) = 1.0582 +32'h3e58102e,32'h40088ab3,32'h400e1d6c, 32'h40045ca9,32'h40124b77, 32'h3ffaca83,32'h401942de,// invsqrt(0.2110) = 2.1770 +32'h3e1fa9cd,32'h401ed671,32'h40255223, 32'h4019f9ad,32'h402a2ee7, 32'h4011df0f,32'h40324985,// invsqrt(0.1559) = 2.5325 +32'h3e263cb7,32'h401baa55,32'h402204e0, 32'h4016e66c,32'h4026c8c8, 32'h400ef53e,32'h402eb9f6,// invsqrt(0.1623) = 2.4819 +32'h3ed968a5,32'h3fc08033,32'h3fc85ba3, 32'h3fba9b9f,32'h3fce4037, 32'h3fb0c954,32'h3fd81283,// invsqrt(0.4246) = 1.5346 +32'h3edb678c,32'h3fbf9f90,32'h3fc771d4, 32'h3fb9c1dc,32'h3fcd4f88, 32'h3faffb07,32'h3fd7165d,// invsqrt(0.4285) = 1.5276 +32'h3ea436bd,32'h3fdd7f03,32'h3fe6896c, 32'h3fd6b735,32'h3fed513b, 32'h3fcb6a32,32'h3ff89e3e,// invsqrt(0.3207) = 1.7658 +32'h3f72ae0f,32'h3f80d629,32'h3f86185f, 32'h3f79c901,32'h3f8a0a07, 32'h3f6ca37a,32'h3f909ccb,// invsqrt(0.9480) = 1.0271 +32'h40dad9b2,32'h3ebfdda0,32'h3ec7b26d, 32'h3eb9fe06,32'h3ecd9206, 32'h3eb03405,32'h3ed75c07,// invsqrt(6.8391) = 0.3824 +32'h401d13e7,32'h3f2023bc,32'h3f26ad08, 32'h3f1b3cc4,32'h3f2b9400, 32'h3f131125,32'h3f33bf9f,// invsqrt(2.4543) = 0.6383 +32'h3f9690c1,32'h3f675143,32'h3f70c24b, 32'h3f603c7d,32'h3f77d711, 32'h3f546f33,32'h3f81d22d,// invsqrt(1.1763) = 0.9220 +32'h3f436ffa,32'h3f8f90f2,32'h3f956d10, 32'h3f8b2bdb,32'h3f99d227, 32'h3f83d8b4,32'h3fa1254e,// invsqrt(0.7634) = 1.1445 +32'h3f03c27e,32'h3faed980,32'h3fb5fc80, 32'h3fa97f40,32'h3fbb56c0, 32'h3fa09380,32'h3fc44280,// invsqrt(0.5147) = 1.3939 +32'h3f082143,32'h3fac0526,32'h3fb30a96, 32'h3fa6c113,32'h3fb84ea9, 32'h3f9dfa48,32'h3fc11574,// invsqrt(0.5318) = 1.3713 +32'h4165799b,32'h3e847dd9,32'h3e89e63f, 32'h3e806f8b,32'h3e8df48d, 32'h3e735a16,32'h3e94b70d,// invsqrt(14.3422) = 0.2641 +32'h3edea134,32'h3fbe3aef,32'h3fc5fea5, 32'h3fb86826,32'h3fcbd16e, 32'h3faeb383,32'h3fd58611,// invsqrt(0.4348) = 1.5165 +32'h3fb6ca35,32'h3f51f09d,32'h3f5a8245, 32'h3f4b835f,32'h3f60ef83, 32'h3f40cd4c,32'h3f6ba596,// invsqrt(1.4280) = 0.8368 +32'h3fd8e712,32'h3f40b9aa,32'h3f489772, 32'h3f3ad354,32'h3f4e7dc8, 32'h3f30fe19,32'h3f585303,// invsqrt(1.6946) = 0.7682 +32'h3f204cf5,32'h3f9e8587,32'h3fa4fdeb, 32'h3f99ab3d,32'h3fa9d835, 32'h3f9194c0,32'h3fb1eeb2,// invsqrt(0.6262) = 1.2637 +32'h3d59d085,32'h4087fde4,32'h408d8ade, 32'h4083d429,32'h4091b499, 32'h4079c7e2,32'h4098a4d1,// invsqrt(0.0532) = 4.3365 +32'h41349dff,32'h3e955712,32'h3e9b6f86, 32'h3e90c4bb,32'h3ea001dd, 32'h3e89262b,32'h3ea7a06d,// invsqrt(11.2886) = 0.2976 +32'h3f0ddf16,32'h3fa880dc,32'h3faf618c, 32'h3fa35858,32'h3fb48a10, 32'h3f9abf7c,32'h3fbd22ec,// invsqrt(0.5542) = 1.3433 +32'h3f0c13b2,32'h3fa9944a,32'h3fb08038, 32'h3fa46357,32'h3fb5b12b, 32'h3f9bbc6e,32'h3fbe5814,// invsqrt(0.5472) = 1.3519 +32'h3e5a44c0,32'h4007d9aa,32'h400d652a, 32'h4003b10b,32'h40118dc9, 32'h3ff98558,32'h40187c28,// invsqrt(0.2132) = 2.1660 +32'h3ef50672,32'h3fb5540e,32'h3fbcbac0, 32'h3fafc708,32'h3fc247c6, 32'h3fa686aa,32'h3fcb8825,// invsqrt(0.4786) = 1.4455 +32'h3f573852,32'h3f88cf1c,32'h3f8e649f, 32'h3f849ef8,32'h3f9294c2, 32'h3f7b4827,32'h3f998fa6,// invsqrt(0.8407) = 1.0906 +32'h3f8c5cd2,32'h3f6f93bf,32'h3f795b15, 32'h3f683e3e,32'h3f80584b, 32'h3f5c0513,32'h3f8674e1,// invsqrt(1.0966) = 0.9549 +32'h3d669bfa,32'h40842a55,32'h40898f53, 32'h40801e96,32'h408d9b12, 32'h4072c0b1,32'h40945950,// invsqrt(0.0563) = 4.2145 +32'h3f5d0a01,32'h3f86ff06,32'h3f8c8198, 32'h3f82dd18,32'h3f90a386, 32'h3f77f3c1,32'h3f9786bd,// invsqrt(0.8634) = 1.0762 +32'h3fd47292,32'h3f42bc4b,32'h3f4aaf15, 32'h3f3cc634,32'h3f50a52c, 32'h3f32d6b8,32'h3f5a94a8,// invsqrt(1.6597) = 0.7762 +32'h3e3cf96f,32'h40120026,32'h4017f5b5, 32'h400d87fc,32'h401c6de0, 32'h40061509,32'h4023e0d3,// invsqrt(0.1845) = 2.3278 +32'h400b45e6,32'h3f2a1166,32'h3f31026f, 32'h3f24dc9e,32'h3f363736, 32'h3f1c2f53,32'h3f3ee481,// invsqrt(2.1761) = 0.6779 +32'h40f2327b,32'h3eb66247,32'h3ebdd401, 32'h3eb0ccfc,32'h3ec3694c, 32'h3ea77ed4,32'h3eccb774,// invsqrt(7.5687) = 0.3635 +32'h3fa92ebf,32'h3f5a3825,32'h3f632050, 32'h3f538a04,32'h3f69ce70, 32'h3f4867ce,32'h3f74f0a6,// invsqrt(1.3217) = 0.8698 +32'h3daf827d,32'h40563ff3,32'h405efea5, 32'h404fb0ef,32'h40658da9, 32'h4044c291,32'h40707c07,// invsqrt(0.0857) = 3.4160 +32'h3faae7e6,32'h3f591dca,32'h3f61fa70, 32'h3f52784f,32'h3f689feb, 32'h3f476480,32'h3f73b3ba,// invsqrt(1.3352) = 0.8654 +32'h4016b0a1,32'h3f237fa0,32'h3f2a2c04, 32'h3f1e7e54,32'h3f2f2d50, 32'h3f1626d7,32'h3f3784cd,// invsqrt(2.3545) = 0.6517 +32'h3fab05cb,32'h3f590acf,32'h3f61e6ae, 32'h3f5265e9,32'h3f688b95, 32'h3f475312,32'h3f739e6c,// invsqrt(1.3361) = 0.8651 +32'h417fd8ee,32'h3e7af46f,32'h3e829954, 32'h3e7345c5,32'h3e8670aa, 32'h3e6677fe,32'h3e8cd78d,// invsqrt(15.9905) = 0.2501 +32'h3f8d103f,32'h3f6efb31,32'h3f78bc4d, 32'h3f67aa5c,32'h3f800691, 32'h3f5b78f9,32'h3f861f43,// invsqrt(1.1021) = 0.9526 +32'h3f7f5a8d,32'h3f7b3281,32'h3f82b9a1, 32'h3f7381f0,32'h3f8691ea, 32'h3f66b0ff,32'h3f8cfa63,// invsqrt(0.9975) = 1.0013 +32'h3f02cf9d,32'h3faf7b88,32'h3fb6a525, 32'h3faa1c52,32'h3fbc045a, 32'h3fa1284d,32'h3fc4f85f,// invsqrt(0.5110) = 1.3989 +32'h3f162861,32'h3fa3c9bd,32'h3faa7927, 32'h3f9ec62c,32'h3faf7cb8, 32'h3f966ae7,32'h3fb7d7fd,// invsqrt(0.5866) = 1.3057 +32'h3ed51713,32'h3fc27112,32'h3fca60c9, 32'h3fbc7d48,32'h3fd05492, 32'h3fb291a2,32'h3fda4038,// invsqrt(0.4162) = 1.5501 +32'h3fd0229b,32'h3f44be17,32'h3f4cc5d9, 32'h3f3eb845,32'h3f52cbab, 32'h3f34ae92,32'h3f5cd55e,// invsqrt(1.6261) = 0.7842 +32'h3f13f6f6,32'h3fa4ff53,32'h3fabbb61, 32'h3f9ff249,32'h3fb0c86b, 32'h3f978737,32'h3fb9337d,// invsqrt(0.5780) = 1.3153 +32'h3f002d96,32'h3fb14693,32'h3fb882ed, 32'h3fabd950,32'h3fbdf030, 32'h3fa2cde0,32'h3fc6fba0,// invsqrt(0.5007) = 1.4132 +32'h3e1774b4,32'h402315a9,32'h4029bdba, 32'h401e179b,32'h402ebbc7, 32'h4015c586,32'h40370ddc,// invsqrt(0.1479) = 2.6002 +32'h3fcf4ebf,32'h3f452286,32'h3f4d2e62, 32'h3f3f19a1,32'h3f533747, 32'h3f350acf,32'h3f5d4619,// invsqrt(1.6196) = 0.7858 +32'h3f08b726,32'h3faba6c0,32'h3fb2a855, 32'h3fa66591,32'h3fb7e985, 32'h3f9da397,32'h3fc0ab7f,// invsqrt(0.5340) = 1.3684 +32'h3e11608c,32'h40267592,32'h402d40e7, 32'h40215d14,32'h40325966, 32'h4018deea,32'h403ad790,// invsqrt(0.1420) = 2.6540 +32'h3f877e90,32'h3f73d7d2,32'h3f7dcbbc, 32'h3f6c60e3,32'h3f82a155, 32'h3f5ff000,32'h3f88d9c7,// invsqrt(1.0585) = 0.9720 +32'h3f513c23,32'h3f8ac07d,32'h3f906a4d, 32'h3f868120,32'h3f94a9aa, 32'h3f7ed9b6,32'h3f9bbdef,// invsqrt(0.8173) = 1.1061 +32'h41a3984c,32'h3e5dea2c,32'h3e66f8f4, 32'h3e571f16,32'h3e6dc40a, 32'h3e4bcc9b,32'h3e791685,// invsqrt(20.4494) = 0.2211 +32'h40355da3,32'h3f150817,32'h3f1b1d51, 32'h3f10782b,32'h3f1fad3d, 32'h3f08dda2,32'h3f2747c6,// invsqrt(2.8338) = 0.5940 +32'h3df2ac0f,32'h40363491,32'h403da46d, 32'h4030a0ac,32'h40433852, 32'h402754d9,32'h404c8425,// invsqrt(0.1185) = 2.9051 +32'h3f286918,32'h3f9aa85c,32'h3fa0f860, 32'h3f95ec59,32'h3fa5b463, 32'h3f8e0855,32'h3fad9867,// invsqrt(0.6579) = 1.2329 +32'h3dcdee6d,32'h4045cae0,32'h404ddd9b, 32'h403fbcd4,32'h4053eba8, 32'h4035a56b,32'h405e0311,// invsqrt(0.1006) = 3.1536 +32'h3c5251b3,32'h410a64d0,32'h41100ae2, 32'h41062841,32'h41144771, 32'h40fe3153,32'h411b5708,// invsqrt(0.0128) = 8.8261 +32'h3e467178,32'h400e7989,32'h40144a40, 32'h400a1cff,32'h4018a6c9, 32'h4002d81a,32'h401febae,// invsqrt(0.1938) = 2.2716 +32'h3f06f508,32'h3facc413,32'h3fb3d14d, 32'h3fa77a27,32'h3fb91b39, 32'h3f9ea99e,32'h3fc1ebc2,// invsqrt(0.5272) = 1.3773 +32'h406c283b,32'h3f029a8c,32'h3f07ef38, 32'h3efd3614,32'h3f0beeba, 32'h3eefe264,32'h3f129892,// invsqrt(3.6900) = 0.5206 +32'h3fd5a7b7,32'h3f422f35,32'h3f4a1c3d, 32'h3f3c3d70,32'h3f500e02, 32'h3f325526,32'h3f59f64c,// invsqrt(1.6692) = 0.7740 +32'h3f8fdab8,32'h3f6ca6d1,32'h3f764f95, 32'h3f65683d,32'h3f7d8e29, 32'h3f595548,32'h3f84d08f,// invsqrt(1.1239) = 0.9433 +32'h3bf31ee2,32'h41360985,32'h413d779f, 32'h413076f1,32'h41430a33, 32'h41272d50,32'h414c53d4,// invsqrt(0.0074) = 11.6095 +32'h3e0b35e1,32'h402a1b2e,32'h40310c9e, 32'h4024e61a,32'h403641b2, 32'h401c384f,32'h403eef7d,// invsqrt(0.1359) = 2.7122 +32'h3f652755,32'h3f8495a0,32'h3f89feff, 32'h3f808698,32'h3f8e0e06, 32'h3f7385c1,32'h3f94d1bd,// invsqrt(0.8951) = 1.0570 +32'h4207a009,32'h3e2c5706,32'h3e335fcd, 32'h3e271072,32'h3e38a662, 32'h3e1e4579,32'h3e41715b,// invsqrt(33.9063) = 0.1717 +32'h3e5e413a,32'h4006a060,32'h400c1f16, 32'h40028158,32'h40103e1e, 32'h3ff745ea,32'h40171c81,// invsqrt(0.2170) = 2.1465 +32'h403ff334,32'h3f10dd50,32'h3f16c6ff, 32'h3f0c6e0b,32'h3f1b3643, 32'h3f0509f0,32'h3f229a5e,// invsqrt(2.9992) = 0.5774 +32'h3fbabbd1,32'h3f4fb60b,32'h3f583069, 32'h3f495a44,32'h3f5e8c30, 32'h3f3ec14e,32'h3f692526,// invsqrt(1.4589) = 0.8279 +32'h3f25741f,32'h3f9c0895,32'h3fa266f9, 32'h3f9741ca,32'h3fa72dc4, 32'h3f8f4bcd,32'h3faf23c1,// invsqrt(0.6463) = 1.2439 +32'h3d1f3fac,32'h409f0b56,32'h40a58930, 32'h409a2cf3,32'h40aa6793, 32'h40920fa3,32'h40b284e3,// invsqrt(0.0389) = 5.0716 +32'h3cb0cdbb,32'h40d576e2,32'h40de2d5e, 32'h40ceee05,32'h40e4b63b, 32'h40c409ea,32'h40ef9a56,// invsqrt(0.0216) = 6.8069 +32'h3f99f8b8,32'h3f64be9b,32'h3f6e14c1, 32'h3f5dbdff,32'h3f75155d, 32'h3f521250,32'h3f806086,// invsqrt(1.2029) = 0.9118 +32'h3fbf8e87,32'h3f4d145c,32'h3f55733b, 32'h3f46cd34,32'h3f5bba62, 32'h3f3c569d,32'h3f6630f9,// invsqrt(1.4965) = 0.8174 +32'h3f1b5bdd,32'h3fa105e6,32'h3fa7986d, 32'h3f9c1802,32'h3fac8652, 32'h3f93e0d9,32'h3fb4bd7b,// invsqrt(0.6069) = 1.2837 +32'h3ff6aa22,32'h3f34b989,32'h3f3c19ed, 32'h3f2f313e,32'h3f41a238, 32'h3f25f8c2,32'h3f4adab4,// invsqrt(1.9271) = 0.7204 +32'h3ec93fad,32'h3fc81492,32'h3fd03f34, 32'h3fc1f498,32'h3fd65f2e, 32'h3fb7bf4c,32'h3fe0947a,// invsqrt(0.3931) = 1.5950 +32'h3f6c663c,32'h3f82896a,32'h3f87dd64, 32'h3f7d14dd,32'h3f8bdc5f, 32'h3f6fc2ed,32'h3f928558,// invsqrt(0.9234) = 1.0406 +32'h3f456440,32'h3f8eda90,32'h3f94af3e, 32'h3f8a7b0f,32'h3f990ebf, 32'h3f833136,32'h3fa05898,// invsqrt(0.7711) = 1.1388 +32'h3efc73f3,32'h3fb2a410,32'h3fb9eeae, 32'h3fad2c1a,32'h3fbf66a4, 32'h3fa40ed6,32'h3fc883e9,// invsqrt(0.4931) = 1.4241 +32'h3f3c8da1,32'h3f9229dd,32'h3f982120, 32'h3f8db06c,32'h3f9c9a92, 32'h3f863b59,32'h3fa40fa5,// invsqrt(0.7365) = 1.1652 +32'h3d5acf66,32'h4087ae99,32'h408d3856, 32'h4083874b,32'h40915fa3, 32'h4079363d,32'h40984bd0,// invsqrt(0.0534) = 4.3266 +32'h400ac03d,32'h3f2a633c,32'h3f31579c, 32'h3f252bf3,32'h3f368ee5, 32'h3f1c7a7b,32'h3f3f405d,// invsqrt(2.1680) = 0.6792 +32'h40f2501f,32'h3eb6571f,32'h3ebdc864, 32'h3eb0c22b,32'h3ec35d57, 32'h3ea77494,32'h3eccaaee,// invsqrt(7.5723) = 0.3634 +32'h3f80f822,32'h3f79ef7b,32'h3f821187, 32'h3f7248cd,32'h3f85e4dd, 32'h3f658857,32'h3f8c4519,// invsqrt(1.0076) = 0.9962 +32'h3f23af66,32'h3f9cdfc8,32'h3fa346f5, 32'h3f981267,32'h3fa81457, 32'h3f90116f,32'h3fb0154f,// invsqrt(0.6394) = 1.2506 +32'h40134d95,32'h3f255e14,32'h3f2c1e00, 32'h3f204e23,32'h3f312df1, 32'h3f17de3c,32'h3f399dd8,// invsqrt(2.3016) = 0.6591 +32'h3f2cc944,32'h3f98afd2,32'h3f9eeb3e, 32'h3f940341,32'h3fa397cf, 32'h3f8c38fa,32'h3fab6216,// invsqrt(0.6749) = 1.2172 +32'h3f3d25af,32'h3f91ef11,32'h3f97e3ed, 32'h3f8d776c,32'h3f9c5b92, 32'h3f860559,32'h3fa3cda5,// invsqrt(0.7389) = 1.1634 +32'h3f9f0f81,32'h3f610e3a,32'h3f6a3dd4, 32'h3f5a2a87,32'h3f712187, 32'h3f4eaf06,32'h3f7c9d08,// invsqrt(1.2427) = 0.8971 +32'h39c28a7f,32'h424b8020,32'h4253ce80, 32'h42454559,32'h425a0947, 32'h423ae362,32'h42646b3e,// invsqrt(0.0004) = 51.9134 +32'h3e8b6cd1,32'h3ff0619a,32'h3ffa3158, 32'h3fe905cd,32'h4000c693, 32'h3fdcc220,32'h4006e869,// invsqrt(0.2723) = 1.9163 +32'h3f81a687,32'h3f79472a,32'h3f81b9ef, 32'h3f71a5a4,32'h3f858ab2, 32'h3f64edc4,32'h3f8be6a2,// invsqrt(1.0129) = 0.9936 +32'h408c1a3e,32'h3eefcca5,32'h3ef9964d, 32'h3ee87566,32'h3f0076c6, 32'h3edc3953,32'h3f0694cf,// invsqrt(4.3782) = 0.4779 +32'h3f2737d7,32'h3f9b3545,32'h3fa18b09, 32'h3f9674f2,32'h3fa64b5c, 32'h3f8e89bd,32'h3fae3691,// invsqrt(0.6532) = 1.2373 +32'h4001e64d,32'h3f3018d9,32'h3f3748e1, 32'h3f2ab4d2,32'h3f3cace8, 32'h3f21b8c7,32'h3f45a8f3,// invsqrt(2.0297) = 0.7019 +32'h3fd0f05c,32'h3f445d20,32'h3f4c60ee, 32'h3f3e5a47,32'h3f5263c7, 32'h3f345586,32'h3f5c6888,// invsqrt(1.6323) = 0.7827 +32'h3f21615a,32'h3f9dfd8d,32'h3fa47064, 32'h3f99276d,32'h3fa94685, 32'h3f9117e0,32'h3fb15612,// invsqrt(0.6304) = 1.2595 +32'h3f2f7db8,32'h3f97816b,32'h3f9db07f, 32'h3f92de1c,32'h3fa253ce, 32'h3f8b2343,32'h3faa0ea7,// invsqrt(0.6855) = 1.2078 +32'h3f39f9a2,32'h3f932c54,32'h3f992e23, 32'h3f8eaaf8,32'h3f9daf7e, 32'h3f8728b5,32'h3fa531c1,// invsqrt(0.7265) = 1.1733 +32'h3eeddde6,32'h3fb8095c,32'h3fbf8c5b, 32'h3fb2671e,32'h3fc52e9a, 32'h3fa90360,32'h3fce9258,// invsqrt(0.4646) = 1.4671 +32'h400afbe2,32'h3f2a3ea9,32'h3f31318b, 32'h3f25087f,32'h3f3667b5, 32'h3f1c58e4,32'h3f3f1750,// invsqrt(2.1716) = 0.6786 +32'h3f1bf322,32'h3fa0b7bb,32'h3fa74711, 32'h3f9bcc3a,32'h3fac3292, 32'h3f93990f,32'h3fb465bd,// invsqrt(0.6092) = 1.2812 +32'h3e12aa99,32'h4025b9dd,32'h402c7d87, 32'h4020a71c,32'h40319048, 32'h40183287,32'h403a04dd,// invsqrt(0.1432) = 2.6423 +32'h3fd48ec0,32'h3f42af62,32'h3f4aa1a4, 32'h3f3cb9b0,32'h3f509756, 32'h3f32cadc,32'h3f5a862a,// invsqrt(1.6606) = 0.7760 +32'h3fa07569,32'h3f6012af,32'h3f693804, 32'h3f5936ae,32'h3f701404, 32'h3f4dc803,32'h3f7b82af,// invsqrt(1.2536) = 0.8931 +32'h41178223,32'h3ea30e6e,32'h3ea9b634, 32'h3e9e109a,32'h3eaeb408, 32'h3e95bee2,32'h3eb705c0,// invsqrt(9.4693) = 0.3250 +32'h3ff5a979,32'h3f3517d9,32'h3f3c7c16, 32'h3f2f8cab,32'h3f420743, 32'h3f264f5e,32'h3f4b4490,// invsqrt(1.9192) = 0.7218 +32'h400751e2,32'h3f2c88c3,32'h3f339391, 32'h3f2740a8,32'h3f38dbac, 32'h3f1e7326,32'h3f41a92e,// invsqrt(2.1144) = 0.6877 +32'h3f2e60ea,32'h3f97fcf1,32'h3f9e3110, 32'h3f9355db,32'h3fa2d827, 32'h3f8b94b4,32'h3faa994e,// invsqrt(0.6812) = 1.2116 +32'h4069cad6,32'h3f034338,32'h3f089ec7, 32'h3efe7d18,32'h3f0ca372, 32'h3ef11831,32'h3f1355e5,// invsqrt(3.6530) = 0.5232 +32'h3faad47d,32'h3f592a1f,32'h3f620745, 32'h3f528443,32'h3f68ad21, 32'h3f476fd3,32'h3f73c191,// invsqrt(1.3346) = 0.8656 +32'h3f7a2d9d,32'h3f7dc844,32'h3f841204, 32'h3f760371,32'h3f87f46e, 32'h3f6910bc,32'h3f8e6dc8,// invsqrt(0.9773) = 1.0116 +32'h3ee0306a,32'h3fbd9144,32'h3fc54e0e, 32'h3fb7c3ad,32'h3fcb1ba5, 32'h3fae17b2,32'h3fd4c7a0,// invsqrt(0.4379) = 1.5112 +32'h3f4955a3,32'h3f8d72b2,32'h3f9338af, 32'h3f891e35,32'h3f978d2d, 32'h3f81e6b9,32'h3f9ec4a9,// invsqrt(0.7865) = 1.1276 +32'h3ffd3d21,32'h3f325d0c,32'h3f39a4c4, 32'h3f2ce743,32'h3f3f1a8d, 32'h3f23cd9e,32'h3f483432,// invsqrt(1.9784) = 0.7110 +32'h3fac582f,32'h3f583553,32'h3f61087b, 32'h3f5196f5,32'h3f67a6d9, 32'h3f468f03,32'h3f72aecb,// invsqrt(1.3464) = 0.8618 +32'h40ce9038,32'h3ec57d5b,32'h3ecd8ceb, 32'h3ebf71ae,32'h3ed39898, 32'h3eb55e39,32'h3eddac0d,// invsqrt(6.4551) = 0.3936 +32'h4023424d,32'h3f1d142a,32'h3f237d7a, 32'h3f18452e,32'h3f284c76, 32'h3f10418a,32'h3f30501a,// invsqrt(2.5509) = 0.6261 +32'h3f461f6c,32'h3f8e9706,32'h3f9468f1, 32'h3f8a3995,32'h3f98c661, 32'h3f82f32f,32'h3fa00cc7,// invsqrt(0.7739) = 1.1367 +32'h3f4724b6,32'h3f8e395c,32'h3f940774, 32'h3f89dec9,32'h3f986207, 32'h3f829d2a,32'h3f9fa3a6,// invsqrt(0.7779) = 1.1338 +32'h3ff8363a,32'h3f34291c,32'h3f3b839b, 32'h3f2ea53e,32'h3f41077a, 32'h3f257420,32'h3f4a3898,// invsqrt(1.9392) = 0.7181 +32'h3f27b86b,32'h3f9af9bc,32'h3fa14d12, 32'h3f963b3b,32'h3fa60b93, 32'h3f8e5310,32'h3fadf3be,// invsqrt(0.6552) = 1.2355 +32'h3fdf4e8b,32'h3f3df10b,32'h3f45b1bd, 32'h3f382085,32'h3f4b8243, 32'h3f2e6fa7,32'h3f553321,// invsqrt(1.7446) = 0.7571 +32'h3f4195f1,32'h3f90404d,32'h3f962395, 32'h3f8bd5d8,32'h3f9a8e0a, 32'h3f8479bf,32'h3fa1ea23,// invsqrt(0.7562) = 1.1500 +32'h408dfae3,32'h3eee3565,32'h3ef7ee6f, 32'h3ee6ea9e,32'h3eff3936, 32'h3edac353,32'h3f05b041,// invsqrt(4.4369) = 0.4747 +32'h3f2a356e,32'h3f99d6aa,32'h3fa01e1f, 32'h3f952113,32'h3fa4d3b7, 32'h3f8d47c1,32'h3facad09,// invsqrt(0.6649) = 1.2264 +32'h3f48f12d,32'h3f8d960a,32'h3f935d78, 32'h3f894077,32'h3f97b30b, 32'h3f82072e,32'h3f9eec54,// invsqrt(0.7849) = 1.1287 +32'h3fef551b,32'h3f3778e1,32'h3f3ef5fa, 32'h3f31db0f,32'h3f4493cd, 32'h3f287eb0,32'h3f4df02c,// invsqrt(1.8698) = 0.7313 +32'h406ae6fc,32'h3f02f3bc,32'h3f084c0c, 32'h3efde2fe,32'h3f0c4e49, 32'h3ef08634,32'h3f12fcae,// invsqrt(3.6703) = 0.5220 +32'h3f9291b6,32'h3f6a7320,32'h3f7404e2, 32'h3f6345ce,32'h3f7b3234, 32'h3f574f9a,32'h3f839434,// invsqrt(1.1451) = 0.9345 +32'h3cb7e15a,32'h40d15106,32'h40d9dc2a, 32'h40cae8aa,32'h40e04486, 32'h40c03abc,32'h40eaf274,// invsqrt(0.0224) = 6.6746 +32'h3f86d1e6,32'h3f7473c5,32'h3f7e6e0c, 32'h3f6cf811,32'h3f82f4e1, 32'h3f607f38,32'h3f89314d,// invsqrt(1.0533) = 0.9744 +32'h3f5497a2,32'h3f89a6e4,32'h3f8f4536, 32'h3f857026,32'h3f937bf4, 32'h3f7cd47e,32'h3f9a81db,// invsqrt(0.8304) = 1.0974 +32'h3fc606cc,32'h3f49b39b,32'h3f51ef2f, 32'h3f4386ed,32'h3f581bdd, 32'h3f393c74,32'h3f626656,// invsqrt(1.5471) = 0.8040 +32'h3ef252b8,32'h3fb65624,32'h3fbdc75f, 32'h3fb0c138,32'h3fc35c4c, 32'h3fa773af,32'h3fcca9d5,// invsqrt(0.4733) = 1.4536 +32'h3f393570,32'h3f937a33,32'h3f997f2f, 32'h3f8ef675,32'h3f9e02ed, 32'h3f877039,32'h3fa58929,// invsqrt(0.7235) = 1.1757 +32'h3fd5f41c,32'h3f420c87,32'h3f49f825, 32'h3f3c1bd2,32'h3f4fe8da, 32'h3f32354d,32'h3f59cf5f,// invsqrt(1.6715) = 0.7735 +32'h3e49e43e,32'h400d40b5,32'h401304a7, 32'h4008edbf,32'h4017579d, 32'h4001b8d0,32'h401e8c8c,// invsqrt(0.1972) = 2.2521 +32'h3faf0bbb,32'h3f568895,32'h3f5f4a3d, 32'h3f4ff757,32'h3f65db7b, 32'h3f450545,32'h3f70cd8d,// invsqrt(1.3675) = 0.8551 +32'h3df12e80,32'h4036c479,32'h403e3a35, 32'h40312c2c,32'h4043d282, 32'h4027d902,32'h404d25ad,// invsqrt(0.1178) = 2.9140 +32'h4068afa7,32'h3f0392ff,32'h3f08f1d0, 32'h3eff17c6,32'h3f0cf8ed, 32'h3ef1aabb,32'h3f13af72,// invsqrt(3.6357) = 0.5245 +32'h407c6891,32'h3efca899,32'h3f037c50, 32'h3ef4ec94,32'h3f075a52, 32'h3ee8088c,32'h3f0dcc56,// invsqrt(3.9439) = 0.5035 +32'h403ecdb1,32'h3f114c91,32'h3f173acb, 32'h3f0cd9e5,32'h3f1bad77, 32'h3f05701d,32'h3f23173f,// invsqrt(2.9813) = 0.5792 +32'h40965c83,32'h3ee7796f,32'h3ef0ec1b, 32'h3ee0636e,32'h3ef8021c, 32'h3ed49418,32'h3f01e8b9,// invsqrt(4.6988) = 0.4613 +32'h3f813d18,32'h3f79acc4,32'h3f81eece, 32'h3f720821,32'h3f85c120, 32'h3f654b12,32'h3f8c1fa7,// invsqrt(1.0097) = 0.9952 +32'h406e9176,32'h3f01f12b,32'h3f073eee, 32'h3efbedb0,32'h3f0b3940, 32'h3eeeab49,32'h3f11da74,// invsqrt(3.7276) = 0.5179 +32'h41a31499,32'h3e5e43b5,32'h3e675625, 32'h3e5775e1,32'h3e6e23f9, 32'h3e4c1ed5,32'h3e797b05,// invsqrt(20.3851) = 0.2215 +32'h3f938fb9,32'h3f69a8fe,32'h3f733281, 32'h3f6281dd,32'h3f7a59a3, 32'h3f5695fa,32'h3f8322c3,// invsqrt(1.1528) = 0.9314 +32'h3eeacdb3,32'h3fb93bb0,32'h3fc0cb30, 32'h3fb39011,32'h3fc676cf, 32'h3faa1cb2,32'h3fcfea2e,// invsqrt(0.4586) = 1.4767 +32'h4000b0ba,32'h3f30ec29,32'h3f3824d1, 32'h3f2b81aa,32'h3f3d8f50, 32'h3f227ad7,32'h3f469623,// invsqrt(2.0108) = 0.7052 +32'h406e2b6d,32'h3f020cfd,32'h3f075be3, 32'h3efc23a2,32'h3f0b570f, 32'h3eeede63,32'h3f11f9ae,// invsqrt(3.7214) = 0.5184 +32'h3f8b575c,32'h3f70741b,32'h3f7a449a, 32'h3f6917bd,32'h3f80d07d, 32'h3f5cd31f,32'h3f86f2cc,// invsqrt(1.0886) = 0.9584 +32'h3fa4ffc2,32'h3f5cf7ed,32'h3f65fcd3, 32'h3f563442,32'h3f6cc07e, 32'h3f4aee23,32'h3f78069d,// invsqrt(1.2891) = 0.8808 +32'h3e609c53,32'h4005eb29,32'h400b6279, 32'h4001d1ad,32'h400f7bf5, 32'h3ff5f912,32'h40165119,// invsqrt(0.2193) = 2.1352 +32'h42b8aaab,32'h3dd0dece,32'h3dd9654a, 32'h3dca79f2,32'h3ddfca26, 32'h3dbfd1d8,32'h3dea7240,// invsqrt(92.3333) = 0.1041 +32'h3f206f84,32'h3f9e7474,32'h3fa4ec25, 32'h3f999aaf,32'h3fa9c5e9, 32'h3f918511,32'h3fb1db87,// invsqrt(0.6267) = 1.2632 +32'h3f596efc,32'h3f881c61,32'h3f8daa99, 32'h3f83f1b7,32'h3f91d543, 32'h3f79ffe1,32'h3f98c70a,// invsqrt(0.8493) = 1.0851 +32'h40afdab2,32'h3ed60a31,32'h3edec6b1, 32'h3ecf7cd2,32'h3ee55410, 32'h3ec49132,32'h3ef03fb0,// invsqrt(5.4954) = 0.4266 +32'h3ed7dff6,32'h3fc12ef9,32'h3fc9118b, 32'h3fbb450c,32'h3fcefb78, 32'h3fb169d5,32'h3fd8d6af,// invsqrt(0.4216) = 1.5400 +32'h3b8413fe,32'h4176f9fc,32'h41808751, 32'h416f6a7e,32'h41844f10, 32'h4162d0ae,32'h418a9bf8,// invsqrt(0.0040) = 15.7511 +32'h3f91b986,32'h3f6b20c8,32'h3f74b9a1, 32'h3f63ee25,32'h3f7bec43, 32'h3f57ef15,32'h3f83f5a9,// invsqrt(1.1385) = 0.9372 +32'h3ea9f30e,32'h3fd9b9f8,32'h3fe29cfc, 32'h3fd30fb4,32'h3fe94740, 32'h3fc7f3ee,32'h3ff46306,// invsqrt(0.3319) = 1.7357 +32'h3d4f1223,32'h408b799d,32'h40912afd, 32'h40873496,32'h40957004, 32'h408016df,32'h409c8dbb,// invsqrt(0.0506) = 4.4475 +32'h40ae0435,32'h3ed72ac8,32'h3edff30e, 32'h3ed09492,32'h3ee68944, 32'h3ec59a3a,32'h3ef1839c,// invsqrt(5.4380) = 0.4288 +32'h3f443a1a,32'h3f8f46ee,32'h3f952008, 32'h3f8ae41b,32'h3f9982db, 32'h3f8394bc,32'h3fa0d23a,// invsqrt(0.7665) = 1.1422 +32'h3f8d2bc4,32'h3f6ee3e4,32'h3f78a40d, 32'h3f6793c6,32'h3f7ff42c, 32'h3f5b6393,32'h3f86122f,// invsqrt(1.1029) = 0.9522 +32'h3f96a744,32'h3f673ffb,32'h3f70b04e, 32'h3f602bbc,32'h3f77c48c, 32'h3f545f54,32'h3f81c87a,// invsqrt(1.1770) = 0.9218 +32'h3f961c61,32'h3f67aadd,32'h3f711f8d, 32'h3f609359,32'h3f783711, 32'h3f54c17c,32'h3f820477,// invsqrt(1.1727) = 0.9234 +32'h3eacff76,32'h3fd7ccb2,32'h3fe09b94, 32'h3fd13188,32'h3fe736be, 32'h3fc62eec,32'h3ff2395a,// invsqrt(0.3379) = 1.7203 +32'h404132fe,32'h3f106539,32'h3f164a02, 32'h3f0bf9a3,32'h3f1ab599, 32'h3f049ba8,32'h3f221394,// invsqrt(3.0187) = 0.5756 +32'h3f29fbf7,32'h3f99f0a9,32'h3fa0392d, 32'h3f953a46,32'h3fa4ef90, 32'h3f8d5fa0,32'h3facca36,// invsqrt(0.6640) = 1.2272 +32'h3eff5438,32'h3fb1a1c4,32'h3fb8e1d7, 32'h3fac31b7,32'h3fbe51e5, 32'h3fa321a0,32'h3fc761fc,// invsqrt(0.4987) = 1.4161 +32'h3f8a2a7e,32'h3f71795b,32'h3f7b5483, 32'h3f6a14fd,32'h3f815c71, 32'h3f5dc30a,32'h3f87856a,// invsqrt(1.0794) = 0.9625 +32'h402d0da8,32'h3f1891a4,32'h3f1ecbd4, 32'h3f13e5ff,32'h3f237779, 32'h3f0c1d43,32'h3f2b4035,// invsqrt(2.7040) = 0.6081 +32'h3f386f31,32'h3f93c960,32'h3f99d198, 32'h3f8f4336,32'h3f9e57c2, 32'h3f87b8f0,32'h3fa5e208,// invsqrt(0.7204) = 1.1781 +32'h403aa1cc,32'h3f12e9f7,32'h3f18e911, 32'h3f0e6aa4,32'h3f1d6864, 32'h3f06ebc4,32'h3f24e744,// invsqrt(2.9161) = 0.5856 +32'h3ecb10fa,32'h3fc72ed3,32'h3fcf5016, 32'h3fc115e2,32'h3fd56908, 32'h3fb6ec50,32'h3fdf929a,// invsqrt(0.3966) = 1.5879 +32'h3f781914,32'h3f7ed811,32'h3f849f76, 32'h3f770aeb,32'h3f888609, 32'h3f6a0a58,32'h3f8f0652,// invsqrt(0.9691) = 1.0158 +32'h3ec1de0f,32'h3fcbda8d,32'h3fd42c9d, 32'h3fc59d01,32'h3fda6a29, 32'h3fbb366c,32'h3fe4d0be,// invsqrt(0.3786) = 1.6251 +32'h3f3cc149,32'h3f9215dc,32'h3f980c4e, 32'h3f8d9d07,32'h3f9c8523, 32'h3f8628f9,32'h3fa3f931,// invsqrt(0.7373) = 1.1646 +32'h3f436b23,32'h3f8f92b9,32'h3f956eeb, 32'h3f8b2d94,32'h3f99d410, 32'h3f83da57,32'h3fa1274d,// invsqrt(0.7634) = 1.1446 +32'h3f7efcd5,32'h3f7b60a6,32'h3f82d1a5, 32'h3f73aeac,32'h3f86aaa2, 32'h3f66db5f,32'h3f8d1448,// invsqrt(0.9960) = 1.0020 +32'h40485f3e,32'h3f0dc98f,32'h3f139318, 32'h3f097269,32'h3f17ea3f, 32'h3f02367f,32'h3f1f2629,// invsqrt(3.1308) = 0.5652 +32'h40ef84b9,32'h3eb766a4,32'h3ebee2fe, 32'h3eb1c960,32'h3ec48042, 32'h3ea86def,32'h3ecddbb3,// invsqrt(7.4850) = 0.3655 +32'h3e8a8c45,32'h3ff12417,32'h3ffafbc4, 32'h3fe9c254,32'h40012ec3, 32'h3fdd74bc,32'h4007558f,// invsqrt(0.2706) = 1.9224 +32'h3f807a49,32'h3f7a69c6,32'h3f82512b, 32'h3f72bf5a,32'h3f862661, 32'h3f65f8a6,32'h3f8c89bb,// invsqrt(1.0037) = 0.9981 +32'h3f7f64ac,32'h3f7b2d87,32'h3f82b70a, 32'h3f737d1d,32'h3f868f3f, 32'h3f66ac6d,32'h3f8cf798,// invsqrt(0.9976) = 1.0012 +32'h3e39383a,32'h40137917,32'h40197e08, 32'h400ef561,32'h401e01bd, 32'h40076f34,32'h402587ea,// invsqrt(0.1809) = 2.3513 +32'h411b63cc,32'h3ea101ca,32'h3ea79426, 32'h3e9c1405,32'h3eac81eb, 32'h3e93dd12,32'h3eb4b8de,// invsqrt(9.7119) = 0.3209 +32'h3ea1e294,32'h3fdf1566,32'h3fe83065, 32'h3fd84127,32'h3fef04a5, 32'h3fccdf69,32'h3ffa6663,// invsqrt(0.3162) = 1.7784 +32'h3fa98693,32'h3f59ff96,32'h3f62e572, 32'h3f535331,32'h3f6991d7, 32'h3f4833dd,32'h3f74b12b,// invsqrt(1.3244) = 0.8689 +32'h3ffcf3cf,32'h3f3276e4,32'h3f39bfaa, 32'h3f2d0050,32'h3f3f363e, 32'h3f23e55a,32'h3f485135,// invsqrt(1.9762) = 0.7114 +32'h3db683c7,32'h4052191a,32'h405aac6a, 32'h404baa9f,32'h40611ae5, 32'h4040f27b,32'h406bd309,// invsqrt(0.0891) = 3.3498 +32'h3ee9fe1e,32'h3fb98dc8,32'h3fc120a1, 32'h3fb3dfa5,32'h3fc6cec3, 32'h3faa6815,32'h3fd04653,// invsqrt(0.4570) = 1.4792 +32'h4013bfc7,32'h3f251e20,32'h3f2bdb70, 32'h3f201024,32'h3f30e96c, 32'h3f17a381,32'h3f39560f,// invsqrt(2.3086) = 0.6582 +32'h3fc8a439,32'h3f486205,32'h3f508fd1, 32'h3f423fac,32'h3f56b22a, 32'h3f38066d,32'h3f60eb69,// invsqrt(1.5675) = 0.7987 +32'h3f1af1b4,32'h3fa13d07,32'h3fa7d1cd, 32'h3f9c4d72,32'h3facc162, 32'h3f941379,32'h3fb4fb5b,// invsqrt(0.6053) = 1.2854 +32'h3e2b9b9d,32'h401935ca,32'h401f76ae, 32'h4014851f,32'h40242759, 32'h400cb403,32'h402bf875,// invsqrt(0.1676) = 2.4428 +32'h3f674f28,32'h3f83f71a,32'h3f895a01, 32'h3f7fd9db,32'h3f8d642f, 32'h3f726299,32'h3f941fcf,// invsqrt(0.9036) = 1.0520 +32'h3e22662d,32'h401d7e7b,32'h4023ec21, 32'h4018ac3d,32'h4028be5f, 32'h4010a32d,32'h4030c76f,// invsqrt(0.1586) = 2.5111 +32'h4008b72b,32'h3f2ba6bd,32'h3f32a851, 32'h3f26658d,32'h3f37e981, 32'h3f1da393,32'h3f40ab7b,// invsqrt(2.1362) = 0.6842 +32'h3fcb2fd3,32'h3f471fb4,32'h3f4f4058, 32'h3f410739,32'h3f5558d3, 32'h3f36de6c,32'h3f5f81a0,// invsqrt(1.5874) = 0.7937 +32'h3fb351d5,32'h3f53f628,32'h3f5c9cf0, 32'h3f4d7912,32'h3f631a06, 32'h3f42a898,32'h3f6dea80,// invsqrt(1.4009) = 0.8449 +32'h3f8cceed,32'h3f6f3299,32'h3f78f5f8, 32'h3f67e011,32'h3f80243f, 32'h3f5babda,32'h3f863e5b,// invsqrt(1.1001) = 0.9534 +32'h3f6aca90,32'h3f82fba8,32'h3f88544c, 32'h3f7df25b,32'h3f8c56c6, 32'h3f7094c2,32'h3f930593,// invsqrt(0.9172) = 1.0442 +32'h3fdf6093,32'h3f3de961,32'h3f45a9c3, 32'h3f381918,32'h3f4b7a0c, 32'h3f2e689d,32'h3f552a87,// invsqrt(1.7451) = 0.7570 +32'h40341c1a,32'h3f158ce3,32'h3f1ba789, 32'h3f10f8e6,32'h3f203b86, 32'h3f095797,32'h3f27dcd5,// invsqrt(2.8142) = 0.5961 +32'h3e27755b,32'h401b18c1,32'h40216d5b, 32'h4016594d,32'h40262ccf, 32'h400e6f8d,32'h402e168f,// invsqrt(0.1635) = 2.4728 +32'h400933c7,32'h3f2b58b8,32'h3f32571e, 32'h3f2619ec,32'h3f3795ea, 32'h3f1d5bed,32'h3f4053e9,// invsqrt(2.1438) = 0.6830 +32'h3efedba9,32'h3fb1cbc3,32'h3fb90d8d, 32'h3fac5a6c,32'h3fbe7ee4, 32'h3fa34831,32'h3fc7911f,// invsqrt(0.4978) = 1.4174 +32'h3f43cd07,32'h3f8f6ed1,32'h3f95498b, 32'h3f8b0ac5,32'h3f99ad97, 32'h3f83b95d,32'h3fa0feff,// invsqrt(0.7648) = 1.1434 +32'h403d7013,32'h3f11d267,32'h3f17c618, 32'h3f0d5ba3,32'h3f1c3cdd, 32'h3f05eb06,32'h3f23ad7a,// invsqrt(2.9600) = 0.5812 +32'h3ffff1d8,32'h3f316b09,32'h3f38a8e0, 32'h3f2bfca9,32'h3f3e1741, 32'h3f22ef5c,32'h3f47248e,// invsqrt(1.9996) = 0.7072 +32'h3fa7e9f3,32'h3f5b0acc,32'h3f63fb90, 32'h3f545638,32'h3f6ab024, 32'h3f492943,32'h3f75dd19,// invsqrt(1.3118) = 0.8731 +32'h3f60c092,32'h3f85e05c,32'h3f8b573b, 32'h3f81c734,32'h3f8f7062, 32'h3f75e53a,32'h3f9644f9,// invsqrt(0.8779) = 1.0673 +32'h3eafce57,32'h3fd611b6,32'h3fdece84, 32'h3fcf841c,32'h3fe55c1e, 32'h3fc4981a,32'h3ff04820,// invsqrt(0.3434) = 1.7065 +32'h42eab27f,32'h3db9466c,32'h3dc0d65c, 32'h3db39a79,32'h3dc6824f, 32'h3daa268d,32'h3dcff63b,// invsqrt(117.3486) = 0.0923 +32'h3f97f35a,32'h3f6642bd,32'h3f6fa8bb, 32'h3f5f363f,32'h3f76b539, 32'h3f5376c3,32'h3f813a5b,// invsqrt(1.1871) = 0.9178 +32'h3f68ed52,32'h3f838193,32'h3f88dfae, 32'h3f7ef5ff,32'h3f8ce643, 32'h3f718abb,32'h3f939be4,// invsqrt(0.9099) = 1.0484 +32'h3f32c451,32'h3f961c6b,32'h3f9c3cec, 32'h3f918409,32'h3fa0d54d, 32'h3f89db67,32'h3fa87def,// invsqrt(0.6983) = 1.1967 +32'h3fa7b77e,32'h3f5b2bbd,32'h3f641dd9, 32'h3f547627,32'h3f6ad36f, 32'h3f494784,32'h3f760213,// invsqrt(1.3103) = 0.8736 +32'h41b1d511,32'h3e54d89b,32'h3e5d88a1, 32'h3e4e5496,32'h3e640ca6, 32'h3e43788e,32'h3e6ee8ae,// invsqrt(22.2290) = 0.2121 +32'h3e42ed41,32'h400fc10e,32'h40159f23, 32'h400b5a7d,32'h401a05b3, 32'h400404e2,32'h40215b4e,// invsqrt(0.1904) = 2.2920 +32'h3f28d2ec,32'h3f9a77db,32'h3fa0c5e4, 32'h3f95bd55,32'h3fa5806b, 32'h3f8ddbca,32'h3fad61f6,// invsqrt(0.6595) = 1.2314 +32'h3f715200,32'h3f8132f0,32'h3f8678f0, 32'h3f7a7ce2,32'h3f8a6d6f, 32'h3f6d4de3,32'h3f9104ee,// invsqrt(0.9427) = 1.0300 +32'h3d1ca7b6,32'h40a05aff,32'h40a6e68c, 32'h409b7255,32'h40abcf35, 32'h409343e4,32'h40b3fda6,// invsqrt(0.0382) = 5.1134 +32'h3fcbc7df,32'h3f46d55d,32'h3f4ef2f9, 32'h3f40bf29,32'h3f55092d, 32'h3f369a27,32'h3f5f2e2f,// invsqrt(1.5920) = 0.7925 +32'h3f87165b,32'h3f7435cd,32'h3f7e2d8c, 32'h3f6cbbfd,32'h3f82d3ae, 32'h3f60464e,32'h3f890e85,// invsqrt(1.0554) = 0.9734 +32'h3e6eb2e9,32'h4001e810,32'h40073574, 32'h3ffbdc0a,32'h400b2f7f, 32'h3fee9a90,32'h4011d03c,// invsqrt(0.2331) = 2.0712 +32'h3f04b13f,32'h3fae3beb,32'h3fb5587d, 32'h3fa8e67e,32'h3fbaadea, 32'h3fa002c8,32'h3fc391a0,// invsqrt(0.5183) = 1.3890 +32'h4046e36e,32'h3f0e50b1,32'h3f141fbe, 32'h3f09f568,32'h3f187b08, 32'h3f02b299,32'h3f1fbdd7,// invsqrt(3.1076) = 0.5673 +32'h3f0f47fa,32'h3fa7ac20,32'h3fae8422, 32'h3fa28a1f,32'h3fb3a623, 32'h3f99fc1e,32'h3fbc3424,// invsqrt(0.5597) = 1.3367 +32'h4101a4ac,32'h3eb04566,32'h3eb77740, 32'h3eaae002,32'h3ebcdca4, 32'h3ea1e1b1,32'h3ec5daf5,// invsqrt(8.1027) = 0.3513 +32'h3f72ef9b,32'h3f80c4c6,32'h3f860646, 32'h3f79a74b,32'h3f89f766, 32'h3f6c838b,32'h3f908947,// invsqrt(0.9490) = 1.0265 +32'h3fd87ca2,32'h3f40e905,32'h3f48c8bd, 32'h3f3b013c,32'h3f4eb086, 32'h3f312997,32'h3f58882b,// invsqrt(1.6913) = 0.7689 +32'h400aabb6,32'h3f2a6fd8,32'h3f3164bc, 32'h3f25382d,32'h3f369c67, 32'h3f1c860f,32'h3f3f4e85,// invsqrt(2.1667) = 0.6794 +32'h3feec641,32'h3f37afbb,32'h3f3f2f11, 32'h3f32103a,32'h3f44ce92, 32'h3f28b10f,32'h3f4e2dbd,// invsqrt(1.8654) = 0.7322 +32'h3f2c22bb,32'h3f98f99d,32'h3f9f380b, 32'h3f944ac9,32'h3fa3e6df, 32'h3f8c7cbf,32'h3fabb4e9,// invsqrt(0.6724) = 1.2195 +32'h3f1a8bd7,32'h3fa17221,32'h3fa80913, 32'h3f9c80ec,32'h3facfa48, 32'h3f94443e,32'h3fb536f6,// invsqrt(0.6037) = 1.2870 +32'h3fce7880,32'h3f4588b3,32'h3f4d98ba, 32'h3f3f7cad,32'h3f53a4bf, 32'h3f3568a4,32'h3f5db8c8,// invsqrt(1.6131) = 0.7874 +32'h3f0c4b47,32'h3fa972af,32'h3fb05d3d, 32'h3fa442c3,32'h3fb58d29, 32'h3f9b9d91,32'h3fbe325b,// invsqrt(0.5480) = 1.3508 +32'h3f24f89e,32'h3f9c42f2,32'h3fa2a3b8, 32'h3f977a5e,32'h3fa76c4c, 32'h3f8f8166,32'h3faf6544,// invsqrt(0.6444) = 1.2457 +32'h3f563b64,32'h3f891fc7,32'h3f8eb895, 32'h3f84ed2c,32'h3f92eb30, 32'h3f7bdc53,32'h3f99ea33,// invsqrt(0.8368) = 1.0931 +32'h3ffe8bc5,32'h3f31e7a8,32'h3f392a94, 32'h3f2c7576,32'h3f3e9cc6, 32'h3f2361ce,32'h3f47b06e,// invsqrt(1.9886) = 0.7091 +32'h3d307a25,32'h409714e9,32'h409d3f8f, 32'h409274ec,32'h40a1df8c, 32'h408abf9c,32'h40a994dc,// invsqrt(0.0431) = 4.8177 +32'h40058a03,32'h3f2dae48,32'h3f34c512, 32'h3f285d31,32'h3f3a1629, 32'h3f1f80b5,32'h3f42f2a5,// invsqrt(2.0865) = 0.6923 +32'h3f40702a,32'h3f90ae3f,32'h3f969603, 32'h3f8c406c,32'h3f9b03d6, 32'h3f84deb7,32'h3fa2658b,// invsqrt(0.7517) = 1.1534 +32'h4026ecc0,32'h3f1b582a,32'h3f21af5b, 32'h3f1696c6,32'h3f2670c0, 32'h3f0ea9c9,32'h3f2e5dbd,// invsqrt(2.6082) = 0.6192 +32'h3d1286a0,32'h40a5ce33,32'h40ac92b3, 32'h40a0bad4,32'h40b1a612, 32'h40984534,32'h40ba1bb2,// invsqrt(0.0358) = 5.2872 +32'h3e36cd97,32'h401471ce,32'h401a80e6, 32'h400fe67c,32'h401f0c38, 32'h4008539e,32'h40269f16,// invsqrt(0.1785) = 2.3668 +32'h3f85fbcc,32'h3f7536c8,32'h3f7f3904, 32'h3f6db51a,32'h3f835d59, 32'h3f61324f,32'h3f899ebe,// invsqrt(1.0467) = 0.9774 +32'h3eebe9bf,32'h3fb8cc0b,32'h3fc056fc, 32'h3fb323d7,32'h3fc5ff31, 32'h3fa9b62a,32'h3fcf6cde,// invsqrt(0.4608) = 1.4732 +32'h400abd93,32'h3f2a64df,32'h3f315951, 32'h3f252d8a,32'h3f3690a6, 32'h3f1c7bfc,32'h3f3f4234,// invsqrt(2.1678) = 0.6792 +32'h3f315615,32'h3f96b71c,32'h3f9cddee, 32'h3f9219fe,32'h3fa17b0c, 32'h3f8a6978,32'h3fa92b92,// invsqrt(0.6927) = 1.2015 +32'h3f439514,32'h3f8f8353,32'h3f955ee4, 32'h3f8b1ea7,32'h3f99c391, 32'h3f83cc33,32'h3fa11605,// invsqrt(0.7640) = 1.1441 +32'h3fd8a2bf,32'h3f40d80c,32'h3f48b712, 32'h3f3af0c8,32'h3f4e9e56, 32'h3f311a01,32'h3f58751d,// invsqrt(1.6925) = 0.7687 +32'h42bfbda1,32'h3dccfb2a,32'h3dd55902, 32'h3dc6b4c8,32'h3ddb9f64, 32'h3dbc3f7a,32'h3de614b2,// invsqrt(95.8704) = 0.1021 +32'h3ffea451,32'h3f31df14,32'h3f3921a8, 32'h3f2c6d26,32'h3f3e9396, 32'h3f2359ee,32'h3f47a6ce,// invsqrt(1.9894) = 0.7090 +32'h3f8e393e,32'h3f6e0128,32'h3f77b80f, 32'h3f66b7fa,32'h3f7f013c, 32'h3f5a9358,32'h3f8592ef,// invsqrt(1.1111) = 0.9487 +32'h3f289825,32'h3f9a92c6,32'h3fa0e1e8, 32'h3f95d76c,32'h3fa59d42, 32'h3f8df482,32'h3fad802c,// invsqrt(0.6586) = 1.2322 +32'h3fadb979,32'h3f57590b,32'h3f602335, 32'h3f50c16b,32'h3f66bad5, 32'h3f45c4b6,32'h3f71b78a,// invsqrt(1.3572) = 0.8584 +32'h3f1766c2,32'h3fa31d2b,32'h3fa9c58b, 32'h3f9e1ee3,32'h3faec3d3, 32'h3f95cc6c,32'h3fb7164b,// invsqrt(0.5914) = 1.3003 +32'h420a6322,32'h3e2a9c84,32'h3e31933a, 32'h3e25637a,32'h3e36cc44, 32'h3e1caf16,32'h3e3f80a9,// invsqrt(34.5968) = 0.1700 +32'h3f37e3aa,32'h3f940167,32'h3f9a0be9, 32'h3f8f7986,32'h3f9e93ca, 32'h3f87ec64,32'h3fa620ec,// invsqrt(0.7183) = 1.1799 +32'h4157d567,32'h3e889d4a,32'h3e8e30c5, 32'h3e846ead,32'h3e925f61, 32'h3e7aeca6,32'h3e9957bb,// invsqrt(13.4896) = 0.2723 +32'h3fbca43c,32'h3f4ea877,32'h3f5717d5, 32'h3f4854f1,32'h3f5d6b5b, 32'h3f3dc9bc,32'h3f67f690,// invsqrt(1.4738) = 0.8237 +32'h4048d84d,32'h3f0d9ece,32'h3f136698, 32'h3f0948f7,32'h3f17bc6f, 32'h3f020f3b,32'h3f1ef62b,// invsqrt(3.1382) = 0.5645 +32'h3eb36a54,32'h3fd3e7af,32'h3fdc8de0, 32'h3fcd6b0b,32'h3fe30a85, 32'h3fc29b4e,32'h3fedda42,// invsqrt(0.3504) = 1.6893 +32'h42865114,32'h3df4e8e3,32'h3dfee7f1, 32'h3ded6998,32'h3e03339e, 32'h3de0eac6,32'h3e097307,// invsqrt(67.1584) = 0.1220 +32'h400c81c7,32'h3f2951cf,32'h3f303b07, 32'h3f2422e6,32'h3f3569f0, 32'h3f1b7f60,32'h3f3e0d76,// invsqrt(2.1954) = 0.6749 +32'h3f4b2054,32'h3f8cd2a3,32'h3f929217, 32'h3f88830c,32'h3f96e1ae, 32'h3f8153ba,32'h3f9e1100,// invsqrt(0.7935) = 1.1226 +32'h3f0a3618,32'h3faab84e,32'h3fb1b028, 32'h3fa57e6b,32'h3fb6ea0b, 32'h3f9cc89c,32'h3fbf9fdb,// invsqrt(0.5399) = 1.3610 +32'h3e2394c1,32'h401cec8e,32'h40235441, 32'h40181ec9,32'h40282207, 32'h40101d2a,32'h403023a6,// invsqrt(0.1597) = 2.5020 +32'h3f6e6ddc,32'h3f81fade,32'h3f874906, 32'h3f7c007f,32'h3f8b43a4, 32'h3f6ebd1a,32'h3f91e557,// invsqrt(0.9314) = 1.0362 +32'h3f839a99,32'h3f776bca,32'h3f80c28b, 32'h3f6fd8d1,32'h3f848c08, 32'h3f633932,32'h3f8adbd7,// invsqrt(1.0282) = 0.9862 +32'h4173a202,32'h3e809598,32'h3e85d52c, 32'h3e794bd4,32'h3e89c4da, 32'h3e6c2ce4,32'h3e905452,// invsqrt(15.2271) = 0.2563 +32'h3fa028b8,32'h3f60484e,32'h3f696fd4, 32'h3f596aaa,32'h3f704d78, 32'h3f4df942,32'h3f7bbee0,// invsqrt(1.2512) = 0.8940 +32'h4007bb37,32'h3f2c45c4,32'h3f334dd6, 32'h3f26ffb6,32'h3f3893e4, 32'h3f1e359f,32'h3f415dfb,// invsqrt(2.1208) = 0.6867 +32'h409cbe2e,32'h3ee2b69e,32'h3eebf78b, 32'h3edbc5ee,32'h3ef2e83c, 32'h3ed034c6,32'h3efe7964,// invsqrt(4.8982) = 0.4518 +32'h4142e04d,32'h3e8fc5d5,32'h3e95a41c, 32'h3e8b5f1f,32'h3e9a0ad1, 32'h3e840946,32'h3ea160aa,// invsqrt(12.1798) = 0.2865 +32'h417fd35d,32'h3e7af72a,32'h3e829abf, 32'h3e734869,32'h3e86721f, 32'h3e667a7f,32'h3e8cd915,// invsqrt(15.9891) = 0.2501 +32'h3eccd5bc,32'h3fc65237,32'h3fce6a78, 32'h3fc04007,32'h3fd47ca9, 32'h3fb621b6,32'h3fde9afa,// invsqrt(0.4001) = 1.5810 +32'h3e99151a,32'h3fe5686c,32'h3feec580, 32'h3fde629d,32'h3ff5cb4f, 32'h3fd2ae44,32'h4000bfd4,// invsqrt(0.2990) = 1.8288 +32'h3dd6ed24,32'h40419bfb,32'h40498301, 32'h403baeb8,32'h404f7044, 32'h4031cdf1,32'h4059510b,// invsqrt(0.1049) = 3.0869 +32'h3e3577c9,32'h4014fd5a,32'h401b1224, 32'h40106dc2,32'h401fa1bc, 32'h4008d3c6,32'h40273bb8,// invsqrt(0.1772) = 2.3755 +32'h3fbbda5d,32'h3f4f1763,32'h3f578b48, 32'h3f48c078,32'h3f5de234, 32'h3f3e2f9a,32'h3f687312,// invsqrt(1.4676) = 0.8255 +32'h408f1d9c,32'h3eed42f8,32'h3ef6f21c, 32'h3ee5ff9d,32'h3efe3577, 32'h3ed9e4af,32'h3f052832,// invsqrt(4.4724) = 0.4729 +32'h3e13f074,32'h402502f4,32'h402bbf28, 32'h401ff5cd,32'h4030cc4f, 32'h40178a8d,32'h4039378f,// invsqrt(0.1445) = 2.6309 +32'h3ecbf51f,32'h3fc6bf4d,32'h3fcedc02, 32'h3fc0a9c6,32'h3fd4f18a, 32'h3fb685e4,32'h3fdf156c,// invsqrt(0.3984) = 1.5844 +32'h4064d497,32'h3f04ad96,32'h3f0a17f0, 32'h3f009dd3,32'h3f0e27b3, 32'h3ef3b1c5,32'h3f14eca3,// invsqrt(3.5755) = 0.5289 +32'h3ebfd082,32'h3fccf113,32'h3fd54e81, 32'h3fc6ab00,32'h3fdb9494, 32'h3fbc3636,32'h3fe6095e,// invsqrt(0.3746) = 1.6338 +32'h3fe4a3fa,32'h3f3bb689,32'h3f435ff1, 32'h3f35f77a,32'h3f491f00, 32'h3f2c63b7,32'h3f52b2c3,// invsqrt(1.7863) = 0.7482 +32'h41e48b10,32'h3e3bc0c4,32'h3e436a98, 32'h3e360165,32'h3e4929f7, 32'h3e2c6d1d,32'h3e52be3f,// invsqrt(28.5679) = 0.1871 +32'h3fa9063a,32'h3f5a524b,32'h3f633b87, 32'h3f53a35d,32'h3f69ea75, 32'h3f487fd2,32'h3f750e00,// invsqrt(1.3205) = 0.8702 +32'h41058c56,32'h3eadacc6,32'h3eb4c37f, 32'h3ea85bba,32'h3eba148a, 32'h3e9f7f52,32'h3ec2f0f2,// invsqrt(8.3468) = 0.3461 +32'h417355ad,32'h3e80a9c1,32'h3e85ea27, 32'h3e7972e9,32'h3e89da73, 32'h3e6c51ea,32'h3e906af3,// invsqrt(15.2084) = 0.2564 +32'h3dcc273e,32'h4046a6e6,32'h404ec29c, 32'h4040921e,32'h4054d764, 32'h40366f7a,32'h405efa08,// invsqrt(0.0997) = 3.1673 +32'h3e975324,32'h3fe6bc81,32'h3ff02777, 32'h3fdfac49,32'h3ff737af, 32'h3fd3e696,32'h40017eb1,// invsqrt(0.2956) = 1.8394 +32'h3fcf14cf,32'h3f453e18,32'h3f4d4b13, 32'h3f3f345a,32'h3f5354d0, 32'h3f352420,32'h3f5d650a,// invsqrt(1.6178) = 0.7862 +32'h3f1598a5,32'h3fa41859,32'h3faacafa, 32'h3f9f1262,32'h3fafd0f2, 32'h3f96b319,32'h3fb8303b,// invsqrt(0.5844) = 1.3082 +32'h3f0c8259,32'h3fa95177,32'h3fb03aab, 32'h3fa42290,32'h3fb56992, 32'h3f9b7f0f,32'h3fbe0d13,// invsqrt(0.5489) = 1.3498 +32'h3f421946,32'h3f900f78,32'h3f95f0c0, 32'h3f8ba681,32'h3f9a59b7, 32'h3f844ce6,32'h3fa1b352,// invsqrt(0.7582) = 1.1484 +32'h3ed52abd,32'h3fc2681a,32'h3fca5773, 32'h3fbc7496,32'h3fd04af6, 32'h3fb28965,32'h3fda3627,// invsqrt(0.4163) = 1.5498 +32'h420989f1,32'h3e2b2304,32'h3e321f38, 32'h3e25e5dc,32'h3e375c60, 32'h3e1d2a9b,32'h3e4017a1,// invsqrt(34.3847) = 0.1705 +32'h3e8e02a8,32'h3fee2ee1,32'h3ff7e7a7, 32'h3fe6e44d,32'h3fff323b, 32'h3fdabd57,32'h4005ac99,// invsqrt(0.2774) = 1.8988 +32'h3f23ff07,32'h3f9cb9ae,32'h3fa31f4c, 32'h3f97ed77,32'h3fa7eb83, 32'h3f8fee70,32'h3fafea8a,// invsqrt(0.6406) = 1.2494 +32'h3fa80747,32'h3f5af7ae,32'h3f63e7aa, 32'h3f5443b0,32'h3f6a9ba8, 32'h3f4917b4,32'h3f75c7a4,// invsqrt(1.3127) = 0.8728 +32'h3d9a93fd,32'h40644b9c,32'h406d9d10, 32'h405d4e85,32'h40749a27, 32'h4051a8b4,32'h40801ffc,// invsqrt(0.0755) = 3.6399 +32'h3e8f7a87,32'h3fecf618,32'h3ff6a218, 32'h3fe5b517,32'h3ffde319, 32'h3fd99e16,32'h4004fd0d,// invsqrt(0.2802) = 1.8890 +32'h3f62f940,32'h3f85383c,32'h3f8aa83e, 32'h3f81243a,32'h3f8ebc40, 32'h3f74b06e,32'h3f958843,// invsqrt(0.8866) = 1.0620 +32'h402280b7,32'h3f1d719e,32'h3f23debe, 32'h3f189fc5,32'h3f28b097, 32'h3f10975d,32'h3f30b8ff,// invsqrt(2.5391) = 0.6276 +32'h41745e93,32'h3e8063f2,32'h3e85a17e, 32'h3e78eb91,32'h3e898fa8, 32'h3e6bd1b2,32'h3e901c97,// invsqrt(15.2731) = 0.2559 +32'h3fc3a953,32'h3f4aeac0,32'h3f533306, 32'h3f44b48b,32'h3f59693b, 32'h3f3a5a33,32'h3f63c393,// invsqrt(1.5286) = 0.8088 +32'h3ed5ec07,32'h3fc21032,32'h3fc9fbf5, 32'h3fbc1f5f,32'h3fcfecc7, 32'h3fb238ab,32'h3fd9d37b,// invsqrt(0.4178) = 1.5471 +32'h3fdfc4db,32'h3f3dbece,32'h3f457d74, 32'h3f37efd3,32'h3f4b4c6f, 32'h3f2e4184,32'h3f54fabe,// invsqrt(1.7482) = 0.7563 +32'h3f2471f6,32'h3f9c82df,32'h3fa2e641, 32'h3f97b856,32'h3fa7b0ca, 32'h3f8fbc1b,32'h3fafad05,// invsqrt(0.6424) = 1.2477 +32'h3ee23e08,32'h3fbcb48f,32'h3fc46857, 32'h3fb6edba,32'h3fca2f2c, 32'h3fad4d01,32'h3fd3cfe5,// invsqrt(0.4419) = 1.5043 +32'h3d5b458a,32'h40878a07,32'h408d1245, 32'h408363d7,32'h40913875, 32'h4078f311,32'h409822c3,// invsqrt(0.0535) = 4.3220 +32'h3e947816,32'h3fe8f1de,32'h3ff273e8, 32'h3fe1d058,32'h3ff9956e, 32'h3fd5edcc,32'h4002bbfd,// invsqrt(0.2900) = 1.8570 +32'h402314c6,32'h3f1d2a15,32'h3f23944b, 32'h3f185a6e,32'h3f2863f2, 32'h3f1055ab,32'h3f3068b5,// invsqrt(2.5481) = 0.6265 +32'h3e9ab049,32'h3fe436ba,32'h3fed8754, 32'h3fdd3a47,32'h3ff483c7, 32'h3fd19586,32'h40001444,// invsqrt(0.3021) = 1.8193 +32'h3e8fdece,32'h3feca375,32'h3ff64c17, 32'h3fe564fc,32'h3ffd8a90, 32'h3fd95232,32'h4004cead,// invsqrt(0.2810) = 1.8865 +32'h3f23a538,32'h3f9ce4a9,32'h3fa34c09, 32'h3f981721,32'h3fa81991, 32'h3f9015ea,32'h3fb01ac8,// invsqrt(0.6392) = 1.2507 +32'h41b06d76,32'h3e55b117,32'h3e5e69f4, 32'h3e4f2672,32'h3e64f49a, 32'h3e443f5f,32'h3e6fdbad,// invsqrt(22.0534) = 0.2129 +32'h3edc23ee,32'h3fbf4d81,32'h3fc71c6c, 32'h3fb97250,32'h3fccf79c, 32'h3fafafaa,32'h3fd6ba42,// invsqrt(0.4300) = 1.5251 +32'h3ee12d69,32'h3fbd26a8,32'h3fc4df18, 32'h3fb75c55,32'h3fcaa96b, 32'h3fadb5ca,32'h3fd44ff6,// invsqrt(0.4398) = 1.5079 +32'h3f0bbfdd,32'h3fa9c71f,32'h3fb0b521, 32'h3fa4949e,32'h3fb5e7a2, 32'h3f9beb1d,32'h3fbe9123,// invsqrt(0.5459) = 1.3535 +32'h3f321501,32'h3f96663c,32'h3f9c89c1, 32'h3f91cb98,32'h3fa12464, 32'h3f8a1f31,32'h3fa8d0cb,// invsqrt(0.6956) = 1.1990 +32'h4104c22c,32'h3eae30d0,32'h3eb54ced, 32'h3ea8dbb9,32'h3ebaa203, 32'h3e9ff895,32'h3ec38527,// invsqrt(8.2974) = 0.3472 +32'h3e87d9a8,32'h3ff38603,32'h3ffd7695, 32'h3fec1195,32'h40027582, 32'h3fdfa4de,32'h4008abdd,// invsqrt(0.2653) = 1.9414 +32'h3f448e61,32'h3f8f2833,32'h3f95000b, 32'h3f8ac651,32'h3f9961ed, 32'h3f837882,32'h3fa0afbc,// invsqrt(0.7678) = 1.1412 +32'h40093638,32'h3f2b5732,32'h3f325588, 32'h3f261872,32'h3f379448, 32'h3f1d5a87,32'h3f405233,// invsqrt(2.1439) = 0.6830 +32'h3f85f2cd,32'h3f753f04,32'h3f7f4196, 32'h3f6dbd16,32'h3f8361c2, 32'h3f6139df,32'h3f89a35e,// invsqrt(1.0465) = 0.9775 +32'h3e86e6a2,32'h3ff460fb,32'h3ffe5a7d, 32'h3fece5d9,32'h4002ead0, 32'h3fe06df6,32'h400926c1,// invsqrt(0.2635) = 1.9482 +32'h3fd67819,32'h3f41d0c8,32'h3f49b9f5, 32'h3f3be1e7,32'h3f4fa8d7, 32'h3f31fe6f,32'h3f598c4f,// invsqrt(1.6755) = 0.7725 +32'h3fce32e5,32'h3f45aa07,32'h3f4dbb6b, 32'h3f3f9cfd,32'h3f53c875, 32'h3f358740,32'h3f5dde32,// invsqrt(1.6109) = 0.7879 +32'h3e2b289a,32'h4019693b,32'h401fac39, 32'h4014b6fe,32'h40245e76, 32'h400ce341,32'h402c3233,// invsqrt(0.1671) = 2.4460 +32'h40d12c07,32'h3ec4411c,32'h3ecc43c5, 32'h3ebe3f1f,32'h3ed245c3, 32'h3eb43bcc,32'h3edc4916,// invsqrt(6.5366) = 0.3911 +32'h4094c55f,32'h3ee8b555,32'h3ef234e5, 32'h3ee195a8,32'h3ef95492, 32'h3ed5b634,32'h3f029a03,// invsqrt(4.6491) = 0.4638 +32'h3ca50481,32'h40dcf4c0,32'h40e5f984, 32'h40d6312d,32'h40ecbd17, 32'h40caeb38,32'h40f8030c,// invsqrt(0.0201) = 7.0458 +32'h3f07182e,32'h3facad98,32'h3fb3b9e8, 32'h3fa7645d,32'h3fb90323, 32'h3f9e94fa,32'h3fc1d286,// invsqrt(0.5277) = 1.3766 +32'h41a6a73b,32'h3e5bde7b,32'h3e64d7e4, 32'h3e55236e,32'h3e6b92f2, 32'h3e49ebab,32'h3e76cab5,// invsqrt(20.8317) = 0.2191 +32'h3fc1ec13,32'h3f4bd32f,32'h3f5424f2, 32'h3f4595dc,32'h3f5a6244, 32'h3f3b2fa8,32'h3f64c878,// invsqrt(1.5150) = 0.8124 +32'h3ec187b7,32'h3fcc0801,32'h3fd45bed, 32'h3fc5c911,32'h3fda9add, 32'h3fbb602b,32'h3fe503c3,// invsqrt(0.3780) = 1.6265 +32'h3e92d2ab,32'h3fea3f3d,32'h3ff3cee2, 32'h3fe31382,32'h3ffafa9e, 32'h3fd71ff5,32'h40037716,// invsqrt(0.2868) = 1.8674 +32'h4092fcf3,32'h3eea1d8a,32'h3ef3abce, 32'h3ee2f2d7,32'h3efad681, 32'h3ed70101,32'h3f03642b,// invsqrt(4.5934) = 0.4666 +32'h3f024e1b,32'h3fafd2a6,32'h3fb6ffd2, 32'h3faa70c6,32'h3fbc61b2, 32'h3fa17850,32'h3fc55a28,// invsqrt(0.5090) = 1.4016 +32'h3e7bc8da,32'h3ffcf8af,32'h4003a5fd, 32'h3ff53a36,32'h40078539, 32'h3fe85218,32'h400df948,// invsqrt(0.2459) = 2.0167 +32'h3fb2b289,32'h3f54548c,32'h3f5cff2f, 32'h3f4dd493,32'h3f637f29, 32'h3f42ff48,32'h3f6e5474,// invsqrt(1.3961) = 0.8463 +32'h41192033,32'h3ea23163,32'h3ea8d023, 32'h3e9d3a53,32'h3eadc733, 32'h3e94f3e3,32'h3eb60da3,// invsqrt(9.5704) = 0.3232 +32'h3fd83d52,32'h3f410541,32'h3f48e61f, 32'h3f3b1c9a,32'h3f4ecec6, 32'h3f314385,32'h3f58a7db,// invsqrt(1.6894) = 0.7694 +32'h3e142f1d,32'h4024e00d,32'h402b9ad4, 32'h401fd3f7,32'h4030a6e9, 32'h40176a7e,32'h40391062,// invsqrt(0.1447) = 2.6287 +32'h3f2c4d0e,32'h3f98e6d2,32'h3f9f247c, 32'h3f943892,32'h3fa3d2bc, 32'h3f8c6b7d,32'h3fab9fd1,// invsqrt(0.6731) = 1.2189 +32'h402b3367,32'h3f196464,32'h3f1fa72e, 32'h3f14b24c,32'h3f245946, 32'h3f0cdece,32'h3f2c2cc4,// invsqrt(2.6750) = 0.6114 +32'h3f01f84b,32'h3fb00ca8,32'h3fb73c32, 32'h3faaa901,32'h3fbc9fd9, 32'h3fa1ad95,32'h3fc59b45,// invsqrt(0.5077) = 1.4035 +32'h3c2cf4d4,32'h41189c97,32'h411ed739, 32'h4113f09c,32'h41238334, 32'h410c2751,32'h412b4c7f,// invsqrt(0.0106) = 9.7329 +32'h3f82c232,32'h3f783833,32'h3f812cec, 32'h3f709ef8,32'h3f84f989, 32'h3f63f4eb,32'h3f8b4e90,// invsqrt(1.0216) = 0.9894 +32'h3f952fa4,32'h3f686264,32'h3f71de92, 32'h3f614542,32'h3f78fbb4, 32'h3f556a08,32'h3f826b77,// invsqrt(1.1655) = 0.9263 +32'h3e7ad472,32'h3ffd73d0,32'h4003e611, 32'h3ff5b194,32'h4007c730, 32'h3fe8c32e,32'h400e3e63,// invsqrt(0.2450) = 2.0205 +32'h408cb09d,32'h3eef4c5c,32'h3ef910c8, 32'h3ee7f90b,32'h3f00320d, 32'h3edbc383,32'h3f064cd0,// invsqrt(4.3966) = 0.4769 +32'h3fbe8ef0,32'h3f4d9db6,32'h3f560230, 32'h3f47525a,32'h3f5c4d8c, 32'h3f3cd4c1,32'h3f66cb25,// invsqrt(1.4887) = 0.8196 +32'h3fcd5a20,32'h3f46123f,32'h3f4e27e4, 32'h3f400204,32'h3f543820, 32'h3f35e6f7,32'h3f5e532d,// invsqrt(1.6043) = 0.7895 +32'h4098ec2a,32'h3ee5871e,32'h3eeee573, 32'h3ede805f,32'h3ef5ec33, 32'h3ed2ca75,32'h3f00d10f,// invsqrt(4.7788) = 0.4574 +32'h3f19f8cb,32'h3fa1bf26,32'h3fa8593c, 32'h3f9ccb95,32'h3fad4ccd, 32'h3f948af9,32'h3fb58d69,// invsqrt(0.6015) = 1.2894 +32'h3fe4f6d8,32'h3f3b948e,32'h3f433c94, 32'h3f35d68a,32'h3f48fa98, 32'h3f2c4482,32'h3f528ca0,// invsqrt(1.7888) = 0.7477 +32'h3fc4ccbd,32'h3f4a544c,32'h3f52966e, 32'h3f4422b2,32'h3f58c808, 32'h3f39d007,32'h3f631ab3,// invsqrt(1.5375) = 0.8065 +32'h3fc8c93e,32'h3f484f8c,32'h3f507c96, 32'h3f422dc3,32'h3f569e5f, 32'h3f37f576,32'h3f60d6ac,// invsqrt(1.5686) = 0.7984 +32'h3f80f0f8,32'h3f79f66d,32'h3f821524, 32'h3f724f89,32'h3f85e896, 32'h3f658eb7,32'h3f8c48fe,// invsqrt(1.0074) = 0.9963 +32'h40673d60,32'h3f03fc2d,32'h3f095f49, 32'h3effe3b0,32'h3f0d699e, 32'h3ef26bea,32'h3f142581,// invsqrt(3.6131) = 0.5261 +32'h40166481,32'h3f23a8fc,32'h3f2a5710, 32'h3f1ea66c,32'h3f2f59a0, 32'h3f164cd2,32'h3f37b33a,// invsqrt(2.3499) = 0.6523 +32'h419b3edf,32'h3e63cdd4,32'h3e6d1a26, 32'h3e5cd497,32'h3e741363, 32'h3e513530,32'h3e7fb2ca,// invsqrt(19.4057) = 0.2270 +32'h3e937c17,32'h3fe9b88b,32'h3ff342b1, 32'h3fe290f0,32'h3ffa6a4c, 32'h3fd6a441,32'h40032b7d,// invsqrt(0.2881) = 1.8632 +32'h3f77336e,32'h3f7f4e55,32'h3f84dd03, 32'h3f777d92,32'h3f88c565, 32'h3f6a76f6,32'h3f8f48b3,// invsqrt(0.9656) = 1.0176 +32'h3fb8de7c,32'h3f50c187,32'h3f5946d0, 32'h3f4a5d8f,32'h3f5faac7, 32'h3f3fb6f4,32'h3f6a5163,// invsqrt(1.4443) = 0.8321 +32'h3f4783a1,32'h3f8e1783,32'h3f93e43a, 32'h3f89bdfa,32'h3f983dc4, 32'h3f827e16,32'h3f9f7da8,// invsqrt(0.7794) = 1.1327 +32'h3f0e8b5b,32'h3fa81aeb,32'h3faef771, 32'h3fa2f585,32'h3fb41cd7, 32'h3f9a61dd,32'h3fbcb07f,// invsqrt(0.5568) = 1.3401 +32'h400e5fa7,32'h3f2834b6,32'h3f2f124a, 32'h3f230e86,32'h3f34387a, 32'h3f1a798d,32'h3f3ccd73,// invsqrt(2.2246) = 0.6705 +32'h3d19d0d5,32'h40a1d428,32'h40a86f1a, 32'h409cdff3,32'h40ad634f, 32'h40949e44,32'h40b5a4fe,// invsqrt(0.0376) = 5.1604 +32'h3f58159c,32'h3f8888fc,32'h3f8e1ba4, 32'h3f845aff,32'h3f9249a1, 32'h3f7ac75d,32'h3f9940f2,// invsqrt(0.8441) = 1.0884 +32'h3f6b55cc,32'h3f82d4e3,32'h3f882bf2, 32'h3f7da732,32'h3f8c2d3d, 32'h3f704d8d,32'h3f92da0f,// invsqrt(0.9193) = 1.0430 +32'h404ba465,32'h3f0ca4f2,32'h3f126288, 32'h3f0856c0,32'h3f16b0ba, 32'h3f0129c4,32'h3f1dddb6,// invsqrt(3.1819) = 0.5606 +32'h3fe67811,32'h3f3af788,32'h3f429925, 32'h3f353e52,32'h3f48525a, 32'h3f2bb44d,32'h3f51dc5f,// invsqrt(1.8005) = 0.7452 +32'h3fe7bb2a,32'h3f3a7503,32'h3f42114c, 32'h3f34bfcb,32'h3f47c683, 32'h3f2b3c70,32'h3f5149de,// invsqrt(1.8104) = 0.7432 +32'h3f980f96,32'h3f662d5c,32'h3f6f927a, 32'h3f5f2186,32'h3f769e50, 32'h3f536320,32'h3f812e5b,// invsqrt(1.1880) = 0.9175 +32'h3dc8a64d,32'h404860fc,32'h40508ebd, 32'h40423eab,32'h4056b10d, 32'h40380579,32'h4060ea3f,// invsqrt(0.0980) = 3.1948 +32'h3fb64021,32'h3f524015,32'h3f5ad4fb, 32'h3f4bd068,32'h3f6144a8, 32'h3f411647,32'h3f6bfec9,// invsqrt(1.4238) = 0.8381 +32'h3f14c4f7,32'h3fa48cee,32'h3fab4450, 32'h3f9f8364,32'h3fb04dda, 32'h3f971e29,32'h3fb8b315,// invsqrt(0.5811) = 1.3118 +32'h3e820222,32'h3ff8ef48,32'h40018c33, 32'h3ff15073,32'h40055b9e, 32'h3fe49d0e,32'h400bb550,// invsqrt(0.2539) = 1.9845 +32'h3fd802c9,32'h3f411f66,32'h3f490156, 32'h3f3b35f3,32'h3f4eeac9, 32'h3f315b88,32'h3f58c534,// invsqrt(1.6876) = 0.7698 +32'h3f016ad4,32'h3fb06cc6,32'h3fb7a03c, 32'h3fab062e,32'h3fbd06d4, 32'h3fa205da,32'h3fc60728,// invsqrt(0.5055) = 1.4064 +32'h40444647,32'h3f0f427c,32'h3f151b67, 32'h3f0adfcc,32'h3f197e18, 32'h3f0390a7,32'h3f20cd3d,// invsqrt(3.0668) = 0.5710 +32'h3f97da49,32'h3f6655be,32'h3f6fbc82, 32'h3f5f48ab,32'h3f76c995, 32'h3f538836,32'h3f814505,// invsqrt(1.1863) = 0.9181 +32'h3e2d4446,32'h40187996,32'h401eb2cc, 32'h4013ceaf,32'h40235db3, 32'h400c072c,32'h402b2536,// invsqrt(0.1692) = 2.4310 +32'h3f320497,32'h3f966d2b,32'h3f9c90f8, 32'h3f91d250,32'h3fa12bd2, 32'h3f8a258f,32'h3fa8d893,// invsqrt(0.6954) = 1.1992 +32'h40d38e73,32'h3ec3252d,32'h3ecb1c3e, 32'h3ebd2bdf,32'h3ed1158b, 32'h3eb33709,32'h3edb0a61,// invsqrt(6.6111) = 0.3889 +32'h3f21f133,32'h3f9db752,32'h3fa4274b, 32'h3f98e358,32'h3fa8fb46, 32'h3f90d761,32'h3fb1073d,// invsqrt(0.6326) = 1.2573 +32'h3f88ed4f,32'h3f729068,32'h3f7c76f4, 32'h3f6b237f,32'h3f81f1ef, 32'h3f5ec350,32'h3f882206,// invsqrt(1.0697) = 0.9669 +32'h3fe106ac,32'h3f3d36ef,32'h3f44f009, 32'h3f376c1c,32'h3f4abadc, 32'h3f2dc4bc,32'h3f54623c,// invsqrt(1.7580) = 0.7542 +32'h3dd33a0d,32'h40434c25,32'h404b44ce, 32'h403d51a7,32'h40513f4d, 32'h40335ad4,32'h405b3620,// invsqrt(0.1031) = 3.1138 +32'h3f1c05ea,32'h3fa0ae0e,32'h3fa73cff, 32'h3f9bc2da,32'h3fac2834, 32'h3f93902d,32'h3fb45ae1,// invsqrt(0.6095) = 1.2809 +32'h3dc8a7e5,32'h40486030,32'h40508de9, 32'h40423de6,32'h4056b034, 32'h403804bf,32'h4060e95b,// invsqrt(0.0980) = 3.1948 +32'h4001c864,32'h3f302d22,32'h3f375dff, 32'h3f2ac87d,32'h3f3cc2a5, 32'h3f21cb69,32'h3f45bfb9,// invsqrt(2.0279) = 0.7022 +32'h404a4c0b,32'h3f0d1c73,32'h3f12deeb, 32'h3f08ca99,32'h3f1730c5, 32'h3f019784,32'h3f1e63da,// invsqrt(3.1609) = 0.5625 +32'h3f7cd26b,32'h3f7c73b0,32'h3f8360c6, 32'h3f74b949,32'h3f873df9, 32'h3f67d7f5,32'h3f8daea4,// invsqrt(0.9876) = 1.0063 +32'h3e81bc37,32'h3ff93253,32'h4001af16, 32'h3ff19170,32'h40057f88, 32'h3fe4daa0,32'h400bdaf0,// invsqrt(0.2534) = 1.9866 +32'h40384f91,32'h3f13d60e,32'h3f19deca, 32'h3f0f4f80,32'h3f1e6558, 32'h3f07c494,32'h3f25f044,// invsqrt(2.8799) = 0.5893 +32'h40ad0e0e,32'h3ed7c398,32'h3ee0921c, 32'h3ed128b6,32'h3ee72cfe, 32'h3ec62691,32'h3ef22f23,// invsqrt(5.4080) = 0.4300 +32'h3faea735,32'h3f56c649,32'h3f5f8a75, 32'h3f503327,32'h3f661d97, 32'h3f453def,32'h3f7112cf,// invsqrt(1.3645) = 0.8561 +32'h3f5cef1d,32'h3f87073d,32'h3f8c8a25, 32'h3f82e50f,32'h3f90ac53, 32'h3f7802d8,32'h3f978ff6,// invsqrt(0.8630) = 1.0764 +32'h3fbc8fb0,32'h3f4eb3b9,32'h3f57238d, 32'h3f485fdb,32'h3f5d776b, 32'h3f3dd413,32'h3f680333,// invsqrt(1.4731) = 0.8239 +32'h3eec8365,32'h3fb88ffb,32'h3fc01878, 32'h3fb2e99d,32'h3fc5bed5, 32'h3fa97f00,32'h3fcf2972,// invsqrt(0.4619) = 1.4713 +32'h3f5c2b8a,32'h3f874328,32'h3f8cc883, 32'h3f831f25,32'h3f90ec87, 32'h3f7870e8,32'h3f97d338,// invsqrt(0.8600) = 1.0783 +32'h3f81f59c,32'h3f78fb47,32'h3f819271, 32'h3f715c13,32'h3f85620a, 32'h3f64a812,32'h3f8bbc0b,// invsqrt(1.0153) = 0.9924 +32'h3f7ec3be,32'h3f7b7ccf,32'h3f82e04c, 32'h3f73c9f8,32'h3f86b9b8, 32'h3f66f53c,32'h3f8d2416,// invsqrt(0.9952) = 1.0024 +32'h4025f75c,32'h3f1bcad8,32'h3f2226b6, 32'h3f1705f0,32'h3f26eb9e, 32'h3f0f131a,32'h3f2ede74,// invsqrt(2.5932) = 0.6210 +32'h4046efdc,32'h3f0e4c3f,32'h3f141b1d, 32'h3f09f118,32'h3f187644, 32'h3f02ae83,32'h3f1fb8d9,// invsqrt(3.1084) = 0.5672 +32'h3ed21475,32'h3fc3d46d,32'h3fcbd2a6, 32'h3fbdd5c3,32'h3fd1d151, 32'h3fb3d7fc,32'h3fdbcf18,// invsqrt(0.4103) = 1.5611 +32'h3d795938,32'h407e3443,32'h40844a38, 32'h40766c22,32'h40882e49, 32'h406973eb,32'h408eaa65,// invsqrt(0.0609) = 4.0530 +32'h3fae6ae1,32'h3f56eb6a,32'h3f5fb11b, 32'h3f505726,32'h3f664560, 32'h3f456009,32'h3f713c7d,// invsqrt(1.3626) = 0.8567 +32'h3fa4971d,32'h3f5d3e21,32'h3f6645e3, 32'h3f56784f,32'h3f6d0bb5, 32'h3f4b2e9b,32'h3f785569,// invsqrt(1.2859) = 0.8819 +32'h3ffa640b,32'h3f335ffe,32'h3f3ab248, 32'h3f2de248,32'h3f402ffe, 32'h3f24bb6c,32'h3f4956da,// invsqrt(1.9562) = 0.7150 +32'h3f75dbe2,32'h3f80003b,32'h3f8539b6, 32'h3f782a40,32'h3f8924d2, 32'h3f6b1a8d,32'h3f8facac,// invsqrt(0.9604) = 1.0204 +32'h403e1975,32'h3f119162,32'h3f17826b, 32'h3f0d1c9a,32'h3f1bf732, 32'h3f05af4f,32'h3f23647d,// invsqrt(2.9703) = 0.5802 +32'h3f43b205,32'h3f8f78b6,32'h3f9553d8, 32'h3f8b145d,32'h3f99b831, 32'h3f83c273,32'h3fa10a1b,// invsqrt(0.7644) = 1.1437 +32'h3f798279,32'h3f7e1f3e,32'h3f843f47, 32'h3f7657c1,32'h3f882306, 32'h3f69609c,32'h3f8e9e98,// invsqrt(0.9746) = 1.0129 +32'h3f0aead6,32'h3faa491a,32'h3fb13c6a, 32'h3fa5129f,32'h3fb672e5, 32'h3f9c627b,32'h3fbf2309,// invsqrt(0.5426) = 1.3575 +32'h3f33ea6f,32'h3f95a186,32'h3f9bbd04, 32'h3f910ce8,32'h3fa051a2, 32'h3f896a8b,32'h3fa7f3ff,// invsqrt(0.7028) = 1.1928 +32'h3f99b83e,32'h3f64ee8f,32'h3f6e46aa, 32'h3f5dec7c,32'h3f7548be, 32'h3f523e5a,32'h3f807b70,// invsqrt(1.2009) = 0.9125 +32'h3fd2da32,32'h3f437885,32'h3f4b72fd, 32'h3f3d7cab,32'h3f516ed7, 32'h3f338394,32'h3f5b67ee,// invsqrt(1.6473) = 0.7791 +32'h3eb00151,32'h3fd5f2b4,32'h3fdeae3e, 32'h3fcf660d,32'h3fe53ae5, 32'h3fc47ba0,32'h3ff02552,// invsqrt(0.3438) = 1.7056 +32'h4392e925,32'h3d6a2d51,32'h3d73bc3b, 32'h3d630222,32'h3d7ae76a, 32'h3d570f7f,32'h3d836d06,// invsqrt(293.8214) = 0.0583 +32'h3cb8d6b1,32'h40d0c5ed,32'h40d94b65, 32'h40ca61d4,32'h40dfaf7e, 32'h40bfbafe,32'h40ea5654,// invsqrt(0.0226) = 6.6573 +32'h4020b075,32'h3f1e546c,32'h3f24cace, 32'h3f197ba2,32'h3f29a398, 32'h3f1167a7,32'h3f31b793,// invsqrt(2.5108) = 0.6311 +32'h40ac6696,32'h3ed82c4b,32'h3ee0ff15, 32'h3ed18e34,32'h3ee79d2c, 32'h3ec686b8,32'h3ef2a4a8,// invsqrt(5.3875) = 0.4308 +32'h3ec99a9d,32'h3fc7e76d,32'h3fd01037, 32'h3fc1c8d4,32'h3fd62ed0, 32'h3fb795d7,32'h3fe061cd,// invsqrt(0.3938) = 1.5936 +32'h3f54ee25,32'h3f898aea,32'h3f8f2818, 32'h3f855507,32'h3f935dfb, 32'h3f7ca11b,32'h3f9a6274,// invsqrt(0.8318) = 1.0965 +32'h3f8d4b06,32'h3f6ec976,32'h3f78888b, 32'h3f677a27,32'h3f7fd7db, 32'h3f5b4b4e,32'h3f86035a,// invsqrt(1.1039) = 0.9518 +32'h3f904fa9,32'h3f6c46db,32'h3f75ebb5, 32'h3f650b38,32'h3f7d2758, 32'h3f58fd27,32'h3f849ab4,// invsqrt(1.1274) = 0.9418 +32'h3dbcf279,32'h404e7da9,32'h4056eb48, 32'h40482b73,32'h405d3d7f, 32'h403da26d,32'h4067c685,// invsqrt(0.0923) = 3.2923 +32'h3f0d3324,32'h3fa8e756,32'h3fafcc34, 32'h3fa3bbae,32'h3fb4f7dc, 32'h3f9b1d98,32'h3fbd95f2,// invsqrt(0.5516) = 1.3465 +32'h3f4b685e,32'h3f8cb9b1,32'h3f927821, 32'h3f886add,32'h3f96c6f5, 32'h3f813cd2,32'h3f9df500,// invsqrt(0.7946) = 1.1219 +32'h3f7a6942,32'h3f7daa09,32'h3f840249, 32'h3f75e624,32'h3f87e43c, 32'h3f68f4f9,32'h3f8e5cd1,// invsqrt(0.9782) = 1.0111 +32'h4219c8c0,32'h3e21d868,32'h3e287386, 32'h3e1ce411,32'h3e2d67dd, 32'h3e14a22b,32'h3e35a9c3,// invsqrt(38.4460) = 0.1613 +32'h3f0ffbfd,32'h3fa7432f,32'h3fae16e7, 32'h3fa22464,32'h3fb335b2, 32'h3f999bbd,32'h3fbbbe59,// invsqrt(0.5624) = 1.3334 +32'h409839a0,32'h3ee60d91,32'h3eef7163, 32'h3edf02b4,32'h3ef67c40, 32'h3ed345ee,32'h3f011c83,// invsqrt(4.7570) = 0.4585 +32'h3f4c0843,32'h3f8c8282,32'h3f923eb1, 32'h3f88355e,32'h3f968bd4, 32'h3f810a23,32'h3f9db70f,// invsqrt(0.7970) = 1.1201 +32'h3eaf180e,32'h3fd68108,32'h3fdf4261, 32'h3fcff005,32'h3fe5d363, 32'h3fc4fe55,32'h3ff0c513,// invsqrt(0.3420) = 1.7100 +32'h3fd61f3c,32'h3f41f8fc,32'h3f49e3cc, 32'h3f3c08df,32'h3f4fd3e9, 32'h3f32235a,32'h3f59b96e,// invsqrt(1.6728) = 0.7732 +32'h4118c8a7,32'h3ea25fd4,32'h3ea9007a, 32'h3e9d6758,32'h3eadf8f6, 32'h3e951e8a,32'h3eb641c5,// invsqrt(9.5490) = 0.3236 +32'h3f89def6,32'h3f71bb77,32'h3f7b9953, 32'h3f6a5513,32'h3f817fdb, 32'h3f5dffc1,32'h3f87aa84,// invsqrt(1.0771) = 0.9635 +32'h3ecf793c,32'h3fc50e55,32'h3fcd195e, 32'h3fbf060f,32'h3fd321a5, 32'h3fb4f844,32'h3fdd2f70,// invsqrt(0.4052) = 1.5709 +32'h3f47ab18,32'h3f8e0978,32'h3f93d59c, 32'h3f89b05d,32'h3f982eb7, 32'h3f82712f,32'h3f9f6de5,// invsqrt(0.7800) = 1.1323 +32'h3fa1d21c,32'h3f5f20c0,32'h3f683c36, 32'h3f584c28,32'h3f6f10ce, 32'h3f4ce9d5,32'h3f7a7321,// invsqrt(1.2642) = 0.8894 +32'h3f3cbbb2,32'h3f921806,32'h3f980e8e, 32'h3f8d9f20,32'h3f9c8774, 32'h3f862af6,32'h3fa3fb9e,// invsqrt(0.7372) = 1.1647 +32'h3f7e2fad,32'h3f7bc604,32'h3f830666, 32'h3f7410f0,32'h3f86e0f0, 32'h3f673877,32'h3f8d4d2c,// invsqrt(0.9929) = 1.0036 +32'h3f84143e,32'h3f76f9c0,32'h3f808732, 32'h3f6f6a44,32'h3f844ef0, 32'h3f62d077,32'h3f8a9bd7,// invsqrt(1.0319) = 0.9844 +32'h3f1b94f3,32'h3fa0e859,32'h3fa779ab, 32'h3f9bfb5c,32'h3fac66a8, 32'h3f93c5b5,32'h3fb49c4f,// invsqrt(0.6077) = 1.2827 +32'h3f28ace5,32'h3f9a8944,32'h3fa0d802, 32'h3f95ce34,32'h3fa59312, 32'h3f8debc6,32'h3fad7580,// invsqrt(0.6589) = 1.2320 +32'h3f1ad0bc,32'h3fa14e31,32'h3fa7e3ab, 32'h3f9c5e15,32'h3facd3c7, 32'h3f94233d,32'h3fb50e9f,// invsqrt(0.6047) = 1.2859 +32'h3e5f62e7,32'h400648fa,32'h400bc41e, 32'h40022c9f,32'h400fe079, 32'h3ff6a562,32'h4016ba67,// invsqrt(0.2182) = 2.1410 +32'h3f1227c6,32'h3fa603f8,32'h3faccaaa, 32'h3fa0eef3,32'h3fb1dfaf, 32'h3f987696,32'h3fba580c,// invsqrt(0.5709) = 1.3235 +32'h3f1ac03a,32'h3fa156cb,32'h3fa7ec9f, 32'h3f9c666c,32'h3facdcfe, 32'h3f942b23,32'h3fb51847,// invsqrt(0.6045) = 1.2862 +32'h3f383ec7,32'h3f93dcca,32'h3f99e5cc, 32'h3f8f5607,32'h3f9e6c8f, 32'h3f87cac4,32'h3fa5f7d2,// invsqrt(0.7197) = 1.1788 +32'h3fe3897b,32'h3f3c2aec,32'h3f43d914, 32'h3f36684d,32'h3f499bb3, 32'h3f2cce9a,32'h3f533566,// invsqrt(1.7776) = 0.7500 +32'h404827d8,32'h3f0ddd2d,32'h3f13a783, 32'h3f09856d,32'h3f17ff43, 32'h3f024882,32'h3f1f3c2e,// invsqrt(3.1274) = 0.5655 +32'h3fb6f2f2,32'h3f51d93b,32'h3f5a69ef, 32'h3f4b6cb4,32'h3f60d676, 32'h3f40b7d3,32'h3f6b8b57,// invsqrt(1.4293) = 0.8364 +32'h3fb33ea8,32'h3f54017e,32'h3f5ca8bc, 32'h3f4d840f,32'h3f63262b, 32'h3f42b300,32'h3f6df73a,// invsqrt(1.4003) = 0.8450 +32'h3fa64786,32'h3f5c1db9,32'h3f6519b7, 32'h3f5560bc,32'h3f6bd6b4, 32'h3f4a25bf,32'h3f7711b1,// invsqrt(1.2991) = 0.8774 +32'h3dc9b78a,32'h4047d917,32'h4050014d, 32'h4041baf0,32'h40561f74, 32'h403788ad,32'h406051b7,// invsqrt(0.0985) = 3.1864 +32'h3edc07de,32'h3fbf59b3,32'h3fc7291e, 32'h3fb97e24,32'h3fcd04ae, 32'h3fafbade,32'h3fd6c7f4,// invsqrt(0.4297) = 1.5254 +32'h3faed990,32'h3f56a759,32'h3f5f6a43, 32'h3f50152a,32'h3f65fc72, 32'h3f452186,32'h3f70f016,// invsqrt(1.3660) = 0.8556 +32'h3e0fefe0,32'h40274a39,32'h402e1e3b, 32'h40222b37,32'h40333d3d, 32'h4019a234,32'h403bc640,// invsqrt(0.1406) = 2.6673 +32'h3f8aa46f,32'h3f710f12,32'h3f7ae5e4, 32'h3f69adf5,32'h3f812381, 32'h3f5d616f,32'h3f8749c4,// invsqrt(1.0831) = 0.9609 +32'h40869d15,32'h3ef4a3b5,32'h3efe9ff1, 32'h3eed2688,32'h3f030e8f, 32'h3ee0ab3e,32'h3f094c34,// invsqrt(4.2067) = 0.4876 +32'h3ea98e45,32'h3fd9faa4,32'h3fe2e04c, 32'h3fd34e65,32'h3fe98c8b, 32'h3fc82f52,32'h3ff4ab9e,// invsqrt(0.3312) = 1.7377 +32'h3fa71273,32'h3f5b97e3,32'h3f648e69, 32'h3f54defe,32'h3f6b474e, 32'h3f49aad5,32'h3f767b77,// invsqrt(1.3053) = 0.8753 +32'h40e3728f,32'h3ebc3467,32'h3ec3e2f3, 32'h3eb6717e,32'h3ec9a5dc, 32'h3eacd74f,32'h3ed3400b,// invsqrt(7.1077) = 0.3751 +32'h3fb847bc,32'h3f5116d8,32'h3f599f9d, 32'h3f4ab045,32'h3f600631, 32'h3f40054f,32'h3f6ab127,// invsqrt(1.4397) = 0.8334 +32'h3f0c1ab9,32'h3fa99009,32'h3fb07bcb, 32'h3fa45f38,32'h3fb5ac9c, 32'h3f9bb886,32'h3fbe534e,// invsqrt(0.5473) = 1.3517 +32'h4018d9e9,32'h3f2256a9,32'h3f28f6ef, 32'h3f1d5e75,32'h3f2def23, 32'h3f15161e,32'h3f36377a,// invsqrt(2.3883) = 0.6471 +32'h408c213e,32'h3eefc6a7,32'h3ef99011, 32'h3ee86f97,32'h3f007390, 32'h3edc33d3,32'h3f069173,// invsqrt(4.3791) = 0.4779 +32'h43f77333,32'h3d34700e,32'h3d3bcd71, 32'h3d2eea02,32'h3d41537c, 32'h3d25b546,32'h3d4a8839,// invsqrt(494.9000) = 0.0450 +32'h419c2f7e,32'h3e631e16,32'h3e6c633c, 32'h3e5c2a3a,32'h3e735718, 32'h3e5093cb,32'h3e7eed87,// invsqrt(19.5232) = 0.2263 +32'h3ecd20da,32'h3fc62de4,32'h3fce44aa, 32'h3fc01cd0,32'h3fd455be, 32'h3fb6005a,32'h3fde7235,// invsqrt(0.4006) = 1.5799 +32'h3f4f67b8,32'h3f8b5cd4,32'h3f910d06, 32'h3f8718ae,32'h3f95512c, 32'h3f7ff8de,32'h3f9c6d6b,// invsqrt(0.8102) = 1.1110 +32'h3e8bc238,32'h3ff0181d,32'h3ff9e4da, 32'h3fe8be8e,32'h40009f34, 32'h3fdc7ea2,32'h4006bf2a,// invsqrt(0.2730) = 1.9140 +32'h3fe15c02,32'h3f3d1319,32'h3f44cabb, 32'h3f37495e,32'h3f4a9476, 32'h3f2da3d3,32'h3f543a01,// invsqrt(1.7606) = 0.7536 +32'h3f906e69,32'h3f6c2db3,32'h3f75d186, 32'h3f64f2d4,32'h3f7d0c64, 32'h3f58e60c,32'h3f848c96,// invsqrt(1.1284) = 0.9414 +32'h3fca4f1c,32'h3f478e2c,32'h3f4fb352, 32'h3f41724f,32'h3f55cf2f, 32'h3f3743df,32'h3f5ffd9f,// invsqrt(1.5805) = 0.7954 +32'h3ecdfcce,32'h3fc5c3f9,32'h3fcdd66b, 32'h3fbfb623,32'h3fd3e441, 32'h3fb59f13,32'h3fddfb51,// invsqrt(0.4023) = 1.5766 +32'h3efa844a,32'h3fb35472,32'h3fbaa643, 32'h3fadd716,32'h3fc023a0, 32'h3fa4b0d2,32'h3fc949e4,// invsqrt(0.4893) = 1.4296 +32'h403c1c7b,32'h3f1255cc,32'h3f184eda, 32'h3f0ddb02,32'h3f1cc9a4, 32'h3f0663b1,32'h3f2440f5,// invsqrt(2.9392) = 0.5833 +32'h3f94f6d9,32'h3f688eac,32'h3f720ca8, 32'h3f61702e,32'h3f792b26, 32'h3f5592b3,32'h3f828451,// invsqrt(1.1638) = 0.9270 +32'h3fc363d3,32'h3f4b0ed4,32'h3f535893, 32'h3f44d784,32'h3f598fe2, 32'h3f3a7b54,32'h3f63ec12,// invsqrt(1.5265) = 0.8094 +32'h40cf69f9,32'h3ec51595,32'h3ecd20e9, 32'h3ebf0d15,32'h3ed32969, 32'h3eb4feec,32'h3edd3792,// invsqrt(6.4817) = 0.3928 +32'h3ed7c9b9,32'h3fc138ed,32'h3fc91be7, 32'h3fbb4eb2,32'h3fcf0622, 32'h3fb172f9,32'h3fd8e1db,// invsqrt(0.4215) = 1.5404 +32'h3dd07a14,32'h404494cd,32'h404c9adf, 32'h403e903e,32'h40529f6e, 32'h403488a7,32'h405ca705,// invsqrt(0.1018) = 3.1343 +32'h3fad4a25,32'h3f579e2c,32'h3f606b29, 32'h3f51046f,32'h3f6704e7, 32'h3f460434,32'h3f720523,// invsqrt(1.3538) = 0.8594 +32'h4015d135,32'h3f23f95c,32'h3f2aaab9, 32'h3f1ef457,32'h3f2fafbf, 32'h3f1696a4,32'h3f380d73,// invsqrt(2.3409) = 0.6536 +32'h3f7d43d1,32'h3f7c3b25,32'h3f83435a, 32'h3f74827a,32'h3f871faf, 32'h3f67a408,32'h3f8d8ee8,// invsqrt(0.9893) = 1.0054 +32'h3eb3b020,32'h3fd3be83,32'h3fdc6306, 32'h3fcd4322,32'h3fe2de68, 32'h3fc2757e,32'h3fedac0c,// invsqrt(0.3510) = 1.6880 +32'h3f15cc96,32'h3fa3fbe4,32'h3faaad5a, 32'h3f9ef6ca,32'h3fafb274, 32'h3f9698f6,32'h3fb81049,// invsqrt(0.5852) = 1.3073 +32'h3f271eb2,32'h3f9b40f2,32'h3fa19730, 32'h3f968043,32'h3fa657df, 32'h3f8e9476,32'h3fae43ac,// invsqrt(0.6528) = 1.2377 +32'h3e0daa03,32'h4028a06a,32'h402f8264, 32'h402376ef,32'h4034abdf, 32'h401adc76,32'h403d4658,// invsqrt(0.1383) = 2.6886 +32'h40638d52,32'h3f050cdd,32'h3f0a7b1a, 32'h3f00fa2e,32'h3f0e8dc8, 32'h3ef460c4,32'h3f155794,// invsqrt(3.5555) = 0.5303 +32'h3fbf1b21,32'h3f4d523d,32'h3f55b3a3, 32'h3f470931,32'h3f5bfcaf, 32'h3f3c8f72,32'h3f66766e,// invsqrt(1.4930) = 0.8184 +32'h3fa608dc,32'h3f5c473f,32'h3f6544ed, 32'h3f5588fb,32'h3f6c0331, 32'h3f4a4be1,32'h3f77404b,// invsqrt(1.2971) = 0.8780 +32'h3f0c15bd,32'h3fa9930d,32'h3fb07eef, 32'h3fa46224,32'h3fb5afd8, 32'h3f9bbb4b,32'h3fbe56b1,// invsqrt(0.5472) = 1.3518 +32'h40013227,32'h3f309374,32'h3f37c87e, 32'h3f2b2bad,32'h3f3d3045, 32'h3f222960,32'h3f463292,// invsqrt(2.0187) = 0.7038 +32'h411c87ee,32'h3ea06b45,32'h3ea6f77d, 32'h3e9b821c,32'h3eabe0a6, 32'h3e9352d7,32'h3eb40feb,// invsqrt(9.7832) = 0.3197 +32'h3faedf0d,32'h3f56a3fb,32'h3f5f66c1, 32'h3f5011e6,32'h3f65f8d6, 32'h3f451e6e,32'h3f70ec4e,// invsqrt(1.3662) = 0.8556 +32'h3f4325d1,32'h3f8fac37,32'h3f958973, 32'h3f8b464a,32'h3f99ef60, 32'h3f83f1c0,32'h3fa143ea,// invsqrt(0.7623) = 1.1454 +32'h3efcf2ea,32'h3fb27735,32'h3fb9bffd, 32'h3fad009e,32'h3fbf3694, 32'h3fa3e5a3,32'h3fc8518f,// invsqrt(0.4940) = 1.4227 +32'h3ee2bbb3,32'h3fbc803c,32'h3fc431e0, 32'h3fb6bb00,32'h3fc9f71c, 32'h3fad1cf3,32'h3fd39529,// invsqrt(0.4428) = 1.5027 +32'h42285dcd,32'h3e1aad8c,32'h3e20fdc6, 32'h3e15f161,32'h3e25b9f1, 32'h3e0e0d18,32'h3e2d9e3a,// invsqrt(42.0916) = 0.1541 +32'h3f7a6320,32'h3f7dad24,32'h3f8403e6, 32'h3f75e925,32'h3f87e5e5, 32'h3f68f7d2,32'h3f8e5e8f,// invsqrt(0.9781) = 1.0111 +32'h3f4d69c1,32'h3f8c0967,32'h3f91c0a5, 32'h3f87bff9,32'h3f960a13, 32'h3f809aec,32'h3f9d2f20,// invsqrt(0.8024) = 1.1164 +32'h3fb662b0,32'h3f522c28,32'h3f5ac03f, 32'h3f4bbd18,32'h3f612f50, 32'h3f4103fc,32'h3f6be86c,// invsqrt(1.4249) = 0.8377 +32'h3ee15914,32'h3fbd1453,32'h3fc4cc03, 32'h3fb74a8f,32'h3fca95c7, 32'h3fada4f4,32'h3fd43b63,// invsqrt(0.4401) = 1.5073 +32'h3fc9d228,32'h3f47cbe9,32'h3f4ff395, 32'h3f41ae29,32'h3f561155, 32'h3f377c92,32'h3f6042ec,// invsqrt(1.5767) = 0.7964 +32'h3f1ee38e,32'h3f9f396a,32'h3fa5b925, 32'h3f9a599d,32'h3faa98f1, 32'h3f9239f3,32'h3fb2b89b,// invsqrt(0.6207) = 1.2693 +32'h3f897f7c,32'h3f720f56,32'h3f7bf09e, 32'h3f6aa661,32'h3f81acca, 32'h3f5e4cc7,32'h3f87d996,// invsqrt(1.0742) = 0.9648 +32'h415e817b,32'h3e868cef,32'h3e8c0ad9, 32'h3e826e7f,32'h3e902949, 32'h3e772234,32'h3e9706ae,// invsqrt(13.9066) = 0.2682 +32'h413c5436,32'h3e924024,32'h3e98384f, 32'h3e8dc603,32'h3e9cb26f, 32'h3e864fcd,32'h3ea428a5,// invsqrt(11.7706) = 0.2915 +32'h3d7641de,32'h407fcb6f,32'h40851e1d, 32'h4077f6d6,32'h40890869, 32'h406ae9d8,32'h408f8ee8,// invsqrt(0.0601) = 4.0784 +32'h3fb74b04,32'h3f51a6cb,32'h3f5a3571, 32'h3f4b3bd0,32'h3f60a06c, 32'h3f408981,32'h3f6b52bb,// invsqrt(1.4320) = 0.8357 +32'h3db08d3e,32'h40559ddb,32'h405e55ef, 32'h404f13cd,32'h4064dffd, 32'h40442db4,32'h406fc616,// invsqrt(0.0862) = 3.4059 +32'h3f494eeb,32'h3f8d750e,32'h3f933b24, 32'h3f89207e,32'h3f978fb4, 32'h3f81e8e3,32'h3f9ec74f,// invsqrt(0.7864) = 1.1277 +32'h3f45fb9d,32'h3f8ea3ea,32'h3f94765c, 32'h3f8a4614,32'h3f98d432, 32'h3f82ff06,32'h3fa01b40,// invsqrt(0.7734) = 1.1371 +32'h3f2a14c9,32'h3f99e56d,32'h3fa02d7b, 32'h3f952f61,32'h3fa4e387, 32'h3f8d554f,32'h3facbd99,// invsqrt(0.6644) = 1.2269 +32'h3f1d42f9,32'h3fa00bc3,32'h3fa69414, 32'h3f9b2586,32'h3fab7a50, 32'h3f92fb20,32'h3fb3a4b6,// invsqrt(0.6143) = 1.2759 +32'h3ecaab30,32'h3fc760d2,32'h3fcf841e, 32'h3fc14658,32'h3fd59e98, 32'h3fb71a39,32'h3fdfcab7,// invsqrt(0.3958) = 1.5894 +32'h3e555fc9,32'h40096645,32'h400f01f4, 32'h40053181,32'h401336b7, 32'h3ffc5dcc,32'h401a3952,// invsqrt(0.2084) = 2.1907 +32'h3f9fe890,32'h3f607547,32'h3f699ea3, 32'h3f599642,32'h3f707da8, 32'h3f4e2290,32'h3f7bf15a,// invsqrt(1.2493) = 0.8947 +32'h3e8b3f32,32'h3ff088f7,32'h3ffa5a50, 32'h3fe92bf5,32'h4000dba9, 32'h3fdce647,32'h4006fe81,// invsqrt(0.2720) = 1.9175 +32'h3fa1a25b,32'h3f5f41b4,32'h3f685e81, 32'h3f586c19,32'h3f6f341b, 32'h3f4d0817,32'h3f7a981d,// invsqrt(1.2628) = 0.8899 +32'h3f744b44,32'h3f806905,32'h3f85a6c7, 32'h3f78f568,32'h3f899518, 32'h3f6bdb04,32'h3f90224a,// invsqrt(0.9543) = 1.0237 +32'h41521e8d,32'h3e8a75a7,32'h3e901c6a, 32'h3e863895,32'h3e94597d, 32'h3e7e5043,32'h3e9b69f0,// invsqrt(13.1325) = 0.2759 +32'h404b9c70,32'h3f0ca7b1,32'h3f126565, 32'h3f08596a,32'h3f16b3ac, 32'h3f012c4a,32'h3f1de0cc,// invsqrt(3.1814) = 0.5606 +32'h3f5e5c7f,32'h3f86981f,32'h3f8c167f, 32'h3f827958,32'h3f903546, 32'h3f7736c1,32'h3f97133d,// invsqrt(0.8686) = 1.0730 +32'h3f0bc0df,32'h3fa9c682,32'h3fb0b47d, 32'h3fa49406,32'h3fb5e6fa, 32'h3f9bea8d,32'h3fbe9073,// invsqrt(0.5459) = 1.3534 +32'h3d650701,32'h40849efb,32'h408a08bc, 32'h40808faa,32'h408e180c, 32'h407396f1,32'h4094dc3e,// invsqrt(0.0559) = 4.2290 +32'h3f3c6c20,32'h3f9236db,32'h3f982ea6, 32'h3f8dbd04,32'h3f9ca87e, 32'h3f864747,32'h3fa41e3b,// invsqrt(0.7360) = 1.1656 +32'h3e8bb040,32'h3ff0278e,32'h3ff9f4ec, 32'h3fe8cd87,32'h4000a77a, 32'h3fdc8cd0,32'h4006c7d5,// invsqrt(0.2728) = 1.9145 +32'h3efe80a7,32'h3fb1eb8a,32'h3fb92ea0, 32'h3fac793a,32'h3fbea0f0, 32'h3fa36560,32'h3fc7b4ca,// invsqrt(0.4971) = 1.4184 +32'h3f300587,32'h3f9746ed,32'h3f9d739d, 32'h3f92a568,32'h3fa21522, 32'h3f8aed8b,32'h3fa9ccff,// invsqrt(0.6876) = 1.2060 +32'h3ed068a3,32'h3fc49d06,32'h3fcca36f, 32'h3fbe9838,32'h3fd2a83e, 32'h3fb49035,32'h3fdcb041,// invsqrt(0.4070) = 1.5674 +32'h3ee2fe1d,32'h3fbc64a7,32'h3fc4152b, 32'h3fb6a044,32'h3fc9d98e, 32'h3fad039e,32'h3fd37634,// invsqrt(0.4433) = 1.5019 +32'h41334cba,32'h3e95e346,32'h3e9c0172, 32'h3e914ca4,32'h3ea09814, 32'h3e89a6ec,32'h3ea83dcc,// invsqrt(11.2062) = 0.2987 +32'h3f4160af,32'h3f905429,32'h3f963840, 32'h3f8be919,32'h3f9aa351, 32'h3f848bfc,32'h3fa2006e,// invsqrt(0.7554) = 1.1506 +32'h3fadf7f2,32'h3f57325d,32'h3f5ffaf3, 32'h3f509bec,32'h3f669164, 32'h3f45a131,32'h3f718c1f,// invsqrt(1.3591) = 0.8578 +32'h3f795c1b,32'h3f7e32ca,32'h3f844973, 32'h3f766ab4,32'h3f882d7e, 32'h3f69728f,32'h3f8ea990,// invsqrt(0.9741) = 1.0132 +32'h3d87cea7,32'h40738fe1,32'h407d80da, 32'h406c1b25,32'h40827aca, 32'h405faded,32'h4088b166,// invsqrt(0.0663) = 3.8833 +32'h404764d1,32'h3f0e227d,32'h3f13efa7, 32'h3f09c89e,32'h3f184986, 32'h3f02882a,32'h3f1f89fa,// invsqrt(3.1155) = 0.5665 +32'h3f72af59,32'h3f80d5d1,32'h3f861803, 32'h3f79c856,32'h3f8a09a9, 32'h3f6ca2d8,32'h3f909c68,// invsqrt(0.9480) = 1.0271 +32'h41399dea,32'h3e9350ac,32'h3e9953f6, 32'h3e8ece33,32'h3e9dd66f, 32'h3e874a16,32'h3ea55a8c,// invsqrt(11.6011) = 0.2936 +32'h3eb9ff6f,32'h3fd01f21,32'h3fd89dc9, 32'h3fc9c022,32'h3fdefcc8, 32'h3fbf21d0,32'h3fe99b1a,// invsqrt(0.3633) = 1.6591 +32'h3f8ae3ce,32'h3f70d80e,32'h3f7aaca0, 32'h3f69789f,32'h3f810607, 32'h3f5d2ee8,32'h3f872ae3,// invsqrt(1.0851) = 0.9600 +32'h3b66f7f7,32'h41841001,32'h418973ec, 32'h41800510,32'h418d7edc, 32'h41729054,32'h41943bc2,// invsqrt(0.0035) = 16.8447 +32'h3f2886d9,32'h3f9a9ab4,32'h3fa0ea2a, 32'h3f95df1d,32'h3fa5a5c1, 32'h3f8dfbca,32'h3fad8914,// invsqrt(0.6583) = 1.2325 +32'h41383c67,32'h3e93ddbe,32'h3e99e6ca, 32'h3e8f56f4,32'h3e9e6d94, 32'h3e87cba4,32'h3ea5f8e4,// invsqrt(11.5147) = 0.2947 +32'h3e2fab0c,32'h40176ddd,32'h401d9c25, 32'h4012cb27,32'h40223edb, 32'h400b114e,32'h4029f8b4,// invsqrt(0.1716) = 2.4144 +32'h3cbe3e48,32'h40cdc948,32'h40d62f8a, 32'h40c77c97,32'h40dc7c3b, 32'h40bcfcc5,32'h40e6fc0d,// invsqrt(0.0232) = 6.5621 +32'h40775168,32'h3eff3edc,32'h3f04d4f5, 32'h3ef76e90,32'h3f08bd1a, 32'h3eea68bf,32'h3f0f4003,// invsqrt(3.8643) = 0.5087 +32'h3d4015ea,32'h4090d038,32'h4096b95f, 32'h408c615b,32'h409b283d, 32'h4084fdeb,32'h40a28bad,// invsqrt(0.0469) = 4.6178 +32'h3f2a085e,32'h3f99eb0c,32'h3fa03355, 32'h3f9534d4,32'h3fa4e98c, 32'h3f8d5a78,32'h3facc3e8,// invsqrt(0.6642) = 1.2270 +32'h3f8cb94f,32'h3f6f44f7,32'h3f790916, 32'h3f67f1e0,32'h3f802e17, 32'h3f5bbcba,32'h3f8648aa,// invsqrt(1.0994) = 0.9537 +32'h3e6a57ca,32'h40031bb8,32'h400875aa, 32'h3ffe3084,32'h400c7920, 32'h3ff0cfa5,32'h40132990,// invsqrt(0.2289) = 2.0904 +32'h3c9b607e,32'h40e3b52e,32'h40ed007e, 32'h40dcbcb2,32'h40f3f8fa, 32'h40d11e8d,32'h40ff971f,// invsqrt(0.0190) = 7.2611 +32'h3f312f1c,32'h3f96c7ae,32'h3f9cef2e, 32'h3f922a0f,32'h3fa18ccd, 32'h3f8a78b0,32'h3fa93e2c,// invsqrt(0.6921) = 1.2020 +32'h3f88c2be,32'h3f72b625,32'h3f7c9e3b, 32'h3f6b4814,32'h3f820626, 32'h3f5ee5f8,32'h3f883734,// invsqrt(1.0684) = 0.9674 +32'h40061eb2,32'h3f2d4de9,32'h3f3460c3, 32'h3f27ffc5,32'h3f39aee7, 32'h3f1f2834,32'h3f428678,// invsqrt(2.0956) = 0.6908 +32'h40cadb0f,32'h3ec7494a,32'h3ecf6ba1, 32'h3ec12f8a,32'h3ed58562, 32'h3eb7049d,32'h3edfb04f,// invsqrt(6.3392) = 0.3972 +32'h3f858471,32'h3f75a449,32'h3f7faafe, 32'h3f6e1f42,32'h3f839803, 32'h3f6196e0,32'h3f89dc34,// invsqrt(1.0431) = 0.9791 +32'h3f05476d,32'h3fadd9a6,32'h3fb4f234, 32'h3fa8873b,32'h3fba449f, 32'h3f9fa888,32'h3fc32352,// invsqrt(0.5206) = 1.3859 +32'h3f9b71fd,32'h3f63a85d,32'h3f6cf327, 32'h3f5cb045,32'h3f73eb3f, 32'h3f5112c8,32'h3f7f88bc,// invsqrt(1.2144) = 0.9074 +32'h3fb1908e,32'h3f5501a7,32'h3f5db35a, 32'h3f4e7c60,32'h3f6438a0, 32'h3f439e40,32'h3f6f16c0,// invsqrt(1.3872) = 0.8490 +32'h3f21c38b,32'h3f9dcd93,32'h3fa43e74, 32'h3f98f8e9,32'h3fa9131d, 32'h3f90ebd0,32'h3fb12037,// invsqrt(0.6319) = 1.2580 +32'h3f862902,32'h3f750d73,32'h3f7f0dff, 32'h3f6d8d09,32'h3f834734, 32'h3f610c5a,32'h3f89878c,// invsqrt(1.0481) = 0.9768 +32'h3cc94085,32'h40c81427,32'h40d03ec5, 32'h40c1f430,32'h40d65ebc, 32'h40b7beea,32'h40e09402,// invsqrt(0.0246) = 6.3801 +32'h400ad888,32'h3f2a5454,32'h3f314818, 32'h3f251d80,32'h3f367eec, 32'h3f1c6cca,32'h3f3f2fa2,// invsqrt(2.1695) = 0.6789 +32'h3fbf386c,32'h3f4d4283,32'h3f55a344, 32'h3f46f9f1,32'h3f5bebd5, 32'h3f3c8100,32'h3f6664c7,// invsqrt(1.4939) = 0.8182 +32'h3fceeafc,32'h3f455206,32'h3f4d5fd2, 32'h3f3f47ad,32'h3f536a2b, 32'h3f35366e,32'h3f5d7b6a,// invsqrt(1.6165) = 0.7865 +32'h3df37616,32'h4035e8e8,32'h403d55ae, 32'h40305754,32'h4042e742, 32'h40270f5d,32'h404c2f39,// invsqrt(0.1189) = 2.9003 +32'h4008d79a,32'h3f2b9264,32'h3f329324, 32'h3f2651d4,32'h3f37d3b4, 32'h3f1d90e4,32'h3f4094a4,// invsqrt(2.1382) = 0.6839 +32'h405dd3ab,32'h3f06c19b,32'h3f0c41ac, 32'h3f02a18f,32'h3f1061b9, 32'h3ef782f4,32'h3f1741ce,// invsqrt(3.4660) = 0.5371 +32'h40b878ef,32'h3ed0faf4,32'h3ed98296, 32'h3eca953b,32'h3edfe84f, 32'h3ebfebb1,32'h3eea91d9,// invsqrt(5.7648) = 0.4165 +32'h410124f3,32'h3eb09c7b,32'h3eb7d1e3, 32'h3eab346d,32'h3ebd39f1, 32'h3ea231aa,32'h3ec63cb4,// invsqrt(8.0715) = 0.3520 +32'h3e8fbb6f,32'h3fecc091,32'h3ff66a63, 32'h3fe58134,32'h3ffda9c0, 32'h3fd96cee,32'h4004df03,// invsqrt(0.2807) = 1.8874 +32'h40694c48,32'h3f0366cd,32'h3f08c3d1, 32'h3efec216,32'h3f0cc993, 32'h3ef1598e,32'h3f137dd7,// invsqrt(3.6453) = 0.5238 +32'h3fa6e87c,32'h3f5bb37c,32'h3f64ab24, 32'h3f54f9bf,32'h3f6b64e1, 32'h3f49c42e,32'h3f769a72,// invsqrt(1.3040) = 0.8757 +32'h4109f3fe,32'h3eaae130,32'h3eb1dab4, 32'h3ea5a60c,32'h3eb715d8, 32'h3e9cee27,32'h3ebfcdbd,// invsqrt(8.6221) = 0.3406 +32'h3d4d03fa,32'h408c2c25,32'h4091e4ce, 32'h4087e1a7,32'h40962f4d, 32'h4080bad4,32'h409d5620,// invsqrt(0.0501) = 4.4698 +32'h3f43793f,32'h3f8f8d8a,32'h3f956986, 32'h3f8b288e,32'h3f99ce82, 32'h3f83d594,32'h3fa1217c,// invsqrt(0.7636) = 1.1444 +32'h3f189672,32'h3fa27a89,32'h3fa91c45, 32'h3f9d813b,32'h3fae1593, 32'h3f953710,32'h3fb65fbe,// invsqrt(0.5960) = 1.2953 +32'h3fd953a0,32'h3f408982,32'h3f486554, 32'h3f3aa4a6,32'h3f4e4a30, 32'h3f30d1e0,32'h3f581cf6,// invsqrt(1.6979) = 0.7674 +32'h40139e98,32'h3f2530ae,32'h3f2beec0, 32'h3f202221,32'h3f30fd4d, 32'h3f17b48b,32'h3f396ae3,// invsqrt(2.3066) = 0.6584 +32'h3f9ab7d3,32'h3f64312a,32'h3f6d818a, 32'h3f5d34e2,32'h3f747dd2, 32'h3f51906b,32'h3f801125,// invsqrt(1.2087) = 0.9096 +32'h3dc31108,32'h404b39e7,32'h40538569, 32'h40450146,32'h4059be0a, 32'h403aa2e4,32'h40641c6c,// invsqrt(0.0952) = 3.2402 +32'h4005390e,32'h3f2de306,32'h3f34fbf6, 32'h3f289051,32'h3f3a4eab, 32'h3f1fb125,32'h3f432dd7,// invsqrt(2.0816) = 0.6931 +32'h3d7c4c06,32'h407cb6e4,32'h408383c0, 32'h4074fa70,32'h408761fa, 32'h406815ad,32'h408dd45c,// invsqrt(0.0616) = 4.0292 +32'h406b8f7a,32'h3f02c4de,32'h3f081b45, 32'h3efd8820,32'h3f0c1c12, 32'h3ef0301f,32'h3f12c813,// invsqrt(3.6806) = 0.5212 +32'h3faad5f8,32'h3f59292e,32'h3f62064a, 32'h3f528359,32'h3f68ac1f, 32'h3f476ef6,32'h3f73c082,// invsqrt(1.3347) = 0.8656 +32'h3ec64d35,32'h3fc98fc9,32'h3fd1c9e7, 32'h3fc36434,32'h3fd7f57c, 32'h3fb91b8f,32'h3fe23e21,// invsqrt(0.3873) = 1.6068 +32'h3bfb8ba9,32'h4132f679,32'h413a4473, 32'h412d7bfd,32'h413fbeef, 32'h41245a84,32'h4148e068,// invsqrt(0.0077) = 11.4134 +32'h3e09bc49,32'h402b03bb,32'h4031fea8, 32'h4025c788,32'h40373ada, 32'h401d0ddf,32'h403ff483,// invsqrt(0.1345) = 2.7266 +32'h3fabfecd,32'h3f586d7a,32'h3f6142ec, 32'h3f51cd64,32'h3f67e302, 32'h3f46c294,32'h3f72edd2,// invsqrt(1.3437) = 0.8627 +32'h40167443,32'h3f23a06a,32'h3f2a4e25, 32'h3f1e9e1d,32'h3f2f5071, 32'h3f1644f3,32'h3f37a99b,// invsqrt(2.3508) = 0.6522 +32'h3fde5191,32'h3f3e5cfe,32'h3f462218, 32'h3f38892a,32'h3f4bf5ec, 32'h3f2ed2ca,32'h3f55ac4c,// invsqrt(1.7369) = 0.7588 +32'h3da6844b,32'h405bf58b,32'h4064efe5, 32'h405539c8,32'h406baba8, 32'h404a00d9,32'h4076e497,// invsqrt(0.0813) = 3.5070 +32'h404f9e72,32'h3f0b4a74,32'h3f10f9e7, 32'h3f0706df,32'h3f153d7d, 32'h3effd720,32'h3f1c58cc,// invsqrt(3.2440) = 0.5552 +32'h3fb70e9e,32'h3f51c95e,32'h3f5a596d, 32'h3f4b5d54,32'h3f60c578, 32'h3f40a942,32'h3f6b798a,// invsqrt(1.4301) = 0.8362 +32'h3ff0243f,32'h3f3729af,32'h3f3ea38d, 32'h3f318e49,32'h3f443ef3, 32'h3f2835f5,32'h3f4d9747,// invsqrt(1.8761) = 0.7301 +32'h3f729a64,32'h3f80db61,32'h3f861dce, 32'h3f79d321,32'h3f8a0fa0, 32'h3f6cad12,32'h3f90a2a7,// invsqrt(0.9477) = 1.0272 +32'h3fedbe92,32'h3f38157c,32'h3f3f98fa, 32'h3f3272de,32'h3f453b98, 32'h3f290e82,32'h3f4e9ff4,// invsqrt(1.8574) = 0.7338 +32'h3f882e29,32'h3f733a69,32'h3f7d27e5, 32'h3f6bc84b,32'h3f824d01, 32'h3f5f5f70,32'h3f88816f,// invsqrt(1.0639) = 0.9695 +32'h4154df27,32'h3e898fc2,32'h3e8f2d22, 32'h3e8559b9,32'h3e93632b, 32'h3e7caa00,32'h3e9a67e4,// invsqrt(13.3045) = 0.2742 +32'h3d0829af,32'h40abffd4,32'h40b3050c, 32'h40a6bbea,32'h40b848f6, 32'h409df565,32'h40c10f7b,// invsqrt(0.0332) = 5.4847 +32'h3eaba0d0,32'h3fd8a8b4,32'h3fe18092, 32'h3fd206ce,32'h3fe82278, 32'h3fc6f8f9,32'h3ff3304d,// invsqrt(0.3352) = 1.7272 +32'h3f376276,32'h3f943581,32'h3f9a4223, 32'h3f8fac08,32'h3f9ecb9c, 32'h3f881c3d,32'h3fa65b67,// invsqrt(0.7163) = 1.1815 +32'h3f8c1cc8,32'h3f6fca78,32'h3f79940a, 32'h3f68734b,32'h3f80759c, 32'h3f5c3754,32'h3f869397,// invsqrt(1.0946) = 0.9558 +32'h400b895d,32'h3f29e844,32'h3f30d7a0, 32'h3f24b4c0,32'h3f360b24, 32'h3f1c098d,32'h3f3eb657,// invsqrt(2.1803) = 0.6772 +32'h3ffbada9,32'h3f32ea61,32'h3f3a37de, 32'h3f2d7045,32'h3f3fb1fb, 32'h3f244f6a,32'h3f48d2d6,// invsqrt(1.9662) = 0.7132 +32'h3e30d034,32'h4016f020,32'h401d1946, 32'h40125144,32'h4021b822, 32'h400a9dd4,32'h40296b92,// invsqrt(0.1727) = 2.4065 +32'h3fe94410,32'h3f39d7b9,32'h3f416d97, 32'h3f342753,32'h3f471dfd, 32'h3f2aabfe,32'h3f509952,// invsqrt(1.8224) = 0.7408 +32'h3f66555c,32'h3f843e96,32'h3f89a468, 32'h3f803239,32'h3f8db0c5, 32'h3f72e5e5,32'h3f94700c,// invsqrt(0.8997) = 1.0542 +32'h3e853e7b,32'h3ff5e4be,32'h3fffee14, 32'h3fee5dbd,32'h4003ba8a, 32'h3fe1d212,32'h400a0060,// invsqrt(0.2602) = 1.9602 +32'h3f778de9,32'h3f7f1fa9,32'h3f84c4b8, 32'h3f775052,32'h3f88ac63, 32'h3f6a4c18,32'h3f8f2e80,// invsqrt(0.9670) = 1.0169 +32'h3e06839a,32'h402d0cdc,32'h40341d0e, 32'h4027c0b6,32'h40396934, 32'h401eec76,32'h40423d74,// invsqrt(0.1314) = 2.7591 +32'h3f57b2be,32'h3f88a843,32'h3f8e3c31, 32'h3f847951,32'h3f926b23, 32'h3f7b00cf,32'h3f99640d,// invsqrt(0.8426) = 1.0894 +32'h3f276dbb,32'h3f9b1c49,32'h3fa17108, 32'h3f965cb9,32'h3fa63097, 32'h3f8e72ca,32'h3fae1a86,// invsqrt(0.6540) = 1.2365 +32'h3fb1e7a2,32'h3f54cd7f,32'h3f5d7d11, 32'h3f4e49d1,32'h3f6400bf, 32'h3f436e5a,32'h3f6edc36,// invsqrt(1.3899) = 0.8482 +32'h3f13b269,32'h3fa52599,32'h3fabe336, 32'h3fa01762,32'h3fb0f16c, 32'h3f97aa5d,32'h3fb95e71,// invsqrt(0.5769) = 1.3165 +32'h3f49d30d,32'h3f8d46b9,32'h3f930aea, 32'h3f88f393,32'h3f975e0f, 32'h3f81be56,32'h3f9e934c,// invsqrt(0.7884) = 1.1262 +32'h3ebeaf35,32'h3fcd8c4f,32'h3fd5f014, 32'h3fc7417c,32'h3fdc3ae8, 32'h3fbcc4c7,32'h3fe6b79d,// invsqrt(0.3724) = 1.6386 +32'h40292cc1,32'h3f1a4ed3,32'h3f209b2f, 32'h3f15958e,32'h3f255474, 32'h3f0db61a,32'h3f2d33e8,// invsqrt(2.6434) = 0.6151 +32'h3e94c455,32'h3fe8b625,32'h3ff235be, 32'h3fe19672,32'h3ff95570, 32'h3fd5b6f2,32'h40029a78,// invsqrt(0.2906) = 1.8552 +32'h3d7ceb15,32'h407c6760,32'h40835a5e, 32'h4074ad5a,32'h40873761, 32'h4067cca6,32'h408da7bb,// invsqrt(0.0617) = 4.0243 +32'h3fecd999,32'h3f386e62,32'h3f3ff580, 32'h3f32c90b,32'h3f459ad7, 32'h3f296026,32'h3f4f03bc,// invsqrt(1.8504) = 0.7351 +32'h3f0dca8c,32'h3fa88d10,32'h3faf6e40, 32'h3fa3642c,32'h3fb49724, 32'h3f9acab1,32'h3fbd309f,// invsqrt(0.5539) = 1.3437 +32'h3fd81438,32'h3f41179b,32'h3f48f939, 32'h3f3b2e65,32'h3f4ee26f, 32'h3f31545f,32'h3f58bc75,// invsqrt(1.6881) = 0.7697 +32'h3ebc4c4c,32'h3fced8b3,32'h3fd74a09, 32'h3fc883b3,32'h3fdd9f09, 32'h3fbdf608,32'h3fe82cb4,// invsqrt(0.3678) = 1.6490 +32'h3edaa068,32'h3fbff6c1,32'h3fc7cc95, 32'h3fba1662,32'h3fcdacf4, 32'h3fb04b1a,32'h3fd7783c,// invsqrt(0.4270) = 1.5303 +32'h3d1ca82d,32'h40a05ac2,32'h40a6e64c, 32'h409b721a,32'h40abcef4, 32'h409343ad,32'h40b3fd61,// invsqrt(0.0382) = 5.1133 +32'h3fb7d008,32'h3f515ae2,32'h3f59e66e, 32'h3f4af239,32'h3f604f17, 32'h3f4043ca,32'h3f6afd86,// invsqrt(1.4360) = 0.8345 +32'h3f30a4d8,32'h3f9702a6,32'h3f9d2c8d, 32'h3f926338,32'h3fa1cbfa, 32'h3f8aaed6,32'h3fa9805c,// invsqrt(0.6900) = 1.2038 +32'h402e6dca,32'h3f17f755,32'h3f1e2b39, 32'h3f13506a,32'h3f22d224, 32'h3f0b8f8d,32'h3f2a9301,// invsqrt(2.7255) = 0.6057 +32'h3f8c00d9,32'h3f6fe263,32'h3f79acef, 32'h3f688a7a,32'h3f80826c, 32'h3f5c4d4b,32'h3f86a103,// invsqrt(1.0938) = 0.9562 +32'h3f536586,32'h3f8a0a69,32'h3f8faccb, 32'h3f85d09f,32'h3f93e695, 32'h3f7d8b48,32'h3f9af190,// invsqrt(0.8258) = 1.1005 +32'h3e57753b,32'h4008bbc4,32'h400e507d, 32'h40048c38,32'h40128008, 32'h3ffb24a0,32'h401979f0,// invsqrt(0.2104) = 2.1801 +32'h3fc355b9,32'h3f4b1628,32'h3f536034, 32'h3f44de9f,32'h3f5997bd, 32'h3f3a8210,32'h3f63f44c,// invsqrt(1.5261) = 0.8095 +32'h401388d3,32'h3f253cdd,32'h3f2bfb6e, 32'h3f202df1,32'h3f310a5b, 32'h3f17bfbc,32'h3f397890,// invsqrt(2.3052) = 0.6586 +32'h416d2a48,32'h3e82536b,32'h3e87a531, 32'h3e7cac2e,32'h3e8ba285, 32'h3e6f5fc0,32'h3e9248bc,// invsqrt(14.8228) = 0.2597 +32'h402350ac,32'h3f1d0d41,32'h3f237648, 32'h3f183e7a,32'h3f28450e, 32'h3f103b30,32'h3f304858,// invsqrt(2.5518) = 0.6260 +32'h3fff7736,32'h3f31959a,32'h3f38d52d, 32'h3f2c25eb,32'h3f3e44db, 32'h3f231673,32'h3f475453,// invsqrt(1.9958) = 0.7078 +32'h3f0bc776,32'h3fa9c282,32'h3fb0b053, 32'h3fa49025,32'h3fb5e2af, 32'h3f9be6df,32'h3fbe8bf5,// invsqrt(0.5460) = 1.3533 +32'h3fd8d737,32'h3f40c0b6,32'h3f489ec8, 32'h3f3ada29,32'h3f4e8555, 32'h3f310492,32'h3f585aec,// invsqrt(1.6941) = 0.7683 +32'h3e34fe77,32'h40152f41,32'h401b4614, 32'h40109e22,32'h401fd732, 32'h40090199,32'h402773bb,// invsqrt(0.1768) = 2.3786 +32'h3f681f8b,32'h3f83bbd1,32'h3f891c4d, 32'h3f7f66e9,32'h3f8d24a9, 32'h3f71f5b5,32'h3f93dd44,// invsqrt(0.9067) = 1.0502 +32'h3ea0afea,32'h3fdfe9e0,32'h3fe90d8c, 32'h3fd90f20,32'h3fefe84c, 32'h3fcda28a,32'h3ffb54e2,// invsqrt(0.3138) = 1.7850 +32'h3f043907,32'h3fae8b0f,32'h3fb5aadb, 32'h3fa93336,32'h3fbb02b4, 32'h3fa04b76,32'h3fc3ea74,// invsqrt(0.5165) = 1.3914 +32'h3f098bcb,32'h3fab21dd,32'h3fb21e05, 32'h3fa5e4bf,32'h3fb75b23, 32'h3f9d298c,32'h3fc01656,// invsqrt(0.5373) = 1.3643 +32'h412a0425,32'h3e99ecf5,32'h3ea03553, 32'h3e9536af,32'h3ea4eb99, 32'h3e8d5c3a,32'h3eacc60e,// invsqrt(10.6260) = 0.3068 +32'h435e415d,32'h3d86a056,32'h3d8c1f0b, 32'h3d82814e,32'h3d903e12, 32'h3d7745d6,32'h3d971c75,// invsqrt(222.2553) = 0.0671 +32'h3d97827f,32'h4066986f,32'h407001ec, 32'h405f8952,32'h4077110a, 32'h4053c576,32'h40816a73,// invsqrt(0.0740) = 3.6766 +32'h3f391d38,32'h3f9383d8,32'h3f99893a, 32'h3f8effcf,32'h3f9e0d43, 32'h3f877915,32'h3fa593fd,// invsqrt(0.7231) = 1.1760 +32'h3da2b40b,32'h405e859e,32'h40679abf, 32'h4057b5c6,32'h406e6a98, 32'h404c5b5e,32'h4079c501,// invsqrt(0.0794) = 3.5479 +32'h432062ae,32'h3d9e7acb,32'h3da4f2be, 32'h3d99a0d4,32'h3da9ccb4, 32'h3d918ae4,32'h3db1e2a4,// invsqrt(160.3855) = 0.0790 +32'h3e8480be,32'h3ff6948d,32'h40005288, 32'h3fef082b,32'h400418b9, 32'h3fe27387,32'h400a630b,// invsqrt(0.2588) = 1.9657 +32'h3f903121,32'h3f6c5fde,32'h3f7605bd, 32'h3f652376,32'h3f7d4224, 32'h3f59141f,32'h3f84a8be,// invsqrt(1.1265) = 0.9422 +32'h3f13a1c9,32'h3fa52ee5,32'h3fabece3, 32'h3fa02065,32'h3fb0fb63, 32'h3f97b2e7,32'h3fb968e1,// invsqrt(0.5767) = 1.3168 +32'h3ee06908,32'h3fbd7959,32'h3fc53529, 32'h3fb7ac7e,32'h3fcb0204, 32'h3fae01ba,32'h3fd4acc8,// invsqrt(0.4383) = 1.5105 +32'h3fd7125b,32'h3f418b3a,32'h3f497190, 32'h3f3b9e7a,32'h3f4f5e50, 32'h3f31be8e,32'h3f593e3c,// invsqrt(1.6802) = 0.7715 +32'h3eb8ca5a,32'h3fd0cce6,32'h3fd952a6, 32'h3fca6896,32'h3fdfb6f6, 32'h3fbfc165,32'h3fea5e27,// invsqrt(0.3609) = 1.6645 +32'h3f417a15,32'h3f904ab0,32'h3f962e64, 32'h3f8bdfea,32'h3f9a992a, 32'h3f848349,32'h3fa1f5cb,// invsqrt(0.7558) = 1.1503 +32'h4193d70a,32'h3e69709c,32'h3e72f7d2, 32'h3e624b34,32'h3e7a1d3a, 32'h3e566232,32'h3e83031e,// invsqrt(18.4800) = 0.2326 +32'h40b8b239,32'h3ed0da89,32'h3ed960d7, 32'h3eca75ce,32'h3edfc592, 32'h3ebfcdeb,32'h3eea6d75,// invsqrt(5.7718) = 0.4162 +32'h3d9a7a20,32'h40645eb8,32'h406db0f4, 32'h405d610b,32'h4074aea1, 32'h4051ba41,32'h40802ab6,// invsqrt(0.0754) = 3.6411 +32'h3e8a879c,32'h3ff12825,32'h3ffafffd, 32'h3fe9c643,32'h400130ef, 32'h3fdd7876,32'h400757d6,// invsqrt(0.2706) = 1.9225 +32'h3f2298ca,32'h3f9d65f6,32'h3fa3d29c, 32'h3f989479,32'h3fa8a419, 32'h3f908ca8,32'h3fb0abea,// invsqrt(0.6351) = 1.2548 +32'h3fac61fd,32'h3f582f2d,32'h3f610215, 32'h3f5190ff,32'h3f67a043, 32'h3f46895e,32'h3f72a7e4,// invsqrt(1.3467) = 0.8617 +32'h3f8c3518,32'h3f6fb5ad,32'h3f797e66, 32'h3f685f23,32'h3f806a78, 32'h3f5c243c,32'h3f8687ec,// invsqrt(1.0954) = 0.9555 +32'h3daf5df7,32'h40565642,32'h405f15dc, 32'h404fc68e,32'h4065a590, 32'h4044d70e,32'h40709511,// invsqrt(0.0856) = 3.4174 +32'h3e5dd5a7,32'h4006c101,32'h400c410b, 32'h4002a0f9,32'h40106113, 32'h3ff781d8,32'h40174120,// invsqrt(0.2166) = 2.1485 +32'h3fbd8992,32'h3f4e2b4a,32'h3f56958c, 32'h3f47db99,32'h3f5ce53d, 32'h3f3d56c7,32'h3f676a0f,// invsqrt(1.4808) = 0.8218 +32'h3f2a342c,32'h3f99d73c,32'h3fa01eb6, 32'h3f9521a0,32'h3fa4d452, 32'h3f8d4846,32'h3facadac,// invsqrt(0.6649) = 1.2264 +32'h40d936e7,32'h3ec0963d,32'h3ec87293, 32'h3ebab0fc,32'h3ece57d4, 32'h3eb0dd91,32'h3ed82b3f,// invsqrt(6.7880) = 0.3838 +32'h3ef6531b,32'h3fb4d973,32'h3fbc3b25, 32'h3faf502f,32'h3fc1c469, 32'h3fa61611,32'h3fcafe87,// invsqrt(0.4811) = 1.4417 +32'h3f6c0ca2,32'h3f82a22e,32'h3f87f72b, 32'h3f7d44e2,32'h3f8bf6e9, 32'h3f6ff06b,32'h3f92a125,// invsqrt(0.9221) = 1.0414 +32'h3f4a137d,32'h3f8d3030,32'h3f92f376, 32'h3f88ddbc,32'h3f9745ea, 32'h3f81a9a4,32'h3f9e7a02,// invsqrt(0.7894) = 1.1255 +32'h3f167563,32'h3fa39fcd,32'h3faa4d82, 32'h3f9e9d86,32'h3faf4fca, 32'h3f964464,32'h3fb7a8ec,// invsqrt(0.5877) = 1.3044 +32'h4239834d,32'h3e135b3c,32'h3e195ef6, 32'h3e0ed871,32'h3e1de1c1, 32'h3e0753ca,32'h3e256668,// invsqrt(46.3782) = 0.1468 +32'h411742cf,32'h3ea3308c,32'h3ea9d9b6, 32'h3e9e31ac,32'h3eaed896, 32'h3e95de37,32'h3eb72c0b,// invsqrt(9.4538) = 0.3252 +32'h411fa1f9,32'h3e9eda56,32'h3ea55630, 32'h3e99fd73,32'h3eaa3313, 32'h3e91e2a3,32'h3eb24de3,// invsqrt(9.9770) = 0.3166 +32'h4011589e,32'h3f267a1d,32'h3f2d45a1, 32'h3f21617a,32'h3f325e44, 32'h3f18e316,32'h3f3adca9,// invsqrt(2.2710) = 0.6636 +32'h3e381be3,32'h4013eacc,32'h4019f462, 32'h400f639c,32'h401e7b92, 32'h4007d7a2,32'h4026078c,// invsqrt(0.1798) = 2.3584 +32'h41935ae9,32'h3e69d2da,32'h3e735e12, 32'h3e62aa70,32'h3e7a867c, 32'h3e56bc6a,32'h3e833a41,// invsqrt(18.4194) = 0.2330 +32'h3faffbc5,32'h3f55f613,32'h3f5eb1c1, 32'h3f4f6952,32'h3f653e82, 32'h3f447eb9,32'h3f70291b,// invsqrt(1.3749) = 0.8528 +32'h3d2aba36,32'h40999acc,32'h409fdfcf, 32'h4094e709,32'h40a49391, 32'h408d10c5,32'h40ac69d5,// invsqrt(0.0417) = 4.8981 +32'h3f808ff3,32'h3f7a54ac,32'h3f824630, 32'h3f72aae6,32'h3f861b13, 32'h3f65e545,32'h3f8c7de3,// invsqrt(1.0044) = 0.9978 +32'h3d8d7a9e,32'h406ea149,32'h40785eb9, 32'h40675334,32'h407facce, 32'h405b2667,32'h4085eccd,// invsqrt(0.0691) = 3.8047 +32'h3f9839ee,32'h3f660d56,32'h3f6f7126, 32'h3f5f027b,32'h3f767c01, 32'h3f5345b8,32'h3f811c62,// invsqrt(1.1893) = 0.9170 +32'h3fa16c2c,32'h3f5f6729,32'h3f68857e, 32'h3f589068,32'h3f6f5c3e, 32'h3f4d2a7e,32'h3f7ac228,// invsqrt(1.2611) = 0.8905 +32'h3fb8fde3,32'h3f50afce,32'h3f59345e, 32'h3f4a4c62,32'h3f5f97ca, 32'h3f3fa6ad,32'h3f6a3d7f,// invsqrt(1.4452) = 0.8318 +32'h40a2dce9,32'h3ede69b1,32'h3ee77dae, 32'h3ed79ab4,32'h3eee4cac, 32'h3ecc41b8,32'h3ef9a5a8,// invsqrt(5.0895) = 0.4433 +32'h3f5dc25f,32'h3f86c6dc,32'h3f8c4724, 32'h3f82a6a6,32'h3f90675a, 32'h3f778c9a,32'h3f9747b3,// invsqrt(0.8662) = 1.0744 +32'h3f28a1b3,32'h3f9a8e65,32'h3fa0dd59, 32'h3f95d32d,32'h3fa59891, 32'h3f8df07c,32'h3fad7b42,// invsqrt(0.6587) = 1.2321 +32'h3f6c929b,32'h3f827d2c,32'h3f87d0a6, 32'h3f7cfd21,32'h3f8bcf41, 32'h3f6fac70,32'h3f92779a,// invsqrt(0.9241) = 1.0402 +32'h3f69c3d5,32'h3f83452f,32'h3f88a0d3, 32'h3f7e80e8,32'h3f8ca58e, 32'h3f711bcf,32'h3f93581b,// invsqrt(0.9131) = 1.0465 +32'h408ffcf6,32'h3eec8aac,32'h3ef6324a, 32'h3ee54cf5,32'h3efd7001, 32'h3ed93b6f,32'h3f04c0c4,// invsqrt(4.4996) = 0.4714 +32'h3f9d3ba5,32'h3f625c18,32'h3f6b9952, 32'h3f5b6e2c,32'h3f72873e, 32'h3f4fe1a3,32'h3f7e13c7,// invsqrt(1.2284) = 0.9023 +32'h3f1f60fa,32'h3f9efab7,32'h3fa577e3, 32'h3f9a1cd6,32'h3faa55c4, 32'h3f92005f,32'h3fb2723b,// invsqrt(0.6226) = 1.2674 +32'h3f042ac3,32'h3fae947a,32'h3fb5b4a8, 32'h3fa93c57,32'h3fbb0ccb, 32'h3fa0541c,32'h3fc3f506,// invsqrt(0.5163) = 1.3917 +32'h3cdac01a,32'h40bfe8d9,32'h40c7be1b, 32'h40ba08e7,32'h40cd9e0d, 32'h40b03e54,32'h40d768a0,// invsqrt(0.0267) = 6.1196 +32'h403905d0,32'h3f138d2d,32'h3f1992f0, 32'h3f0f08da,32'h3f1e1742, 32'h3f0781a6,32'h3f259e76,// invsqrt(2.8910) = 0.5881 +32'h3f2754d1,32'h3f9b27d5,32'h3fa17d0c, 32'h3f9667ea,32'h3fa63cf6, 32'h3f8e7d65,32'h3fae277b,// invsqrt(0.6536) = 1.2369 +32'h3f8c8a3b,32'h3f6f6d07,32'h3f7932c9, 32'h3f6818b6,32'h3f80438d, 32'h3f5be184,32'h3f865f26,// invsqrt(1.0980) = 0.9543 +32'h3f9e5703,32'h3f619131,32'h3f6ac623, 32'h3f5aa97b,32'h3f71add9, 32'h3f4f274c,32'h3f7d3008,// invsqrt(1.2370) = 0.8991 +32'h3fa15aab,32'h3f5f7346,32'h3f68921a, 32'h3f589c27,32'h3f6f6939, 32'h3f4d359e,32'h3f7acfc2,// invsqrt(1.2606) = 0.8907 +32'h4053849e,32'h3f0a0043,32'h3f0fa23c, 32'h3f05c6ca,32'h3f13dbb6, 32'h3efd78a6,32'h3f1ae62d,// invsqrt(3.3050) = 0.5501 +32'h40fd4797,32'h3eb2595d,32'h3eb9a0ee, 32'h3eace3b1,32'h3ebf169b, 32'h3ea3ca3c,32'h3ec83010,// invsqrt(7.9150) = 0.3554 +32'h3eccc37b,32'h3fc65b0e,32'h3fce73ac, 32'h3fc04898,32'h3fd48622, 32'h3fb629d4,32'h3fdea4e6,// invsqrt(0.3999) = 1.5813 +32'h3eb21b75,32'h3fd4ae87,32'h3fdd5cd5, 32'h3fce2bcc,32'h3fe3df90, 32'h3fc351e9,32'h3feeb973,// invsqrt(0.3479) = 1.6955 +32'h404a79db,32'h3f0d0c7b,32'h3f12ce4c, 32'h3f08bb1f,32'h3f171fa9, 32'h3f0188da,32'h3f1e51ee,// invsqrt(3.1637) = 0.5622 +32'h3f18ef0d,32'h3fa24b71,32'h3fa8eb41, 32'h3f9d5395,32'h3fade31d, 32'h3f950bd0,32'h3fb62ae2,// invsqrt(0.5974) = 1.2938 +32'h3f84a967,32'h3f766ec0,32'h3f803edd, 32'h3f6ee387,32'h3f84047a, 32'h3f6250d0,32'h3f8a4dd5,// invsqrt(1.0364) = 0.9823 +32'h3f18f501,32'h3fa24848,32'h3fa8e7f8, 32'h3f9d5085,32'h3faddfbb, 32'h3f9508ea,32'h3fb62756,// invsqrt(0.5975) = 1.2937 +32'h3fa9b9bd,32'h3f59deb8,32'h3f62c33d, 32'h3f533355,32'h3f696ea1, 32'h3f4815af,32'h3f748c47,// invsqrt(1.3260) = 0.8684 +32'h3f7dfb46,32'h3f7bdffc,32'h3f8313e8, 32'h3f742a1a,32'h3f86eed9, 32'h3f67504f,32'h3f8d5bbe,// invsqrt(0.9921) = 1.0040 +32'h3f9732f8,32'h3f66d50c,32'h3f704102, 32'h3f5fc413,32'h3f7751fb, 32'h3f53fd20,32'h3f818c77,// invsqrt(1.1812) = 0.9201 +32'h3fbea0aa,32'h3f4d9427,32'h3f55f83d, 32'h3f474916,32'h3f5c434e, 32'h3f3ccbfa,32'h3f66c06a,// invsqrt(1.4893) = 0.8194 +32'h3fc9a414,32'h3f47e2bc,32'h3f500b56, 32'h3f41c449,32'h3f5629c9, 32'h3f379188,32'h3f605c8a,// invsqrt(1.5753) = 0.7967 +32'h3f98ebb8,32'h3f658774,32'h3f6ee5cc, 32'h3f5e80b2,32'h3f75ec8e, 32'h3f52cac3,32'h3f80d13e,// invsqrt(1.1947) = 0.9149 +32'h3e34c0a3,32'h401548c2,32'h401b60a0, 32'h4010b6db,32'h401ff287, 32'h40091906,32'h4027905c,// invsqrt(0.1765) = 2.3802 +32'h40db237a,32'h3ebfbd50,32'h3ec790cc, 32'h3eb9deb4,32'h3ecd6f68, 32'h3eb01659,32'h3ed737c3,// invsqrt(6.8481) = 0.3821 +32'h3dd730ac,32'h40417d98,32'h4049635f, 32'h403b9142,32'h404f4fb4, 32'h4031b208,32'h40592eee,// invsqrt(0.1051) = 3.0850 +32'h3feb65b3,32'h3f38ffd9,32'h3f408ce7, 32'h3f33560e,32'h3f4636b2, 32'h3f29e5bd,32'h3f4fa703,// invsqrt(1.8390) = 0.7374 +32'h3f2bafcc,32'h3f992cc8,32'h3f9f6d4e, 32'h3f947c64,32'h3fa41db2, 32'h3f8cabbd,32'h3fabee59,// invsqrt(0.6707) = 1.2211 +32'h3e313b65,32'h4016c274,32'h401ce9bd, 32'h401224fe,32'h40218734, 32'h400a73e3,32'h4029384f,// invsqrt(0.1731) = 2.4037 +32'h4012857c,32'h3f25ced9,32'h3f2c935f, 32'h3f20bb74,32'h3f31a6c4, 32'h3f1845cc,32'h3f3a1c6c,// invsqrt(2.2894) = 0.6609 +32'h3ff448b7,32'h3f359a6b,32'h3f3d03fc, 32'h3f300b3d,32'h3f429329, 32'h3f26c748,32'h3f4bd71f,// invsqrt(1.9085) = 0.7239 +32'h3e505d2c,32'h400b0aa6,32'h4010b77e, 32'h4006c904,32'h4014f920, 32'h3fff61ed,32'h401c112d,// invsqrt(0.2035) = 2.2169 +32'h3f39a0b8,32'h3f934f8f,32'h3f9952cf, 32'h3f8ecd20,32'h3f9dd53e, 32'h3f874911,32'h3fa5594d,// invsqrt(0.7251) = 1.1744 +32'h3f07da4f,32'h3fac320c,32'h3fb33950, 32'h3fa6ec98,32'h3fb87ec4, 32'h3f9e2383,32'h3fc147d9,// invsqrt(0.5307) = 1.3727 +32'h3fd2ff01,32'h3f436777,32'h3f4b613d, 32'h3f3d6c22,32'h3f515c92, 32'h3f3373eb,32'h3f5b54c9,// invsqrt(1.6484) = 0.7789 +32'h3eaea111,32'h3fd6ca0f,32'h3fdf8e63, 32'h3fd036d0,32'h3fe621a2, 32'h3fc54166,32'h3ff1170c,// invsqrt(0.3411) = 1.7123 +32'h3f09bb55,32'h3fab0452,32'h3fb1ff46, 32'h3fa5c81b,32'h3fb73b7d, 32'h3f9d0e6b,32'h3fbff52d,// invsqrt(0.5380) = 1.3633 +32'h40dcf4c7,32'h3ebef302,32'h3ec6be3c, 32'h3eb91a97,32'h3ecc96a7, 32'h3eaf5c8f,32'h3ed654af,// invsqrt(6.9049) = 0.3806 +32'h3f121d6f,32'h3fa609d8,32'h3facd0c6, 32'h3fa0f4a5,32'h3fb1e5f9, 32'h3f987bfa,32'h3fba5ea4,// invsqrt(0.5708) = 1.3236 +32'h3fa7d6ea,32'h3f5b1738,32'h3f64087e, 32'h3f546243,32'h3f6abd73, 32'h3f4934ac,32'h3f75eb0b,// invsqrt(1.3112) = 0.8733 +32'h3ff9a2cb,32'h3f33a55f,32'h3f3afa7d, 32'h3f2e2588,32'h3f407a54, 32'h3f24fb23,32'h3f49a4b9,// invsqrt(1.9503) = 0.7161 +32'h3fd10927,32'h3f44517b,32'h3f4c54cf, 32'h3f3e4efd,32'h3f52574d, 32'h3f344ad4,32'h3f5c5b76,// invsqrt(1.6331) = 0.7825 +32'h3d8ef3cb,32'h406d65a9,32'h40771637, 32'h4066213e,32'h407e5aa2, 32'h405a048b,32'h40853baa,// invsqrt(0.0698) = 3.7850 +32'h3f5d7962,32'h3f86dd10,32'h3f8c5e40, 32'h3f82bc2c,32'h3f907f24, 32'h3f77b562,32'h3f97609f,// invsqrt(0.8651) = 1.0751 +32'h3f016a61,32'h3fb06d14,32'h3fb7a08e, 32'h3fab067a,32'h3fbd0728, 32'h3fa20622,32'h3fc60780,// invsqrt(0.5055) = 1.4065 +32'h3f82ac3f,32'h3f784d0b,32'h3f8137c4, 32'h3f70b32c,32'h3f8504b3, 32'h3f64080e,32'h3f8b5a42,// invsqrt(1.0209) = 0.9897 +32'h401ebe5e,32'h3f1f4c0f,32'h3f25cc8d, 32'h3f1a6bb0,32'h3f2aacec, 32'h3f124b13,32'h3f32cd89,// invsqrt(2.4804) = 0.6350 +32'h40586d86,32'h3f086d3e,32'h3f0dfec4, 32'h3f04401b,32'h3f122be7, 32'h3efa9468,32'h3f1921ce,// invsqrt(3.3817) = 0.5438 +32'h40c3b7f8,32'h3ecae329,32'h3ed32b20, 32'h3ec4ad2f,32'h3ed96119, 32'h3eba533a,32'h3ee3bb0e,// invsqrt(6.1162) = 0.4044 +32'h4031c1b6,32'h3f168974,32'h3f1cae6a, 32'h3f11edbd,32'h3f214a21, 32'h3f0a3f8a,32'h3f28f854,// invsqrt(2.7774) = 0.6000 +32'h3ee70c0c,32'h3fbabb9e,32'h3fc25aca, 32'h3fb5043e,32'h3fc8122a, 32'h3fab7d48,32'h3fd19920,// invsqrt(0.4513) = 1.4886 +32'h4017636d,32'h3f231ef7,32'h3f29c769, 32'h3f1e20a1,32'h3f2ec5bf, 32'h3f15ce12,32'h3f37184e,// invsqrt(2.3654) = 0.6502 +32'h3fc2d333,32'h3f4b5a24,32'h3f53a6f6, 32'h3f452086,32'h3f59e094, 32'h3f3ac07f,32'h3f64409b,// invsqrt(1.5221) = 0.8106 +32'h3f9bbb99,32'h3f637289,32'h3f6cbb21, 32'h3f5c7c17,32'h3f73b193, 32'h3f50e159,32'h3f7f4c51,// invsqrt(1.2167) = 0.9066 +32'h40856113,32'h3ef5c4d9,32'h3effcce1, 32'h3eee3ed2,32'h3f03a974, 32'h3ee1b4c7,32'h3f09ee7a,// invsqrt(4.1681) = 0.4898 +32'h3ea2f17d,32'h3fde5ba6,32'h3fe76f10, 32'h3fd78d16,32'h3fee3da0, 32'h3fcc34d2,32'h3ff995e4,// invsqrt(0.3182) = 1.7726 +32'h40a9eabf,32'h3ed9bf4a,32'h3ee2a287, 32'h3ed314dd,32'h3ee94cf5, 32'h3ec7f8d2,32'h3ef46900,// invsqrt(5.3099) = 0.4340 +32'h401472a3,32'h3f24ba89,32'h3f2b73c8, 32'h3f1faf99,32'h3f307eb7, 32'h3f17480a,32'h3f38e646,// invsqrt(2.3195) = 0.6566 +32'h4232af42,32'h3e162543,32'h3e1c4621, 32'h3e118c9c,32'h3e20dec8, 32'h3e09e387,32'h3e2887dd,// invsqrt(44.6712) = 0.1496 +32'h400f66a0,32'h3f279a34,32'h3f2e717a, 32'h3f2278bf,32'h3f3392ef, 32'h3f19eba8,32'h3f3c2006,// invsqrt(2.2406) = 0.6681 +32'h3e919046,32'h3feb4216,32'h3ff4dc4c, 32'h3fe40e6f,32'h3ffc0ff3, 32'h3fd80dac,32'h4004085b,// invsqrt(0.2843) = 1.8755 +32'h3f096969,32'h3fab3745,32'h3fb2344d, 32'h3fa5f97f,32'h3fb77213, 32'h3f9d3d35,32'h3fc02e5d,// invsqrt(0.5368) = 1.3649 +32'h3f3b892e,32'h3f928f39,32'h3f988a9f, 32'h3f8e12ad,32'h3f9d072b, 32'h3f86986e,32'h3fa4816a,// invsqrt(0.7326) = 1.1684 +32'h403962f2,32'h3f136818,32'h3f196c58, 32'h3f0ee4e8,32'h3f1def88, 32'h3f075f99,32'h3f2574d7,// invsqrt(2.8967) = 0.5876 +32'h40bbbadb,32'h3ecf28c4,32'h3ed79d5e, 32'h3ec8d150,32'h3eddf4d2, 32'h3ebe3f8f,32'h3ee88693,// invsqrt(5.8666) = 0.4129 +32'h3eb13006,32'h3fd53ba4,32'h3fddefb6, 32'h3fceb498,32'h3fe476c2, 32'h3fc3d382,32'h3fef57d8,// invsqrt(0.3461) = 1.6999 +32'h3eec2bd7,32'h3fb8b22e,32'h3fc03c10, 32'h3fb30ac4,32'h3fc5e37a, 32'h3fa99e69,32'h3fcf4fd5,// invsqrt(0.4613) = 1.4724 +32'h3ceee37b,32'h40b7a47e,32'h40bf235f, 32'h40b20556,32'h40c4c288, 32'h40a8a6be,32'h40ce2121,// invsqrt(0.0292) = 5.8559 +32'h3dc5fe96,32'h4049b7ca,32'h4051f389, 32'h40438afa,32'h40582058, 32'h4039404b,32'h40626b07,// invsqrt(0.0967) = 3.2162 +32'h3f0c38aa,32'h3fa97dee,32'h3fb068f2, 32'h3fa44daa,32'h3fb59936, 32'h3f9ba7e5,32'h3fbe3efb,// invsqrt(0.5477) = 1.3512 +32'h407a8eed,32'h3efd96f7,32'h3f03f85c, 32'h3ef5d3a7,32'h3f07da05, 32'h3ee8e376,32'h3f0e521d,// invsqrt(3.9150) = 0.5054 +32'h3ea6800b,32'h3fdbf85a,32'h3fe4f2d0, 32'h3fd53c81,32'h3febaea9, 32'h3fca036c,32'h3ff6e7be,// invsqrt(0.3252) = 1.7536 +32'h3f172457,32'h3fa340fe,32'h3fa9ead4, 32'h3f9e419d,32'h3faeea35, 32'h3f95ed52,32'h3fb73e80,// invsqrt(0.5904) = 1.3014 +32'h3f8f1f46,32'h3f6d4197,32'h3f76f0ad, 32'h3f65fe47,32'h3f7e33fd, 32'h3f59e36b,32'h3f85276c,// invsqrt(1.1181) = 0.9457 +32'h429fda2f,32'h3de07f60,32'h3de9a925, 32'h3dd9a00c,32'h3df08878, 32'h3dce2bd5,32'h3dfbfcaf,// invsqrt(79.9261) = 0.1119 +32'h3ea5266a,32'h3fdcde0f,32'h3fe5e1e6, 32'h3fd61b2e,32'h3feca4c8, 32'h3fcad662,32'h3ff7e994,// invsqrt(0.3226) = 1.7607 +32'h40b906a5,32'h3ed0aade,32'h3ed92f3a, 32'h3eca4798,32'h3edf9280, 32'h3ebfa224,32'h3eea37f4,// invsqrt(5.7821) = 0.4159 +32'h3f02a43c,32'h3faf98a8,32'h3fb6c375, 32'h3faa388d,32'h3fbc238f, 32'h3fa1430d,32'h3fc5190f,// invsqrt(0.5103) = 1.3998 +32'h3e652e53,32'h4004939a,32'h4009fce4, 32'h400084a2,32'h400e0bdc, 32'h3ff3820b,32'h4014cf78,// invsqrt(0.2238) = 2.1138 +32'h3f9a0862,32'h3f64b2fa,32'h3f6e08a6, 32'h3f5db2b9,32'h3f7508e7, 32'h3f5207a2,32'h3f8059ff,// invsqrt(1.2034) = 0.9116 +32'h405ab9bb,32'h3f07b551,32'h3f0d3f55, 32'h3f038dcf,32'h3f1166d7, 32'h3ef94295,32'h3f18535b,// invsqrt(3.4176) = 0.5409 +32'h3f7fe4f5,32'h3f7aee89,32'h3f829642, 32'h3f73400c,32'h3f866d80, 32'h3f667292,32'h3f8cd43d,// invsqrt(0.9996) = 1.0002 +32'h4092ff9f,32'h3eea1b69,32'h3ef3a998, 32'h3ee2f0c7,32'h3efad43b, 32'h3ed6ff0e,32'h3f0362fa,// invsqrt(4.5937) = 0.4666 +32'h3f8f5230,32'h3f6d176f,32'h3f76c4cc, 32'h3f65d568,32'h3f7e06d2, 32'h3f59bcb4,32'h3f850fc3,// invsqrt(1.1197) = 0.9450 +32'h3f00eb3c,32'h3fb0c3ff,32'h3fb7fb04, 32'h3fab5abb,32'h3fbd6447, 32'h3fa255f4,32'h3fc6690e,// invsqrt(0.5036) = 1.4092 +32'h3fd4d483,32'h3f428f77,32'h3f4a806d, 32'h3f3c9ac0,32'h3f507524, 32'h3f32ad8d,32'h3f5a6257,// invsqrt(1.6627) = 0.7755 +32'h3f4463fb,32'h3f8f37a6,32'h3f951020, 32'h3f8ad54b,32'h3f99727b, 32'h3f8386b3,32'h3fa0c113,// invsqrt(0.7672) = 1.1417 +32'h3fbbd7b0,32'h3f4f18dd,32'h3f578cd1, 32'h3f48c1e6,32'h3f5de3c8, 32'h3f3e30f5,32'h3f6874b9,// invsqrt(1.4675) = 0.8255 +32'h40195b4c,32'h3f22121f,32'h3f28af99, 32'h3f1d1c04,32'h3f2da5b4, 32'h3f14d72c,32'h3f35ea8c,// invsqrt(2.3962) = 0.6460 +32'h3c447685,32'h410f30e4,32'h41150918, 32'h410acebe,32'h41196b3e, 32'h4103807e,32'h4120b97e,// invsqrt(0.0120) = 9.1321 +32'h3e5b0383,32'h40079e73,32'h400d2788, 32'h400377a4,32'h40114e58, 32'h3ff91896,32'h401839b1,// invsqrt(0.2139) = 2.1623 +32'h3f8b14ba,32'h3f70adae,32'h3f7a8086, 32'h3f694f8c,32'h3f80ef54, 32'h3f5d07fe,32'h3f87131b,// invsqrt(1.0866) = 0.9593 +32'h3f249cfa,32'h3f9c6e6b,32'h3fa2d0f7, 32'h3f97a482,32'h3fa79ae0, 32'h3f8fa952,32'h3faf9610,// invsqrt(0.6430) = 1.2471 +32'h3f49ce6f,32'h3f8d4856,32'h3f930c98, 32'h3f88f524,32'h3f975fca, 32'h3f81bfd2,32'h3f9e951c,// invsqrt(0.7883) = 1.1263 +32'h3eff1154,32'h3fb1b90e,32'h3fb8fa13, 32'h3fac4849,32'h3fbe6ad7, 32'h3fa33702,32'h3fc77c1e,// invsqrt(0.4982) = 1.4168 +32'h3f3038ab,32'h3f9730f8,32'h3f9d5cc4, 32'h3f929020,32'h3fa1fd9c, 32'h3f8ad961,32'h3fa9b45b,// invsqrt(0.6884) = 1.2053 +32'h3ce9a3d2,32'h40b9b1a0,32'h40c145ef, 32'h40b40263,32'h40c6f52b, 32'h40aa8900,32'h40d06e8e,// invsqrt(0.0285) = 5.9214 +32'h3e088688,32'h402bc54d,32'h4032c822, 32'h4026832f,32'h40380a41, 32'h401dbfa6,32'h4040cdca,// invsqrt(0.1333) = 2.7387 +32'h3f0c8d89,32'h3fa94aba,32'h3fb033a7, 32'h3fa41c07,32'h3fb56259, 32'h3f9b78de,32'h3fbe0582,// invsqrt(0.5490) = 1.3496 +32'h3f18b046,32'h3fa26cca,32'h3fa90df7, 32'h3f9d73e9,32'h3fae06d9, 32'h3f952a71,32'h3fb65051,// invsqrt(0.5964) = 1.2948 +32'h3ee56130,32'h3fbb690d,32'h3fc30f4d, 32'h3fb5ac5e,32'h3fc8cbfc, 32'h3fac1c8f,32'h3fd25bcb,// invsqrt(0.4480) = 1.4940 +32'h3e6ab72e,32'h40030111,32'h400859ed, 32'h3ffdfcd8,32'h400c5c92, 32'h3ff09eb1,32'h40130ba5,// invsqrt(0.2292) = 2.0887 +32'h3f5406fe,32'h3f89d5cf,32'h3f8f760c, 32'h3f859da2,32'h3f93ae3a, 32'h3f7d2aac,32'h3f9ab686,// invsqrt(0.8282) = 1.0988 +32'h3f1c3487,32'h3fa09613,32'h3fa72409, 32'h3f9bab9a,32'h3fac0e82, 32'h3f937a26,32'h3fb43ff6,// invsqrt(0.6102) = 1.2802 +32'h3f9fc192,32'h3f6090aa,32'h3f69bb24, 32'h3f59b0cf,32'h3f709aff, 32'h3f4e3bb6,32'h3f7c1018,// invsqrt(1.2481) = 0.8951 +32'h40105ff0,32'h3f27093f,32'h3f2dda9b, 32'h3f21ec3b,32'h3f32f79f, 32'h3f196688,32'h3f3b7d52,// invsqrt(2.2559) = 0.6658 +32'h3f523e90,32'h3f8a6b1c,32'h3f901171, 32'h3f862e5d,32'h3f944e31, 32'h3f7e3ce6,32'h3f9b5e1b,// invsqrt(0.8213) = 1.1035 +32'h3eb517cf,32'h3fd2ebd2,32'h3fdb87bc, 32'h3fcc76e3,32'h3fe1fcab, 32'h3fc1b400,32'h3fecbf8e,// invsqrt(0.3537) = 1.6815 +32'h3f829e7b,32'h3f785a20,32'h3f813e93, 32'h3f70bfdb,32'h3f850bb6, 32'h3f641413,32'h3f8b619a,// invsqrt(1.0205) = 0.9899 +32'h414e1dde,32'h3e8bcc2a,32'h3e9180e8, 32'h3e87849c,32'h3e95c876, 32'h3e8062ae,32'h3e9cea64,// invsqrt(12.8823) = 0.2786 +32'h3fb79bb4,32'h3f5178b5,32'h3f5a0579, 32'h3f4b0f23,32'h3f606f0b, 32'h3f405f2e,32'h3f6b1f00,// invsqrt(1.4344) = 0.8349 +32'h3e0e907c,32'h402817e5,32'h402ef44d, 32'h4022f298,32'h4034199a, 32'h401a5f16,32'h403cad1c,// invsqrt(0.1392) = 2.6801 +32'h40ef926a,32'h3eb76166,32'h3ebedd8a, 32'h3eb1c44c,32'h3ec47aa4, 32'h3ea8691f,32'h3ecdd5d1,// invsqrt(7.4866) = 0.3655 +32'h3f21edab,32'h3f9db90b,32'h3fa42915, 32'h3f98e502,32'h3fa8fd1e, 32'h3f90d8f5,32'h3fb1092b,// invsqrt(0.6325) = 1.2574 +32'h3ea2ed1a,32'h3fde5ea4,32'h3fe7722e, 32'h3fd78ffd,32'h3fee40d5, 32'h3fcc3792,32'h3ff99940,// invsqrt(0.3182) = 1.7727 +32'h3f81abe9,32'h3f7941fe,32'h3f81b73d, 32'h3f71a09f,32'h3f8587ec, 32'h3f64e903,32'h3f8be3bb,// invsqrt(1.0131) = 0.9935 +32'h3f0578df,32'h3fadb96f,32'h3fb4d0ad, 32'h3fa86800,32'h3fba221c, 32'h3f9f8af3,32'h3fc2ff29,// invsqrt(0.5214) = 1.3849 +32'h3fc967be,32'h3f4800aa,32'h3f502a7d, 32'h3f41e14d,32'h3f5649db, 32'h3f37ad05,32'h3f607e23,// invsqrt(1.5735) = 0.7972 +32'h3f4b657a,32'h3f8cbab1,32'h3f92792b, 32'h3f886bd5,32'h3f96c807, 32'h3f813dbd,32'h3f9df61f,// invsqrt(0.7945) = 1.1219 +32'h40929173,32'h3eea7355,32'h3ef4051a, 32'h3ee34602,32'h3efb326e, 32'h3ed74fcc,32'h3f039452,// invsqrt(4.5803) = 0.4673 +32'h422c82d8,32'h3e18cef9,32'h3e1f0bab, 32'h3e142174,32'h3e23b930, 32'h3e0c5597,32'h3e2b850d,// invsqrt(43.1278) = 0.1523 +32'h3da1f018,32'h405f0c17,32'h406826b5, 32'h40583821,32'h406efaab, 32'h404cd6dc,32'h407a5bf0,// invsqrt(0.0791) = 3.5562 +32'h3f0b6dae,32'h3fa9f921,32'h3fb0e92d, 32'h3fa4c518,32'h3fb61d36, 32'h3f9c190a,32'h3fbec945,// invsqrt(0.5446) = 1.3550 +32'h3ca76167,32'h40db6412,32'h40e4587c, 32'h40d4acc3,32'h40eb0fcb, 32'h40c97b40,32'h40f6414e,// invsqrt(0.0204) = 6.9959 +32'h3fc887c2,32'h3f48703e,32'h3f509e9e, 32'h3f424d75,32'h3f56c167, 32'h3f38137d,32'h3f60fb5f,// invsqrt(1.5666) = 0.7989 +32'h3f8ab0ed,32'h3f710437,32'h3f7ada97, 32'h3f69a36f,32'h3f811db0, 32'h3f5d5776,32'h3f8743ac,// invsqrt(1.0835) = 0.9607 +32'h3f40191a,32'h3f90cf05,32'h3f96b81f, 32'h3f8c6031,32'h3f9b26f3, 32'h3f84fcd0,32'h3fa28a54,// invsqrt(0.7504) = 1.1544 +32'h3f0dd426,32'h3fa8875b,32'h3faf684f, 32'h3fa35ea4,32'h3fb49106, 32'h3f9ac573,32'h3fbd2a37,// invsqrt(0.5540) = 1.3435 +32'h3f919ac8,32'h3f6b3999,32'h3f74d375, 32'h3f640633,32'h3f7c06db, 32'h3f5805e0,32'h3f840397,// invsqrt(1.1375) = 0.9376 +32'h3cb15ec0,32'h40d51f8c,32'h40ddd278, 32'h40ce995c,32'h40e458a8, 32'h40c3b9b5,32'h40ef384f,// invsqrt(0.0217) = 6.7960 +32'h3f3d6d54,32'h3f91d376,32'h3f97c732, 32'h3f8d5ca9,32'h3f9c3dff, 32'h3f85ebff,32'h3fa3aea9,// invsqrt(0.7399) = 1.1625 +32'h3dfc523b,32'h4032afff,32'h4039fb19, 32'h402d37ab,32'h403f736d, 32'h402419cb,32'h4048914d,// invsqrt(0.1232) = 2.8490 +32'h3df9f2c5,32'h4033889f,32'h403adc91, 32'h402e09aa,32'h40405b86, 32'h4024e0bc,32'h40498474,// invsqrt(0.1220) = 2.8625 +32'h3eb7562d,32'h3fd1a069,32'h3fda2ecc, 32'h3fcb35a0,32'h3fe09996, 32'h3fc083a5,32'h3feb4b91,// invsqrt(0.3581) = 1.6711 +32'h4198b013,32'h3e65b444,32'h3e6f1470, 32'h3e5eac22,32'h3e761c92, 32'h3e52f3eb,32'h3e80ea65,// invsqrt(19.0860) = 0.2289 +32'h403ea0cc,32'h3f115dac,32'h3f174c98, 32'h3f0cea7a,32'h3f1bbfca, 32'h3f057fd2,32'h3f232a72,// invsqrt(2.9786) = 0.5794 +32'h3cc1b240,32'h40cbf199,32'h40d4449a, 32'h40c5b358,32'h40da82da, 32'h40bb4b96,32'h40e4ea9c,// invsqrt(0.0236) = 6.5033 +32'h403122d1,32'h3f16ccea,32'h3f1cf4a0, 32'h3f122f22,32'h3f219268, 32'h3f0a7d7e,32'h3f29440c,// invsqrt(2.7678) = 0.6011 +32'h3f59e4cc,32'h3f87f790,32'h3f8d8448, 32'h3f83ce07,32'h3f91add1, 32'h3f79bc42,32'h3f989db7,// invsqrt(0.8511) = 1.0839 +32'h3f54293f,32'h3f89caae,32'h3f8f6a77, 32'h3f8592d8,32'h3f93a24e, 32'h3f7d163c,32'h3f9aaa08,// invsqrt(0.8288) = 1.0985 +32'h406d1bb3,32'h3f02576d,32'h3f07a95d, 32'h3efcb3f3,32'h3f0ba6d0, 32'h3eef671c,32'h3f124d3c,// invsqrt(3.7048) = 0.5195 +32'h3f4f7e93,32'h3f8b5527,32'h3f910509, 32'h3f87113d,32'h3f9548f3, 32'h3f7feac5,32'h3f9c64ce,// invsqrt(0.8105) = 1.1108 +32'h3e8d5955,32'h3feebd60,32'h3ff87bf6, 32'h3fe76e6f,32'h3fffcae7, 32'h3fdb4034,32'h4005fc91,// invsqrt(0.2761) = 1.9032 +32'h3f2a7153,32'h3f99bba0,32'h3fa001fa, 32'h3f9506dc,32'h3fa4b6be, 32'h3f8d2eec,32'h3fac8eae,// invsqrt(0.6658) = 1.2255 +32'h40205438,32'h3f1e81f0,32'h3f24fa2e, 32'h3f19a7c1,32'h3f29d45d, 32'h3f119174,32'h3f31eaaa,// invsqrt(2.5051) = 0.6318 +32'h3f31bfc5,32'h3f968a47,32'h3f9caf45, 32'h3f91ee89,32'h3fa14b03, 32'h3f8a404c,32'h3fa8f940,// invsqrt(0.6943) = 1.2001 +32'h3e63cb56,32'h4004fabf,32'h400a683f, 32'h4000e89f,32'h400e7a5f, 32'h3ff43f7e,32'h4015433f,// invsqrt(0.2225) = 2.1202 +32'h4089d33e,32'h3ef1c5be,32'h3efba404, 32'h3eea5f09,32'h3f01855d, 32'h3ede0931,32'h3f07b049,// invsqrt(4.3070) = 0.4818 +32'h3fb01f56,32'h3f55e077,32'h3f5e9b43, 32'h3f4f545f,32'h3f65275b, 32'h3f446ae0,32'h3f7010da,// invsqrt(1.3760) = 0.8525 +32'h3e49278a,32'h400d82e6,32'h4013498c, 32'h40092de9,32'h40179e89, 32'h4001f59a,32'h401ed6d8,// invsqrt(0.1964) = 2.2562 +32'h3f4a0273,32'h3f8d3625,32'h3f92f9a9, 32'h3f88e382,32'h3f974c4c, 32'h3f81af1d,32'h3f9e80b1,// invsqrt(0.7891) = 1.1257 +32'h3d186a5c,32'h40a29207,32'h40a934b9, 32'h409d9802,32'h40ae2ebe, 32'h40954ca3,32'h40b67a1d,// invsqrt(0.0372) = 5.1840 +32'h3f3f9d77,32'h3f90fdb5,32'h3f96e8b7, 32'h3f8c8d73,32'h3f9b58f9, 32'h3f8527b1,32'h3fa2bebb,// invsqrt(0.7485) = 1.1559 +32'h3f79d478,32'h3f7df587,32'h3f842992, 32'h3f762f52,32'h3f880cad, 32'h3f693a4d,32'h3f8e872f,// invsqrt(0.9759) = 1.0123 +32'h411f1dcf,32'h3e9f1c42,32'h3ea59acc, 32'h3e9a3d5a,32'h3eaa79b4, 32'h3e921f2d,32'h3eb297e1,// invsqrt(9.9448) = 0.3171 +32'h3f0c9458,32'h3fa946a0,32'h3fb02f62, 32'h3fa4180e,32'h3fb55df4, 32'h3f9b751a,32'h3fbe00e8,// invsqrt(0.5491) = 1.3495 +32'h3e8b545d,32'h3ff076b1,32'h3ffa474b, 32'h3fe91a3e,32'h4000d1df, 32'h3fdcd57e,32'h4006f43f,// invsqrt(0.2721) = 1.9170 +32'h3dad093b,32'h4057c69a,32'h4060953e, 32'h40512ba0,32'h40673038, 32'h40462954,32'h40723284,// invsqrt(0.0845) = 3.4403 +32'h3ea16151,32'h3fdf6eac,32'h3fe88d50, 32'h3fd897b1,32'h3fef644b, 32'h3fcd3165,32'h3ffaca97,// invsqrt(0.3152) = 1.7812 +32'h3fa6dd6d,32'h3f5bbac4,32'h3f64b2b8, 32'h3f5500ce,32'h3f6b6cae, 32'h3f49cade,32'h3f76a29e,// invsqrt(1.3036) = 0.8758 +32'h3e2015ef,32'h401ea0c3,32'h40251a43, 32'h4019c5a3,32'h4029f563, 32'h4011adc3,32'h40320d43,// invsqrt(0.1563) = 2.5291 +32'h3f8dd36d,32'h3f6e5687,32'h3f7810eb, 32'h3f670abd,32'h3f7f5cb5, 32'h3f5ae1c0,32'h3f85c2d9,// invsqrt(1.1080) = 0.9500 +32'h400dccf3,32'h3f288ba2,32'h3f2f6cc3, 32'h3f2362ca,32'h3f34959c, 32'h3f1ac961,32'h3f3d2f05,// invsqrt(2.2156) = 0.6718 +32'h3f45ea2a,32'h3f8eaa34,32'h3f947ce8, 32'h3f8a4c2d,32'h3f98daef, 32'h3f8304cd,32'h3fa0224f,// invsqrt(0.7731) = 1.1373 +32'h3f9f4541,32'h3f60e83d,32'h3f6a164a, 32'h3f5a05b4,32'h3f70f8d4, 32'h3f4e8c24,32'h3f7c7264,// invsqrt(1.2443) = 0.8965 +32'h3f8066bc,32'h3f7a7cd6,32'h3f825b17, 32'h3f72d1d5,32'h3f863097, 32'h3f660a28,32'h3f8c946e,// invsqrt(1.0031) = 0.9984 +32'h3f0f25ba,32'h3fa7c02e,32'h3fae9900, 32'h3fa29d8f,32'h3fb3bb9f, 32'h3f9a0e88,32'h3fbc4aa6,// invsqrt(0.5592) = 1.3373 +32'h411e12b8,32'h3e9fa275,32'h3ea62679, 32'h3e9abf71,32'h3eab097d, 32'h3e929a6b,32'h3eb32e83,// invsqrt(9.8796) = 0.3181 +32'h3f0ff7ef,32'h3fa7458a,32'h3fae195c, 32'h3fa226ad,32'h3fb33839, 32'h3f999de8,32'h3fbbc0ff,// invsqrt(0.5624) = 1.3335 +32'h3f187cc2,32'h3fa28838,32'h3fa92a83, 32'h3f9d8e7f,32'h3fae243b, 32'h3f9543a0,32'h3fb66f1a,// invsqrt(0.5957) = 1.2957 +32'h3fbdf73c,32'h3f4defc0,32'h3f565793, 32'h3f47a1e1,32'h3f5ca571, 32'h3f3d2018,32'h3f67273a,// invsqrt(1.4841) = 0.8209 +32'h41526344,32'h3e8a5f09,32'h3e9004df, 32'h3e8622a8,32'h3e944140, 32'h3e7e26b7,32'h3e9b508c,// invsqrt(13.1492) = 0.2758 +32'h3f2d9a20,32'h3f9853de,32'h3f9e8b88, 32'h3f93aa1d,32'h3fa33549, 32'h3f8be488,32'h3faafade,// invsqrt(0.6781) = 1.2143 +32'h3e909bc6,32'h3fec08a5,32'h3ff5aaf5, 32'h3fe4cee9,32'h3ffce4b1, 32'h3fd8c405,32'h400477ca,// invsqrt(0.2824) = 1.8816 +32'h3f77683c,32'h3f7f3315,32'h3f84ced4, 32'h3f776326,32'h3f88b6cb, 32'h3f6a5dee,32'h3f8f3967,// invsqrt(0.9664) = 1.0172 +32'h3fa33e70,32'h3f5e2737,32'h3f67387d, 32'h3f575a42,32'h3f6e0572, 32'h3f4c04ab,32'h3f795b09,// invsqrt(1.2753) = 0.8855 +32'h3f21bcc2,32'h3f9dd0e2,32'h3fa441e6, 32'h3f98fc1f,32'h3fa916a9, 32'h3f90eeda,32'h3fb123ee,// invsqrt(0.6318) = 1.2581 +32'h3e75aebc,32'h40000bfe,32'h400545f3, 32'h3ff8410a,32'h4009316b, 32'h3feb3025,32'h400fb9de,// invsqrt(0.2399) = 2.0416 +32'h3fbd6c4d,32'h3f4e3b38,32'h3f56a620, 32'h3f47eb0a,32'h3f5cf64e, 32'h3f3d6568,32'h3f677bf0,// invsqrt(1.4799) = 0.8220 +32'h3d524ddd,32'h408a6613,32'h40900c33, 32'h4086297b,32'h409448cb, 32'h407e33a5,32'h409b5873,// invsqrt(0.0513) = 4.4132 +32'h3f7c4728,32'h3f7cb954,32'h3f838504, 32'h3f74fccc,32'h3f876348, 32'h3f6817e9,32'h3f8dd5b9,// invsqrt(0.9855) = 1.0073 +32'h3f2e17af,32'h3f981ce5,32'h3f9e5252, 32'h3f9374d4,32'h3fa2fa64, 32'h3f8bb20c,32'h3faabd2c,// invsqrt(0.6800) = 1.2126 +32'h41b4d305,32'h3e5313ed,32'h3e5bb179, 32'h3e4c9dc4,32'h3e6227a2, 32'h3e41d8d4,32'h3e6cec92,// invsqrt(22.6030) = 0.2103 +32'h3f7d854d,32'h3f7c1a8f,32'h3f833265, 32'h3f7462e3,32'h3f870e3a, 32'h3f67861b,32'h3f8d7c9f,// invsqrt(0.9903) = 1.0049 +32'h3ef13d48,32'h3fb6bee0,32'h3fbe3461, 32'h3fb126be,32'h3fc3cc82, 32'h3fa7d3dd,32'h3fcd1f63,// invsqrt(0.4712) = 1.4568 +32'h4040f1c7,32'h3f107d9e,32'h3f166366, 32'h3f0c1148,32'h3f1acfbc, 32'h3f04b20f,32'h3f222ef5,// invsqrt(3.0148) = 0.5759 +32'h4012a869,32'h3f25bb19,32'h3f2c7ed1, 32'h3f20a84f,32'h3f31919b, 32'h3f1833a9,32'h3f3a0641,// invsqrt(2.2915) = 0.6606 +32'h4106d2af,32'h3eacda14,32'h3eb3e834, 32'h3ea78f7c,32'h3eb932cc, 32'h3e9ebdd4,32'h3ec20474,// invsqrt(8.4264) = 0.3445 +32'h3dcc4212,32'h404699da,32'h404eb508, 32'h40408578,32'h4054c96a, 32'h4036637f,32'h405eeb63,// invsqrt(0.0997) = 3.1665 +32'h3eac595a,32'h3fd83497,32'h3fe107b7, 32'h3fd1963f,32'h3fe7a60f, 32'h3fc68e56,32'h3ff2adf8,// invsqrt(0.3366) = 1.7236 +32'h3e64ce7e,32'h4004af5a,32'h400a19c7, 32'h40009f8a,32'h400e2998, 32'h3ff3b505,32'h4014eea0,// invsqrt(0.2234) = 2.1155 +32'h40722a2a,32'h3f00f93a,32'h3f063cde, 32'h3efa0cfd,32'h3f0a2f99, 32'h3eece3e2,32'h3f10c427,// invsqrt(3.7838) = 0.5141 +32'h3f920cb3,32'h3f6addca,32'h3f7473e8, 32'h3f63ad35,32'h3f7ba47d, 32'h3f57b190,32'h3f83d011,// invsqrt(1.1410) = 0.9362 +32'h3f84cec5,32'h3f764c13,32'h3f802cd0, 32'h3f6ec1e9,32'h3f83f1e6, 32'h3f6230f7,32'h3f8a3a5e,// invsqrt(1.0376) = 0.9817 +32'h40477d23,32'h3f0e19d3,32'h3f13e6a3, 32'h3f09c038,32'h3f18403e, 32'h3f028035,32'h3f1f8041,// invsqrt(3.1170) = 0.5664 +32'h3dce0ac7,32'h4045bd44,32'h404dcf71, 32'h403fafa3,32'h4053dd13, 32'h403598ec,32'h405df3cb,// invsqrt(0.1006) = 3.1527 +32'h4072f440,32'h3f00c38b,32'h3f0604ff, 32'h3ef9a4ea,32'h3f09f615, 32'h3eec8149,32'h3f1087e6,// invsqrt(3.7962) = 0.5132 +32'h3fc78836,32'h3f48f06f,32'h3f51240b, 32'h3f42c9ba,32'h3f574ac0, 32'h3f388937,32'h3f618b43,// invsqrt(1.5588) = 0.8009 +32'h3f95bac7,32'h3f67f652,32'h3f716e16, 32'h3f60dc7e,32'h3f7887ea, 32'h3f5506c8,32'h3f822ed0,// invsqrt(1.1698) = 0.9246 +32'h4011c778,32'h3f263ac5,32'h3f2d03b3, 32'h3f212413,32'h3f321a65, 32'h3f18a8e9,32'h3f3a958f,// invsqrt(2.2778) = 0.6626 +32'h407f4214,32'h3efb3e8b,32'h3f02bfe5, 32'h3ef38d9b,32'h3f06985c, 32'h3ee6bc0c,32'h3f0d0124,// invsqrt(3.9884) = 0.5007 +32'h3f9305ba,32'h3f6a168d,32'h3f73a489, 32'h3f62ec11,32'h3f7acf05, 32'h3f56fa97,32'h3f836040,// invsqrt(1.1486) = 0.9331 +32'h40bffa6c,32'h3eccdab3,32'h3ed53737, 32'h3ec6954f,32'h3edb7c9b, 32'h3ebc21a9,32'h3ee5f041,// invsqrt(5.9993) = 0.4083 +32'h3fc42266,32'h3f4aac15,32'h3f52f1cd, 32'h3f4477cb,32'h3f592617, 32'h3f3a20a6,32'h3f637d3c,// invsqrt(1.5323) = 0.8078 +32'h3e627627,32'h40055ec6,32'h400ad05b, 32'h40014996,32'h400ee58a, 32'h3ff4f736,32'h4015b385,// invsqrt(0.2212) = 2.1264 +32'h3f221a40,32'h3f9da359,32'h3fa41281, 32'h3f98cffb,32'h3fa8e5df, 32'h3f90c508,32'h3fb0f0d2,// invsqrt(0.6332) = 1.2567 +32'h3e9c9169,32'h3fe2d706,32'h3fec1944, 32'h3fdbe556,32'h3ff30af4, 32'h3fd05288,32'h3ffe9dc2,// invsqrt(0.3058) = 1.8084 +32'h401de8e4,32'h3f1fb798,32'h3f263c7a, 32'h3f1ad3ef,32'h3f2b2023, 32'h3f12add5,32'h3f33463d,// invsqrt(2.4673) = 0.6366 +32'h3fab3f7a,32'h3f58e63e,32'h3f61c09f, 32'h3f524276,32'h3f686468, 32'h3f47317e,32'h3f737561,// invsqrt(1.3379) = 0.8646 +32'h3f22a8c6,32'h3f9d5e3a,32'h3fa3ca90, 32'h3f988cfa,32'h3fa89bd0, 32'h3f90858e,32'h3fb0a33c,// invsqrt(0.6354) = 1.2545 +32'h416025c5,32'h3e860e8e,32'h3e8b8750, 32'h3e81f3fd,32'h3e8fa1e1, 32'h3e763a15,32'h3e9678d4,// invsqrt(14.0092) = 0.2672 +32'h3f8bedbb,32'h3f6ff2c6,32'h3f79bdfd, 32'h3f689a5c,32'h3f808b33, 32'h3f5c5c57,32'h3f86aa35,// invsqrt(1.0932) = 0.9564 +32'h3e4c5fc1,32'h400c646b,32'h40121f5f, 32'h40081833,32'h40166b97, 32'h4000ee81,32'h401d9549,// invsqrt(0.1996) = 2.2384 +32'h3e57756d,32'h4008bbb4,32'h400e506c, 32'h40048c29,32'h40127ff7, 32'h3ffb2483,32'h401979de,// invsqrt(0.2104) = 2.1801 +32'h4277286c,32'h3dff5405,32'h3e04dff8, 32'h3df78314,32'h3e08c870, 32'h3dea7c2e,32'h3e0f4be3,// invsqrt(61.7895) = 0.1272 +32'h3fe3612b,32'h3f3c3b99,32'h3f43ea71, 32'h3f367878,32'h3f49ad92, 32'h3f2cddeb,32'h3f53481f,// invsqrt(1.7764) = 0.7503 +32'h40c83e5b,32'h3ec894f7,32'h3ed0c4d7, 32'h3ec2710f,32'h3ed6e8bf, 32'h3eb83536,32'h3ee12498,// invsqrt(6.2576) = 0.3998 +32'h40ea6ca2,32'h3eb96206,32'h3ec0f316, 32'h3eb3b53a,32'h3ec69fe2, 32'h3eaa3fe6,32'h3ed01536,// invsqrt(7.3258) = 0.3695 +32'h3fe50a5b,32'h3f3b8c90,32'h3f433442, 32'h3f35ceca,32'h3f48f208, 32'h3f2c3d2b,32'h3f5283a7,// invsqrt(1.7894) = 0.7476 +32'h3fe61a32,32'h3f3b1da7,32'h3f42c0d2, 32'h3f356346,32'h3f487b32, 32'h3f2bd750,32'h3f520728,// invsqrt(1.7977) = 0.7458 +32'h3f816cb9,32'h3f797ecf,32'h3f81d6e4, 32'h3f71db94,32'h3f85a881, 32'h3f6520dd,32'h3f8c05dc,// invsqrt(1.0111) = 0.9945 +32'h407b15a5,32'h3efd52e6,32'h3f03d4ef, 32'h3ef591aa,32'h3f07b58d, 32'h3ee8a4f2,32'h3f0e2be9,// invsqrt(3.9232) = 0.5049 +32'h406d9bde,32'h3f023441,32'h3f0784c1, 32'h3efc6fc2,32'h3f0b8121, 32'h3eef2682,32'h3f1225c1,// invsqrt(3.7126) = 0.5190 +32'h3f890733,32'h3f72797d,32'h3f7c5f19, 32'h3f6b0d47,32'h3f81e5a7, 32'h3f5eae44,32'h3f881529,// invsqrt(1.0705) = 0.9665 +32'h3f599db0,32'h3f880dc5,32'h3f8d9b65, 32'h3f83e38e,32'h3f91c59c, 32'h3f79e50c,32'h3f98b6a4,// invsqrt(0.8501) = 1.0846 +32'h400ea939,32'h3f280951,32'h3f2ee521, 32'h3f22e476,32'h3f3409fc, 32'h3f1a51b3,32'h3f3c9cbf,// invsqrt(2.2291) = 0.6698 +32'h3fb01417,32'h3f55e74c,32'h3f5ea25e, 32'h3f4f5afe,32'h3f652eac, 32'h3f447126,32'h3f701884,// invsqrt(1.3756) = 0.8526 +32'h4009692d,32'h3f2b376a,32'h3f323474, 32'h3f25f9a3,32'h3f37723b, 32'h3f1d3d57,32'h3f402e87,// invsqrt(2.1470) = 0.6825 +32'h41a13f52,32'h3e5f8638,32'h3e68a5d2, 32'h3e58ae85,32'h3e6f7d85, 32'h3e4d4704,32'h3e7ae506,// invsqrt(20.1559) = 0.2227 +32'h3faddfcc,32'h3f57414e,32'h3f600a80, 32'h3f50aa68,32'h3f66a166, 32'h3f45aeea,32'h3f719ce5,// invsqrt(1.3584) = 0.8580 +32'h407af50b,32'h3efd635a,32'h3f03dd7f, 32'h3ef5a19d,32'h3f07be5e, 32'h3ee8b40e,32'h3f0e3525,// invsqrt(3.9212) = 0.5050 +32'h3f58e04c,32'h3f884920,32'h3f8dd92c, 32'h3f841d17,32'h3f920535, 32'h3f7a5211,32'h3f98f943,// invsqrt(0.8472) = 1.0865 +32'h3eb07603,32'h3fd5abea,32'h3fde6490, 32'h3fcf216d,32'h3fe4ef0d, 32'h3fc43a9d,32'h3fefd5dd,// invsqrt(0.3447) = 1.7034 +32'h3ffd7ae3,32'h3f324751,32'h3f398e25, 32'h3f2cd232,32'h3f3f0344, 32'h3f23b9a8,32'h3f481bce,// invsqrt(1.9803) = 0.7106 +32'h3f31b0a2,32'h3f9690b0,32'h3f9cb5f0, 32'h3f91f4bf,32'h3fa151e1, 32'h3f8a462e,32'h3fa90072,// invsqrt(0.6941) = 1.2003 +32'h3fd429df,32'h3f42dda5,32'h3f4ad1cb, 32'h3f3ce688,32'h3f50c8e8, 32'h3f32f559,32'h3f5aba17,// invsqrt(1.6575) = 0.7767 +32'h40006610,32'h3f311f92,32'h3f385a54, 32'h3f2bb380,32'h3f3dc666, 32'h3f22aa0e,32'h3f46cfd8,// invsqrt(2.0062) = 0.7060 +32'h3e093949,32'h402b5548,32'h4032538a, 32'h40261697,32'h4037923b, 32'h401d58c5,32'h4040500d,// invsqrt(0.1340) = 2.7317 +32'h3f0e599b,32'h3fa83849,32'h3faf1602, 32'h3fa311fd,32'h3fb43c4d, 32'h3f9a7cd4,32'h3fbcd176,// invsqrt(0.5561) = 1.3410 +32'h3f7f0a40,32'h3f7b5a0a,32'h3f82ce34, 32'h3f73a842,32'h3f86a717, 32'h3f66d54c,32'h3f8d1092,// invsqrt(0.9963) = 1.0019 +32'h3df57188,32'h40352c7b,32'h403c918f, 32'h402fa0ab,32'h40421d5f, 32'h40266251,32'h404b5bb9,// invsqrt(0.1198) = 2.8886 +32'h3e92c60a,32'h3fea4951,32'h3ff3d95f, 32'h3fe31d47,32'h3ffb0569, 32'h3fd72936,32'h40037cbd,// invsqrt(0.2867) = 1.8677 +32'h3e256c68,32'h401c0c39,32'h40226ac3, 32'h40174551,32'h402731ab, 32'h400f4f25,32'h402f27d7,// invsqrt(0.1615) = 2.4880 +32'h3e8beece,32'h3feff1da,32'h3ff9bd08, 32'h3fe89978,32'h40008ab5, 32'h3fdc5b7f,32'h4006a9b1,// invsqrt(0.2733) = 1.9128 +32'h3fa6cf10,32'h3f5bc43a,32'h3f64bc90, 32'h3f5509fa,32'h3f6b76d0, 32'h3f49d38e,32'h3f76ad3c,// invsqrt(1.3032) = 0.8760 +32'h3ecfb765,32'h3fc4f0d7,32'h3fccfaab, 32'h3fbee977,32'h3fd3020b, 32'h3fb4dd2e,32'h3fdd0e54,// invsqrt(0.4057) = 1.5700 +32'h3f729831,32'h3f80dbf7,32'h3f861e69, 32'h3f79d442,32'h3f8a103f, 32'h3f6cae23,32'h3f90a34e,// invsqrt(0.9476) = 1.0273 +32'h3d9c6d8a,32'h4062f107,32'h406c3455, 32'h405bfe8c,32'h407326d0, 32'h40506a69,32'h407ebaf3,// invsqrt(0.0764) = 3.6183 +32'h3e8a8c6d,32'h3ff123f4,32'h3ffafba0, 32'h3fe9c233,32'h40012eb0, 32'h3fdd749c,32'h4007557c,// invsqrt(0.2706) = 1.9224 +32'h3f5825b9,32'h3f8883e5,32'h3f8e1657, 32'h3f845610,32'h3f92442c, 32'h3f7abe03,32'h3f993b3b,// invsqrt(0.8443) = 1.0883 +32'h3ed82123,32'h3fc111d6,32'h3fc8f338, 32'h3fbb28cd,32'h3fcedc41, 32'h3fb14f13,32'h3fd8b5fb,// invsqrt(0.4221) = 1.5391 +32'h3fae5a58,32'h3f56f59b,32'h3f5fbbb7, 32'h3f506107,32'h3f66504b, 32'h3f456965,32'h3f7147ed,// invsqrt(1.3621) = 0.8568 +32'h3f89c00d,32'h3f71d695,32'h3f7bb58b, 32'h3f6a6f5c,32'h3f818e62, 32'h3f5e18a8,32'h3f87b9bc,// invsqrt(1.0762) = 0.9640 +32'h3ec46d91,32'h3fca854a,32'h3fd2c96c, 32'h3fc45230,32'h3fd8fc86, 32'h3fb9fd05,32'h3fe351b1,// invsqrt(0.3836) = 1.6145 +32'h3f7f1ae8,32'h3f7b51d5,32'h3f82c9ef, 32'h3f73a04f,32'h3f86a2b2, 32'h3f66cdc4,32'h3f8d0bf8,// invsqrt(0.9965) = 1.0018 +32'h3ecadddb,32'h3fc747eb,32'h3fcf6a33, 32'h3fc12e35,32'h3fd583e9, 32'h3fb7035a,32'h3fdfaec4,// invsqrt(0.3962) = 1.5887 +32'h40abd70a,32'h3ed88682,32'h3ee15cfa, 32'h3ed1e5a8,32'h3ee7fdd4, 32'h3ec6d991,32'h3ef309eb,// invsqrt(5.3700) = 0.4315 +32'h3fdade1c,32'h3f3fdbb0,32'h3f47b069, 32'h3f39fc26,32'h3f4d8ff4, 32'h3f30323f,32'h3f5759db,// invsqrt(1.7099) = 0.7647 +32'h3eefd062,32'h3fb749b3,32'h3fbec4df, 32'h3fb1ad52,32'h3fc46140, 32'h3fa8535b,32'h3fcdbb37,// invsqrt(0.4684) = 1.4612 +32'h41a1138e,32'h3e5fa494,32'h3e68c56c, 32'h3e58cbf3,32'h3e6f9e0d, 32'h3e4d62e6,32'h3e7b071a,// invsqrt(20.1345) = 0.2229 +32'h3e8911b3,32'h3ff27033,32'h3ffc556f, 32'h3feb0447,32'h4001e0ae, 32'h3fdea5bc,32'h40080ff3,// invsqrt(0.2677) = 1.9327 +32'h3fb3d790,32'h3f53a74a,32'h3f5c4adb, 32'h3f4d2c9f,32'h3f62c587, 32'h3f42602b,32'h3f6d91fb,// invsqrt(1.4050) = 0.8436 +32'h3f363a48,32'h3f94adc2,32'h3f9abf4c, 32'h3f90209a,32'h3f9f4c74, 32'h3f888aad,32'h3fa6e261,// invsqrt(0.7118) = 1.1853 +32'h412e3e56,32'h3e980c05,32'h3e9e40c2, 32'h3e936479,32'h3ea2e84f, 32'h3e8ba28d,32'h3eaaaa3b,// invsqrt(10.8902) = 0.3030 +32'h3eca8cde,32'h3fc76fbe,32'h3fcf93a6, 32'h3fc154d0,32'h3fd5ae94, 32'h3fb727ed,32'h3fdfdb77,// invsqrt(0.3956) = 1.5899 +32'h3f08f585,32'h3fab7fa6,32'h3fb27fa2, 32'h3fa63fa9,32'h3fb7bf9f, 32'h3f9d7fad,32'h3fc07f9b,// invsqrt(0.5350) = 1.3672 +32'h3eb4abc3,32'h3fd32adb,32'h3fdbc957, 32'h3fccb3fe,32'h3fe24034, 32'h3fc1ede3,32'h3fed064f,// invsqrt(0.3529) = 1.6834 +32'h3e6e8ef8,32'h4001f1d9,32'h40073fa3, 32'h3ffbef03,32'h400b39fb, 32'h3feeac89,32'h4011db37,// invsqrt(0.2330) = 2.0718 +32'h4090c867,32'h3eebe441,32'h3ef58515, 32'h3ee4aba2,32'h3efcbdb4, 32'h3ed8a29a,32'h3f04635e,// invsqrt(4.5245) = 0.4701 +32'h400b9c25,32'h3f29dcd6,32'h3f30cbba, 32'h3f24a9ab,32'h3f35fee5, 32'h3f1bff0e,32'h3f3ea982,// invsqrt(2.1814) = 0.6771 +32'h3e0ba7c9,32'h4029d5c1,32'h4030c45b, 32'h4024a2cd,32'h4035f74f, 32'h401bf88d,32'h403ea18f,// invsqrt(0.1364) = 2.7078 +32'h3f140201,32'h3fa4f92b,32'h3fabb4f9, 32'h3f9fec51,32'h3fb0c1d3, 32'h3f978190,32'h3fb92c94,// invsqrt(0.5782) = 1.3152 +32'h40488b29,32'h3f0dba08,32'h3f1382ee, 32'h3f09635b,32'h3f17d99b, 32'h3f02283c,32'h3f1f14ba,// invsqrt(3.1335) = 0.5649 +32'h40836558,32'h3ef79de9,32'h3f00dca0, 32'h3ef00967,32'h3f04a6e1, 32'h3ee36739,32'h3f0af7f8,// invsqrt(4.1061) = 0.4935 +32'h3ef1b95d,32'h3fb68ff2,32'h3fbe0389, 32'h3fb0f941,32'h3fc39a3b, 32'h3fa7a8c5,32'h3fcceab7,// invsqrt(0.4721) = 1.4554 +32'h3fe614fb,32'h3f3b1fc6,32'h3f42c307, 32'h3f356554,32'h3f487d78, 32'h3f2bd942,32'h3f52098a,// invsqrt(1.7975) = 0.7459 +32'h4025824c,32'h3f1c01e6,32'h3f226004, 32'h3f173b4f,32'h3f27269b, 32'h3f0f45a9,32'h3f2f1c41,// invsqrt(2.5861) = 0.6218 +32'h3f840917,32'h3f77042e,32'h3f808ca0, 32'h3f6f7461,32'h3f845486, 32'h3f62da0b,32'h3f8aa1b1,// invsqrt(1.0315) = 0.9846 +32'h3fafaa5c,32'h3f5627a2,32'h3f5ee554, 32'h3f4f995b,32'h3f65739b, 32'h3f44ac3c,32'h3f7060bb,// invsqrt(1.3724) = 0.8536 +32'h402cd188,32'h3f18ac2c,32'h3f1ee772, 32'h3f13ffb8,32'h3f2393e6, 32'h3f0c35a1,32'h3f2b5dfd,// invsqrt(2.7003) = 0.6085 +32'h3f0dbf6b,32'h3fa893ae,32'h3faf7522, 32'h3fa36a96,32'h3fb49e3a, 32'h3f9ad0c4,32'h3fbd380c,// invsqrt(0.5537) = 1.3439 +32'h413ae2dc,32'h3e92d062,32'h3e98ce70, 32'h3e8e51d7,32'h3e9d4cfb, 32'h3e86d445,32'h3ea4ca8d,// invsqrt(11.6804) = 0.2926 +32'h3e318d5c,32'h40169fa4,32'h401cc581, 32'h4012033f,32'h402161e7, 32'h400a53eb,32'h4029113b,// invsqrt(0.1734) = 2.4015 +32'h3fba4d66,32'h3f4ff390,32'h3f587071, 32'h3f4995e6,32'h3f5ece1a, 32'h3f3ef9cd,32'h3f696a33,// invsqrt(1.4555) = 0.8289 +32'h3f8658a4,32'h3f74e1fe,32'h3f7ee0c4, 32'h3f6d62e9,32'h3f832fed, 32'h3f60e471,32'h3f896f29,// invsqrt(1.0496) = 0.9761 +32'h3f393e9a,32'h3f93768d,32'h3f997b63, 32'h3f8ef2ec,32'h3f9dff04, 32'h3f876cdf,32'h3fa58511,// invsqrt(0.7236) = 1.1756 +32'h40561b94,32'h3f0929f6,32'h3f0ec330, 32'h3f04f70c,32'h3f12f61a, 32'h3efbef09,32'h3f19f5a2,// invsqrt(3.3454) = 0.5467 +32'h3e5697c1,32'h40090241,32'h400e99db, 32'h4004d08d,32'h4012cb8f, 32'h3ffba619,32'h4019c90f,// invsqrt(0.2096) = 2.1845 +32'h3f1573b0,32'h3fa42ca2,32'h3faae016, 32'h3f9f260b,32'h3fafe6ad, 32'h3f96c5b9,32'h3fb846ff,// invsqrt(0.5838) = 1.3088 +32'h3fa0e3ba,32'h3f5fc5d0,32'h3f68e802, 32'h3f58ec2a,32'h3f6fc1a8, 32'h3f4d816b,32'h3f7b2c67,// invsqrt(1.2569) = 0.8920 +32'h3efec957,32'h3fb1d227,32'h3fb91433, 32'h3fac609e,32'h3fbe85bc, 32'h3fa34e0f,32'h3fc7984b,// invsqrt(0.4976) = 1.4176 +32'h3ff0a116,32'h3f36fa26,32'h3f3e7212, 32'h3f316034,32'h3f440c04, 32'h3f280a4c,32'h3f4d61ec,// invsqrt(1.8799) = 0.7293 +32'h4416c000,32'h3d23774a,32'h3d2a2358, 32'h3d1e7640,32'h3d2f2462, 32'h3d161f2f,32'h3d377b73,// invsqrt(603.0000) = 0.0407 +32'h3f721100,32'h3f80ffee,32'h3f8643d8, 32'h3f7a19fc,32'h3f8a36c8, 32'h3f6cf032,32'h3f90cbad,// invsqrt(0.9456) = 1.0284 +32'h3fbe8a44,32'h3f4da03b,32'h3f5604d0, 32'h3f4754cc,32'h3f5c5040, 32'h3f3cd712,32'h3f66cdfa,// invsqrt(1.4886) = 0.8196 +32'h4149ef73,32'h3e8d3cc9,32'h3e930093, 32'h3e88e9f2,32'h3e97536a, 32'h3e81b536,32'h3e9e8826,// invsqrt(12.6210) = 0.2815 +32'h3f5d9748,32'h3f86d3f6,32'h3f8c54c7, 32'h3f82b35a,32'h3f907564, 32'h3f77a4ab,32'h3f975668,// invsqrt(0.8656) = 1.0748 +32'h3fac1943,32'h3f585cd6,32'h3f61319a, 32'h3f51bd42,32'h3f67d12e, 32'h3f46b34c,32'h3f72db24,// invsqrt(1.3445) = 0.8624 +32'h41268794,32'h3e9b8753,32'h3ea1e071, 32'h3e96c47d,32'h3ea6a347, 32'h3e8ed518,32'h3eae92ac,// invsqrt(10.4081) = 0.3100 +32'h3e6c4a64,32'h4002911b,32'h4007e565, 32'h3ffd23c6,32'h400be49d, 32'h3fefd10d,32'h40128dfa,// invsqrt(0.2308) = 2.0817 +32'h452e1a00,32'h3c981be2,32'h3c9e5144, 32'h3c9373d8,32'h3ca2f94e, 32'h3c8bb11e,32'h3caabc08,// invsqrt(2785.6250) = 0.0189 +32'h3fa1e994,32'h3f5f1094,32'h3f682b60, 32'h3f583c7a,32'h3f6eff7a, 32'h3f4cdafb,32'h3f7a60f9,// invsqrt(1.2649) = 0.8891 +32'h3f4bd56f,32'h3f8c9406,32'h3f9250ec, 32'h3f884659,32'h3f969e99, 32'h3f811a3a,32'h3f9dcab8,// invsqrt(0.7962) = 1.1207 +32'h3fb0943c,32'h3f5599a0,32'h3f5e5188, 32'h3f4f0fb3,32'h3f64db75, 32'h3f4429d2,32'h3f6fc156,// invsqrt(1.3795) = 0.8514 +32'h3e7c353e,32'h3ffcc24d,32'h400389b0, 32'h3ff5057e,32'h40076817, 32'h3fe82027,32'h400ddac2,// invsqrt(0.2463) = 2.0150 +32'h3ea8b251,32'h3fda8890,32'h3fe37404, 32'h3fd3d7fa,32'h3fea249a, 32'h3fc8b1a9,32'h3ff54aeb,// invsqrt(0.3295) = 1.7421 +32'h3fb2861d,32'h3f546ef5,32'h3f5d1aac, 32'h3f4dee2d,32'h3f639b75, 32'h3f431789,32'h3f6e7219,// invsqrt(1.3947) = 0.8468 +32'h431c88db,32'h3da06acc,32'h3da6f6fe, 32'h3d9b81a6,32'h3dabe024, 32'h3d935268,32'h3db40f62,// invsqrt(156.5346) = 0.0799 +32'h4135b0e3,32'h3e94e5ef,32'h3e9af9c4, 32'h3e90570e,32'h3e9f88a4, 32'h3e88be43,32'h3ea7216f,// invsqrt(11.3557) = 0.2968 +32'h3f3bd8da,32'h3f927021,32'h3f986a42, 32'h3f8df489,32'h3f9ce5db, 32'h3f867be0,32'h3fa45e84,// invsqrt(0.7338) = 1.1674 +32'h3f80d611,32'h3f7a1084,32'h3f8222b8, 32'h3f7268d4,32'h3f85f690, 32'h3f65a6ae,32'h3f8c57a3,// invsqrt(1.0065) = 0.9967 +32'h3f0f0560,32'h3fa7d326,32'h3faeacbf, 32'h3fa2aff3,32'h3fb3cff1, 32'h3f9a1ff3,32'h3fbc5ff1,// invsqrt(0.5587) = 1.3379 +32'h3e6b9146,32'h4002c45e,32'h40081ac0, 32'h3ffd8729,32'h400c1b89, 32'h3ff02f35,32'h4012c784,// invsqrt(0.2300) = 2.0849 +32'h3ec8dd24,32'h3fc8459f,32'h3fd07243, 32'h3fc22425,32'h3fd693bd, 32'h3fb7ec59,32'h3fe0cb89,// invsqrt(0.3923) = 1.5966 +32'h3d8ae799,32'h4070d4c4,32'h407aa934, 32'h4069756f,32'h40810444, 32'h405d2be3,32'h4087290b,// invsqrt(0.0678) = 3.8398 +32'h3fbc83c3,32'h3f4eba43,32'h3f572a5b, 32'h3f486631,32'h3f5d7e6d, 32'h3f3dda14,32'h3f680a8a,// invsqrt(1.4728) = 0.8240 +32'h3f6be48e,32'h3f82ad47,32'h3f8802b7, 32'h3f7d5a64,32'h3f8c02cc, 32'h3f7004cb,32'h3f92ad98,// invsqrt(0.9215) = 1.0417 +32'h3ddb1ffd,32'h403fbed7,32'h40479263, 32'h4039e02f,32'h404d710b, 32'h403017c0,32'h4057397a,// invsqrt(0.1070) = 3.0572 +32'h3f8ed24e,32'h3f6d817c,32'h3f77332e, 32'h3f663c37,32'h3f7e7873, 32'h3f5a1e19,32'h3f854b48,// invsqrt(1.1158) = 0.9467 +32'h402c8e9a,32'h3f18c9c5,32'h3f1f063f, 32'h3f141c68,32'h3f23b39c, 32'h3f0c50cf,32'h3f2b7f35,// invsqrt(2.6962) = 0.6090 +32'h400e5fdd,32'h3f283496,32'h3f2f122a, 32'h3f230e68,32'h3f343858, 32'h3f1a7970,32'h3f3ccd50,// invsqrt(2.2246) = 0.6705 +32'h40038d80,32'h3f2efcb4,32'h3f362124, 32'h3f29a160,32'h3f3b7c78, 32'h3f20b3d4,32'h3f446a04,// invsqrt(2.0555) = 0.6975 +32'h41177af7,32'h3ea3124a,32'h3ea9ba38, 32'h3e9e1457,32'h3eaeb82b, 32'h3e95c26e,32'h3eb70a14,// invsqrt(9.4675) = 0.3250 +32'h3fdb7bff,32'h3f3f96a2,32'h3f47688a, 32'h3f39b935,32'h3f4d45f7, 32'h3f2ff2d4,32'h3f570c58,// invsqrt(1.7147) = 0.7637 +32'h3f946e6d,32'h3f68f972,32'h3f727bcb, 32'h3f61d7b1,32'h3f799d8d, 32'h3f55f4c2,32'h3f82c03e,// invsqrt(1.1596) = 0.9286 +32'h3ed689da,32'h3fc1c8c3,32'h3fc9b19c, 32'h3fbbda21,32'h3fcfa03f, 32'h3fb1f712,32'h3fd9834e,// invsqrt(0.4190) = 1.5448 +32'h3f3d9ff0,32'h3f91bfff,32'h3f97b2ef, 32'h3f8d49cb,32'h3f9c2923, 32'h3f85da1e,32'h3fa398d0,// invsqrt(0.7407) = 1.1619 +32'h407ccb8d,32'h3efc771d,32'h3f03628f, 32'h3ef4bc9c,32'h3f073fd0, 32'h3ee7db1b,32'h3f0db090,// invsqrt(3.9499) = 0.5032 +32'h3fd3b872,32'h3f4311d1,32'h3f4b0819, 32'h3f3d191c,32'h3f5100ce, 32'h3f332543,32'h3f5af4a7,// invsqrt(1.6541) = 0.7775 +32'h3fec1bf2,32'h3f38b865,32'h3f404289, 32'h3f3310cb,32'h3f45ea23, 32'h3f29a41e,32'h3f4f56d0,// invsqrt(1.8446) = 0.7363 +32'h41336b93,32'h3e95d662,32'h3e9bf408, 32'h3e914026,32'h3ea08a44, 32'h3e899b16,32'h3ea82f54,// invsqrt(11.2138) = 0.2986 +32'h402c6ebb,32'h3f18d7e3,32'h3f1f14f1, 32'h3f142a18,32'h3f23c2bc, 32'h3f0c5dc6,32'h3f2b8f0e,// invsqrt(2.6943) = 0.6092 +32'h400f8cf5,32'h3f2783d2,32'h3f2e5a2e, 32'h3f22630d,32'h3f337af3, 32'h3f19d71a,32'h3f3c06e6,// invsqrt(2.2430) = 0.6677 +32'h3f1459e2,32'h3fa4c847,32'h3fab8215, 32'h3f9fbcec,32'h3fb08d70, 32'h3f9754a9,32'h3fb8f5b3,// invsqrt(0.5795) = 1.3136 +32'h3fe99b59,32'h3f39b4fe,32'h3f414970, 32'h3f3405a7,32'h3f46f8c7, 32'h3f2a8c18,32'h3f507256,// invsqrt(1.8251) = 0.7402 +32'h3e3846f6,32'h4013d981,32'h4019e262, 32'h400f52d9,32'h401e690b, 32'h4007c7c0,32'h4025f424,// invsqrt(0.1800) = 2.3573 +32'h40054eb8,32'h3f2dd4e4,32'h3f34ed41, 32'h3f28829f,32'h3f3a3f87, 32'h3f1fa42b,32'h3f431dfb,// invsqrt(2.0829) = 0.6929 +32'h3f8029f3,32'h3f7ab836,32'h3f8279fc, 32'h3f730b63,32'h3f865066, 32'h3f6640ae,32'h3f8cb5c0,// invsqrt(1.0013) = 0.9994 +32'h3f823aa3,32'h3f78b941,32'h3f817015, 32'h3f711c13,32'h3f853eac, 32'h3f646b71,32'h3f8b96fe,// invsqrt(1.0174) = 0.9914 +32'h3fb0ab54,32'h3f558baa,32'h3f5e42ff, 32'h3f4f0229,32'h3f64cc7f, 32'h3f441cff,32'h3f6fb1a9,// invsqrt(1.3802) = 0.8512 +32'h3eb4f105,32'h3fd3026d,32'h3fdb9f43, 32'h3fcc8ccd,32'h3fe214e3, 32'h3fc1c8c2,32'h3fecd8ee,// invsqrt(0.3534) = 1.6822 +32'h3ea7a7f3,32'h3fdb35e5,32'h3fe4286c, 32'h3fd48001,32'h3feade51, 32'h3fc950d8,32'h3ff60d7a,// invsqrt(0.3275) = 1.7475 +32'h402cbc4b,32'h3f18b58e,32'h3f1ef136, 32'h3f1408d0,32'h3f239df4, 32'h3f0c3e3f,32'h3f2b6885,// invsqrt(2.6990) = 0.6087 +32'h3ef2bea5,32'h3fb62d97,32'h3fbd9d2b, 32'h3fb099e9,32'h3fc330d9, 32'h3fa74e71,32'h3fcc7c51,// invsqrt(0.4741) = 1.4523 +32'h3e624f23,32'h40056a44,32'h400adc52, 32'h400154ba,32'h400ef1dc, 32'h3ff50c54,32'h4015c06c,// invsqrt(0.2210) = 2.1272 +32'h3fc4763c,32'h3f4a80d2,32'h3f52c4c6, 32'h3f444ddb,32'h3f58f7bd, 32'h3f39f8eb,32'h3f634cad,// invsqrt(1.5349) = 0.8072 +32'h3f4316fc,32'h3f8fb1ad,32'h3f958f21, 32'h3f8b4b95,32'h3f99f539, 32'h3f83f6c3,32'h3fa14a0b,// invsqrt(0.7621) = 1.1455 +32'h3fa7b6a5,32'h3f5b2c4a,32'h3f641e6d, 32'h3f5476b1,32'h3f6ad407, 32'h3f494806,32'h3f7602b2,// invsqrt(1.3103) = 0.8736 +32'h40fa80dd,32'h3eb355ac,32'h3ebaa78a, 32'h3eadd846,32'h3ec024f0, 32'h3ea4b1f2,32'h3ec94b44,// invsqrt(7.8282) = 0.3574 +32'h3e8fd01c,32'h3fecaf8c,32'h3ff658ab, 32'h3fe570b3,32'h3ffd9783, 32'h3fd95d4c,32'h4004d575,// invsqrt(0.2809) = 1.8868 +32'h3f7f9a63,32'h3f7b1321,32'h3f82a94d, 32'h3f736386,32'h3f86811b, 32'h3f66942e,32'h3f8ce8c7,// invsqrt(0.9984) = 1.0008 +32'h40c1c073,32'h3ecbea20,32'h3ed43cd2, 32'h3ec5ac19,32'h3eda7ad9, 32'h3ebb44ba,32'h3ee4e238,// invsqrt(6.0547) = 0.4064 +32'h3e85e7f7,32'h3ff548f0,32'h3fff4bea, 32'h3fedc6b4,32'h40036713, 32'h3fe142fc,32'h4009a8ef,// invsqrt(0.2615) = 1.9554 +32'h3f346fa7,32'h3f956a3f,32'h3f9b837b, 32'h3f90d752,32'h3fa01668, 32'h3f8937c7,32'h3fa7b5f3,// invsqrt(0.7048) = 1.1911 +32'h4181242a,32'h3e79c4dc,32'h3e81fb58, 32'h3e721f7c,32'h3e85ce08, 32'h3e656132,32'h3e8c2d2d,// invsqrt(16.1427) = 0.2489 +32'h3f94b81c,32'h3f68bfb4,32'h3f723fb1, 32'h3f619fb7,32'h3f795faf, 32'h3f55bfbb,32'h3f829fd6,// invsqrt(1.1619) = 0.9277 +32'h3e92ac70,32'h3fea5dc3,32'h3ff3eea6, 32'h3fe33118,32'h3ffb1b50, 32'h3fd73bfc,32'h40038836,// invsqrt(0.2865) = 1.8684 +32'h3f2f28cf,32'h3f97a61f,32'h3f9dd6b3, 32'h3f9301b1,32'h3fa27b21, 32'h3f8b44f8,32'h3faa37da,// invsqrt(0.6842) = 1.2089 +32'h3ce14458,32'h40bd1d07,32'h40c4d511, 32'h40b752ff,32'h40ca9f19, 32'h40adacf1,32'h40d44527,// invsqrt(0.0275) = 6.0304 +32'h3f7078ff,32'h3f816d2f,32'h3f86b58f, 32'h3f7aedce,32'h3f8aabd7, 32'h3f6db8de,32'h3f91464f,// invsqrt(0.9393) = 1.0318 +32'h400541c1,32'h3f2ddd59,32'h3f34f60e, 32'h3f288ad0,32'h3f3a4896, 32'h3f1fabee,32'h3f432778,// invsqrt(2.0821) = 0.6930 +32'h3ef92049,32'h3fb3d467,32'h3fbb2b70, 32'h3fae531f,32'h3fc0acb7, 32'h3fa52654,32'h3fc9d983,// invsqrt(0.4866) = 1.4336 +32'h404a5227,32'h3f0d1a51,32'h3f12dcb3, 32'h3f08c888,32'h3f172e7c, 32'h3f01958f,32'h3f1e6175,// invsqrt(3.1613) = 0.5624 +32'h3c24fd0b,32'h411c40da,32'h4122a18a, 32'h41177856,32'h41276a0e, 32'h410f7f7a,32'h412f62ea,// invsqrt(0.0101) = 9.9651 +32'h3f04a89a,32'h3fae4199,32'h3fb55e65, 32'h3fa8ebff,32'h3fbab3ff, 32'h3fa007ff,32'h3fc397ff,// invsqrt(0.5182) = 1.3892 +32'h3f7a074e,32'h3f7ddbb5,32'h3f841c22, 32'h3f761649,32'h3f87fed8, 32'h3f692296,32'h3f8e78b1,// invsqrt(0.9767) = 1.0119 +32'h3f9dabb8,32'h3f620b97,32'h3f6b4588, 32'h3f5b2021,32'h3f7230fd, 32'h3f4f97b4,32'h3f7db96a,// invsqrt(1.2318) = 0.9010 +32'h405c14f6,32'h3f074a18,32'h3f0ccfbb, 32'h3f0325de,32'h3f10f3f6, 32'h3ef87da5,32'h3f17db01,// invsqrt(3.4388) = 0.5393 +32'h3eb7e70a,32'h3fd14dc9,32'h3fd9d8cb, 32'h3fcae586,32'h3fe0410e, 32'h3fc037c3,32'h3feaeed1,// invsqrt(0.3592) = 1.6686 +32'h3f371726,32'h3f9453f9,32'h3f9a61da, 32'h3f8fc992,32'h3f9eec42, 32'h3f883839,32'h3fa67d9b,// invsqrt(0.7152) = 1.1825 +32'h3f634f54,32'h3f851f00,32'h3f8a8dfa, 32'h3f810bc4,32'h3f8ea136, 32'h3f748214,32'h3f956bf0,// invsqrt(0.8879) = 1.0612 +32'h40535f75,32'h3f0a0c64,32'h3f0faedc, 32'h3f05d28b,32'h3f13e8b5, 32'h3efd8eed,32'h3f1af3ca,// invsqrt(3.3027) = 0.5503 +32'h3fbab99b,32'h3f4fb746,32'h3f5831b2, 32'h3f495b76,32'h3f5e8d82, 32'h3f3ec270,32'h3f692688,// invsqrt(1.4588) = 0.8279 +32'h3e4c485b,32'h400c6c75,32'h401227be, 32'h40081fff,32'h40167435, 32'h4000f5e4,32'h401d9e50,// invsqrt(0.1995) = 2.2389 +32'h3f9cf538,32'h3f628edb,32'h3f6bce27, 32'h3f5b9f61,32'h3f72bda1, 32'h3f501041,32'h3f7e4cc1,// invsqrt(1.2262) = 0.9031 +32'h3f8c0ed4,32'h3f6fd66a,32'h3f79a078, 32'h3f687edf,32'h3f807c02, 32'h3f5c424c,32'h3f869a4b,// invsqrt(1.0942) = 0.9560 +32'h3eb96f45,32'h3fd06ff7,32'h3fd8f1ed, 32'h3fca0e80,32'h3fdf5364, 32'h3fbf6c0d,32'h3fe9f5d7,// invsqrt(0.3622) = 1.6616 +32'h40417918,32'h3f104b0e,32'h3f162ec6, 32'h3f0be045,32'h3f1a998f, 32'h3f04839f,32'h3f21f635,// invsqrt(3.0230) = 0.5751 +32'h3f016786,32'h3fb06f07,32'h3fb7a294, 32'h3fab085c,32'h3fbd093e, 32'h3fa207ec,32'h3fc609ae,// invsqrt(0.5055) = 1.4065 +32'h3fb47be7,32'h3f5346d8,32'h3f5be678, 32'h3f4ccf20,32'h3f625e30, 32'h3f420797,32'h3f6d25b9,// invsqrt(1.4100) = 0.8421 +32'h404c0aa5,32'h3f0c81b0,32'h3f123dd6, 32'h3f083493,32'h3f168af3, 32'h3f010963,32'h3f1db623,// invsqrt(3.1881) = 0.5601 +32'h3f0d2d27,32'h3fa8eaeb,32'h3fafcfef, 32'h3fa3bf28,32'h3fb4fbb2, 32'h3f9b20e2,32'h3fbd99f8,// invsqrt(0.5515) = 1.3466 +32'h3eb0c0e8,32'h3fd57ea0,32'h3fde356e, 32'h3fcef587,32'h3fe4be87, 32'h3fc41106,32'h3fefa308,// invsqrt(0.3452) = 1.7020 +32'h3f4f6296,32'h3f8b5e8d,32'h3f910ed1, 32'h3f871a59,32'h3f955305, 32'h3f7ffc08,32'h3f9c6f5a,// invsqrt(0.8101) = 1.1110 +32'h3f3fbd6e,32'h3f90f19e,32'h3f96dc22, 32'h3f8c81bb,32'h3f9b4c05, 32'h3f851c96,32'h3fa2b12a,// invsqrt(0.7490) = 1.1555 +32'h3eedcfd1,32'h3fb80ecf,32'h3fbf9207, 32'h3fb26c66,32'h3fc53470, 32'h3fa90860,32'h3fce9876,// invsqrt(0.4645) = 1.4673 +32'h3f188696,32'h3fa282fb,32'h3fa9250f, 32'h3f9d896b,32'h3fae1e9f, 32'h3f953ed1,32'h3fb66939,// invsqrt(0.5958) = 1.2955 +32'h3f899d2f,32'h3f71f536,32'h3f7bd56c, 32'h3f6a8d0d,32'h3f819ecb, 32'h3f5e34c9,32'h3f87caed,// invsqrt(1.0751) = 0.9644 +32'h3ecb55f2,32'h3fc70d09,32'h3fcf2cea, 32'h3fc0f520,32'h3fd544d2, 32'h3fb6cd46,32'h3fdf6cac,// invsqrt(0.3971) = 1.5868 +32'h3f729fd2,32'h3f80d9f0,32'h3f861c4e, 32'h3f79d054,32'h3f8a0e14, 32'h3f6caa6b,32'h3f90a108,// invsqrt(0.9478) = 1.0272 +32'h3f9bbfce,32'h3f636f76,32'h3f6cb7ee, 32'h3f5c791c,32'h3f73ae48, 32'h3f50de87,32'h3f7f48dd,// invsqrt(1.2168) = 0.9066 +32'h3d51611c,32'h408ab43c,32'h40905d8d, 32'h40867540,32'h40949c8a, 32'h407ec336,32'h409bb02f,// invsqrt(0.0511) = 4.4230 +32'h3ed25ae4,32'h3fc3b3a2,32'h3fcbb084, 32'h3fbdb5f8,32'h3fd1ae2e, 32'h3fb3b9de,32'h3fdbaa48,// invsqrt(0.4108) = 1.5601 +32'h3df156d6,32'h4036b532,32'h403e2a4e, 32'h40311d5d,32'h4043c223, 32'h4027cafa,32'h404d1486,// invsqrt(0.1178) = 2.9131 +32'h3f229944,32'h3f9d65bb,32'h3fa3d25f, 32'h3f989440,32'h3fa8a3da, 32'h3f908c72,32'h3fb0aba8,// invsqrt(0.6352) = 1.2548 +32'h4058c222,32'h3f08529b,32'h3f0de30a, 32'h3f042648,32'h3f120f5e, 32'h3efa637c,32'h3f1903e8,// invsqrt(3.3868) = 0.5434 +32'h40575ea3,32'h3f08c2f0,32'h3f0e57f4, 32'h3f04932c,32'h3f1287b8, 32'h3efb31cd,32'h3f1981fd,// invsqrt(3.3652) = 0.5451 +32'h3df196da,32'h40369cfc,32'h403e111b, 32'h403105e4,32'h4043a832, 32'h4027b4bd,32'h404cf959,// invsqrt(0.1180) = 2.9116 +32'h3e9c9422,32'h3fe2d50d,32'h3fec1737, 32'h3fdbe36d,32'h3ff308d7, 32'h3fd050b8,32'h3ffe9b8c,// invsqrt(0.3058) = 1.8083 +32'h3ecf6da3,32'h3fc513d7,32'h3fcd1f19, 32'h3fbf0b65,32'h3fd3278b, 32'h3fb4fd52,32'h3fdd359e,// invsqrt(0.4051) = 1.5711 +32'h3f7cc517,32'h3f7c7a57,32'h3f83643d, 32'h3f74bfbc,32'h3f87418a, 32'h3f67de11,32'h3f8db260,// invsqrt(0.9874) = 1.0064 +32'h3fe0616c,32'h3f3d7c8f,32'h3f453880, 32'h3f37af9b,32'h3f4b0575, 32'h3f2e04ae,32'h3f54b062,// invsqrt(1.7530) = 0.7553 +32'h3f44db35,32'h3f8f0c41,32'h3f94e2f5, 32'h3f8aab3a,32'h3f9943fc, 32'h3f835ed8,32'h3fa0905e,// invsqrt(0.7690) = 1.1404 +32'h3f0d37ac,32'h3fa8e4a0,32'h3fafc962, 32'h3fa3b90e,32'h3fb4f4f4, 32'h3f9b1b1a,32'h3fbd92e8,// invsqrt(0.5516) = 1.3464 +32'h3e17066a,32'h4023512a,32'h4029fbaa, 32'h401e514b,32'h402efb89, 32'h4015fc2c,32'h403750a8,// invsqrt(0.1475) = 2.6039 +32'h3faa92ab,32'h3f595402,32'h3f6232dd, 32'h3f52acdd,32'h3f68da01, 32'h3f47964a,32'h3f73f094,// invsqrt(1.3326) = 0.8663 +32'h42ea5d55,32'h3db96813,32'h3dc0f962, 32'h3db3bb17,32'h3dc6a65d, 32'h3daa4574,32'h3dd01c00,// invsqrt(117.1823) = 0.0924 +32'h3f2cff3b,32'h3f989800,32'h3f9ed273, 32'h3f93ec2a,32'h3fa37e4a, 32'h3f8c231b,32'h3fab4759,// invsqrt(0.6758) = 1.2165 +32'h3f4e5d07,32'h3f8bb6c4,32'h3f916aa2, 32'h3f876fdd,32'h3f95b189, 32'h3f804f08,32'h3f9cd25e,// invsqrt(0.8061) = 1.1138 +32'h3f4ba0fb,32'h3f8ca61f,32'h3f9263c3, 32'h3f8857e5,32'h3f96b1fd, 32'h3f812ad9,32'h3f9ddf09,// invsqrt(0.7954) = 1.1212 +32'h3f3e572d,32'h3f9179c6,32'h3f9769d8, 32'h3f8d05b8,32'h3f9bdde6, 32'h3f8599a1,32'h3fa349fd,// invsqrt(0.7435) = 1.1597 +32'h3f1b73ca,32'h3fa0f982,32'h3fa78b87, 32'h3f9c0bfd,32'h3fac790b, 32'h3f93d577,32'h3fb4af91,// invsqrt(0.6072) = 1.2833 +32'h400d7564,32'h3f28bfc4,32'h3f2fa305, 32'h3f239552,32'h3f34cd76, 32'h3f1af940,32'h3f3d6988,// invsqrt(2.2103) = 0.6726 +32'h4156a2b3,32'h3e88fec2,32'h3e8e9638, 32'h3e84cd2a,32'h3e92c7d0, 32'h3e7b9fae,32'h3e99c523,// invsqrt(13.4147) = 0.2730 +32'h3ef28aea,32'h3fb64104,32'h3fbdb162, 32'h3fb0acbd,32'h3fc345a9, 32'h3fa76048,32'h3fcc921f,// invsqrt(0.4737) = 1.4529 +32'h3f52d900,32'h3f8a3862,32'h3f8fdca4, 32'h3f85fd30,32'h3f9417d6, 32'h3f7ddfb9,32'h3f9b252a,// invsqrt(0.8236) = 1.1019 +32'h3fd18c86,32'h3f4413e7,32'h3f4c14b7, 32'h3f3e134b,32'h3f521553, 32'h3f341247,32'h3f5c1657,// invsqrt(1.6371) = 0.7816 +32'h40177cba,32'h3f231157,32'h3f29b93b, 32'h3f1e136c,32'h3f2eb726, 32'h3f15c18e,32'h3f370904,// invsqrt(2.3670) = 0.6500 +32'h3fa80663,32'h3f5af842,32'h3f63e844, 32'h3f544440,32'h3f6a9c46, 32'h3f49183c,32'h3f75c84a,// invsqrt(1.3127) = 0.8728 +32'h3f8743a3,32'h3f740ce9,32'h3f7e02fd, 32'h3f6c945a,32'h3f82bdc6, 32'h3f6020c1,32'h3f88f792,// invsqrt(1.0568) = 0.9728 +32'h3f9bf9f6,32'h3f63450c,32'h3f6c8bc8, 32'h3f5c4ffe,32'h3f7380d6, 32'h3f50b793,32'h3f7f1941,// invsqrt(1.2186) = 0.9059 +32'h3fed6140,32'h3f3839a8,32'h3f3fbe9f, 32'h3f3295ee,32'h3f456258, 32'h3f292fb9,32'h3f4ec88d,// invsqrt(1.8545) = 0.7343 +32'h3fa692ec,32'h3f5bebe2,32'h3f64e5d6, 32'h3f55306b,32'h3f6ba14d, 32'h3f49f7f9,32'h3f76d9bf,// invsqrt(1.3014) = 0.8766 +32'h3ff203ff,32'h3f3673ca,32'h3f3de63a, 32'h3f30ddf5,32'h3f437c0f, 32'h3f278ee8,32'h3f4ccb1c,// invsqrt(1.8907) = 0.7272 +32'h3fcfa588,32'h3f44f94f,32'h3f4d037d, 32'h3f3ef1ae,32'h3f530b1e, 32'h3f34e4f5,32'h3f5d17d7,// invsqrt(1.6222) = 0.7851 +32'h40921a92,32'h3eead2a4,32'h3ef4684c, 32'h3ee3a265,32'h3efb988b, 32'h3ed7a752,32'h3f03c9cf,// invsqrt(4.5657) = 0.4680 +32'h3fad37b0,32'h3f57a9a9,32'h3f60771d, 32'h3f510f91,32'h3f671135, 32'h3f460ec0,32'h3f721207,// invsqrt(1.3533) = 0.8596 +32'h4047d26b,32'h3f0dfb7d,32'h3f13c70f, 32'h3f09a2cf,32'h3f181fbd, 32'h3f026459,32'h3f1f5e33,// invsqrt(3.1222) = 0.5659 +32'h3e17942d,32'h402304ba,32'h4029ac1a, 32'h401e0732,32'h402ea9a2, 32'h4015b5f9,32'h4036fadb,// invsqrt(0.1480) = 2.5991 +32'h3fe13dc1,32'h3f3d1fcb,32'h3f44d7f3, 32'h3f3755ad,32'h3f4aa211, 32'h3f2daf7c,32'h3f544842,// invsqrt(1.7597) = 0.7538 +32'h3da26aa7,32'h405eb7df,32'h4067cf0d, 32'h4057e67d,32'h406ea06f, 32'h404c8984,32'h4079fd68,// invsqrt(0.0793) = 3.5510 +32'h3fb0545b,32'h3f55c04d,32'h3f5e79c9, 32'h3f4f3531,32'h3f6504e5, 32'h3f444d57,32'h3f6fecbf,// invsqrt(1.3776) = 0.8520 +32'h3e0ba8b2,32'h4029d534,32'h4030c3c8, 32'h4024a244,32'h4035f6b8, 32'h401bf80b,32'h403ea0f1,// invsqrt(0.1364) = 2.7078 +32'h4041742a,32'h3f104ce5,32'h3f1630af, 32'h3f0be20d,32'h3f1a9b87, 32'h3f04854f,32'h3f21f845,// invsqrt(3.0227) = 0.5752 +32'h3f0c969e,32'h3fa94542,32'h3fb02df6, 32'h3fa416bb,32'h3fb55c7d, 32'h3f9b73d9,32'h3fbdff5f,// invsqrt(0.5492) = 1.3494 +32'h3d985a18,32'h4065f50c,32'h406f57de, 32'h405eeaef,32'h407661fb, 32'h40532f69,32'h40810ec0,// invsqrt(0.0744) = 3.6664 +32'h402c1646,32'h3f18ff26,32'h3f1f3dce, 32'h3f145027,32'h3f23eccd, 32'h3f0c81d4,32'h3f2bbb20,// invsqrt(2.6889) = 0.6098 +32'h3ee3b4aa,32'h3fbc1913,32'h3fc3c681, 32'h3fb65700,32'h3fc98894, 32'h3facbe36,32'h3fd3215e,// invsqrt(0.4447) = 1.4995 +32'h3e699c49,32'h4003504b,32'h4008ac63, 32'h3ffe9672,32'h400cb175, 32'h3ff13036,32'h40136493,// invsqrt(0.2281) = 2.0936 +32'h3f730ea0,32'h3f80bc8e,32'h3f85fdb8, 32'h3f79975c,32'h3f89ee98, 32'h3f6c7472,32'h3f90800d,// invsqrt(0.9494) = 1.0263 +32'h3f1d57e4,32'h3fa0011f,32'h3fa68901, 32'h3f9b1b36,32'h3fab6eea, 32'h3f92f15b,32'h3fb398c5,// invsqrt(0.6146) = 1.2755 +32'h3e3fec30,32'h4010dff5,32'h4016c9c1, 32'h400c709d,32'h401b3919, 32'h40050c5f,32'h40229d57,// invsqrt(0.1874) = 2.3099 +32'h417532b6,32'h3e802c5c,32'h3e8567a4, 32'h3e787fcd,32'h3e89541a, 32'h3e6b6b9a,32'h3e8fde33,// invsqrt(15.3249) = 0.2554 +32'h3e511f99,32'h400ac9f4,32'h40107428, 32'h40068a4d,32'h4014b3cf, 32'h3ffeeb19,32'h401bc88f,// invsqrt(0.2042) = 2.2128 +32'h3f87d0a4,32'h3f738e18,32'h3f7d7eff, 32'h3f6c196b,32'h3f8279d6, 32'h3f5fac4b,32'h3f88b067,// invsqrt(1.0611) = 0.9708 +32'h3edace0e,32'h3fbfe2ba,32'h3fc7b7bc, 32'h3fba02f8,32'h3fcd977e, 32'h3fb038b5,32'h3fd761c1,// invsqrt(0.4274) = 1.5297 +32'h4216cd6f,32'h3e237002,32'h3e2a1bc4, 32'h3e1e6f31,32'h3e2f1c95, 32'h3e161880,32'h3e377347,// invsqrt(37.7006) = 0.1629 +32'h3fa10454,32'h3f5faf27,32'h3f68d06d, 32'h3f58d633,32'h3f6fa961, 32'h3f4d6c9c,32'h3f7b12f8,// invsqrt(1.2579) = 0.8916 +32'h3f84c559,32'h3f7654d0,32'h3f80315c, 32'h3f6eca61,32'h3f83f694, 32'h3f6238fd,32'h3f8a3f45,// invsqrt(1.0373) = 0.9819 +32'h3f6c14da,32'h3f829fe8,32'h3f87f4cd, 32'h3f7d407a,32'h3f8bf479, 32'h3f6fec3d,32'h3f929e97,// invsqrt(0.9222) = 1.0413 +32'h3f45e254,32'h3f8ead07,32'h3f947fd9, 32'h3f8a4eea,32'h3f98ddf6, 32'h3f830765,32'h3fa0257b,// invsqrt(0.7730) = 1.1374 +32'h3f7cbb3e,32'h3f7c7f43,32'h3f8366cd, 32'h3f74c482,32'h3f87442d, 32'h3f67e296,32'h3f8db523,// invsqrt(0.9872) = 1.0064 +32'h3f862095,32'h3f751525,32'h3f7f1602, 32'h3f6d9480,32'h3f834b54, 32'h3f61136c,32'h3f898bde,// invsqrt(1.0479) = 0.9769 +32'h430d30c3,32'h3da8e8c2,32'h3dafcdb0, 32'h3da3bd10,32'h3db4f962, 32'h3d9b1ee6,32'h3dbd978c,// invsqrt(141.1905) = 0.0842 +32'h423d0c80,32'h3e11f8c9,32'h3e17ee0b, 32'h3e0d80d8,32'h3e1c65fc, 32'h3e060e46,32'h3e23d88e,// invsqrt(47.2622) = 0.1455 +32'h3f03a4e2,32'h3faeed29,32'h3fb610f7, 32'h3fa9924f,32'h3fbb6bd1, 32'h3fa0a58e,32'h3fc45892,// invsqrt(0.5142) = 1.3945 +32'h3f9b9d91,32'h3f63887a,32'h3f6cd1f8, 32'h3f5c915d,32'h3f73c915, 32'h3f50f580,32'h3f7f64f2,// invsqrt(1.2157) = 0.9069 +32'h3e68cdd8,32'h40038a77,32'h4008e8ef, 32'h3fff073a,32'h400cefc9, 32'h3ff19b0f,32'h4013a5de,// invsqrt(0.2273) = 2.0973 +32'h3fc91ab3,32'h3f4826f6,32'h3f505259, 32'h3f42066c,32'h3f5672e4, 32'h3f37d031,32'h3f60a91f,// invsqrt(1.5711) = 0.7978 +32'h3f22ef01,32'h3f9d3c4c,32'h3fa3a73f, 32'h3f986c15,32'h3fa87775, 32'h3f906664,32'h3fb07d26,// invsqrt(0.6365) = 1.2535 +32'h3d688ff3,32'h40839bf7,32'h4088fb25, 32'h407f2927,32'h408d0288, 32'h4071bb33,32'h4093b983,// invsqrt(0.0568) = 4.1967 +32'h3ff17b9d,32'h3f36a748,32'h3f3e1bd3, 32'h3f310fe0,32'h3f43b33c, 32'h3f27be33,32'h3f4d04e9,// invsqrt(1.8866) = 0.7281 +32'h3d88dafd,32'h4072a0a4,32'h407c87da, 32'h406b333c,32'h4081faa1, 32'h405ed239,32'h40882b23,// invsqrt(0.0668) = 3.8684 +32'h3f9bf00d,32'h3f634c45,32'h3f6c934d, 32'h3f5c56ff,32'h3f738893, 32'h3f50be35,32'h3f7f215d,// invsqrt(1.2183) = 0.9060 +32'h3f03aecb,32'h3faee694,32'h3fb60a1c, 32'h3fa98bed,32'h3fbb64c3, 32'h3fa09f82,32'h3fc4512e,// invsqrt(0.5144) = 1.3943 +32'h3fd49f03,32'h3f42a7f0,32'h3f4a99e4, 32'h3f3cb278,32'h3f508f5c, 32'h3f32c406,32'h3f5a7dce,// invsqrt(1.6611) = 0.7759 +32'h3f98612b,32'h3f65efb6,32'h3f6f5250, 32'h3f5ee5c3,32'h3f765c43, 32'h3f532a83,32'h3f810bc2,// invsqrt(1.1905) = 0.9165 +32'h3f9e4878,32'h3f619b8d,32'h3f6ad0ec, 32'h3f5ab387,32'h3f71b8f3, 32'h3f4f30d0,32'h3f7d3baa,// invsqrt(1.2366) = 0.8993 +32'h3f554562,32'h3f896ec6,32'h3f8f0ace, 32'h3f8539c0,32'h3f933fd4, 32'h3f7c6d6c,32'h3f9a42de,// invsqrt(0.8331) = 1.0956 +32'h3f9d70a4,32'h3f6235fc,32'h3f6b71a8, 32'h3f5b493b,32'h3f725e69, 32'h3f4fbea3,32'h3f7de901,// invsqrt(1.2300) = 0.9017 +32'h3fde28de,32'h3f3e6e6d,32'h3f46343d, 32'h3f389a11,32'h3f4c0899, 32'h3f2ee2cc,32'h3f55bfde,// invsqrt(1.7356) = 0.7591 +32'h3f58df02,32'h3f884988,32'h3f8dd998, 32'h3f841d7c,32'h3f9205a4, 32'h3f7a52d0,32'h3f98f9b8,// invsqrt(0.8472) = 1.0865 +32'h3f33d693,32'h3f95a9c9,32'h3f9bc59d, 32'h3f9114ea,32'h3fa05a7c, 32'h3f897221,32'h3fa7fd45,// invsqrt(0.7025) = 1.1931 +32'h3eb1abd3,32'h3fd4f14d,32'h3fdda255, 32'h3fce6c87,32'h3fe4271b, 32'h3fc38f3c,32'h3fef0466,// invsqrt(0.3470) = 1.6976 +32'h3e820ec7,32'h3ff8e32e,32'h400185e6, 32'h3ff144b7,32'h40055522, 32'h3fe491f0,32'h400bae85,// invsqrt(0.2540) = 1.9841 +32'h4165aa04,32'h3e846fe2,32'h3e89d7b6, 32'h3e806202,32'h3e8de596, 32'h3e73406f,32'h3e94a760,// invsqrt(14.3540) = 0.2639 +32'h3e82a80b,32'h3ff85109,32'h400139d8, 32'h3ff0b70c,32'h400506d7, 32'h3fe40bba,32'h400b5c80,// invsqrt(0.2552) = 1.9796 +32'h3e8e253c,32'h3fee11e7,32'h3ff7c97d, 32'h3fe6c836,32'h3fff132e, 32'h3fdaa2ba,32'h40059c55,// invsqrt(0.2776) = 1.8979 +32'h3fe004f7,32'h3f3da3a6,32'h3f45612f, 32'h3f37d57e,32'h3f4b2f56, 32'h3f2e2893,32'h3f54dc41,// invsqrt(1.7502) = 0.7559 +32'h3fcf2ac4,32'h3f4533a3,32'h3f4d4032, 32'h3f3f2a39,32'h3f53499d, 32'h3f351a87,32'h3f5d594f,// invsqrt(1.6185) = 0.7860 +32'h3f8fe42c,32'h3f6c9f0b,32'h3f76477f, 32'h3f6560b5,32'h3f7d85d5, 32'h3f594e24,32'h3f84cc33,// invsqrt(1.1242) = 0.9432 +32'h3fd955f7,32'h3f408879,32'h3f48643f, 32'h3f3aa3a4,32'h3f4e4914, 32'h3f30d0ec,32'h3f581bcc,// invsqrt(1.6979) = 0.7674 +32'h3fb692da,32'h3f52106e,32'h3f5aa362, 32'h3f4ba236,32'h3f61119a, 32'h3f40ea84,32'h3f6bc94c,// invsqrt(1.4264) = 0.8373 +32'h3f26df30,32'h3f9b5e7a,32'h3fa1b5ed, 32'h3f969ce5,32'h3fa67783, 32'h3f8eaf95,32'h3fae64d3,// invsqrt(0.6518) = 1.2386 +32'h41c67288,32'h3e497cd4,32'h3e51b62a, 32'h3e4351d2,32'h3e57e12c, 32'h3e390a26,32'h3e6228d9,// invsqrt(24.8059) = 0.2008 +32'h3f07b3b1,32'h3fac4a8a,32'h3fb352cf, 32'h3fa70458,32'h3fb89902, 32'h3f9e3a02,32'h3fc16358,// invsqrt(0.5301) = 1.3735 +32'h3f7df152,32'h3f7be4eb,32'h3f83167a, 32'h3f742ee4,32'h3f86f17e, 32'h3f6754d8,32'h3f8d5e84,// invsqrt(0.9920) = 1.0040 +32'h3eb1ac0f,32'h3fd4f129,32'h3fdda230, 32'h3fce6c64,32'h3fe426f6, 32'h3fc38f1c,32'h3fef043e,// invsqrt(0.3470) = 1.6976 +32'h3f80d2a3,32'h3f7a13d9,32'h3f822474, 32'h3f726c0e,32'h3f85f859, 32'h3f65a9bd,32'h3f8c5982,// invsqrt(1.0064) = 0.9968 +32'h3f39eae8,32'h3f933228,32'h3f993434, 32'h3f8eb09f,32'h3f9db5bd, 32'h3f872e10,32'h3fa5384c,// invsqrt(0.7262) = 1.1734 +32'h4041bab7,32'h3f10329c,32'h3f161554, 32'h3f0bc892,32'h3f1a7f5e, 32'h3f046d2c,32'h3f21dac4,// invsqrt(3.0270) = 0.5748 +32'h3f87cae2,32'h3f739342,32'h3f7d845e, 32'h3f6c1e6c,32'h3f827c9a, 32'h3f5fb108,32'h3f88b34c,// invsqrt(1.0609) = 0.9709 +32'h3f7bc36f,32'h3f7cfb68,32'h3f83a768, 32'h3f753cdb,32'h3f8786af, 32'h3f685499,32'h3f8dfacf,// invsqrt(0.9835) = 1.0084 +32'h3e8b19b2,32'h3ff0a962,32'h3ffa7c0d, 32'h3fe94b61,32'h4000ed06, 32'h3fdd040b,32'h400710b1,// invsqrt(0.2717) = 1.9185 +32'h3fd0f071,32'h3f445d16,32'h3f4c60e3, 32'h3f3e5a3d,32'h3f5263bd, 32'h3f34557d,32'h3f5c687d,// invsqrt(1.6323) = 0.7827 +32'h3f765e23,32'h3f7fbcc1,32'h3f851679, 32'h3f77e89c,32'h3f89008c, 32'h3f6adc5e,32'h3f8f86ab,// invsqrt(0.9624) = 1.0194 +32'h403edfa7,32'h3f1145bb,32'h3f1733ad, 32'h3f0cd345,32'h3f1ba623, 32'h3f0569d5,32'h3f230f93,// invsqrt(2.9824) = 0.5791 +32'h40058398,32'h3f2db275,32'h3f34c96b, 32'h3f28613e,32'h3f3a1aa2, 32'h3f1f848b,32'h3f42f755,// invsqrt(2.0862) = 0.6924 +32'h3fc4feb7,32'h3f4a3aa0,32'h3f527bb6, 32'h3f4409cf,32'h3f58ac87, 32'h3f39b874,32'h3f62fde3,// invsqrt(1.5390) = 0.8061 +32'h4002a525,32'h3f2f980b,32'h3f36c2d2, 32'h3f2a37f6,32'h3f3c22e8, 32'h3f21427e,32'h3f451861,// invsqrt(2.0413) = 0.6999 +32'h3f1b847c,32'h3fa0f0dd,32'h3fa78288, 32'h3f9c039d,32'h3fac6fc9, 32'h3f93cd88,32'h3fb4a5df,// invsqrt(0.6075) = 1.2830 +32'h3e2be4de,32'h40191521,32'h401f54af, 32'h40146576,32'h4024045a, 32'h400c9604,32'h402bd3cc,// invsqrt(0.1679) = 2.4407 +32'h3f381f3e,32'h3f93e973,32'h3f99f2fa, 32'h3f8f624d,32'h3f9e7a1f, 32'h3f87d664,32'h3fa60608,// invsqrt(0.7192) = 1.1791 +32'h3f596338,32'h3f882010,32'h3f8dae6e, 32'h3f83f549,32'h3f91d935, 32'h3f7a06a5,32'h3f98cb2c,// invsqrt(0.8492) = 1.0852 +32'h3fa4a93e,32'h3f5d31f3,32'h3f663936, 32'h3f566c80,32'h3f6cfea8, 32'h3f4b236c,32'h3f7847bc,// invsqrt(1.2864) = 0.8817 +32'h3ec8c237,32'h3fc8530d,32'h3fd0803d, 32'h3fc2312a,32'h3fd6a220, 32'h3fb7f8ae,32'h3fe0da9c,// invsqrt(0.3921) = 1.5970 +32'h40635cfc,32'h3f051b00,32'h3f0a89d2, 32'h3f0107e4,32'h3f0e9cee, 32'h3ef47abd,32'h3f156774,// invsqrt(3.5526) = 0.5306 +32'h40afe4a0,32'h3ed60426,32'h3edec066, 32'h3ecf76f6,32'h3ee54d96, 32'h3ec48ba5,32'h3ef038e7,// invsqrt(5.4967) = 0.4265 +32'h3ffb2be4,32'h3f331894,32'h3f3a67f2, 32'h3f2d9d0c,32'h3f3fe37a, 32'h3f2479d6,32'h3f4906b0,// invsqrt(1.9623) = 0.7139 +32'h40ced466,32'h3ec55ccc,32'h3ecd6b08, 32'h3ebf521e,32'h3ed375b6, 32'h3eb54053,32'h3edd8781,// invsqrt(6.4634) = 0.3933 +32'h3fe5c1eb,32'h3f3b4196,32'h3f42e638, 32'h3f35861b,32'h3f48a1b3, 32'h3f2bf850,32'h3f522f7e,// invsqrt(1.7950) = 0.7464 +32'h3d98a9d8,32'h4065b8f4,32'h406f1952, 32'h405eb0ae,32'h40762198, 32'h4052f839,32'h4080ed06,// invsqrt(0.0745) = 3.6627 +32'h3f59b694,32'h3f8805fe,32'h3f8d934c, 32'h3f83dc03,32'h3f91bd47, 32'h3f79d6c3,32'h3f98ade9,// invsqrt(0.8504) = 1.0844 +32'h3f1ffbfa,32'h3f9eada1,32'h3fa527a7, 32'h3f99d21c,32'h3faa032c, 32'h3f91b994,32'h3fb21bb4,// invsqrt(0.6249) = 1.2650 +32'h41a048e4,32'h3e6031cb,32'h3e695865, 32'h3e5954d7,32'h3e703559, 32'h3e4de496,32'h3e7ba59a,// invsqrt(20.0356) = 0.2234 +32'h3ebe9433,32'h3fcd9adf,32'h3fd5ff3c, 32'h3fc74f9a,32'h3fdc4a82, 32'h3fbcd226,32'h3fe6c7f6,// invsqrt(0.3722) = 1.6391 +32'h41546121,32'h3e89b88c,32'h3e8f5797, 32'h3e858144,32'h3e938ee0, 32'h3e7cf4ed,32'h3e9a95ad,// invsqrt(13.2737) = 0.2745 +32'h3fc84414,32'h3f489219,32'h3f50c1dc, 32'h3f426e48,32'h3f56e5ae, 32'h3f383295,32'h3f612161,// invsqrt(1.5646) = 0.7995 +32'h3f5e78dc,32'h3f868f8a,32'h3f8c0d90, 32'h3f827106,32'h3f902c14, 32'h3f7726fe,32'h3f97099b,// invsqrt(0.8690) = 1.0727 +32'h3fab0a17,32'h3f590815,32'h3f61e3d8, 32'h3f526344,32'h3f6888aa, 32'h3f475092,32'h3f739b5d,// invsqrt(1.3362) = 0.8651 +32'h411bf1b8,32'h3ea0b876,32'h3ea747d3, 32'h3e9bccef,32'h3eac3359, 32'h3e9399ba,32'h3eb4668e,// invsqrt(9.7465) = 0.3203 +32'h3f2f193f,32'h3f97acdc,32'h3f9dddb6, 32'h3f930839,32'h3fa28259, 32'h3f8b4b28,32'h3faa3f6a,// invsqrt(0.6840) = 1.2091 +32'h3ec79edb,32'h3fc8e509,32'h3fd1182e, 32'h3fc2beae,32'h3fd73e8a, 32'h3fb87ec0,32'h3fe17e78,// invsqrt(0.3899) = 1.6015 +32'h3f165de7,32'h3fa3ac94,32'h3faa5ace, 32'h3f9ea9e8,32'h3faf5d7a, 32'h3f96501f,32'h3fb7b743,// invsqrt(0.5874) = 1.3048 +32'h3f136db2,32'h3fa54c11,32'h3fac0b40, 32'h3fa03cac,32'h3fb11aa4, 32'h3f97cdb1,32'h3fb9899f,// invsqrt(0.5759) = 1.3177 +32'h3f5c103b,32'h3f874b8d,32'h3f8cd13f, 32'h3f832747,32'h3f90f585, 32'h3f788051,32'h3f97dca3,// invsqrt(0.8596) = 1.0786 +32'h3fa657a0,32'h3f5c1311,32'h3f650e9f, 32'h3f555667,32'h3f6bcb49, 32'h3f4a1bf6,32'h3f7705ba,// invsqrt(1.2995) = 0.8772 +32'h432ae9a2,32'h3d99857b,32'h3d9fc99f, 32'h3d94d260,32'h3da47cba, 32'h3d8cfd32,32'h3dac51e8,// invsqrt(170.9126) = 0.0765 +32'h3f3f486c,32'h3f911dec,32'h3f970a3f, 32'h3f8cacae,32'h3f9b7b7e, 32'h3f854547,32'h3fa2e2e5,// invsqrt(0.7472) = 1.1569 +32'h3fed8c3e,32'h3f3828fb,32'h3f3fad44, 32'h3f3285c5,32'h3f45507b, 32'h3f29206a,32'h3f4eb5d6,// invsqrt(1.8558) = 0.7341 +32'h412114e1,32'h3e9e2309,32'h3ea49768, 32'h3e994bc3,32'h3ea96eaf, 32'h3e913a4d,32'h3eb18025,// invsqrt(10.0676) = 0.3152 +32'h3f87cb48,32'h3f7392e7,32'h3f7d8400, 32'h3f6c1e14,32'h3f827c69, 32'h3f5fb0b4,32'h3f88b319,// invsqrt(1.0609) = 0.9709 +32'h401373a2,32'h3f2548bd,32'h3f2c07c9, 32'h3f203973,32'h3f311713, 32'h3f17caa3,32'h3f3985e3,// invsqrt(2.3039) = 0.6588 +32'h3ebb03a2,32'h3fcf8e26,32'h3fd806e3, 32'h3fc93397,32'h3fde6171, 32'h3fbe9caa,32'h3fe8f85e,// invsqrt(0.3653) = 1.6546 +32'h3eecd8e3,32'h3fb86ea9,32'h3fbff5ca, 32'h3fb2c950,32'h3fc59b22, 32'h3fa96066,32'h3fcf040c,// invsqrt(0.4626) = 1.4703 +32'h3f85e768,32'h3f754973,32'h3f7f4c72, 32'h3f6dc733,32'h3f836759, 32'h3f614373,32'h3f89a938,// invsqrt(1.0461) = 0.9777 +32'h40378b1b,32'h3f142517,32'h3f1a310e, 32'h3f0f9c1f,32'h3f1eba07, 32'h3f080d2b,32'h3f2648fb,// invsqrt(2.8679) = 0.5905 +32'h3f45a7bc,32'h3f8ec22b,32'h3f9495d9, 32'h3f8a6368,32'h3f98f49c, 32'h3f831acf,32'h3fa03d35,// invsqrt(0.7721) = 1.1381 +32'h3e8a9059,32'h3ff1208a,32'h3ffaf812, 32'h3fe9bee4,32'h40012cdc, 32'h3fdd7179,32'h40075391,// invsqrt(0.2706) = 1.9223 +32'h3e47b99d,32'h400e044e,32'h4013d03c, 32'h4009ab5b,32'h4018292f, 32'h40026c71,32'h401f6819,// invsqrt(0.1950) = 2.2643 +32'h3f8deb68,32'h3f6e4263,32'h3f77fbf5, 32'h3f66f737,32'h3f7f4721, 32'h3f5acf41,32'h3f85b78b,// invsqrt(1.1087) = 0.9497 +32'h404939ed,32'h3f0d7c6f,32'h3f1342d1, 32'h3f0927a5,32'h3f17979b, 32'h3f01efaa,32'h3f1ecf96,// invsqrt(3.1442) = 0.5640 +32'h3fb9f1e5,32'h3f5026b4,32'h3f58a5ac, 32'h3f49c77a,32'h3f5f04e6, 32'h3f3f28c5,32'h3f69a39b,// invsqrt(1.4527) = 0.8297 +32'h40961e28,32'h3ee7a97d,32'h3ef11e1f, 32'h3ee09204,32'h3ef83598, 32'h3ed4c039,32'h3f0203b1,// invsqrt(4.6912) = 0.4617 +32'h4166edcf,32'h3e8412e8,32'h3e8976f2, 32'h3e8007e1,32'h3e8d81f9, 32'h3e7295ab,32'h3e943f05,// invsqrt(14.4331) = 0.2632 +32'h410e6008,32'h3ea8347d,32'h3eaf120f, 32'h3ea30e4f,32'h3eb4383d, 32'h3e9a7959,32'h3ebccd33,// invsqrt(8.8984) = 0.3352 +32'h40bdd313,32'h3ece035c,32'h3ed66bfc, 32'h3ec7b4e3,32'h3edcba75, 32'h3ebd321b,32'h3ee73d3d,// invsqrt(5.9320) = 0.4106 +32'h40f4917e,32'h3eb57f64,32'h3ebce7da, 32'h3eaff10a,32'h3ec27634, 32'h3ea6ae76,32'h3ecbb8c9,// invsqrt(7.6428) = 0.3617 +32'h3edc883b,32'h3fbf21fb,32'h3fc6ef1f, 32'h3fb94820,32'h3fccc8fa, 32'h3faf87b2,32'h3fd68968,// invsqrt(0.4307) = 1.5237 +32'h3fa32d5c,32'h3f5e32d7,32'h3f674497, 32'h3f576587,32'h3f6e11e7, 32'h3f4c0f58,32'h3f796816,// invsqrt(1.2748) = 0.8857 +32'h3f156371,32'h3fa4358f,32'h3faae961, 32'h3f9f2eb2,32'h3faff03e, 32'h3f96cdec,32'h3fb85104,// invsqrt(0.5835) = 1.3091 +32'h3f0b2d85,32'h3faa204a,32'h3fb111f0, 32'h3fa4eb0f,32'h3fb6472b, 32'h3f9c3d00,32'h3fbef53a,// invsqrt(0.5437) = 1.3562 +32'h3fa7bd8b,32'h3f5b27c9,32'h3f6419bc, 32'h3f547252,32'h3f6acf32, 32'h3f4943e2,32'h3f75fda2,// invsqrt(1.3105) = 0.8735 +32'h3effc90e,32'h3fb1792e,32'h3fb8b798, 32'h3fac0a5e,32'h3fbe2668, 32'h3fa2fc59,32'h3fc7346d,// invsqrt(0.4996) = 1.4148 +32'h3e362930,32'h4014b4bc,32'h401ac68f, 32'h4010275d,32'h401f53ed, 32'h40089114,32'h4026ea36,// invsqrt(0.1779) = 2.3709 +32'h3fe5e851,32'h3f3b31f2,32'h3f42d5f2, 32'h3f3576f3,32'h3f4890f1, 32'h3f2be9f3,32'h3f521df1,// invsqrt(1.7962) = 0.7462 +32'h41812c1b,32'h3e79bd2e,32'h3e81f75a, 32'h3e72180b,32'h3e85c9eb, 32'h3e655a26,32'h3e8c28de,// invsqrt(16.1465) = 0.2489 +32'h3fea08af,32'h3f398997,32'h3f411c45, 32'h3f33db95,32'h3f46ca47, 32'h3f2a643d,32'h3f50419f,// invsqrt(1.8284) = 0.7395 +32'h40c452b5,32'h3eca9324,32'h3ed2d7d8, 32'h3ec45f9e,32'h3ed90b5e, 32'h3eba09be,32'h3ee3613e,// invsqrt(6.1351) = 0.4037 +32'h3e8cb2eb,32'h3fef4a66,32'h3ff90ebe, 32'h3fe7f724,32'h40003100, 32'h3fdbc1b7,32'h40064bb7,// invsqrt(0.2748) = 1.9076 +32'h3f9bacf9,32'h3f637d38,32'h3f6cc63f, 32'h3f5c8672,32'h3f73bd04, 32'h3f50eb28,32'h3f7f584e,// invsqrt(1.2162) = 0.9068 +32'h3f5aa3eb,32'h3f87bc16,32'h3f8d4660, 32'h3f83945e,32'h3f916e18, 32'h3f794f04,32'h3f985af4,// invsqrt(0.8541) = 1.0821 +32'h3c74c38d,32'h41004973,32'h410585eb, 32'h40f8b833,32'h41097345, 32'h40eba108,32'h410ffeda,// invsqrt(0.0149) = 8.1816 +32'h3fbb6694,32'h3f4f5753,32'h3f57cdd4, 32'h3f48fe73,32'h3f5e26b5, 32'h3f3e6a52,32'h3f68bad6,// invsqrt(1.4641) = 0.8265 +32'h3fb48460,32'h3f5341e3,32'h3f5be14f, 32'h3f4cca51,32'h3f6258e1, 32'h3f42030a,32'h3f6d2028,// invsqrt(1.4103) = 0.8421 +32'h40e4472a,32'h3ebbdcae,32'h3ec387a6, 32'h3eb61c75,32'h3ec947df, 32'h3eac86bf,32'h3ed2dd95,// invsqrt(7.1337) = 0.3744 +32'h3f0de24e,32'h3fa87ef3,32'h3faf5f8f, 32'h3fa3567e,32'h3fb48804, 32'h3f9abdba,32'h3fbd20c8,// invsqrt(0.5542) = 1.3432 +32'h3db7a92d,32'h40517106,32'h4059fd7a, 32'h404b07b0,32'h406066d0, 32'h40405820,32'h406b1660,// invsqrt(0.0897) = 3.3393 +32'h4038210b,32'h3f13e8ba,32'h3f19f23a, 32'h3f0f619a,32'h3f1e795a, 32'h3f07d5bb,32'h3f260539,// invsqrt(2.8770) = 0.5896 +32'h40578788,32'h3f08b5f5,32'h3f0e4a72, 32'h3f048698,32'h3f1279d0, 32'h3efb19f7,32'h3f19736c,// invsqrt(3.3676) = 0.5449 +32'h3f589382,32'h3f886147,32'h3f8df24f, 32'h3f843481,32'h3f921f15, 32'h3f7a7e6d,32'h3f99145f,// invsqrt(0.8460) = 1.0872 +32'h3ea5699e,32'h3fdcb12d,32'h3fe5b32f, 32'h3fd5efac,32'h3fec74b0, 32'h3fcaad29,32'h3ff7b733,// invsqrt(0.3231) = 1.7593 +32'h3f0d18d0,32'h3fa8f717,32'h3fafdc9b, 32'h3fa3caf5,32'h3fb508bd, 32'h3f9b2c10,32'h3fbda7a2,// invsqrt(0.5512) = 1.3470 +32'h3f46f581,32'h3f8e4a3a,32'h3f941903, 32'h3f89ef24,32'h3f98741a, 32'h3f82aca9,32'h3f9fb695,// invsqrt(0.7772) = 1.1343 +32'h40ce998a,32'h3ec578e7,32'h3ecd8849, 32'h3ebf6d5d,32'h3ed393d3, 32'h3eb55a22,32'h3edda70e,// invsqrt(6.4562) = 0.3936 +32'h3ea72ad5,32'h3fdb87df,32'h3fe47dbe, 32'h3fd4cf77,32'h3feb3625, 32'h3fc99c20,32'h3ff6697c,// invsqrt(0.3265) = 1.7501 +32'h400f771d,32'h3f279092,32'h3f2e6774, 32'h3f226f69,32'h3f33889d, 32'h3f19e2d0,32'h3f3c1537,// invsqrt(2.2416) = 0.6679 +32'h4030f4c5,32'h3f16e087,32'h3f1d090a, 32'h3f124225,32'h3f21a76d, 32'h3f0a8f82,32'h3f295a10,// invsqrt(2.7649) = 0.6014 +32'h3f723ef9,32'h3f80f3af,32'h3f86371a, 32'h3f7a0240,32'h3f8a29aa, 32'h3f6cd9b6,32'h3f90bdef,// invsqrt(0.9463) = 1.0280 +32'h40a4a0c9,32'h3edd37a1,32'h3ee63f1f, 32'h3ed67202,32'h3eed04be, 32'h3ecb28a3,32'h3ef84e1d,// invsqrt(5.1446) = 0.4409 +32'h3e19a20a,32'h4021eccb,32'h402888be, 32'h401cf7d4,32'h402d7db4, 32'h4014b4e4,32'h4035c0a4,// invsqrt(0.1500) = 2.5817 +32'h3f3566b9,32'h3f95045c,32'h3f9b196f, 32'h3f90748d,32'h3f9fa93d, 32'h3f88da34,32'h3fa74396,// invsqrt(0.7086) = 1.1880 +32'h3d18a96c,32'h40a2706f,32'h40a911c2, 32'h409d7771,32'h40ae0ac1, 32'h40952dca,32'h40b65468,// invsqrt(0.0373) = 5.1798 +32'h408833fc,32'h3ef33536,32'h3efd227c, 32'h3eebc341,32'h3f024a38, 32'h3edf5aaa,32'h3f087e84,// invsqrt(4.2563) = 0.4847 +32'h3f2b516b,32'h3f9956f4,32'h3f9f9932, 32'h3f94a545,32'h3fa44ae1, 32'h3f8cd278,32'h3fac1dae,// invsqrt(0.6692) = 1.2224 +32'h3e0e5ab1,32'h402837a4,32'h402f1558, 32'h4023115e,32'h40343b9e, 32'h401a7c3e,32'h403cd0be,// invsqrt(0.1390) = 2.6820 +32'h410de02a,32'h3ea88038,32'h3eaf60e2, 32'h3ea357b9,32'h3eb48961, 32'h3e9abee5,32'h3ebd2235,// invsqrt(8.8672) = 0.3358 +32'h3fabc72e,32'h3f589081,32'h3f616761, 32'h3f51ef58,32'h3f68088a, 32'h3f46e2bf,32'h3f731523,// invsqrt(1.3420) = 0.8632 +32'h403a2257,32'h3f131c3b,32'h3f191d62, 32'h3f0e9b5e,32'h3f1d9e40, 32'h3f0719ee,32'h3f251fb0,// invsqrt(2.9083) = 0.5864 +32'h3faf851b,32'h3f563e5a,32'h3f5efcfb, 32'h3f4faf62,32'h3f658bf4, 32'h3f44c11a,32'h3f707a3c,// invsqrt(1.3712) = 0.8540 +32'h3f646a33,32'h3f84cc79,32'h3f8a3815, 32'h3f80bbc3,32'h3f8e48cb, 32'h3f73ea80,32'h3f950f4e,// invsqrt(0.8922) = 1.0587 +32'h3ebaba3d,32'h3fcfb6ec,32'h3fd83154, 32'h3fc95b1e,32'h3fde8d22, 32'h3fbec21d,32'h3fe92623,// invsqrt(0.3647) = 1.6559 +32'h3e1d39cc,32'h4020106e,32'h402698f0, 32'h401b2a0d,32'h402b7f51, 32'h4012ff6a,32'h4033a9f4,// invsqrt(0.1535) = 2.5520 +32'h3fabedb9,32'h3f587839,32'h3f614e1c, 32'h3f51d7cf,32'h3f67ee87, 32'h3f46cc74,32'h3f72f9e3,// invsqrt(1.3432) = 0.8628 +32'h3f7eb3d2,32'h3f7b84ab,32'h3f82e464, 32'h3f73d197,32'h3f86bdee, 32'h3f66fc74,32'h3f8d2880,// invsqrt(0.9949) = 1.0025 +32'h3fb222bb,32'h3f54aa2f,32'h3f5d5851, 32'h3f4e2796,32'h3f63daea, 32'h3f434ded,32'h3f6eb493,// invsqrt(1.3917) = 0.8477 +32'h3d265b1d,32'h409b9c1b,32'h40a1f611, 32'h4096d8a2,32'h40a6b98a, 32'h408ee82d,32'h40aea9ff,// invsqrt(0.0406) = 4.9620 +32'h3fdf903b,32'h3f3dd522,32'h3f4594b0, 32'h3f380577,32'h3f4b645b, 32'h3f2e5605,32'h3f5513cd,// invsqrt(1.7466) = 0.7567 +32'h3fcc4f34,32'h3f469378,32'h3f4eae62, 32'h3f407f48,32'h3f54c292, 32'h3f365da2,32'h3f5ee438,// invsqrt(1.5962) = 0.7915 +32'h3ffddbcd,32'h3f322546,32'h3f396ab6, 32'h3f2cb131,32'h3f3edecb, 32'h3f239a65,32'h3f47f597,// invsqrt(1.9833) = 0.7101 +32'h3f89c10c,32'h3f71d5b5,32'h3f7bb4a2, 32'h3f6a6e82,32'h3f818dea, 32'h3f5e17da,32'h3f87b93e,// invsqrt(1.0762) = 0.9639 +32'h4054b42e,32'h3f099da7,32'h3f0f3b99, 32'h3f056732,32'h3f13720e, 32'h3efcc386,32'h3f1a777d,// invsqrt(3.3235) = 0.5485 +32'h401e0889,32'h3f1fa799,32'h3f262bd4, 32'h3f1ac46e,32'h3f2b0f00, 32'h3f129f25,32'h3f333449,// invsqrt(2.4693) = 0.6364 +32'h3fe4ff95,32'h3f3b90fa,32'h3f4338da, 32'h3f35d312,32'h3f48f6c2, 32'h3f2c4139,32'h3f52889b,// invsqrt(1.7890) = 0.7476 +32'h4142a2e9,32'h3e8fdc7f,32'h3e95bbb3, 32'h3e8b7518,32'h3e9a231a, 32'h3e841e16,32'h3ea17a1c,// invsqrt(12.1648) = 0.2867 +32'h3eeaf13d,32'h3fb92dad,32'h3fc0bc9a, 32'h3fb3827c,32'h3fc667cc, 32'h3faa0fd4,32'h3fcfda74,// invsqrt(0.4589) = 1.4762 +32'h3e4d09db,32'h400c2a23,32'h4011e2b7, 32'h4007dfb4,32'h40162d26, 32'h4000b8fc,32'h401d53de,// invsqrt(0.2002) = 2.2348 +32'h3f9b9e60,32'h3f6387e3,32'h3f6cd159, 32'h3f5c90c9,32'h3f73c873, 32'h3f50f4f5,32'h3f7f6447,// invsqrt(1.2158) = 0.9069 +32'h3f311f7a,32'h3f96ce56,32'h3f9cf61a, 32'h3f923082,32'h3fa193ee, 32'h3f8a7ecc,32'h3fa945a4,// invsqrt(0.6919) = 1.2022 +32'h3ee3d62c,32'h3fbc0b3e,32'h3fc3b81c, 32'h3fb64998,32'h3fc979c2, 32'h3facb182,32'h3fd311d8,// invsqrt(0.4450) = 1.4991 +32'h3f29c975,32'h3f9a078d,32'h3fa05101, 32'h3f955077,32'h3fa50817, 32'h3f8d74a6,32'h3face3e8,// invsqrt(0.6632) = 1.2279 +32'h3ebc33db,32'h3fcee621,32'h3fd75803, 32'h3fc890b8,32'h3fddad6c, 32'h3fbe025d,32'h3fe83bc7,// invsqrt(0.3676) = 1.6494 +32'h405415c3,32'h3f09d103,32'h3f0f710d, 32'h3f0598fb,32'h3f13a915, 32'h3efd21db,32'h3f1ab122,// invsqrt(3.3138) = 0.5493 +32'h3ec86f80,32'h3fc87c5e,32'h3fd0ab3e, 32'h3fc25937,32'h3fd6ce65, 32'h3fb81ea0,32'h3fe108fc,// invsqrt(0.3915) = 1.5983 +32'h3f1e8806,32'h3f9f675a,32'h3fa5e8f6, 32'h3f9a8626,32'h3faaca2a, 32'h3f926424,32'h3fb2ec2c,// invsqrt(0.6193) = 1.2708 +32'h3f1473ee,32'h3fa4b9d1,32'h3fab7309, 32'h3f9faee8,32'h3fb07df2, 32'h3f974762,32'h3fb8e578,// invsqrt(0.5799) = 1.3132 +32'h40037a4a,32'h3f2f097c,32'h3f362e72, 32'h3f29adc4,32'h3f3b8a2a, 32'h3f20bf92,32'h3f44785d,// invsqrt(2.0543) = 0.6977 +32'h3e1cd137,32'h402045c5,32'h4026d075, 32'h401b5dc2,32'h402bb878, 32'h40133067,32'h4033e5d3,// invsqrt(0.1531) = 2.5554 +32'h422021b4,32'h3e1e9aef,32'h3e251432, 32'h3e19bffc,32'h3e29ef24, 32'h3e11a868,32'h3e3206b8,// invsqrt(40.0329) = 0.1580 +32'h3f4835d0,32'h3f8dd83a,32'h3f93a25c, 32'h3f8980a1,32'h3f97f9f5, 32'h3f8243f7,32'h3f9f369f,// invsqrt(0.7821) = 1.1308 +32'h3f92ef2b,32'h3f6a2885,32'h3f73b73c, 32'h3f62fd7b,32'h3f7ae245, 32'h3f570b16,32'h3f836a55,// invsqrt(1.1479) = 0.9333 +32'h3e00a6b3,32'h4030f30e,32'h40382bfe, 32'h402b8859,32'h403d96b3, 32'h4022812c,32'h40469de0,// invsqrt(0.1256) = 2.8213 +32'h3fdd2d89,32'h3f3eda81,32'h3f46a4ba, 32'h3f3902d5,32'h3f4c7c65, 32'h3f2f460d,32'h3f56392d,// invsqrt(1.7280) = 0.7607 +32'h3fa8edff,32'h3f5a61f3,32'h3f634bd3, 32'h3f53b28b,32'h3f69fb3b, 32'h3f488e33,32'h3f751f93,// invsqrt(1.3198) = 0.8705 +32'h4144f13f,32'h3e8f0440,32'h3e94daa0, 32'h3e8aa377,32'h3e993b69, 32'h3e83577e,32'h3ea08762,// invsqrt(12.3089) = 0.2850 +32'h3ef38d99,32'h3fb5e020,32'h3fbd4c8a, 32'h3fb04ed1,32'h3fc2ddd9, 32'h3fa7074c,32'h3fcc255e,// invsqrt(0.4757) = 1.4499 +32'h3c6ce08a,32'h410267b3,32'h4107ba4d, 32'h40fcd380,32'h410bb840, 32'h40ef8500,32'h41125f80,// invsqrt(0.0145) = 8.3167 +32'h40228e44,32'h3f1d6b0e,32'h3f23d7ea, 32'h3f189969,32'h3f28a98f, 32'h3f109156,32'h3f30b1a2,// invsqrt(2.5399) = 0.6275 +32'h3f2919e5,32'h3f9a576d,32'h3fa0a423, 32'h3f959de4,32'h3fa55dac, 32'h3f8dbe01,32'h3fad3d8f,// invsqrt(0.6606) = 1.2304 +32'h3f1100b9,32'h3fa6ac8a,32'h3fad7a1c, 32'h3fa1925c,32'h3fb2944a, 32'h3f991164,32'h3fbb1542,// invsqrt(0.5664) = 1.3287 +32'h405558fb,32'h3f096876,32'h3f0f043c, 32'h3f0533a1,32'h3f133911, 32'h3efc61d3,32'h3f1a3bc8,// invsqrt(3.3336) = 0.5477 +32'h3faadcef,32'h3f5924c1,32'h3f6201af, 32'h3f527f0f,32'h3f68a761, 32'h3f476ae6,32'h3f73bb8a,// invsqrt(1.3349) = 0.8655 +32'h428a39b6,32'h3df16c10,32'h3dfb46ad, 32'h3dea0819,32'h3e015551, 32'h3dddb6d5,32'h3e077df4,// invsqrt(69.1127) = 0.1203 +32'h41040316,32'h3eaeaeb4,32'h3eb5cff4, 32'h3ea955c3,32'h3ebb28e5, 32'h3ea06c32,32'h3ec41276,// invsqrt(8.2508) = 0.3481 +32'h3ee14327,32'h3fbd1d87,32'h3fc4d597, 32'h3fb7537b,32'h3fca9fa3, 32'h3fadad67,32'h3fd445b7,// invsqrt(0.4400) = 1.5076 +32'h40673545,32'h3f03fe7d,32'h3f0961b1, 32'h3effe82c,32'h3f0d6c18, 32'h3ef27029,32'h3f142819,// invsqrt(3.6126) = 0.5261 +32'h3f6f4995,32'h3f81bf23,32'h3f870adb, 32'h3f7b8cb1,32'h3f8b03a5, 32'h3f6e4f65,32'h3f91a24c,// invsqrt(0.9347) = 1.0343 +32'h3f2ca85b,32'h3f98be5f,32'h3f9efa63, 32'h3f94115c,32'h3fa3a766, 32'h3f8c4657,32'h3fab726b,// invsqrt(0.6744) = 1.2177 +32'h40b28b7d,32'h3ed46bc3,32'h3edd1758, 32'h3ecdeb13,32'h3ee39807, 32'h3ec31498,32'h3eee6e82,// invsqrt(5.5795) = 0.4234 +32'h3f71dbf5,32'h3f810e12,32'h3f865290, 32'h3f7a3567,32'h3f8a45ef, 32'h3f6d0a2b,32'h3f90db8c,// invsqrt(0.9448) = 1.0288 +32'h3f1c2e16,32'h3fa09963,32'h3fa7277b, 32'h3f9baed0,32'h3fac120e, 32'h3f937d31,32'h3fb443ad,// invsqrt(0.6101) = 1.2803 +32'h3f8df0b1,32'h3f6e3df3,32'h3f77f756, 32'h3f66f2ea,32'h3f7f4260, 32'h3f5acb2e,32'h3f85b50e,// invsqrt(1.1089) = 0.9496 +32'h407ce7bd,32'h3efc690b,32'h3f035b3d, 32'h3ef4aef8,32'h3f073846, 32'h3ee7ce2f,32'h3f0da8ab,// invsqrt(3.9516) = 0.5030 +32'h3cf9bec8,32'h40b39b4e,32'h40baf002, 32'h40ae1bc6,32'h40c06f8a, 32'h40a4f1e4,32'h40c9996c,// invsqrt(0.0305) = 5.7273 +32'h3f1e47ff,32'h3f9f8795,32'h3fa60a81, 32'h3f9aa564,32'h3faaecb2, 32'h3f9281bd,32'h3fb31059,// invsqrt(0.6183) = 1.2718 +32'h3f263631,32'h3f9bad63,32'h3fa2080e, 32'h3f96e962,32'h3fa6cc0e, 32'h3f8ef80c,32'h3faebd64,// invsqrt(0.6493) = 1.2410 +32'h3f69cd56,32'h3f834284,32'h3f889e0c, 32'h3f7e7bbc,32'h3f8ca2b2, 32'h3f7116e8,32'h3f93551c,// invsqrt(0.9133) = 1.0464 +32'h3ebc72a9,32'h3fcec3a4,32'h3fd7341e, 32'h3fc86f49,32'h3fdd8879, 32'h3fbde2b1,32'h3fe81511,// invsqrt(0.3681) = 1.6483 +32'h3f882422,32'h3f73435e,32'h3f7d3138, 32'h3f6bd0fa,32'h3f8251ce, 32'h3f5f67aa,32'h3f888676,// invsqrt(1.0636) = 0.9696 +32'h3ee76dc5,32'h3fba942d,32'h3fc231bc, 32'h3fb4de02,32'h3fc7e7e8, 32'h3fab5910,32'h3fd16cda,// invsqrt(0.4520) = 1.4874 +32'h3ea2d47e,32'h3fde6f71,32'h3fe783aa, 32'h3fd7a047,32'h3fee52d5, 32'h3fcc4700,32'h3ff9ac1c,// invsqrt(0.3180) = 1.7732 +32'h3f03a570,32'h3faeeccb,32'h3fb61094, 32'h3fa991f3,32'h3fbb6b6b, 32'h3fa0a537,32'h3fc45827,// invsqrt(0.5142) = 1.3945 +32'h3f22f186,32'h3f9d3b14,32'h3fa3a5fb, 32'h3f986ae8,32'h3fa87628, 32'h3f906547,32'h3fb07bc9,// invsqrt(0.6365) = 1.2534 +32'h3f8202c0,32'h3f78eeb1,32'h3f818be4, 32'h3f714fdf,32'h3f855b4c, 32'h3f649c83,32'h3f8bb4fb,// invsqrt(1.0157) = 0.9922 +32'h3ebc7fde,32'h3fcebc65,32'h3fd72c93, 32'h3fc86843,32'h3fdd80b5, 32'h3fbddc09,32'h3fe80cef,// invsqrt(0.3682) = 1.6481 +32'h3dd05ca0,32'h4044a2b1,32'h404ca955, 32'h403e9db6,32'h4052ae50, 32'h40349569,32'h405cb69d,// invsqrt(0.1017) = 3.1351 +32'h3f649e09,32'h3f84bd6a,32'h3f8a2869, 32'h3f80ad2a,32'h3f8e38a8, 32'h3f73ced7,32'h3f94fe67,// invsqrt(0.8930) = 1.0582 +32'h3fa661e3,32'h3f5c0c48,32'h3f65078e, 32'h3f554fd2,32'h3f6bc404, 32'h3f4a15ba,32'h3f76fe1c,// invsqrt(1.2999) = 0.8771 +32'h3f2457e3,32'h3f9c8f49,32'h3fa2f32d, 32'h3f97c45e,32'h3fa7be18, 32'h3f8fc782,32'h3fafbaf4,// invsqrt(0.6420) = 1.2481 +32'h3efb202c,32'h3fb31cc1,32'h3fba6c4c, 32'h3fada11a,32'h3fbfe7f4, 32'h3fa47dad,32'h3fc90b61,// invsqrt(0.4905) = 1.4279 +32'h3eabd204,32'h3fd889ac,32'h3fe16046, 32'h3fd1e8b9,32'h3fe80139, 32'h3fc6dc7a,32'h3ff30d78,// invsqrt(0.3356) = 1.7262 +32'h3ed8ad11,32'h3fc0d374,32'h3fc8b24a, 32'h3fbaec54,32'h3fce996a, 32'h3fb115c9,32'h3fd86ff5,// invsqrt(0.4232) = 1.5372 +32'h3faab3c3,32'h3f593ef0,32'h3f621cef, 32'h3f529870,32'h3f68c36e, 32'h3f4782f1,32'h3f73d8ed,// invsqrt(1.3336) = 0.8659 +32'h3f64ab9e,32'h3f84b978,32'h3f8a244e, 32'h3f80a958,32'h3f8e346e, 32'h3f73c799,32'h3f94f9fa,// invsqrt(0.8932) = 1.0581 +32'h3fd27325,32'h3f43a85a,32'h3f4ba4c6, 32'h3f3dab09,32'h3f51a217, 32'h3f33af82,32'h3f5b9d9e,// invsqrt(1.6441) = 0.7799 +32'h3f7e163b,32'h3f7bd29f,32'h3f830cf5, 32'h3f741d27,32'h3f86e7b0, 32'h3f67440a,32'h3f8d543f,// invsqrt(0.9925) = 1.0038 +32'h3ec7789e,32'h3fc8f84a,32'h3fd12c38, 32'h3fc2d157,32'h3fd7532b, 32'h3fb8906e,32'h3fe19414,// invsqrt(0.3896) = 1.6021 +32'h3f533f58,32'h3f8a16e2,32'h3f8fb9c6, 32'h3f85dcb6,32'h3f93f3f2, 32'h3f7da231,32'h3f9aff90,// invsqrt(0.8252) = 1.1008 +32'h3e8045bb,32'h3ffa9d0e,32'h40026bda, 32'h3ff2f10f,32'h400641d9, 32'h3fe627be,32'h400ca682,// invsqrt(0.2505) = 1.9979 +32'h3f71c339,32'h3f8114ac,32'h3f865970, 32'h3f7a4234,32'h3f8a4d02, 32'h3f6d164c,32'h3f90e2f6,// invsqrt(0.9444) = 1.0290 +32'h3eb01f8e,32'h3fd5e055,32'h3fde9b1f, 32'h3fcf543e,32'h3fe52736, 32'h3fc46ac1,32'h3ff010b3,// invsqrt(0.3440) = 1.7050 +32'h3f6780d9,32'h3f83e8f0,32'h3f894b42, 32'h3f7fbe63,32'h3f8d5501, 32'h3f724893,32'h3f940fe8,// invsqrt(0.9043) = 1.0516 +32'h3f03c9b9,32'h3faed4b4,32'h3fb5f782, 32'h3fa97a9a,32'h3fbb519c, 32'h3fa08f18,32'h3fc43d1e,// invsqrt(0.5148) = 1.3937 +32'h4030c62f,32'h3f16f467,32'h3f1d1dba, 32'h3f12556a,32'h3f21bcb8, 32'h3f0aa1c2,32'h3f297060,// invsqrt(2.7621) = 0.6017 +32'h3e06a2c3,32'h402cf8d4,32'h40340836, 32'h4027ad4b,32'h403953bf, 32'h401eda11,32'h404226f9,// invsqrt(0.1315) = 2.7578 +32'h3fdb76e0,32'h3f3f98de,32'h3f476add, 32'h3f39bb60,32'h3f4d485c, 32'h3f2ff4e1,32'h3f570edb,// invsqrt(1.7146) = 0.7637 +32'h3f2ad436,32'h3f998f1b,32'h3f9fd3a4, 32'h3f94dbb5,32'h3fa4870b, 32'h3f8d060a,32'h3fac5cb6,// invsqrt(0.6673) = 1.2242 +32'h40b6391a,32'h3ed24423,32'h3edad934, 32'h3ecbd456,32'h3ee14900, 32'h3ec11a00,32'h3eec0356,// invsqrt(5.6945) = 0.4191 +32'h3f16ae05,32'h3fa3810b,32'h3faa2d7e, 32'h3f9e7fb4,32'h3faf2ed4, 32'h3f962824,32'h3fb78664,// invsqrt(0.5886) = 1.3034 +32'h3f9f2434,32'h3f60ff97,32'h3f6a2e97, 32'h3f5a1c56,32'h3f7111d8, 32'h3f4ea195,32'h3f7c8c99,// invsqrt(1.2433) = 0.8968 +32'h3fac868a,32'h3f581845,32'h3f60ea3d, 32'h3f517acb,32'h3f6787b7, 32'h3f467454,32'h3f728e2e,// invsqrt(1.3479) = 0.8613 +32'h3f05851d,32'h3fadb178,32'h3fb4c862, 32'h3fa86048,32'h3fba1992, 32'h3f9f83a2,32'h3fc2f638,// invsqrt(0.5216) = 1.3847 +32'h3fa7b615,32'h3f5b2ca8,32'h3f641ecf, 32'h3f54770c,32'h3f6ad46c, 32'h3f49485c,32'h3f76031c,// invsqrt(1.3102) = 0.8736 +32'h405441d4,32'h3f09c2b4,32'h3f0f6229, 32'h3f058b1c,32'h3f1399c0, 32'h3efd0793,32'h3f1aa113,// invsqrt(3.3165) = 0.5491 +32'h3e8b0a83,32'h3ff0b685,32'h3ffa89b9, 32'h3fe9581d,32'h4000f410, 32'h3fdd101c,32'h40071811,// invsqrt(0.2716) = 1.9189 +32'h3f92e0d9,32'h3f6a33ef,32'h3f73c31d, 32'h3f63088c,32'h3f7aee80, 32'h3f571592,32'h3f8370bd,// invsqrt(1.1475) = 0.9335 +32'h407cdbfb,32'h3efc6ee9,32'h3f035e4b, 32'h3ef4b4aa,32'h3f073b6b, 32'h3ee7d393,32'h3f0dabf6,// invsqrt(3.9509) = 0.5031 +32'h3e35b8d1,32'h4014e2af,32'h401af663, 32'h401053e9,32'h401f8529, 32'h4008bb48,32'h40271dca,// invsqrt(0.1775) = 2.3738 +32'h3eecfebe,32'h3fb85fed,32'h3fbfe675, 32'h3fb2bb08,32'h3fc58b5a, 32'h3fa952df,32'h3fcef383,// invsqrt(0.4629) = 1.4698 +32'h3e9b558a,32'h3fe3bd35,32'h3fed08d9, 32'h3fdcc47a,32'h3ff40194, 32'h3fd125ed,32'h3fffa021,// invsqrt(0.3034) = 1.8155 +32'h414ed56a,32'h3e8b8e15,32'h3e91404b, 32'h3e87486e,32'h3e9585f2, 32'h3e8029ab,32'h3e9ca4b5,// invsqrt(12.9271) = 0.2781 +32'h4141e146,32'h3e902445,32'h3e960667, 32'h3e8bbaab,32'h3e9a7001, 32'h3e846001,32'h3ea1caab,// invsqrt(12.1175) = 0.2873 +32'h3f342028,32'h3f958b34,32'h3f9ba5c8, 32'h3f90f744,32'h3fa039b8, 32'h3f89560b,32'h3fa7daf1,// invsqrt(0.7036) = 1.1922 +32'h3ea46eaf,32'h3fdd5952,32'h3fe66230, 32'h3fd692ab,32'h3fed28d7, 32'h3fcb4794,32'h3ff873ee,// invsqrt(0.3212) = 1.7646 +32'h3f8d7faa,32'h3f6e9d07,32'h3f785a4c, 32'h3f674f15,32'h3f7fa83f, 32'h3f5b227f,32'h3f85ea6a,// invsqrt(1.1055) = 0.9511 +32'h3f123b99,32'h3fa5f8b7,32'h3facbef3, 32'h3fa0e40a,32'h3fb1d3a0, 32'h3f986c40,32'h3fba4b6a,// invsqrt(0.5712) = 1.3231 +32'h3e93112e,32'h3fea0d6f,32'h3ff39b0b, 32'h3fe2e33a,32'h3ffac540, 32'h3fd6f237,32'h40035b22,// invsqrt(0.2872) = 1.8659 +32'h3fcf3fca,32'h3f4529a3,32'h3f4d35c9, 32'h3f3f2086,32'h3f533ee6, 32'h3f351157,32'h3f5d4e15,// invsqrt(1.6191) = 0.7859 +32'h3ea31140,32'h3fde45fd,32'h3fe75885, 32'h3fd77817,32'h3fee266b, 32'h3fcc20ee,32'h3ff97d94,// invsqrt(0.3185) = 1.7719 +32'h3f7df624,32'h3f7be287,32'h3f83153c, 32'h3f742c93,32'h3f86f036, 32'h3f6752a6,32'h3f8d5d2d,// invsqrt(0.9920) = 1.0040 +32'h3d17d74d,32'h40a2e0ad,32'h40a98695, 32'h409de43f,32'h40ae8303, 32'h409594de,32'h40b6d264,// invsqrt(0.0371) = 5.1938 +32'h3ff831cd,32'h3f342ab8,32'h3f3b8547, 32'h3f2ea6cc,32'h3f410932, 32'h3f257599,32'h3f4a3a65,// invsqrt(1.9390) = 0.7181 +32'h3ea32736,32'h3fde3707,32'h3fe748f3, 32'h3fd76997,32'h3fee1663, 32'h3fcc1330,32'h3ff96cca,// invsqrt(0.3187) = 1.7715 +32'h416592dc,32'h3e84768f,32'h3e89deaa, 32'h3e80687c,32'h3e8decbe, 32'h3e734cb4,32'h3e94aee0,// invsqrt(14.3484) = 0.2640 +32'h3f99c16b,32'h3f64e7bb,32'h3f6e3f8e, 32'h3f5de5dc,32'h3f75416c, 32'h3f523814,32'h3f80779a,// invsqrt(1.2012) = 0.9124 +32'h3edbb82b,32'h3fbf7c64,32'h3fc74d3a, 32'h3fb99fc5,32'h3fcd29d9, 32'h3fafdaba,32'h3fd6eee4,// invsqrt(0.4291) = 1.5265 +32'h402f238f,32'h3f17a865,32'h3f1dd910, 32'h3f1303e4,32'h3f227d90, 32'h3f0b470e,32'h3f2a3a66,// invsqrt(2.7365) = 0.6045 +32'h3f7e5f2a,32'h3f7bae82,32'h3f82fa29, 32'h3f73fa25,32'h3f86d458, 32'h3f6722df,32'h3f8d3ffa,// invsqrt(0.9936) = 1.0032 +32'h3d94ddd5,32'h4068a235,32'h407220fe, 32'h4061831f,32'h40794015, 32'h4055a4a4,32'h40828f48,// invsqrt(0.0727) = 3.7091 +32'h3f62b337,32'h3f854cce,32'h3f8abda8, 32'h3f81382b,32'h3f8ed24b, 32'h3f74d637,32'h3f959f5a,// invsqrt(0.8855) = 1.0627 +32'h3f5b4d3b,32'h3f8787a6,32'h3f8d0fcc, 32'h3f836189,32'h3f9135e9, 32'h3f78eeb3,32'h3f982018,// invsqrt(0.8566) = 1.0804 +32'h3f0d1019,32'h3fa8fc4f,32'h3fafe209, 32'h3fa3d003,32'h3fb50e55, 32'h3f9b30db,32'h3fbdad7d,// invsqrt(0.5510) = 1.3471 +32'h3ef0d564,32'h3fb6e646,32'h3fbe5d62, 32'h3fb14cf0,32'h3fc3f6b8, 32'h3fa7f80c,32'h3fcd4b9c,// invsqrt(0.4704) = 1.4581 +32'h3f294cfc,32'h3f9a4022,32'h3fa08be4, 32'h3f958750,32'h3fa544b6, 32'h3f8da89c,32'h3fad236a,// invsqrt(0.6613) = 1.2297 +32'h3cdd056a,32'h40beebd2,32'h40c6b6c1, 32'h40b913a0,32'h40cc8ef4, 32'h40af55f6,32'h40d64c9e,// invsqrt(0.0270) = 6.0880 +32'h3ef34bc1,32'h3fb5f8bb,32'h3fbd6625, 32'h3fb066aa,32'h3fc2f836, 32'h3fa71de5,32'h3fcc40fb,// invsqrt(0.4752) = 1.4507 +32'h3edb0b33,32'h3fbfc7f0,32'h3fc79bda, 32'h3fb9e900,32'h3fcd7aca, 32'h3fb0201b,32'h3fd743af,// invsqrt(0.4278) = 1.5289 +32'h41dc8000,32'h3e3f258c,32'h3e46f2d6, 32'h3e394b95,32'h3e4ccccd, 32'h3e2f8af9,32'h3e568d69,// invsqrt(27.5625) = 0.1905 +32'h3d96b048,32'h4067390f,32'h4070a91b, 32'h40602507,32'h4077bd23, 32'h405458f9,32'h4081c498,// invsqrt(0.0736) = 3.6866 +32'h3f1eda94,32'h3f9f3de9,32'h3fa5bdd4, 32'h3f9a5dfa,32'h3faa9dc4, 32'h3f923e16,32'h3fb2bda9,// invsqrt(0.6205) = 1.2695 +32'h3f856a38,32'h3f75bc6c,32'h3f7fc41d, 32'h3f6e36a8,32'h3f83a4f1, 32'h3f61ad0b,32'h3f89e9c0,// invsqrt(1.0423) = 0.9795 +32'h3fb956bf,32'h3f507dc1,32'h3f590047, 32'h3f4a1bdd,32'h3f5f622b, 32'h3f3f78b7,32'h3f6a0551,// invsqrt(1.4480) = 0.8310 +32'h3fef1eba,32'h3f378dbd,32'h3f3f0baf, 32'h3f31ef46,32'h3f44aa26, 32'h3f2891d7,32'h3f4e0795,// invsqrt(1.8681) = 0.7316 +32'h4151e9bd,32'h3e8a8711,32'h3e902e89, 32'h3e864976,32'h3e946c24, 32'h3e7e703e,32'h3e9b7d7b,// invsqrt(13.1196) = 0.2761 +32'h4097c43a,32'h3ee6667a,32'h3eefcded, 32'h3edf58e5,32'h3ef6db83, 32'h3ed39795,32'h3f014e69,// invsqrt(4.7427) = 0.4592 +32'h3fd1c232,32'h3f43fad0,32'h3f4bfa9a, 32'h3f3dfaf9,32'h3f51fa71, 32'h3f33fb3c,32'h3f5bfa2e,// invsqrt(1.6387) = 0.7812 +32'h3e819a66,32'h3ff952d4,32'h4001c001, 32'h3ff1b0f2,32'h400590f2, 32'h3fe4f87a,32'h400bed2e,// invsqrt(0.2531) = 1.9876 +32'h3f45f4be,32'h3f8ea664,32'h3f9478f0, 32'h3f8a487b,32'h3f98d6d9, 32'h3f83014c,32'h3fa01e08,// invsqrt(0.7733) = 1.1372 +32'h41a8bbaf,32'h3e5a827f,32'h3e636db4, 32'h3e53d219,32'h3e6a1e1b, 32'h3e48ac17,32'h3e75441d,// invsqrt(21.0916) = 0.2177 +32'h3f44bff5,32'h3f8f1629,32'h3f94ed45, 32'h3f8ab4d4,32'h3f994e9a, 32'h3f8367f2,32'h3fa09b7c,// invsqrt(0.7686) = 1.1407 +32'h3f7c6402,32'h3f7caae1,32'h3f837d80, 32'h3f74eeca,32'h3f875b8b, 32'h3f680aa5,32'h3f8dcd9e,// invsqrt(0.9859) = 1.0071 +32'h3f94f6fa,32'h3f688e92,32'h3f720c8e, 32'h3f617016,32'h3f792b0a, 32'h3f55929b,32'h3f828442,// invsqrt(1.1638) = 0.9270 +32'h3f802fed,32'h3f7ab25d,32'h3f8276f2, 32'h3f7305b8,32'h3f864d44, 32'h3f663b50,32'h3f8cb278,// invsqrt(1.0015) = 0.9993 +32'h3e346e17,32'h40156ae4,32'h401b8427, 32'h4010d7f2,32'h4020171a, 32'h4009385f,32'h4027b6ad,// invsqrt(0.1762) = 2.3823 +32'h3fd7b1b8,32'h3f4143ad,32'h3f492717, 32'h3f3b591d,32'h3f4f11a7, 32'h3f317cd8,32'h3f58edec,// invsqrt(1.6851) = 0.7703 +32'h3e91398a,32'h3feb884c,32'h3ff5255f, 32'h3fe4527e,32'h3ffc5b2e, 32'h3fd84e27,32'h40042fc2,// invsqrt(0.2836) = 1.8777 +32'h3e9f2c15,32'h3fe0fa05,32'h3fea28cb, 32'h3fda16f0,32'h3ff10be0, 32'h3fce9c77,32'h3ffc8659,// invsqrt(0.3109) = 1.7935 +32'h3ee83268,32'h3fba451c,32'h3fc1df70, 32'h3fb4915c,32'h3fc79330, 32'h3fab1072,32'h3fd1141a,// invsqrt(0.4535) = 1.4849 +32'h3f124dfe,32'h3fa5ee48,32'h3facb416, 32'h3fa0d9ed,32'h3fb1c871, 32'h3f9862aa,32'h3fba3fb4,// invsqrt(0.5715) = 1.3228 +32'h3fa768d2,32'h3f5b5f36,32'h3f64536c, 32'h3f54a80d,32'h3f6b0a95, 32'h3f4976c9,32'h3f763bd9,// invsqrt(1.3079) = 0.8744 +32'h3cffd7de,32'h40b1740b,32'h40b8b23f, 32'h40ac0563,32'h40be20e7, 32'h40a2f7a1,32'h40c72ea9,// invsqrt(0.0312) = 5.6586 +32'h41467ee2,32'h3e8e74b8,32'h3e94453d, 32'h3e8a1855,32'h3e98a1a1, 32'h3e82d3af,32'h3e9fe647,// invsqrt(12.4060) = 0.2839 +32'h3f36ae17,32'h3f947e9a,32'h3f9a8e38, 32'h3f8ff2e4,32'h3f9f19ee, 32'h3f885f5e,32'h3fa6ad74,// invsqrt(0.7136) = 1.1838 +32'h4034c049,32'h3f1548e7,32'h3f1b60c7, 32'h3f10b6ff,32'h3f1ff2af, 32'h3f091928,32'h3f279086,// invsqrt(2.8242) = 0.5950 +32'h4018f882,32'h3f22466c,32'h3f28e608, 32'h3f1d4eb7,32'h3f2dddbd, 32'h3f150734,32'h3f362540,// invsqrt(2.3902) = 0.6468 +32'h3f610439,32'h3f85cc3a,32'h3f8b4247, 32'h3f81b3b1,32'h3f8f5ad1, 32'h3f75c042,32'h3f962e61,// invsqrt(0.8790) = 1.0666 +32'h3e9dfe24,32'h3fe1d099,32'h3feb0821, 32'h3fdae6f2,32'h3ff1f1c8, 32'h3fcf6187,32'h3ffd7733,// invsqrt(0.3086) = 1.8002 +32'h3e753960,32'h40002a9e,32'h400565d4, 32'h3ff87c6c,32'h4009523c, 32'h3feb6867,32'h400fdc3f,// invsqrt(0.2395) = 2.0435 +32'h3d326951,32'h409642ae,32'h409c64c0, 32'h4091a921,32'h40a0fe4d, 32'h4089fe8b,32'h40a8a8e3,// invsqrt(0.0436) = 4.7915 +32'h3fcf6538,32'h3f4517d7,32'h3f4d2343, 32'h3f3f0f46,32'h3f532bd4, 32'h3f3500ff,32'h3f5d3a1b,// invsqrt(1.6203) = 0.7856 +32'h3f70e302,32'h3f8150b1,32'h3f8697e7, 32'h3f7ab690,32'h3f8a8d50, 32'h3f6d8489,32'h3f912654,// invsqrt(0.9410) = 1.0309 +32'h3ef8c171,32'h3fb3f6ac,32'h3fbb4f1b, 32'h3fae7458,32'h3fc0d16e, 32'h3fa545cc,32'h3fc9fffa,// invsqrt(0.4859) = 1.4347 +32'h3ed680b6,32'h3fc1cce4,32'h3fc9b5e8, 32'h3fbbde21,32'h3fcfa4ab, 32'h3fb1fadc,32'h3fd987f0,// invsqrt(0.4190) = 1.5450 +32'h3f2e0427,32'h3f98256f,32'h3f9e5b34, 32'h3f937d1a,32'h3fa30388, 32'h3f8bb9e2,32'h3faac6c0,// invsqrt(0.6798) = 1.2129 +32'h3ffb2221,32'h3f331c0f,32'h3f3a6b92, 32'h3f2da06c,32'h3f3fe734, 32'h3f247d08,32'h3f490a98,// invsqrt(1.9620) = 0.7139 +32'h3f9190eb,32'h3f6b4191,32'h3f74dbc1, 32'h3f640ded,32'h3f7c0f65, 32'h3f580d32,32'h3f840810,// invsqrt(1.1372) = 0.9377 +32'h3f9cd798,32'h3f62a43f,32'h3f6be46c, 32'h3f5bb41e,32'h3f72d48e, 32'h3f5023e7,32'h3f7e64c5,// invsqrt(1.2253) = 0.9034 +32'h3e1ff60e,32'h401eb091,32'h40252ab6, 32'h4019d4f4,32'h402a0652, 32'h4011bc46,32'h40321f00,// invsqrt(0.1562) = 2.5301 +32'h3f70f271,32'h3f814c8d,32'h3f869397, 32'h3f7aae89,32'h3f8a88e0, 32'h3f6d7ced,32'h3f9121ad,// invsqrt(0.9412) = 1.0308 +32'h400049aa,32'h3f31332c,32'h3f386eba, 32'h3f2bc680,32'h3f3ddb66, 32'h3f22bc0e,32'h3f46e5d8,// invsqrt(2.0045) = 0.7063 +32'h3f220e41,32'h3f9da92e,32'h3fa41894, 32'h3f98d5a3,32'h3fa8ec1f, 32'h3f90ca64,32'h3fb0f75e,// invsqrt(0.6330) = 1.2569 +32'h407f2d6f,32'h3efb48b5,32'h3f02c52f, 32'h3ef39776,32'h3f069dcf, 32'h3ee6c563,32'h3f0d06d9,// invsqrt(3.9871) = 0.5008 +32'h42090000,32'h3e2b7916,32'h3e3278ce, 32'h3e26394c,32'h3e37b898, 32'h3e1d79a7,32'h3e40783d,// invsqrt(34.2500) = 0.1709 +32'h40b989d9,32'h3ed06109,32'h3ed8e263, 32'h3eca0006,32'h3edf4366, 32'h3ebf5e57,32'h3ee9e515,// invsqrt(5.7981) = 0.4153 +32'h3e484e25,32'h400dcf9c,32'h40139964, 32'h40097846,32'h4017f0ba, 32'h40023c0d,32'h401f2cf3,// invsqrt(0.1956) = 2.2610 +32'h40358eb7,32'h3f14f3f1,32'h3f1b0859, 32'h3f1064a3,32'h3f1f97a7, 32'h3f08cb21,32'h3f273129,// invsqrt(2.8368) = 0.5937 +32'h3fc645ed,32'h3f49937c,32'h3f51cdc0, 32'h3f4367c9,32'h3f57f973, 32'h3f391ef5,32'h3f624247,// invsqrt(1.5490) = 0.8035 +32'h3f5bc3e1,32'h3f87630b,32'h3f8ce9b3, 32'h3f833e0d,32'h3f910eb1, 32'h3f78ab78,32'h3f97f702,// invsqrt(0.8585) = 1.0793 +32'h3ff75e70,32'h3f3477a0,32'h3f3bd552, 32'h3f2ef159,32'h3f415b99, 32'h3f25bc3a,32'h3f4a90b8,// invsqrt(1.9326) = 0.7193 +32'h3e1b037e,32'h402133c6,32'h4027c82c, 32'h401c4479,32'h402cb779, 32'h40140afa,32'h4034f0f8,// invsqrt(0.1514) = 2.5702 +32'h40e4e87a,32'h3ebb9a71,32'h3ec342b4, 32'h3eb5dc3e,32'h3ec900e6, 32'h3eac49ea,32'h3ed2933a,// invsqrt(7.1534) = 0.3739 +32'h3eac6992,32'h3fd82a6c,32'h3fe0fd22, 32'h3fd18c63,32'h3fe79b2b, 32'h3fc68500,32'h3ff2a28e,// invsqrt(0.3367) = 1.7233 +32'h3f7538e9,32'h3f802abd,32'h3f8565f3, 32'h3f787ca7,32'h3f89525c, 32'h3f6b689e,32'h3f8fdc61,// invsqrt(0.9579) = 1.0217 +32'h3f4d336d,32'h3f8c1bf0,32'h3f91d3ef, 32'h3f87d1f0,32'h3f961dee, 32'h3f80abf1,32'h3f9d43ed,// invsqrt(0.8016) = 1.1169 +32'h40b75211,32'h3ed1a2c3,32'h3eda313d, 32'h3ecb37e6,32'h3ee09c1a, 32'h3ec085cd,32'h3eeb4e33,// invsqrt(5.7288) = 0.4178 +32'h3ee67c3b,32'h3fbaf5d7,32'h3fc29763, 32'h3fb53caf,32'h3fc8508b, 32'h3fabb2c0,32'h3fd1da7a,// invsqrt(0.4502) = 1.4904 +32'h3f3b1618,32'h3f92bc46,32'h3f98b982, 32'h3f8e3e59,32'h3f9d376f, 32'h3f86c1cd,32'h3fa4b3fb,// invsqrt(0.7308) = 1.1698 +32'h3f729a32,32'h3f80db6f,32'h3f861ddc, 32'h3f79d33a,32'h3f8a0fad, 32'h3f6cad29,32'h3f90a2b6,// invsqrt(0.9477) = 1.0272 +32'h3f47c27a,32'h3f8e0127,32'h3f93ccf5, 32'h3f89a84d,32'h3f9825cf, 32'h3f82698d,32'h3f9f648f,// invsqrt(0.7803) = 1.1321 +32'h3f468cce,32'h3f8e6fb9,32'h3f94400a, 32'h3f8a137d,32'h3f989c47, 32'h3f82cf18,32'h3f9fe0ac,// invsqrt(0.7756) = 1.1355 +32'h3f8c8307,32'h3f6f732a,32'h3f79392c, 32'h3f681ea9,32'h3f8046d7, 32'h3f5be727,32'h3f866298,// invsqrt(1.0977) = 0.9544 +32'h3f862842,32'h3f750e22,32'h3f7f0eb6, 32'h3f6d8db3,32'h3f834792, 32'h3f610cfb,32'h3f8987ef,// invsqrt(1.0481) = 0.9768 +32'h3e03ea7b,32'h402ebefe,32'h4035e0e8, 32'h4029658d,32'h403b3a59, 32'h40207b28,32'h404424bf,// invsqrt(0.1288) = 2.7861 +32'h3e12d048,32'h4025a497,32'h402c6763, 32'h4020927d,32'h4031797d, 32'h40181efd,32'h4039ecfd,// invsqrt(0.1434) = 2.6410 +32'h3e98428e,32'h3fe606d2,32'h3fef6a5e, 32'h3fdefc2a,32'h3ff67506, 32'h3fd33fbc,32'h400118ba,// invsqrt(0.2974) = 1.8338 +32'h3ea70834,32'h3fdb9e9f,32'h3fe4956d, 32'h3fd4e586,32'h3feb4e86, 32'h3fc9b105,32'h3ff68307,// invsqrt(0.3262) = 1.7508 +32'h3e003b03,32'h40313d4b,32'h40387943, 32'h402bd050,32'h403de63e, 32'h4022c55a,32'h4046f135,// invsqrt(0.1252) = 2.8259 +32'h3e97ff3b,32'h3fe639be,32'h3fef9f5e, 32'h3fdf2d87,32'h3ff6ab95, 32'h3fd36e80,32'h4001354e,// invsqrt(0.2969) = 1.8353 +32'h409d7216,32'h3ee234f2,32'h3eeb7094, 32'h3edb4839,32'h3ef25d4d, 32'h3ecfbdb0,32'h3efde7d7,// invsqrt(4.9202) = 0.4508 +32'h3e157dd0,32'h40242713,32'h402ada4d, 32'h401f20a7,32'h402fe0b9, 32'h4016c09e,32'h403840c2,// invsqrt(0.1460) = 2.6172 +32'h4048a9aa,32'h3f0daf42,32'h3f1377b8, 32'h3f0958ea,32'h3f17ce10, 32'h3f021e57,32'h3f1f08a3,// invsqrt(3.1354) = 0.5648 +32'h3f47190a,32'h3f8e3d87,32'h3f940bcb, 32'h3f89e2d4,32'h3f98667e, 32'h3f82a0fe,32'h3f9fa854,// invsqrt(0.7777) = 1.1339 +32'h3ec341a7,32'h3fcb2098,32'h3fd36b11, 32'h3fc4e8bd,32'h3fd9a2eb, 32'h3fba8ba5,32'h3fe40003,// invsqrt(0.3814) = 1.6193 +32'h3e98418c,32'h3fe60795,32'h3fef6b29, 32'h3fdefce7,32'h3ff675d7, 32'h3fd3406f,32'h40011927,// invsqrt(0.2974) = 1.8338 +32'h3fa9f660,32'h3f59b7d7,32'h3f629ac6, 32'h3f530da5,32'h3f6944f9, 32'h3f47f1fa,32'h3f7460a4,// invsqrt(1.3278) = 0.8678 +32'h3fc87c94,32'h3f4875d4,32'h3f50a46f, 32'h3f4252e0,32'h3f56c764, 32'h3f38189f,32'h3f6101a5,// invsqrt(1.5663) = 0.7990 +32'h3e9fe582,32'h3fe0776c,32'h3fe9a0de, 32'h3fd99856,32'h3ff07ff4, 32'h3fce2488,32'h3ffbf3c2,// invsqrt(0.3123) = 1.7894 +32'h411ec2f0,32'h3e9f49c4,32'h3ea5ca2a, 32'h3e9a6977,32'h3eaaaa77, 32'h3e9248f8,32'h3eb2caf6,// invsqrt(9.9226) = 0.3175 +32'h3f8a36dc,32'h3f716e8d,32'h3f7b4945, 32'h3f6a0a84,32'h3f8156a7, 32'h3f5db91e,32'h3f877f5a,// invsqrt(1.0798) = 0.9623 +32'h3f7161b8,32'h3f812ebb,32'h3f86748f, 32'h3f7a74ba,32'h3f8a68ed, 32'h3f6d4629,32'h3f910036,// invsqrt(0.9429) = 1.0298 +32'h3f52763a,32'h3f8a58cd,32'h3f8ffe63, 32'h3f861c9d,32'h3f943a93, 32'h3f7e1b45,32'h3f9b498e,// invsqrt(0.8221) = 1.1029 +32'h3f0dbcb5,32'h3fa8954a,32'h3faf76d0, 32'h3fa36c26,32'h3fb49ff4, 32'h3f9ad23f,32'h3fbd39db,// invsqrt(0.5537) = 1.3439 +32'h3be900a6,32'h4139f299,32'h41418990, 32'h41344161,32'h41473ac9, 32'h412ac4ac,32'h4150b77e,// invsqrt(0.0071) = 11.8589 +32'h3ebe3d89,32'h3fcdc9af,32'h3fd62ff5, 32'h3fc77cfb,32'h3fdc7ca9, 32'h3fbcfd23,32'h3fe6fc81,// invsqrt(0.3716) = 1.6405 +32'h3e5d34ab,32'h4006f201,32'h400c740b, 32'h4002d079,32'h40109593, 32'h3ff7dbd8,32'h40177820,// invsqrt(0.2160) = 2.1516 +32'h3dd9427d,32'h4040911a,32'h40486d3a, 32'h403aac02,32'h404e5252, 32'h4030d8d9,32'h4058257b,// invsqrt(0.1061) = 3.0703 +32'h4008add8,32'h3f2bac98,32'h3f32ae6a, 32'h3f266b3b,32'h3f37efc7, 32'h3f1da8f4,32'h3f40b20e,// invsqrt(2.1356) = 0.6843 +32'h3fafd680,32'h3f560cbf,32'h3f5ec959, 32'h3f4f7f4b,32'h3f6556cd, 32'h3f44938b,32'h3f70428d,// invsqrt(1.3737) = 0.8532 +32'h3f83bf41,32'h3f77495c,32'h3f80b0a0, 32'h3f6fb770,32'h3f847996, 32'h3f631993,32'h3f8ac884,// invsqrt(1.0293) = 0.9857 +32'h40a58977,32'h3edc9bf1,32'h3ee59d15, 32'h3ed5db16,32'h3eec5df0, 32'h3eca99a9,32'h3ef79f5d,// invsqrt(5.1730) = 0.4397 +32'h41005f83,32'h3eb12417,32'h3eb85f08, 32'h3eabb7e1,32'h3ebdcb3d, 32'h3ea2ae34,32'h3ec6d4ea,// invsqrt(8.0233) = 0.3530 +32'h3fae61d7,32'h3f56f0fc,32'h3f5fb6e7, 32'h3f505c8c,32'h3f664b58, 32'h3f456527,32'h3f7142bd,// invsqrt(1.3624) = 0.8567 +32'h3b3779b4,32'h41942c1e,32'h419a385e, 32'h418fa2ee,32'h419ec18e, 32'h4188139e,32'h41a650de,// invsqrt(0.0028) = 18.8995 +32'h3f1188ad,32'h3fa65e9e,32'h3fad2902, 32'h3fa146d2,32'h3fb240ce, 32'h3f98c9d5,32'h3fbabdcb,// invsqrt(0.5685) = 1.3263 +32'h4120c8c9,32'h3e9e4871,32'h3ea4be56, 32'h3e997004,32'h3ea996c2, 32'h3e915ca6,32'h3eb1aa20,// invsqrt(10.0490) = 0.3155 +32'h3e64d3d5,32'h4004adce,32'h400a182a, 32'h40009e09,32'h400e27ef, 32'h3ff3b22c,32'h4014ece2,// invsqrt(0.2235) = 2.1154 +32'h3ed8bf42,32'h3fc0cb5d,32'h3fc8a9de, 32'h3fbae47c,32'h3fce90be, 32'h3fb10e5a,32'h3fd866e0,// invsqrt(0.4233) = 1.5369 +32'h3f20d3ef,32'h3f9e42f4,32'h3fa4b8a0, 32'h3f996ab3,32'h3fa990e1, 32'h3f91579c,32'h3fb1a3f8,// invsqrt(0.6282) = 1.2617 +32'h3ea541c9,32'h3fdccbc4,32'h3fe5cedc, 32'h3fd60972,32'h3fec912e, 32'h3fcac595,32'h3ff7d50b,// invsqrt(0.3228) = 1.7602 +32'h3fa302ae,32'h3f5e4fec,32'h3f6762dc, 32'h3f5781b9,32'h3f6e310f, 32'h3f4c2a0d,32'h3f7988bb,// invsqrt(1.2735) = 0.8861 +32'h3f31c5e6,32'h3f9687ae,32'h3f9cac91, 32'h3f91ec05,32'h3fa1483b, 32'h3f8a3dea,32'h3fa8f656,// invsqrt(0.6944) = 1.2000 +32'h3f4919ec,32'h3f8d87b1,32'h3f934e89, 32'h3f89328f,32'h3f97a3ab, 32'h3f81fa00,32'h3f9edc3a,// invsqrt(0.7856) = 1.1283 +32'h3e906420,32'h3fec361c,32'h3ff5da47, 32'h3fe4fafc,32'h3ffd1568, 32'h3fd8edc7,32'h4004914f,// invsqrt(0.2820) = 1.8831 +32'h3f9178f0,32'h3f6b54f4,32'h3f74efee, 32'h3f6420b8,32'h3f7c242a, 32'h3f581eff,32'h3f8412f1,// invsqrt(1.1365) = 0.9380 +32'h3f11ec1a,32'h3fa625e6,32'h3facedfa, 32'h3fa10fd7,32'h3fb20409, 32'h3f9895be,32'h3fba7e22,// invsqrt(0.5700) = 1.3245 +32'h3ecd5198,32'h3fc6165d,32'h3fce2c2c, 32'h3fc00601,32'h3fd43c87, 32'h3fb5eabd,32'h3fde57cb,// invsqrt(0.4010) = 1.5791 +32'h3f3db7ce,32'h3f91b6d4,32'h3f97a964, 32'h3f8d40e7,32'h3f9c1f51, 32'h3f85d1b3,32'h3fa38e85,// invsqrt(0.7411) = 1.1616 +32'h400caf3c,32'h3f293672,32'h3f301e8c, 32'h3f24085f,32'h3f354c9f, 32'h3f1b663f,32'h3f3deebf,// invsqrt(2.1982) = 0.6745 +32'h3fe857cf,32'h3f3a361d,32'h3f41cfd5, 32'h3f3482d3,32'h3f47831f, 32'h3f2b02ad,32'h3f510345,// invsqrt(1.8152) = 0.7422 +32'h3ef4b7e6,32'h3fb57125,32'h3fbcd907, 32'h3fafe33b,32'h3fc266f1, 32'h3fa6a161,32'h3fcba8cb,// invsqrt(0.4780) = 1.4464 +32'h3e950060,32'h3fe8873d,32'h3ff204eb, 32'h3fe168f9,32'h3ff9232f, 32'h3fd58bdf,32'h40028025,// invsqrt(0.2910) = 1.8537 +32'h409d0e4b,32'h3ee27cc4,32'h3eebbb54, 32'h3edb8dd8,32'h3ef2aa40, 32'h3ecfffa4,32'h3efe3874,// invsqrt(4.9080) = 0.4514 +32'h4088d162,32'h3ef2a928,32'h3efc90b6, 32'h3eeb3b7d,32'h3f01ff31, 32'h3ededa0a,32'h3f082fea,// invsqrt(4.2756) = 0.4836 +32'h3f5b25ee,32'h3f8793cd,32'h3f8d1c72, 32'h3f836d51,32'h3f9142ed, 32'h3f790505,32'h3f982dbc,// invsqrt(0.8560) = 1.0808 +32'h3e379f40,32'h40141cf7,32'h401a2899, 32'h400f943e,32'h401eb152, 32'h400805b4,32'h40263fdc,// invsqrt(0.1793) = 2.3615 +32'h3fd6d1d8,32'h3f41a848,32'h3f498fcd, 32'h3f3bbaa3,32'h3f4f7d71, 32'h3f31d93c,32'h3f595ed8,// invsqrt(1.6783) = 0.7719 +32'h3fdaf574,32'h3f3fd176,32'h3f47a5c4, 32'h3f39f23c,32'h3f4d84fe, 32'h3f3028da,32'h3f574e60,// invsqrt(1.7106) = 0.7646 +32'h3f9cddd9,32'h3f629fbb,32'h3f6bdfb8, 32'h3f5bafbd,32'h3f72cfb5, 32'h3f501fc0,32'h3f7e5fb2,// invsqrt(1.2255) = 0.9033 +32'h3f8f72b2,32'h3f6cfc90,32'h3f76a8d4, 32'h3f65bb5c,32'h3f7dea08, 32'h3f59a407,32'h3f8500af,// invsqrt(1.1207) = 0.9446 +32'h41add555,32'h3e5747c9,32'h3e60113f, 32'h3e50b0b1,32'h3e66a857, 32'h3e45b4dd,32'h3e71a42b,// invsqrt(21.7292) = 0.2145 +32'h3f0c2c85,32'h3fa98545,32'h3fb07097, 32'h3fa454c8,32'h3fb5a114, 32'h3f9baea3,32'h3fbe4739,// invsqrt(0.5476) = 1.3514 +32'h3f8a6465,32'h3f7146d2,32'h3f7b1fea, 32'h3f69e400,32'h3f81415e, 32'h3f5d94a1,32'h3f87690d,// invsqrt(1.0812) = 0.9617 +32'h4017210b,32'h3f2342c6,32'h3f29ecaf, 32'h3f1e4358,32'h3f2eec1e, 32'h3f15eef5,32'h3f374081,// invsqrt(2.3614) = 0.6508 +32'h413037aa,32'h3e973166,32'h3e9d5d36, 32'h3e92908a,32'h3ea1fe12, 32'h3e8ad9c6,32'h3ea9b4d6,// invsqrt(11.0136) = 0.3013 +32'h414efc8d,32'h3e8b80e3,32'h3e91328f, 32'h3e873ba3,32'h3e9577cf, 32'h3e801d8d,32'h3e9c95e5,// invsqrt(12.9367) = 0.2780 +32'h4046c1a9,32'h3f0e5cc8,32'h3f142c52, 32'h3f0a0120,32'h3f1887fa, 32'h3f02bdb2,32'h3f1fcb68,// invsqrt(3.1056) = 0.5675 +32'h4017025b,32'h3f23535c,32'h3f29fdf2, 32'h3f1e536b,32'h3f2efde3, 32'h3f15fe30,32'h3f37531e,// invsqrt(2.3595) = 0.6510 +32'h3edd9450,32'h3fbeae38,32'h3fc676a3, 32'h3fb8d7e8,32'h3fcc4cf4, 32'h3faf1d63,32'h3fd60779,// invsqrt(0.4328) = 1.5201 +32'h4115fab1,32'h3ea3e2ad,32'h3eaa931d, 32'h3e9ede5a,32'h3eaf9770, 32'h3e9681ce,32'h3eb7f3fc,// invsqrt(9.3737) = 0.3266 +32'h40aa5667,32'h3ed97a70,32'h3ee25ade, 32'h3ed2d21f,32'h3ee9032f, 32'h3ec7b996,32'h3ef41bb8,// invsqrt(5.3230) = 0.4334 +32'h4029eba3,32'h3f19f80e,32'h3f2040e0, 32'h3f154171,32'h3f24f77d, 32'h3f0d666b,32'h3f2cd283,// invsqrt(2.6550) = 0.6137 +32'h3e70ccc9,32'h400156a8,32'h40069e1d, 32'h3ffac222,32'h400a93b5, 32'h3fed8f7f,32'h40112d06,// invsqrt(0.2352) = 2.0622 +32'h40579d5e,32'h3f08af09,32'h3f0e433d, 32'h3f047fe1,32'h3f127265, 32'h3efb0d3f,32'h3f196ba6,// invsqrt(3.3690) = 0.5448 +32'h3f12eaab,32'h3fa595b6,32'h3fac57e8, 32'h3fa08411,32'h3fb1698d, 32'h3f981154,32'h3fb9dc4a,// invsqrt(0.5739) = 1.3200 +32'h3fe60579,32'h3f3b2614,32'h3f42c998, 32'h3f356b72,32'h3f48843a, 32'h3f2bdf0d,32'h3f52109f,// invsqrt(1.7970) = 0.7460 +32'h3e821806,32'h3ff8da56,32'h4001814c, 32'h3ff13c24,32'h40055065, 32'h3fe489d2,32'h400ba98e,// invsqrt(0.2541) = 1.9838 +32'h3f87c7db,32'h3f7395f9,32'h3f7d8733, 32'h3f6c210e,32'h3f827e0f, 32'h3f5fb387,32'h3f88b4d2,// invsqrt(1.0608) = 0.9709 +32'h3f87f503,32'h3f736d82,32'h3f7d5d14, 32'h3f6bf9d4,32'h3f826861, 32'h3f5f8e5d,32'h3f889e1c,// invsqrt(1.0622) = 0.9703 +32'h3fc7e194,32'h3f48c37f,32'h3f50f545, 32'h3f429e2a,32'h3f571a9a, 32'h3f385ff2,32'h3f6158d2,// invsqrt(1.5616) = 0.8002 +32'h3f8ec402,32'h3f6d8d60,32'h3f773f8e, 32'h3f6647be,32'h3f7e8530, 32'h3f5a2905,32'h3f8551f5,// invsqrt(1.1154) = 0.9469 +32'h404b2479,32'h3f0cd133,32'h3f129099, 32'h3f0881a7,32'h3f16e025, 32'h3f015269,32'h3f1e0f63,// invsqrt(3.1741) = 0.5613 +32'h3e94cf39,32'h3fe8ada0,32'h3ff22ce0, 32'h3fe18e30,32'h3ff94c50, 32'h3fd5af20,32'h400295b0,// invsqrt(0.2906) = 1.8549 +32'h4237376c,32'h3e1446e8,32'h3e1a5440, 32'h3e0fbce6,32'h3e1ede42, 32'h3e082c38,32'h3e266ef0,// invsqrt(45.8041) = 0.1478 +32'h3df40151,32'h4035b4fb,32'h403d1fa1, 32'h403024fd,32'h4042af9f, 32'h4026dfad,32'h404bf4ef,// invsqrt(0.1191) = 2.8971 +32'h3f54b9c8,32'h3f899bd7,32'h3f8f39b5, 32'h3f85656f,32'h3f93701d, 32'h3f7cc031,32'h3f9a7573,// invsqrt(0.8310) = 1.0970 +32'h40ee4f0d,32'h3eb7dda6,32'h3ebf5edc, 32'h3eb23cbe,32'h3ec4ffc4, 32'h3ea8db3a,32'h3ece6148,// invsqrt(7.4471) = 0.3664 +32'h3e8deced,32'h3fee411d,32'h3ff7faa0, 32'h3fe6f5f9,32'h3fff45c3, 32'h3fdace15,32'h4005b6d4,// invsqrt(0.2772) = 1.8993 +32'h3e411084,32'h4010721d,32'h4016576d, 32'h400c0622,32'h401ac368, 32'h4004a77e,32'h4022220c,// invsqrt(0.1885) = 2.3030 +32'h4374e400,32'h3d8040f3,32'h3d857d12, 32'h3d78a7b9,32'h3d896a2a, 32'h3d6b916c,32'h3d8ff550,// invsqrt(244.8906) = 0.0639 +32'h41342ffd,32'h3e9584a2,32'h3e9b9ef2, 32'h3e90f0e6,32'h3ea032ae, 32'h3e895003,32'h3ea7d391,// invsqrt(11.2617) = 0.2980 +32'h3f847a6d,32'h3f769a6e,32'h3f805597, 32'h3f6f0ddd,32'h3f841bdf, 32'h3f6278ed,32'h3f8a6658,// invsqrt(1.0350) = 0.9830 +32'h3f86e5fe,32'h3f746190,32'h3f7e5b18, 32'h3f6ce669,32'h3f82eb1f, 32'h3f606e7f,32'h3f892715,// invsqrt(1.0539) = 0.9741 +32'h3cb0c762,32'h40d57ab7,32'h40de315b, 32'h40cef1bc,32'h40e4ba56, 32'h40c40d6e,32'h40ef9ea4,// invsqrt(0.0216) = 6.8074 +32'h3f1f9b0a,32'h3f9eddca,32'h3fa559c7, 32'h3f9a00cb,32'h3faa36c5, 32'h3f91e5ce,32'h3fb251c2,// invsqrt(0.6235) = 1.2665 +32'h3f2031de,32'h3f9e92ee,32'h3fa50bde, 32'h3f99b83a,32'h3fa9e692, 32'h3f91a10f,32'h3fb1fdbd,// invsqrt(0.6258) = 1.2641 +32'h3fb70195,32'h3f51d0d7,32'h3f5a6133, 32'h3f4b6492,32'h3f60cd78, 32'h3f40b01e,32'h3f6b81ec,// invsqrt(1.4297) = 0.8363 +32'h3db01a86,32'h4055e363,32'h405e9e4d, 32'h404f5734,32'h40652a7c, 32'h40446d8f,32'h40701421,// invsqrt(0.0860) = 3.4102 +32'h3f602c3a,32'h3f860ca0,32'h3f8b854e, 32'h3f81f21e,32'h3f8f9fd0, 32'h3f763689,32'h3f9676a9,// invsqrt(0.8757) = 1.0686 +32'h3fc3039d,32'h3f4b40e4,32'h3f538caf, 32'h3f45080d,32'h3f59c587, 32'h3f3aa94f,32'h3f642445,// invsqrt(1.5235) = 0.8102 +32'h4091f756,32'h3eeaeefa,32'h3ef485ca, 32'h3ee3bddd,32'h3efbb6e7, 32'h3ed7c158,32'h3f03d9b6,// invsqrt(4.5614) = 0.4682 +32'h3fa32ae3,32'h3f5e3486,32'h3f674658, 32'h3f576729,32'h3f6e13b5, 32'h3f4c10e4,32'h3f7969fa,// invsqrt(1.2747) = 0.8857 +32'h3f1ff552,32'h3f9eb0ee,32'h3fa52b18, 32'h3f99d550,32'h3faa06b6, 32'h3f91bc9c,32'h3fb21f6a,// invsqrt(0.6248) = 1.2651 +32'h3f805ce2,32'h3f7a8673,32'h3f826017, 32'h3f72db26,32'h3f8635bd, 32'h3f6612fb,32'h3f8c99d2,// invsqrt(1.0028) = 0.9986 +32'h3fd3c967,32'h3f430a02,32'h3f4afff7, 32'h3f3d1189,32'h3f50f86f, 32'h3f331e16,32'h3f5aebe2,// invsqrt(1.6546) = 0.7774 +32'h3fb66eca,32'h3f522530,32'h3f5ab8fe, 32'h3f4bb656,32'h3f6127d8, 32'h3f40fd95,32'h3f6be099,// invsqrt(1.4253) = 0.8376 +32'h4023fdd6,32'h3f1cba40,32'h3f231fe4, 32'h3f17ee04,32'h3f27ec20, 32'h3f0feef7,32'h3f2feb2d,// invsqrt(2.5624) = 0.6247 +32'h3e9c1150,32'h3fe3340b,32'h3fec7a15, 32'h3fdc3f82,32'h3ff36e9e, 32'h3fd0a7f5,32'h3fff062b,// invsqrt(0.3048) = 1.8113 +32'h3fa4e8de,32'h3f5d0743,32'h3f660cc8, 32'h3f56431e,32'h3f6cd0ec, 32'h3f4afc38,32'h3f7817d2,// invsqrt(1.2884) = 0.8810 +32'h3f150704,32'h3fa46873,32'h3fab1e59, 32'h3f9f6007,32'h3fb026c5, 32'h3f96fca9,32'h3fb88a23,// invsqrt(0.5821) = 1.3107 +32'h3fc38424,32'h3f4afe0b,32'h3f53471b, 32'h3f44c73f,32'h3f597de7, 32'h3f3a6beb,32'h3f63d93b,// invsqrt(1.5275) = 0.8091 +32'h3f76e153,32'h3f7f78c6,32'h3f84f319, 32'h3f77a6b6,32'h3f88dc21, 32'h3f6a9df0,32'h3f8f6084,// invsqrt(0.9644) = 1.0183 +32'h3f8d0008,32'h3f6f08ee,32'h3f78ca9a, 32'h3f67b7ad,32'h3f800ded, 32'h3f5b8597,32'h3f8626f9,// invsqrt(1.1016) = 0.9528 +32'h40023358,32'h3f2fe4b7,32'h3f37129f, 32'h3f2a8249,32'h3f3c750d, 32'h3f2188e7,32'h3f456e6f,// invsqrt(2.0344) = 0.7011 +32'h3fbcd261,32'h3f4e8f35,32'h3f56fd8b, 32'h3f483c75,32'h3f5d504b, 32'h3f3db28a,32'h3f67da36,// invsqrt(1.4752) = 0.8233 +32'h3fb7cc7c,32'h3f515ce7,32'h3f59e888, 32'h3f4af42f,32'h3f605141, 32'h3f4045a6,32'h3f6affca,// invsqrt(1.4359) = 0.8345 +32'h3fa5186f,32'h3f5ce769,32'h3f65eba2, 32'h3f56243f,32'h3f6caecd, 32'h3f4adef9,32'h3f77f413,// invsqrt(1.2898) = 0.8805 +32'h3f3ffdde,32'h3f90d94a,32'h3f96c2cf, 32'h3f8c6a25,32'h3f9b31f3, 32'h3f85063e,32'h3fa295da,// invsqrt(0.7500) = 1.1547 +32'h3ce13763,32'h40bd2277,32'h40c4dabb, 32'h40b75845,32'h40caa4ed, 32'h40adb1f0,32'h40d44b42,// invsqrt(0.0275) = 6.0311 +32'h3f76b190,32'h3f7f9180,32'h3f84fff6, 32'h3f77bead,32'h3f88e960, 32'h3f6ab4a4,32'h3f8f6e64,// invsqrt(0.9636) = 1.0187 +32'h3f01c436,32'h3fb02ff9,32'h3fb760f3, 32'h3faacb3d,32'h3fbcc5af, 32'h3fa1ce04,32'h3fc5c2e8,// invsqrt(0.5069) = 1.4046 +32'h3f89729e,32'h3f721aaa,32'h3f7bfc68, 32'h3f6ab15c,32'h3f81b2db, 32'h3f5e572e,32'h3f87dff2,// invsqrt(1.0738) = 0.9650 +32'h3f98b3f3,32'h3f65b15a,32'h3f6f1168, 32'h3f5ea94f,32'h3f761973, 32'h3f52f13e,32'h3f80e8c2,// invsqrt(1.1930) = 0.9155 +32'h40215fd1,32'h3f1dfe4e,32'h3f24712c, 32'h3f192827,32'h3f294753, 32'h3f111890,32'h3f3156ea,// invsqrt(2.5215) = 0.6298 +32'h40daeb17,32'h3ebfd600,32'h3ec7aa7e, 32'h3eb9f6a2,32'h3ecd89dc, 32'h3eb02d06,32'h3ed75379,// invsqrt(6.8412) = 0.3823 +32'h3f2ff719,32'h3f974d21,32'h3f9d7a13, 32'h3f92ab6c,32'h3fa21bc8, 32'h3f8af33e,32'h3fa9d3f6,// invsqrt(0.6874) = 1.2062 +32'h407466cd,32'h3f0061c9,32'h3f059f3f, 32'h3ef8e761,32'h3f098d57, 32'h3eebcdba,32'h3f101a2b,// invsqrt(3.8188) = 0.5117 +32'h3e6c674b,32'h4002891f,32'h4007dd16, 32'h3ffd144d,32'h400bdc10, 32'h3fefc264,32'h40128504,// invsqrt(0.2309) = 2.0812 +32'h405b599c,32'h3f0783d3,32'h3f0d0bd1, 32'h3f035dd4,32'h3f1131d0, 32'h3ef8e7ad,32'h3f181bcd,// invsqrt(3.4273) = 0.5402 +32'h4020bb35,32'h3f1e4f20,32'h3f24c54c, 32'h3f197680,32'h3f299dec, 32'h3f1162ca,32'h3f31b1a2,// invsqrt(2.5114) = 0.6310 +32'h4198a9a8,32'h3e65b918,32'h3e6f1978, 32'h3e5eb0d1,32'h3e7621bf, 32'h3e52f85a,32'h3e80ed1b,// invsqrt(19.0828) = 0.2289 +32'h405da512,32'h3f06cfc5,32'h3f0c5069, 32'h3f02af49,32'h3f1070e5, 32'h3ef79cf6,32'h3f1751b3,// invsqrt(3.4632) = 0.5374 +32'h402bbc9a,32'h3f192712,32'h3f1f675c, 32'h3f1476db,32'h3f241793, 32'h3f0ca67e,32'h3f2be7f0,// invsqrt(2.6834) = 0.6105 +32'h3fd8feed,32'h3f40af12,32'h3f488c6c, 32'h3f3ac90f,32'h3f4e726f, 32'h3f30f45f,32'h3f58471f,// invsqrt(1.6953) = 0.7680 +32'h3c5cc1aa,32'h41071523,32'h410c989c, 32'h4102f287,32'h4110bb37, 32'h40f81c5e,32'h41179f8f,// invsqrt(0.0135) = 8.6150 +32'h3eb4193f,32'h3fd380ae,32'h3fdc22ab, 32'h3fcd0731,32'h3fe29c29, 32'h3fc23cb5,32'h3fed66a5,// invsqrt(0.3518) = 1.6861 +32'h3f1c4fb4,32'h3fa0881d,32'h3fa71581, 32'h3f9b9e11,32'h3fabff8d, 32'h3f936d54,32'h3fb4304a,// invsqrt(0.6106) = 1.2797 +32'h3f54c42b,32'h3f89987b,32'h3f8f3637, 32'h3f85622e,32'h3f936c84, 32'h3f7cba07,32'h3f9a71af,// invsqrt(0.8311) = 1.0969 +32'h3f35a050,32'h3f94ecba,32'h3f9b00d6, 32'h3f905da4,32'h3f9f8fec, 32'h3f88c481,32'h3fa7290f,// invsqrt(0.7095) = 1.1872 +32'h411220ed,32'h3ea607dc,32'h3eacceb6, 32'h3ea0f2b9,32'h3eb1e3d9, 32'h3e987a28,32'h3eba5c6a,// invsqrt(9.1330) = 0.3309 +32'h3fe11c91,32'h3f3d2dbb,32'h3f44e675, 32'h3f376330,32'h3f4ab100, 32'h3f2dbc49,32'h3f5457e7,// invsqrt(1.7587) = 0.7541 +32'h40a65f33,32'h3edc0e0f,32'h3ee50969, 32'h3ed5518c,32'h3eebc5ec, 32'h3eca175c,32'h3ef7001c,// invsqrt(5.1991) = 0.4386 +32'h3ebbfb30,32'h3fcf054e,32'h3fd77876, 32'h3fc8aef0,32'h3fddced4, 32'h3fbe1eff,32'h3fe85ec5,// invsqrt(0.3672) = 1.6504 +32'h3ffe70ea,32'h3f31f10b,32'h3f393459, 32'h3f2c7e90,32'h3f3ea6d4, 32'h3f236a6d,32'h3f47baf7,// invsqrt(1.9878) = 0.7093 +32'h3f55efcd,32'h3f8937fe,32'h3f8ed1ca, 32'h3f8504a5,32'h3f930523, 32'h3f7c08ce,32'h3f9a0561,// invsqrt(0.8357) = 1.0939 +32'h402888ab,32'h3f1a99df,32'h3f20e94b, 32'h3f15de4e,32'h3f25a4dc, 32'h3f0dfb06,32'h3f2d8824,// invsqrt(2.6333) = 0.6162 +32'h40640710,32'h3f04e953,32'h3f0a561d, 32'h3f00d7bc,32'h3f0e67b4, 32'h3ef41f7e,32'h3f152fb1,// invsqrt(3.5629) = 0.5298 +32'h3ca4143a,32'h40dd964d,32'h40e6a1a9, 32'h40d6cdc8,32'h40ed6a2e, 32'h40cb7f95,32'h40f8b861,// invsqrt(0.0200) = 7.0659 +32'h4022fcc3,32'h3f1d35a9,32'h3f23a057, 32'h3f1865a6,32'h3f28705a, 32'h3f10604d,32'h3f3075b3,// invsqrt(2.5467) = 0.6266 +32'h3f6d40cb,32'h3f824d3c,32'h3f879ec2, 32'h3f7ca031,32'h3f8b9be5, 32'h3f6f5465,32'h3f9241cc,// invsqrt(0.9268) = 1.0388 +32'h40e7621c,32'h3eba98e1,32'h3ec236a1, 32'h3eb4e291,32'h3ec7ecf1, 32'h3eab5d61,32'h3ed17221,// invsqrt(7.2307) = 0.3719 +32'h3ef4265a,32'h3fb5a732,32'h3fbd1148, 32'h3fb017a0,32'h3fc2a0da, 32'h3fa6d304,32'h3fcbe576,// invsqrt(0.4769) = 1.4481 +32'h40286083,32'h3f1aac4d,32'h3f20fc79, 32'h3f15f02b,32'h3f25b89b, 32'h3f0e0bf3,32'h3f2d9cd3,// invsqrt(2.6309) = 0.6165 +32'h3f879b72,32'h3f73bdd9,32'h3f7db0b3, 32'h3f6c47b6,32'h3f82936b, 32'h3f5fd825,32'h3f88cb33,// invsqrt(1.0594) = 0.9715 +32'h4018107b,32'h3f22c20b,32'h3f2966b3, 32'h3f1dc68d,32'h3f2e6231, 32'h3f1578bc,32'h3f36b002,// invsqrt(2.3760) = 0.6487 +32'h3fa21acd,32'h3f5eeeb3,32'h3f68081e, 32'h3f581ba4,32'h3f6edb2e, 32'h3f4cbbde,32'h3f7a3af4,// invsqrt(1.2664) = 0.8886 +32'h40040f9d,32'h3f2ea66b,32'h3f35c755, 32'h3f294dbb,32'h3f3b2005, 32'h3f206496,32'h3f44092a,// invsqrt(2.0635) = 0.6961 +32'h3f831381,32'h3f77eb2a,32'h3f8104d4, 32'h3f70544a,32'h3f84d044, 32'h3f63ae2b,32'h3f8b2353,// invsqrt(1.0240) = 0.9882 +32'h3c2556c6,32'h411c166e,32'h41227562, 32'h41174f36,32'h41273c9a, 32'h410f5884,32'h412f334c,// invsqrt(0.0101) = 9.9546 +32'h3fb814ef,32'h3f5133b0,32'h3f59bda2, 32'h3f4acc3a,32'h3f602518, 32'h3f401fcb,32'h3f6ad187,// invsqrt(1.4381) = 0.8339 +32'h3f8cb614,32'h3f6f47b6,32'h3f790bf2, 32'h3f67f489,32'h3f802f8f, 32'h3f5bbf3f,32'h3f864a35,// invsqrt(1.0993) = 0.9538 +32'h3ed360a7,32'h3fc33a4f,32'h3fcb323d, 32'h3fbd405c,32'h3fd12c30, 32'h3fb34a72,32'h3fdb221a,// invsqrt(0.4128) = 1.5563 +32'h3f8a1c92,32'h3f718586,32'h3f7b612e, 32'h3f6a20c9,32'h3f8162f6, 32'h3f5dce37,32'h3f878c3e,// invsqrt(1.0790) = 0.9627 +32'h3f82f644,32'h3f7806d5,32'h3f81133b, 32'h3f706f1d,32'h3f84df17, 32'h3f63c794,32'h3f8b32db,// invsqrt(1.0231) = 0.9886 +32'h3f6c098e,32'h3f82a308,32'h3f87f80e, 32'h3f7d4688,32'h3f8bf7d2, 32'h3f6ff1fa,32'h3f92a219,// invsqrt(0.9220) = 1.0414 +32'h4108e6de,32'h3eab88d3,32'h3eb2892f, 32'h3ea6488e,32'h3eb7c974, 32'h3e9d881a,32'h3ec089e8,// invsqrt(8.5564) = 0.3419 +32'h3f10e567,32'h3fa6bc40,32'h3fad8a76, 32'h3fa1a196,32'h3fb2a520, 32'h3f991fd2,32'h3fbb26e4,// invsqrt(0.5660) = 1.3292 +32'h3f5ee0ac,32'h3f867030,32'h3f8becee, 32'h3f8252a1,32'h3f900a7d, 32'h3f76ed68,32'h3f96e66a,// invsqrt(0.8706) = 1.0717 +32'h3ff8049a,32'h3f343b22,32'h3f3b965c, 32'h3f2eb6b5,32'h3f411ac9, 32'h3f2584ac,32'h3f4a4cd2,// invsqrt(1.9376) = 0.7184 +32'h3f91de16,32'h3f6b034e,32'h3f749af4, 32'h3f63d193,32'h3f7bccaf, 32'h3f57d404,32'h3f83e51f,// invsqrt(1.1396) = 0.9368 +32'h41758901,32'h3e8015d4,32'h3e855030, 32'h3e78541d,32'h3e893bf5, 32'h3e6b4237,32'h3e8fc4e9,// invsqrt(15.3459) = 0.2553 +32'h3f74ae95,32'h3f804ef3,32'h3f858ba4, 32'h3f78c2db,32'h3f897928, 32'h3f6bab21,32'h3f900506,// invsqrt(0.9558) = 1.0229 +32'h3fae3a64,32'h3f570950,32'h3f5fd03a, 32'h3f507422,32'h3f666568, 32'h3f457b7e,32'h3f715e0c,// invsqrt(1.3612) = 0.8571 +32'h40f17894,32'h3eb6a86e,32'h3ebe1d04, 32'h3eb110fd,32'h3ec3b475, 32'h3ea7bf40,32'h3ecd0632,// invsqrt(7.5460) = 0.3640 +32'h3f80d4ef,32'h3f7a119e,32'h3f82234a, 32'h3f7269e5,32'h3f85f727, 32'h3f65a7b0,32'h3f8c5841,// invsqrt(1.0065) = 0.9968 +32'h3eed1808,32'h3fb85618,32'h3fbfdc38, 32'h3fb2b180,32'h3fc580d0, 32'h3fa949d7,32'h3fcee879,// invsqrt(0.4631) = 1.4695 +32'h41a58fd5,32'h3e5c97b3,32'h3e6598ab, 32'h3e55d6f9,32'h3e6c5965, 32'h3e4a95c4,32'h3e779a9a,// invsqrt(20.6952) = 0.2198 +32'h3eb271f6,32'h3fd47af4,32'h3fdd2728, 32'h3fcdf9cd,32'h3fe3a84f, 32'h3fc3228d,32'h3fee7f8f,// invsqrt(0.3485) = 1.6939 +32'h3f5376b4,32'h3f8a04ce,32'h3f8fa6f6, 32'h3f85cb30,32'h3f93e094, 32'h3f7d80fd,32'h3f9aeb45,// invsqrt(0.8260) = 1.1003 +32'h409af1cc,32'h3ee40676,32'h3eed5518, 32'h3edd0b7d,32'h3ef45011, 32'h3ed16933,32'h3efff25b,// invsqrt(4.8420) = 0.4545 +32'h3f73527d,32'h3f80aa99,32'h3f85eb07, 32'h3f79748b,32'h3f89db5a, 32'h3f6c5376,32'h3f906be5,// invsqrt(0.9505) = 1.0257 +32'h3ccb91ed,32'h40c6efb4,32'h40cf0e62, 32'h40c0d8b1,32'h40d52565, 32'h40b6b257,32'h40df4bbf,// invsqrt(0.0248) = 6.3436 +32'h3e954c42,32'h3fe84c1d,32'h3ff1c763, 32'h3fe12faa,32'h3ff8e3d6, 32'h3fd55593,32'h40025ef6,// invsqrt(0.2916) = 1.8519 +32'h3e8233bf,32'h3ff8bfd6,32'h40017382, 32'h3ff12274,32'h40054233, 32'h3fe4717c,32'h400b9aaf,// invsqrt(0.2543) = 1.9830 +32'h3e8824d4,32'h3ff342bf,32'h3ffd3093, 32'h3febd061,32'h40025179, 32'h3fdf6718,32'h4008861d,// invsqrt(0.2659) = 1.9393 +32'h4002d17c,32'h3f2f7a46,32'h3f36a3d6, 32'h3f2a1b1a,32'h3f3c0302, 32'h3f212726,32'h3f44f6f6,// invsqrt(2.0440) = 0.6994 +32'h3ec95fd7,32'h3fc80497,32'h3fd02e93, 32'h3fc1e51a,32'h3fd64e10, 32'h3fb7b0a0,32'h3fe0828a,// invsqrt(0.3933) = 1.5945 +32'h409c06ae,32'h3ee33bc8,32'h3eec8224, 32'h3edc4703,32'h3ef376e9, 32'h3ed0af11,32'h3eff0edb,// invsqrt(4.8758) = 0.4529 +32'h3f4e3a10,32'h3f8bc29c,32'h3f9176f6, 32'h3f877b59,32'h3f95be39, 32'h3f8059e8,32'h3f9cdfaa,// invsqrt(0.8056) = 1.1142 +32'h3f2360dc,32'h3f9d0579,32'h3fa36e2f, 32'h3f9836f0,32'h3fa83cb8, 32'h3f90340c,32'h3fb03f9c,// invsqrt(0.6382) = 1.2518 +32'h3f9ccb74,32'h3f62ad06,32'h3f6bed8e, 32'h3f5bbca0,32'h3f72ddf4, 32'h3f502bf6,32'h3f7e6e9e,// invsqrt(1.2250) = 0.9035 +32'h3ea58e41,32'h3fdc98c0,32'h3fe599c3, 32'h3fd5d7ff,32'h3fec5a85, 32'h3fca96bb,32'h3ff79bc9,// invsqrt(0.3234) = 1.7586 +32'h3d5c8991,32'h40872650,32'h408caa7d, 32'h4083032e,32'h4090cd9e, 32'h40783beb,32'h4097b2d7,// invsqrt(0.0538) = 4.3096 +32'h40825ca9,32'h3ef898ca,32'h3f015f30, 32'h3ef0fc9a,32'h3f052d48, 32'h3ee44da0,32'h3f0b84c5,// invsqrt(4.0738) = 0.4954 +32'h3f2b2f38,32'h3f996644,32'h3f9fa922, 32'h3f94b41d,32'h3fa45b49, 32'h3f8ce088,32'h3fac2ede,// invsqrt(0.6687) = 1.2229 +32'h3d802c3b,32'h407ab5fa,32'h408278d3, 32'h40730939,32'h40864f34, 32'h40663ea2,32'h408cb47f,// invsqrt(0.0626) = 3.9973 +32'h3f1368c2,32'h3fa54ed5,32'h3fac0e22, 32'h3fa03f5c,32'h3fb11d9c, 32'h3f97d03c,32'h3fb98cbc,// invsqrt(0.5758) = 1.3178 +32'h3f085af2,32'h3fabe0bf,32'h3fb2e4b2, 32'h3fa69dc9,32'h3fb827a9, 32'h3f9dd8da,32'h3fc0ec98,// invsqrt(0.5326) = 1.3702 +32'h3f869c28,32'h3f74a48d,32'h3f7ea0d1, 32'h3f6d2759,32'h3f830f02, 32'h3f60ac04,32'h3f894cad,// invsqrt(1.0516) = 0.9751 +32'h3fd2a197,32'h3f4392c7,32'h3f4b8e51, 32'h3f3d961f,32'h3f518af9, 32'h3f339bb1,32'h3f5b8567,// invsqrt(1.6456) = 0.7795 +32'h3e458624,32'h400ece4f,32'h4014a27c, 32'h400a6f2d,32'h4019019d, 32'h400325f4,32'h40204ad6,// invsqrt(0.1929) = 2.2769 +32'h3f8ed56d,32'h3f6d7ee4,32'h3f77307a, 32'h3f6639b3,32'h3f7e75ab, 32'h3f5a1bb7,32'h3f8549d3,// invsqrt(1.1159) = 0.9467 +32'h3e387dbe,32'h4013c38c,32'h4019cb88, 32'h400f3d90,32'h401e5184, 32'h4007b396,32'h4025db7e,// invsqrt(0.1802) = 2.3559 +32'h3e942e98,32'h3fe92b9b,32'h3ff2afff, 32'h3fe20850,32'h3ff9d34a, 32'h3fd622d2,32'h4002dc64,// invsqrt(0.2894) = 1.8588 +32'h3f793fbc,32'h3f7e4142,32'h3f8450fb, 32'h3f7678bb,32'h3f88353f, 32'h3f697fd9,32'h3f8eb1af,// invsqrt(0.9736) = 1.0135 +32'h3f3c00e7,32'h3f926087,32'h3f985a05, 32'h3f8de569,32'h3f9cd523, 32'h3f866d8c,32'h3fa44d00,// invsqrt(0.7344) = 1.1669 +32'h3e3994bf,32'h4013544f,32'h401957c0, 32'h400ed1bb,32'h401dda55, 32'h40074d6e,32'h40255ea2,// invsqrt(0.1812) = 2.3490 +32'h3ead502d,32'h3fd79a6c,32'h3fe06742, 32'h3fd100cc,32'h3fe700e2, 32'h3fc600c2,32'h3ff200ed,// invsqrt(0.3385) = 1.7188 +32'h408ef814,32'h3eed621a,32'h3ef71284, 32'h3ee61dcb,32'h3efe56d3, 32'h3eda0147,32'h3f0539ab,// invsqrt(4.4678) = 0.4731 +32'h3f4789fb,32'h3f8e1540,32'h3f93e1e0, 32'h3f89bbc9,32'h3f983b57, 32'h3f827c02,32'h3f9f7b1e,// invsqrt(0.7794) = 1.1327 +32'h3f85f591,32'h3f753c7b,32'h3f7f3ef3, 32'h3f6dbaa1,32'h3f836066, 32'h3f61378b,32'h3f89a1f1,// invsqrt(1.0466) = 0.9775 +32'h41883ef8,32'h3e732b68,32'h3e7d1847, 32'h3e6bb9bf,32'h3e8244f7, 32'h3e5f51a8,32'h3e887903,// invsqrt(17.0307) = 0.2423 +32'h42242e8c,32'h3e1ca2fe,32'h3e2307b0, 32'h3e17d779,32'h3e27d335, 32'h3e0fd99b,32'h3e2fd113,// invsqrt(41.0455) = 0.1561 +32'h3fba2458,32'h3f500a7d,32'h3f58884e, 32'h3f49ac21,32'h3f5ee6ab, 32'h3f3f0edc,32'h3f6983f0,// invsqrt(1.4542) = 0.8292 +32'h3ff3327f,32'h3f36022e,32'h3f3d6ffc, 32'h3f306fd4,32'h3f430256, 32'h3f272693,32'h3f4c4b97,// invsqrt(1.9000) = 0.7255 +32'h3e0b7a55,32'h4029f16b,32'h4030e127, 32'h4024bd9f,32'h403614f3, 32'h401c11f5,32'h403ec09d,// invsqrt(0.1362) = 2.7096 +32'h400e0529,32'h3f286a44,32'h3f2f4a08, 32'h3f234271,32'h3f3471db, 32'h3f1aaabc,32'h3f3d0990,// invsqrt(2.2191) = 0.6713 +32'h3f29b318,32'h3f9a11b3,32'h3fa05b90, 32'h3f955a4c,32'h3fa512f6, 32'h3f8d7df7,32'h3facef4b,// invsqrt(0.6629) = 1.2282 +32'h40bdadcb,32'h3ece179a,32'h3ed6810e, 32'h3ec7c883,32'h3edcd025, 32'h3ebd44b2,32'h3ee753f6,// invsqrt(5.9275) = 0.4107 +32'h4056a274,32'h3f08fed7,32'h3f0e964d, 32'h3f04cd3e,32'h3f12c7e6, 32'h3efb9fd4,32'h3f19c53a,// invsqrt(3.3537) = 0.5461 +32'h3f77faa7,32'h3f7ee7b3,32'h3f84a799, 32'h3f771a13,32'h3f888e69, 32'h3f6a18b3,32'h3f8f0f18,// invsqrt(0.9687) = 1.0160 +32'h3e61ba1e,32'h40059647,32'h400b0a20, 32'h40017f64,32'h400f2102, 32'h3ff55d29,32'h4015f1d2,// invsqrt(0.2204) = 2.1299 +32'h3e9ef15b,32'h3fe12391,32'h3fea540a, 32'h3fda3f37,32'h3ff13865, 32'h3fcec2a0,32'h3ffcb4fc,// invsqrt(0.3104) = 1.7948 +32'h3fa835fd,32'h3f5ad944,32'h3f63c803, 32'h3f542635,32'h3f6a7b13, 32'h3f48fbc7,32'h3f75a581,// invsqrt(1.3141) = 0.8723 +32'h40433056,32'h3f0fa858,32'h3f15856b, 32'h3f0b4289,32'h3f19eb39, 32'h3f03ee31,32'h3f213f91,// invsqrt(3.0498) = 0.5726 +32'h40544a6d,32'h3f09bfe9,32'h3f0f5f41, 32'h3f058867,32'h3f1396c3, 32'h3efd0273,32'h3f1a9df1,// invsqrt(3.3170) = 0.5491 +32'h403fd744,32'h3f10e7db,32'h3f16d1f9, 32'h3f0c7845,32'h3f1b418f, 32'h3f05139f,32'h3f22a635,// invsqrt(2.9975) = 0.5776 +32'h401c265c,32'h3f209d5c,32'h3f272b9e, 32'h3f1bb2aa,32'h3f2c1650, 32'h3f1380d7,32'h3f344823,// invsqrt(2.4398) = 0.6402 +32'h3f0ce297,32'h3fa91798,32'h3faffe6f, 32'h3fa3ea76,32'h3fb52b90, 32'h3f9b49e9,32'h3fbdcc1d,// invsqrt(0.5503) = 1.3480 +32'h3ff90095,32'h3f33dfd9,32'h3f3b375a, 32'h3f2e5e38,32'h3f40b8fa, 32'h3f2530d6,32'h3f49e65c,// invsqrt(1.9453) = 0.7170 +32'h4085fd96,32'h3ef53525,32'h3eff3750, 32'h3eedb384,32'h3f035c78, 32'h3ee130ce,32'h3f099dd3,// invsqrt(4.1872) = 0.4887 +32'h3fc7f907,32'h3f48b7b9,32'h3f50e905, 32'h3f4292c1,32'h3f570dfd, 32'h3f385522,32'h3f614b9c,// invsqrt(1.5623) = 0.8001 +32'h3f9772a5,32'h3f66a480,32'h3f700e7b, 32'h3f5f9504,32'h3f771df8, 32'h3f53d08b,32'h3f817138,// invsqrt(1.1832) = 0.9193 +32'h3ee34a2b,32'h3fbc451f,32'h3fc3f459, 32'h3fb681b3,32'h3fc9b7c5, 32'h3face6a9,32'h3fd352cf,// invsqrt(0.4439) = 1.5009 +32'h3eb36350,32'h3fd3ebd4,32'h3fdc9230, 32'h3fcd6f0f,32'h3fe30ef5, 32'h3fc29f1b,32'h3feddee9,// invsqrt(0.3504) = 1.6894 +32'h408c831f,32'h3eef7316,32'h3ef93916, 32'h3ee81e95,32'h3f0046cc, 32'h3edbe714,32'h3f06628c,// invsqrt(4.3910) = 0.4772 +32'h3f3a6101,32'h3f93037e,32'h3f9903a2, 32'h3f8e8362,32'h3f9d83be, 32'h3f870335,32'h3fa503eb,// invsqrt(0.7280) = 1.1720 +32'h3fb4eb3c,32'h3f5305cc,32'h3f5ba2c5, 32'h3f4c9012,32'h3f621880, 32'h3f41cbdb,32'h3f6cdcb7,// invsqrt(1.4134) = 0.8411 +32'h3f15cc27,32'h3fa3fc21,32'h3faaad9a, 32'h3f9ef705,32'h3fafb2b5, 32'h3f96992d,32'h3fb8108d,// invsqrt(0.5851) = 1.3073 +32'h3f79aa99,32'h3f7e0ad2,32'h3f8434a7, 32'h3f7643f6,32'h3f881815, 32'h3f694ddb,32'h3f8e9322,// invsqrt(0.9753) = 1.0126 +32'h3f7f838d,32'h3f7b1e59,32'h3f82af24, 32'h3f736e66,32'h3f86871d, 32'h3f669e7b,32'h3f8cef12,// invsqrt(0.9981) = 1.0010 +32'h3fa656cf,32'h3f5c139c,32'h3f650f2f, 32'h3f5556ed,32'h3f6bcbdd, 32'h3f4a1c74,32'h3f770656,// invsqrt(1.2995) = 0.8772 +32'h3fa10b02,32'h3f5faa83,32'h3f68cb99, 32'h3f58d1b4,32'h3f6fa468, 32'h3f4d6859,32'h3f7b0dc3,// invsqrt(1.2581) = 0.8915 +32'h3f955881,32'h3f684297,32'h3f71bd79, 32'h3f61266e,32'h3f78d9a2, 32'h3f554cd4,32'h3f82599e,// invsqrt(1.1668) = 0.9258 +32'h3eca261c,32'h3fc7a268,32'h3fcfc862, 32'h3fc185ed,32'h3fd5e4dd, 32'h3fb75674,32'h3fe01456,// invsqrt(0.3948) = 1.5915 +32'h3f53d91b,32'h3f89e4bc,32'h3f8f8594, 32'h3f85ac19,32'h3f93be37, 32'h3f7d4615,32'h3f9ac746,// invsqrt(0.8275) = 1.0993 +32'h408b0973,32'h3ef0b771,32'h3efa8aaf, 32'h3ee95902,32'h3f00f48f, 32'h3edd10f5,32'h3f071896,// invsqrt(4.3449) = 0.4797 +32'h3f7b03c6,32'h3f7d5bea,32'h3f83d9a1, 32'h3f759a68,32'h3f87ba62, 32'h3f68ad3a,32'h3f8e30f9,// invsqrt(0.9805) = 1.0099 +32'h3ef561a3,32'h3fb53259,32'h3fbc97ab, 32'h3fafa65c,32'h3fc223a8, 32'h3fa667b5,32'h3fcb624f,// invsqrt(0.4793) = 1.4445 +32'h3e74858f,32'h400059b5,32'h400596d7, 32'h3ff8d7b8,32'h400984b0, 32'h3febbee4,32'h4010111a,// invsqrt(0.2388) = 2.0464 +32'h3ed7beff,32'h3fc13dbb,32'h3fc920e7, 32'h3fbb535a,32'h3fcf0b48, 32'h3fb17762,32'h3fd8e740,// invsqrt(0.4214) = 1.5405 +32'h406ed43e,32'h3f01deff,32'h3f072c04, 32'h3efbca75,32'h3f0b25c7, 32'h3eee89e8,32'h3f11c60e,// invsqrt(3.7317) = 0.5177 +32'h3fbb59f9,32'h3f4f5e4d,32'h3f57d517, 32'h3f490536,32'h3f5e2e2e, 32'h3f3e70ba,32'h3f68c2aa,// invsqrt(1.4637) = 0.8266 +32'h400d1562,32'h3f28f925,32'h3f2fdebe, 32'h3f23ccf2,32'h3f350af0, 32'h3f1b2df2,32'h3f3da9f0,// invsqrt(2.2044) = 0.6735 +32'h3e4ce805,32'h400c35b5,32'h4011eec1, 32'h4007eaeb,32'h4016398b, 32'h4000c39c,32'h401d60da,// invsqrt(0.2001) = 2.2355 +32'h3f06e0d6,32'h3facd102,32'h3fb3dec4, 32'h3fa786b1,32'h3fb92915, 32'h3f9eb580,32'h3fc1fa47,// invsqrt(0.5269) = 1.3777 +32'h41ab9bdc,32'h3e58abd5,32'h3e6183d3, 32'h3e5209d6,32'h3e6825d2, 32'h3e46fbd8,32'h3e7333d0,// invsqrt(21.4511) = 0.2159 +32'h3f3fb055,32'h3f90f692,32'h3f96e14a, 32'h3f8c8688,32'h3f9b5154, 32'h3f852123,32'h3fa2b6b9,// invsqrt(0.7488) = 1.1556 +32'h418012b4,32'h3e7acef5,32'h3e8285d3, 32'h3e732170,32'h3e865c96, 32'h3e665593,32'h3e8cc284,// invsqrt(16.0091) = 0.2499 +32'h3f82f31f,32'h3f7809d0,32'h3f8114c7, 32'h3f707200,32'h3f84e0af, 32'h3f63ca51,32'h3f8b3487,// invsqrt(1.0230) = 0.9887 +32'h3dc5b1f1,32'h4049dee0,32'h40521c38, 32'h4043b0df,32'h40584a39, 32'h40396431,32'h406296e7,// invsqrt(0.0965) = 3.2186 +32'h402a09d8,32'h3f19ea61,32'h3f2032a3, 32'h3f15342f,32'h3f24e8d5, 32'h3f0d59db,32'h3f2cc329,// invsqrt(2.6569) = 0.6135 +32'h3e83bff8,32'h3ff748b0,32'h4000b047, 32'h3fefb6ca,32'h4004793a, 32'h3fe318f6,32'h400ac824,// invsqrt(0.2573) = 1.9713 +32'h408ee173,32'h3eed74e6,32'h3ef72614, 32'h3ee63004,32'h3efe6af6, 32'h3eda128a,32'h3f054438,// invsqrt(4.4650) = 0.4732 +32'h3ec81349,32'h3fc8aa8d,32'h3fd0db4f, 32'h3fc285fc,32'h3fd6ffe0, 32'h3fb84909,32'h3fe13cd3,// invsqrt(0.3908) = 1.5997 +32'h41894dd3,32'h3e723b18,32'h3e7c1e28, 32'h3e6ad0cb,32'h3e81c43a, 32'h3e5e74f6,32'h3e87f225,// invsqrt(17.1630) = 0.2414 +32'h3ee59daf,32'h3fbb505c,32'h3fc2f599, 32'h3fb5946e,32'h3fc8b186, 32'h3fac05e1,32'h3fd24013,// invsqrt(0.4485) = 1.4933 +32'h4075bf46,32'h3f0007af,32'h3f054177, 32'h3ef838b1,32'h3f092cce, 32'h3eeb283c,32'h3f0fb508,// invsqrt(3.8398) = 0.5103 +32'h3f6a4657,32'h3f83209a,32'h3f887ac0, 32'h3f7e39fc,32'h3f8c7e5c, 32'h3f70d89e,32'h3f932f0b,// invsqrt(0.9151) = 1.0453 +32'h3e7290a9,32'h4000ddf7,32'h4006207f, 32'h3ff9d823,32'h400a1264, 32'h3fecb1d1,32'h4010a58e,// invsqrt(0.2369) = 2.0546 +32'h3f3ca55e,32'h3f9220ab,32'h3f98178d, 32'h3f8da781,32'h3f9c90b7, 32'h3f8632e6,32'h3fa40552,// invsqrt(0.7369) = 1.1649 +32'h3f075a1d,32'h3fac8384,32'h3fb38e1c, 32'h3fa73b92,32'h3fb8d60e, 32'h3f9e6e55,32'h3fc1a34b,// invsqrt(0.5287) = 1.3753 +32'h4000b9eb,32'h3f30e5d8,32'h3f381e3e, 32'h3f2b7b8a,32'h3f3d888c, 32'h3f22750a,32'h3f468f0c,// invsqrt(2.0113) = 0.7051 +32'h3f1d344f,32'h3fa0133a,32'h3fa69bd9, 32'h3f9b2cc2,32'h3fab8250, 32'h3f9301fb,32'h3fb3ad17,// invsqrt(0.6141) = 1.2761 +32'h3e1472ff,32'h4024ba56,32'h402b7392, 32'h401faf68,32'h40307e80, 32'h401747dc,32'h4038e60c,// invsqrt(0.1450) = 2.6264 +32'h42915e97,32'h3deb6a47,32'h3df50620, 32'h3de43564,32'h3dfc3b02, 32'h3dd83294,32'h3e041ee9,// invsqrt(72.6847) = 0.1173 +32'h3f14ad8d,32'h3fa499e3,32'h3fab51cd, 32'h3f9f8ff4,32'h3fb05bbc, 32'h3f972a0f,32'h3fb8c1a1,// invsqrt(0.5808) = 1.3122 +32'h3f38e338,32'h3f939afa,32'h3f99a14d, 32'h3f8f163b,32'h3f9e260b, 32'h3f878e53,32'h3fa5adf3,// invsqrt(0.7222) = 1.1767 +32'h406957d0,32'h3f03638e,32'h3f08c06f, 32'h3efebbc9,32'h3f0cc618, 32'h3ef15396,32'h3f137a31,// invsqrt(3.6460) = 0.5237 +32'h3f4a0c49,32'h3f8d32b5,32'h3f92f615, 32'h3f88e02d,32'h3f97489d, 32'h3f81abf4,32'h3f9e7cd6,// invsqrt(0.7892) = 1.1256 +32'h40cc8af9,32'h3ec67672,32'h3ece902e, 32'h3ec06326,32'h3ed4a37a, 32'h3eb642fb,32'h3edec3a5,// invsqrt(6.3920) = 0.3955 +32'h3f8cc616,32'h3f6f3a1b,32'h3f78fdc9, 32'h3f67e759,32'h3f802845, 32'h3f5bb2c0,32'h3f864292,// invsqrt(1.0998) = 0.9536 +32'h405bea1d,32'h3f075746,32'h3f0cdd72, 32'h3f0332a4,32'h3f110214, 32'h3ef895d9,32'h3f17e9cc,// invsqrt(3.4362) = 0.5395 +32'h3f28fc5d,32'h3f9a64e9,32'h3fa0b22c, 32'h3f95aaf7,32'h3fa56c1f, 32'h3f8dca64,32'h3fad4cb2,// invsqrt(0.6601) = 1.2308 +32'h3fb2fcd3,32'h3f542877,32'h3f5cd14d, 32'h3f4da9d7,32'h3f634fed, 32'h3f42d6cb,32'h3f6e22f9,// invsqrt(1.3983) = 0.8457 +32'h3ead7e14,32'h3fd77de4,32'h3fe04990, 32'h3fd0e524,32'h3fe6e250, 32'h3fc5e68e,32'h3ff1e0e6,// invsqrt(0.3389) = 1.7179 +32'h3fd4be54,32'h3f42999c,32'h3f4a8afb, 32'h3f3ca494,32'h3f508002, 32'h3f32b6dd,32'h3f5a6db9,// invsqrt(1.6621) = 0.7757 +32'h3fa97155,32'h3f5a0d40,32'h3f62f3ab, 32'h3f53606f,32'h3f69a07b, 32'h3f484069,32'h3f74c081,// invsqrt(1.3238) = 0.8691 +32'h4016d195,32'h3f236dc3,32'h3f2a196d, 32'h3f1e6d04,32'h3f2f1a2c, 32'h3f16166f,32'h3f3770c1,// invsqrt(2.3565) = 0.6514 +32'h3d4f16ad,32'h408b7816,32'h40912966, 32'h4087331b,32'h40956e61, 32'h40801578,32'h409c8c04,// invsqrt(0.0506) = 4.4474 +32'h3fd9d700,32'h3f404f6b,32'h3f4828dd, 32'h3f3a6c55,32'h3f4e0bf3, 32'h3f309c87,32'h3f57dbc1,// invsqrt(1.7019) = 0.7665 +32'h3f92c8de,32'h3f6a470f,32'h3f73d705, 32'h3f631b16,32'h3f7b02fe, 32'h3f572723,32'h3f837b79,// invsqrt(1.1468) = 0.9338 +32'h3f678dac,32'h3f83e549,32'h3f894775, 32'h3f7fb74e,32'h3f8d5117, 32'h3f7241de,32'h3f940bcf,// invsqrt(0.9045) = 1.0515 +32'h3f09c57f,32'h3faafe03,32'h3fb1f8b5, 32'h3fa5c1fe,32'h3fb734ba, 32'h3f9d08a0,32'h3fbfee18,// invsqrt(0.5382) = 1.3631 +32'h3fe73109,32'h3f3aacae,32'h3f424b3c, 32'h3f34f5c2,32'h3f480228, 32'h3f2b6f90,32'h3f51885a,// invsqrt(1.8062) = 0.7441 +32'h401990fb,32'h3f21f5c9,32'h3f28921b, 32'h3f1d008c,32'h3f2d8758, 32'h3f14bd27,32'h3f35cabd,// invsqrt(2.3995) = 0.6456 +32'h3f89ca8c,32'h3f71cd5e,32'h3f7babf4, 32'h3f6a666d,32'h3f818972, 32'h3f5e1032,32'h3f87b490,// invsqrt(1.0765) = 0.9638 +32'h3f1b974e,32'h3fa0e721,32'h3fa77867, 32'h3f9bfa2d,32'h3fac655b, 32'h3f93c497,32'h3fb49af1,// invsqrt(0.6078) = 1.2827 +32'h3fd3e72c,32'h3f42fc4e,32'h3f4af1b4, 32'h3f3d0441,32'h3f50e9c1, 32'h3f331181,32'h3f5adc81,// invsqrt(1.6555) = 0.7772 +32'h3fcf33de,32'h3f452f4f,32'h3f4d3bb0, 32'h3f3f2605,32'h3f5344f9, 32'h3f35168c,32'h3f5d5472,// invsqrt(1.6188) = 0.7860 +32'h3e839384,32'h3ff77273,32'h4000c602, 32'h3fefdf46,32'h40048f99, 32'h3fe33f50,32'h400adf94,// invsqrt(0.2570) = 1.9726 +32'h4108c448,32'h3eab9e82,32'h3eb29fc1, 32'h3ea65d94,32'h3eb7e0b0, 32'h3e9d9c05,32'h3ec0a23f,// invsqrt(8.5479) = 0.3420 +32'h3fbfe68e,32'h3f4ce54d,32'h3f554241, 32'h3f469f97,32'h3f5b87f7, 32'h3f3c2b66,32'h3f65fc28,// invsqrt(1.4992) = 0.8167 +32'h3f1646d7,32'h3fa3b922,32'h3faa67e0, 32'h3f9eb614,32'h3faf6aee, 32'h3f965ba7,32'h3fb7c55b,// invsqrt(0.5870) = 1.3052 +32'h3fc164d4,32'h3f4c1a67,32'h3f546f13, 32'h3f45dae7,32'h3f5aae93, 32'h3f3b7110,32'h3f65186a,// invsqrt(1.5109) = 0.8135 +32'h3dbae809,32'h404f9d78,32'h405816d6, 32'h40494272,32'h405e71dc, 32'h403eaabd,32'h40690991,// invsqrt(0.0913) = 3.3102 +32'h3e5ab461,32'h4007b6fa,32'h400d410e, 32'h40038f6a,32'h4011689e, 32'h3ff945a1,32'h40185538,// invsqrt(0.2136) = 2.1638 +32'h40e8a97f,32'h3eba156a,32'h3ec1adcc, 32'h3eb46320,32'h3ec76016, 32'h3eaae4a5,32'h3ed0de91,// invsqrt(7.2707) = 0.3709 +32'h3e8f6928,32'h3fed0471,32'h3ff6b108, 32'h3fe5c300,32'h3ffdf27a, 32'h3fd9ab44,32'h4005051b,// invsqrt(0.2801) = 1.8895 +32'h3f48c027,32'h3f8da752,32'h3f936f74, 32'h3f895138,32'h3f97c58e, 32'h3f82170c,32'h3f9effba,// invsqrt(0.7842) = 1.1293 +32'h4014cd66,32'h3f248844,32'h3f2b3f76, 32'h3f1f7edf,32'h3f3048db, 32'h3f1719e0,32'h3f38adda,// invsqrt(2.3250) = 0.6558 +32'h3f27c6a0,32'h3f9af32c,32'h3fa1463e, 32'h3f9634df,32'h3fa6048b, 32'h3f8e4d09,32'h3fadec61,// invsqrt(0.6554) = 1.2353 +32'h3d4e28d5,32'h408bc873,32'h40917d09, 32'h40878101,32'h4095c47b, 32'h40805f45,32'h409ce637,// invsqrt(0.0503) = 4.4574 +32'h3f942c88,32'h3f692d3a,32'h3f72b1b0, 32'h3f6209e2,32'h3f79d508, 32'h3f562450,32'h3f82dd4d,// invsqrt(1.1576) = 0.9294 +32'h3f54843d,32'h3f89ad2c,32'h3f8f4bc0, 32'h3f85763d,32'h3f9382af, 32'h3f7ce008,32'h3f9a88e8,// invsqrt(0.8301) = 1.0975 +32'h3f4a8127,32'h3f8d09f1,32'h3f92cba7, 32'h3f88b8a8,32'h3f971cf0, 32'h3f818684,32'h3f9e4f14,// invsqrt(0.7910) = 1.1244 +32'h3f3886de,32'h3f93bfe5,32'h3f99c7ba, 32'h3f8f3a05,32'h3f9e4d99, 32'h3f87b03a,32'h3fa5d764,// invsqrt(0.7208) = 1.1779 +32'h3f0c2d5e,32'h3fa984c2,32'h3fb0700e, 32'h3fa45449,32'h3fb5a087, 32'h3f9bae2a,32'h3fbe46a6,// invsqrt(0.5476) = 1.3514 +32'h40317e90,32'h3f16a5eb,32'h3f1ccc0a, 32'h3f120955,32'h3f2168a1, 32'h3f0a59af,32'h3f291847,// invsqrt(2.7733) = 0.6005 +32'h405a63c7,32'h3f07d003,32'h3f0d5b1d, 32'h3f03a7af,32'h3f118371, 32'h3ef9739d,32'h3f187152,// invsqrt(3.4123) = 0.5413 +32'h40139440,32'h3f253678,32'h3f2bf4c6, 32'h3f2027bd,32'h3f310381, 32'h3f17b9dc,32'h3f397162,// invsqrt(2.3059) = 0.6585 +32'h40b49af2,32'h3ed334af,32'h3edbd391, 32'h3eccbd85,32'h3ee24abb, 32'h3ec1f6ea,32'h3eed1156,// invsqrt(5.6439) = 0.4209 +32'h3fc36478,32'h3f4b0e7e,32'h3f53583a, 32'h3f44d731,32'h3f598f87, 32'h3f3a7b06,32'h3f63ebb2,// invsqrt(1.5265) = 0.8094 +32'h401df56a,32'h3f1fb143,32'h3f2635e3, 32'h3f1acdcc,32'h3f2b195a, 32'h3f12a804,32'h3f333f22,// invsqrt(2.4681) = 0.6365 +32'h40402927,32'h3f10c8f8,32'h3f16b1d4, 32'h3f0c5a54,32'h3f1b2078, 32'h3f04f742,32'h3f22838a,// invsqrt(3.0025) = 0.5771 +32'h3e709e7c,32'h40016319,32'h4006ab10, 32'h3ffada42,32'h400aa109, 32'h3feda659,32'h40113afe,// invsqrt(0.2350) = 2.0629 +32'h3fb92492,32'h3f509a00,32'h3f591dac, 32'h3f4a373e,32'h3f5f806e, 32'h3f3f92a7,32'h3f6a2505,// invsqrt(1.4464) = 0.8315 +32'h3ec79a3f,32'h3fc8e75b,32'h3fd11a99, 32'h3fc2c0ee,32'h3fd74106, 32'h3fb880e1,32'h3fe18113,// invsqrt(0.3898) = 1.6016 +32'h3f53c5e4,32'h3f89eafd,32'h3f8f8c17, 32'h3f85b22a,32'h3f93c4ea, 32'h3f7d5192,32'h3f9ace4b,// invsqrt(0.8272) = 1.0995 +32'h3ff40013,32'h3f35b571,32'h3f3d201d, 32'h3f302570,32'h3f42b01e, 32'h3f26e01a,32'h3f4bf575,// invsqrt(1.9063) = 0.7243 +32'h3fa89759,32'h3f5a9a0a,32'h3f638634, 32'h3f53e8ea,32'h3f6a3754, 32'h3f48c1b6,32'h3f755e89,// invsqrt(1.3171) = 0.8713 +32'h3e7d89b6,32'h3ffc185e,32'h40033141, 32'h3ff460c4,32'h40070d0e, 32'h3fe78418,32'h400d7b64,// invsqrt(0.2476) = 2.0097 +32'h41be96ce,32'h3e4d9978,32'h3e55fdc6, 32'h3e474e3d,32'h3e5c4901, 32'h3e3cd0dc,32'h3e66c662,// invsqrt(23.8236) = 0.2049 +32'h3eb4fa59,32'h3fd2fcfd,32'h3fdb9999, 32'h3fcc8787,32'h3fe20f0f, 32'h3fc1c3c4,32'h3fecd2d3,// invsqrt(0.3535) = 1.6820 +32'h3f27cf50,32'h3f9aef29,32'h3fa14211, 32'h3f9630fc,32'h3fa6003e, 32'h3f8e495a,32'h3fade7e0,// invsqrt(0.6555) = 1.2351 +32'h3f9259c3,32'h3f6a9fec,32'h3f743383, 32'h3f63713c,32'h3f7b6234, 32'h3f5778bf,32'h3f83ad58,// invsqrt(1.1434) = 0.9352 +32'h406075fd,32'h3f05f698,32'h3f0b6e60, 32'h3f01dcc3,32'h3f0f8835, 32'h3ef60e12,32'h3f165def,// invsqrt(3.5072) = 0.5340 +32'h3f5575ce,32'h3f895f2e,32'h3f8efa94, 32'h3f852aa3,32'h3f932f1f, 32'h3f7c50c8,32'h3f9a315e,// invsqrt(0.8338) = 1.0951 +32'h3c48e1c2,32'h410d9b78,32'h4113631f, 32'h410945bb,32'h4117b8dd, 32'h41020c2b,32'h411ef26d,// invsqrt(0.0123) = 9.0311 +32'h3e798e6a,32'h3ffe192a,32'h40043c1d, 32'h3ff651dc,32'h40081fc4, 32'h3fe95b07,32'h400e9b2f,// invsqrt(0.2437) = 2.0257 +32'h3f71e1e9,32'h3f810c7c,32'h3f8650e9, 32'h3f7a3252,32'h3f8a443b, 32'h3f6d0740,32'h3f90d9c4,// invsqrt(0.9449) = 1.0288 +32'h3df7d075,32'h40344e17,32'h403baa17, 32'h402ec916,32'h40412f18, 32'h40259615,32'h404a6219,// invsqrt(0.1210) = 2.8748 +32'h3fcb27ba,32'h3f4723ac,32'h3f4f447a, 32'h3f410b12,32'h3f555d14, 32'h3f36e211,32'h3f5f8615,// invsqrt(1.5871) = 0.7938 +32'h3f819c7a,32'h3f7950d4,32'h3f81bef7, 32'h3f71af03,32'h3f858fe0, 32'h3f64f6a4,32'h3f8bec0f,// invsqrt(1.0126) = 0.9938 +32'h3f850f6e,32'h3f761034,32'h3f800da8, 32'h3f6e87df,32'h3f83d1d3, 32'h3f61f9fb,32'h3f8a18c4,// invsqrt(1.0395) = 0.9808 +32'h3d522230,32'h408a7474,32'h40901b2b, 32'h4086376c,32'h40945834, 32'h407e4e10,32'h409b6898,// invsqrt(0.0513) = 4.4150 +32'h3f47f323,32'h3f8defdf,32'h3f93baf7, 32'h3f89978c,32'h3f98134a, 32'h3f8259ad,32'h3f9f5129,// invsqrt(0.7811) = 1.1315 +32'h3fbcbe65,32'h3f4e9a24,32'h3f5708ec, 32'h3f48470e,32'h3f5d5c02, 32'h3f3dbc94,32'h3f67e67c,// invsqrt(1.4746) = 0.8235 +32'h3f2c7a70,32'h3f98d2b3,32'h3f9f0f8b, 32'h3f942511,32'h3fa3bd2d, 32'h3f8c5902,32'h3fab893c,// invsqrt(0.6737) = 1.2183 +32'h3eaed3af,32'h3fd6aaf5,32'h3fdf6e05, 32'h3fd018aa,32'h3fe60050, 32'h3fc524d7,32'h3ff0f423,// invsqrt(0.3415) = 1.7113 +32'h3ed3c1f6,32'h3fc30d6f,32'h3fcb0389, 32'h3fbd14dc,32'h3fd0fc1c, 32'h3fb3213c,32'h3fdaefbc,// invsqrt(0.4136) = 1.5549 +32'h3f93f9d3,32'h3f69552a,32'h3f72db41, 32'h3f62309a,32'h3f79ffd2, 32'h3f5648fd,32'h3f82f3b7,// invsqrt(1.1561) = 0.9301 +32'h3ea556f6,32'h3fdcbda0,32'h3fe5c024, 32'h3fd5fbbd,32'h3fec8207, 32'h3fcab898,32'h3ff7c52c,// invsqrt(0.3229) = 1.7597 +32'h3fcd77ae,32'h3f460400,32'h3f4e1910, 32'h3f3ff434,32'h3f5428dc, 32'h3f35d9e1,32'h3f5e432f,// invsqrt(1.6052) = 0.7893 +32'h3d9eaf58,32'h40615260,32'h406a84c2, 32'h405a6c97,32'h40716a8b, 32'h404eed9c,32'h407ce986,// invsqrt(0.0775) = 3.5925 +32'h401109cb,32'h3f26a754,32'h3f2d74b0, 32'h3f218d4f,32'h3f328eb5, 32'h3f190c9b,32'h3f3b0f69,// invsqrt(2.2662) = 0.6643 +32'h3f32579a,32'h3f964a24,32'h3f9c6c84, 32'h3f91b05d,32'h3fa1064b, 32'h3f8a0565,32'h3fa8b143,// invsqrt(0.6966) = 1.1981 +32'h3e6b793c,32'h4002cb0b,32'h400821b3, 32'h3ffd941b,32'h400c22b1, 32'h3ff03b78,32'h4012cf02,// invsqrt(0.2300) = 2.0853 +32'h3f86c8a3,32'h3f747c2b,32'h3f7e76c9, 32'h3f6d0034,32'h3f82f960, 32'h3f6086ee,32'h3f893603,// invsqrt(1.0530) = 0.9745 +32'h3f23ee2e,32'h3f9cc1bb,32'h3fa327ae, 32'h3f97f546,32'h3fa7f424, 32'h3f8ff5d6,32'h3faff394,// invsqrt(0.6404) = 1.2497 +32'h3dfc7364,32'h4032a443,32'h4039eee2, 32'h402d2c4b,32'h403f66d9, 32'h40240f03,32'h40488421,// invsqrt(0.1233) = 2.8482 +32'h40265ed2,32'h3f1b9a5f,32'h3f21f443, 32'h3f16d6f3,32'h3f26b7af, 32'h3f0ee696,32'h3f2ea80c,// invsqrt(2.5995) = 0.6202 +32'h3d628e35,32'h408557b1,32'h408ac8fc, 32'h408142b8,32'h408eddf4, 32'h4074ea34,32'h4095ab92,// invsqrt(0.0553) = 4.2520 +32'h3fb5edbf,32'h3f526faa,32'h3f5b0682, 32'h3f4bfe88,32'h3f6177a4, 32'h3f4141fa,32'h3f6c3432,// invsqrt(1.4213) = 0.8388 +32'h3f324750,32'h3f965102,32'h3f9c73a9, 32'h3f91b704,32'h3fa10da6, 32'h3f8a0bb3,32'h3fa8b8f7,// invsqrt(0.6964) = 1.1983 +32'h3ecc824d,32'h3fc67aa8,32'h3fce948f, 32'h3fc0673a,32'h3fd4a7fc, 32'h3fb646d8,32'h3fdec85e,// invsqrt(0.3994) = 1.5823 +32'h3e3482df,32'h4015624a,32'h401b7b33, 32'h4010cf9c,32'h40200de2, 32'h40093079,32'h4027ad05,// invsqrt(0.1763) = 2.3818 +32'h3dbfa958,32'h404d0602,32'h4055644c, 32'h4046bf4b,32'h405bab03, 32'h403c4970,32'h406620de,// invsqrt(0.0936) = 3.2689 +32'h3f8a320e,32'h3f7172bf,32'h3f7b4da3, 32'h3f6a0e95,32'h3f8158e7, 32'h3f5dbcf9,32'h3f8781b5,// invsqrt(1.0797) = 0.9624 +32'h3f19c5b2,32'h3fa1da04,32'h3fa87533, 32'h3f9ce5a0,32'h3fad6996, 32'h3f94a3a5,32'h3fb5ab91,// invsqrt(0.6007) = 1.2903 +32'h3f7a8881,32'h3f7d9a37,32'h3f83fa0d, 32'h3f75d6cc,32'h3f87dbc2, 32'h3f68e671,32'h3f8e53f0,// invsqrt(0.9786) = 1.0109 +32'h3f0d88e2,32'h3fa8b425,32'h3faf96ed, 32'h3fa38a0f,32'h3fb4c103, 32'h3f9aee95,32'h3fbd5c7d,// invsqrt(0.5529) = 1.3449 +32'h3f7bb09f,32'h3f7d04dc,32'h3f83ac54, 32'h3f754605,32'h3f878bbf, 32'h3f685d48,32'h3f8e001e,// invsqrt(0.9832) = 1.0085 +32'h3f86c899,32'h3f747c34,32'h3f7e76d3, 32'h3f6d003d,32'h3f82f965, 32'h3f6086f7,32'h3f893609,// invsqrt(1.0530) = 0.9745 +32'h3f367ade,32'h3f949370,32'h3f9aa3e8, 32'h3f900717,32'h3f9f3041, 32'h3f887281,32'h3fa6c4d7,// invsqrt(0.7128) = 1.1844 +32'h3fe511f4,32'h3f3b8974,32'h3f433106, 32'h3f35cbc7,32'h3f48eeb3, 32'h3f2c3a50,32'h3f52802a,// invsqrt(1.7896) = 0.7475 +32'h3ff3c417,32'h3f35cbcb,32'h3f3d3761, 32'h3f303b1b,32'h3f42c811, 32'h3f26f4a1,32'h3f4c0e8b,// invsqrt(1.9044) = 0.7246 +32'h413fb74a,32'h3e90f3f1,32'h3e96de8d, 32'h3e8c83fc,32'h3e9b4e82, 32'h3e851eb9,32'h3ea2b3c5,// invsqrt(11.9822) = 0.2889 +32'h3f53a559,32'h3f89f597,32'h3f8f9720, 32'h3f85bc71,32'h3f93d047, 32'h3f7d650c,32'h3f9ada32,// invsqrt(0.8267) = 1.0998 +32'h3f9199ce,32'h3f6b3a63,32'h3f74d447, 32'h3f6406f7,32'h3f7c07b3, 32'h3f580699,32'h3f840408,// invsqrt(1.1375) = 0.9376 +32'h3e84f314,32'h3ff62a6f,32'h40001b4f, 32'h3feea14c,32'h4003dfe0, 32'h3fe21212,32'h400a277d,// invsqrt(0.2597) = 1.9624 +32'h3f1033c8,32'h3fa722d0,32'h3fadf537, 32'h3fa20504,32'h3fb31304, 32'h3f997e04,32'h3fbb9a04,// invsqrt(0.5633) = 1.3324 +32'h3e1e1a1e,32'h401f9eb9,32'h40262296, 32'h401abbd2,32'h402b057c, 32'h401296fd,32'h40332a51,// invsqrt(0.1544) = 2.5450 +32'h3fc48506,32'h3f4a7933,32'h3f52bcd7, 32'h3f444678,32'h3f58ef92, 32'h3f39f1eb,32'h3f63441f,// invsqrt(1.5353) = 0.8071 +32'h3f6bae10,32'h3f82bc61,32'h3f88126f, 32'h3f7d77ac,32'h3f8c12fa, 32'h3f702088,32'h3f92be8c,// invsqrt(0.9206) = 1.0422 +32'h3fef0fa5,32'h3f379387,32'h3f3f11b7, 32'h3f31f4e4,32'h3f44b05a, 32'h3f289729,32'h3f4e0e15,// invsqrt(1.8677) = 0.7317 +32'h40102d3d,32'h3f27269b,32'h3f2df929, 32'h3f2208b0,32'h3f331714, 32'h3f19817f,32'h3f3b9e45,// invsqrt(2.2528) = 0.6663 +32'h3fa9de25,32'h3f59c75e,32'h3f62aaee, 32'h3f531cb1,32'h3f69559b, 32'h3f48003c,32'h3f747210,// invsqrt(1.3271) = 0.8681 +32'h3eca32d0,32'h3fc79c22,32'h3fcfc1da, 32'h3fc17fd8,32'h3fd5de24, 32'h3fb750b1,32'h3fe00d4b,// invsqrt(0.3949) = 1.5913 +32'h3eba0ef0,32'h3fd01675,32'h3fd894c3, 32'h3fc9b7bb,32'h3fdef37d, 32'h3fbf19d9,32'h3fe9915f,// invsqrt(0.3634) = 1.6589 +32'h3ffdc6fb,32'h3f322c94,32'h3f397251, 32'h3f2cb847,32'h3f3ee69f, 32'h3f23a11b,32'h3f47fdcb,// invsqrt(1.9826) = 0.7102 +32'h3f353b88,32'h3f95161c,32'h3f9b2be9, 32'h3f9085c3,32'h3f9fbc43, 32'h3f88ea83,32'h3fa75783,// invsqrt(0.7079) = 1.1885 +32'h414a2da6,32'h3e8d270e,32'h3e92e9f4, 32'h3e88d4e1,32'h3e973c21, 32'h3e81a141,32'h3e9e6fc1,// invsqrt(12.6361) = 0.2813 +32'h3f0dd711,32'h3fa8859f,32'h3faf6681, 32'h3fa35cf6,32'h3fb48f2a, 32'h3f9ac3db,32'h3fbd2845,// invsqrt(0.5541) = 1.3434 +32'h4146da7d,32'h3e8e53e4,32'h3e942312, 32'h3e89f882,32'h3e987e74, 32'h3e82b588,32'h3e9fc16e,// invsqrt(12.4283) = 0.2837 +32'h3eebb396,32'h3fb8e145,32'h3fc06d14, 32'h3fb3386b,32'h3fc615ef, 32'h3fa9c9a8,32'h3fcf84b2,// invsqrt(0.4604) = 1.4739 +32'h3f9a102c,32'h3f64ad32,32'h3f6e02a1, 32'h3f5dad1d,32'h3f7502b5, 32'h3f520252,32'h3f8056c0,// invsqrt(1.2036) = 0.9115 +32'h3f24016e,32'h3f9cb888,32'h3fa31e1a, 32'h3f97ec5a,32'h3fa7ea48, 32'h3f8fed62,32'h3fafe940,// invsqrt(0.6406) = 1.2494 +32'h3fa824ae,32'h3f5ae488,32'h3f63d3bc, 32'h3f543120,32'h3f6a8724, 32'h3f49061f,32'h3f75b225,// invsqrt(1.3136) = 0.8725 +32'h409c1bbb,32'h3ee32c76,32'h3eec7232, 32'h3edc3829,32'h3ef3667f, 32'h3ed0a0ff,32'h3efefda9,// invsqrt(4.8784) = 0.4528 +32'h3f0f7dd1,32'h3fa78ca8,32'h3fae6360, 32'h3fa26b9d,32'h3fb3846b, 32'h3f99df37,32'h3fbc10d1,// invsqrt(0.5605) = 1.3357 +32'h3ec8ea9d,32'h3fc83ee8,32'h3fd06b46, 32'h3fc21da3,32'h3fd68c8b, 32'h3fb7e62e,32'h3fe0c400,// invsqrt(0.3924) = 1.5963 +32'h3fc7a3f7,32'h3f48e277,32'h3f511581, 32'h3f42bc2f,32'h3f573bc9, 32'h3f387c63,32'h3f617b95,// invsqrt(1.5597) = 0.8007 +32'h3f37eea3,32'h3f93fcfd,32'h3f9a0750, 32'h3f8f753e,32'h3f9e8f0e, 32'h3f87e855,32'h3fa61bf7,// invsqrt(0.7185) = 1.1798 +32'h3faeb2ba,32'h3f56bf34,32'h3f5f8316, 32'h3f502c4a,32'h3f661600, 32'h3f45376e,32'h3f710adc,// invsqrt(1.3648) = 0.8560 +32'h3ee8d59d,32'h3fba03c8,32'h3fc19b72, 32'h3fb45208,32'h3fc74d32, 32'h3faad474,32'h3fd0cac6,// invsqrt(0.4548) = 1.4829 +32'h41055bfc,32'h3eadcc3f,32'h3eb4e441, 32'h3ea87a3d,32'h3eba3643, 32'h3e9f9c3a,32'h3ec31446,// invsqrt(8.3350) = 0.3464 +32'h3f8bbe39,32'h3f701b8c,32'h3f79e86d, 32'h3f68c1e3,32'h3f80a10b, 32'h3f5c81c9,32'h3f86c117,// invsqrt(1.0917) = 0.9571 +32'h4030779b,32'h3f1715ff,32'h3f1d40b1, 32'h3f1275fa,32'h3f21e0b6, 32'h3f0ac09c,32'h3f299614,// invsqrt(2.7573) = 0.6022 +32'h3f7064b3,32'h3f8172a5,32'h3f86bb3f, 32'h3f7af865,32'h3f8ab1b1, 32'h3f6dc2e7,32'h3f914c71,// invsqrt(0.9390) = 1.0320 +32'h3f124e2b,32'h3fa5ee2e,32'h3facb3fc, 32'h3fa0d9d4,32'h3fb1c856, 32'h3f986293,32'h3fba3f97,// invsqrt(0.5715) = 1.3228 +32'h4008b068,32'h3f2baafc,32'h3f32acbd, 32'h3f2669ab,32'h3f37ee0d, 32'h3f1da779,32'h3f40b03f,// invsqrt(2.1358) = 0.6843 +32'h3e67a635,32'h4003de4c,32'h40094030, 32'h3fffa9c3,32'h400d499b, 32'h3ff23509,32'h401403f7,// invsqrt(0.2262) = 2.1025 +32'h40a33731,32'h3ede2c26,32'h3ee73da0, 32'h3ed75f0b,32'h3eee0abb, 32'h3ecc0933,32'h3ef96093,// invsqrt(5.1005) = 0.4428 +32'h3f2e8264,32'h3f97ee5d,32'h3f9e21e3, 32'h3f9347b8,32'h3fa2c888, 32'h3f8b8750,32'h3faa88f0,// invsqrt(0.6817) = 1.2112 +32'h3f86b4f2,32'h3f748e09,32'h3f7e8963, 32'h3f6d1186,32'h3f8302f3, 32'h3f609757,32'h3f89400a,// invsqrt(1.0524) = 0.9748 +32'h3fa232bd,32'h3f5ede3f,32'h3f67f6fe, 32'h3f580bb1,32'h3f6ec98d, 32'h3f4cacc2,32'h3f7a287c,// invsqrt(1.2672) = 0.8883 +32'h3ee3eafe,32'h3fbc02a7,32'h3fc3af2b, 32'h3fb64144,32'h3fc9708e, 32'h3faca99e,32'h3fd30834,// invsqrt(0.4452) = 1.4988 +32'h3f8eaf1a,32'h3f6d9ec7,32'h3f7751ab, 32'h3f66589d,32'h3f7e97d5, 32'h3f5a3900,32'h3f855bb9,// invsqrt(1.1147) = 0.9471 +32'h3f4cf211,32'h3f8c3245,32'h3f91eb2d, 32'h3f87e796,32'h3f9635dc, 32'h3f80c073,32'h3f9d5cff,// invsqrt(0.8006) = 1.1176 +32'h3f292acf,32'h3f9a4fb6,32'h3fa09c1c, 32'h3f95966a,32'h3fa55568, 32'h3f8db6eb,32'h3fad34e7,// invsqrt(0.6608) = 1.2302 +32'h400fc976,32'h3f276090,32'h3f2e357c, 32'h3f2240df,32'h3f33552d, 32'h3f19b6b9,32'h3f3bdf53,// invsqrt(2.2467) = 0.6672 +32'h3f032745,32'h3faf40da,32'h3fb66812, 32'h3fa9e370,32'h3fbbc57c, 32'h3fa0f26a,32'h3fc4b682,// invsqrt(0.5123) = 1.3971 +32'h3f57ccc2,32'h3f88a006,32'h3f8e339e, 32'h3f847154,32'h3f926250, 32'h3f7af1ad,32'h3f995acd,// invsqrt(0.8430) = 1.0892 +32'h3e9afe6b,32'h3fe3fd2d,32'h3fed4b6d, 32'h3fdd027c,32'h3ff4461e, 32'h3fd160ac,32'h3fffe7ee,// invsqrt(0.3027) = 1.8175 +32'h3ffb0551,32'h3f332656,32'h3f3a7644, 32'h3f2daa63,32'h3f3ff237, 32'h3f248678,32'h3f491622,// invsqrt(1.9611) = 0.7141 +32'h4024c355,32'h3f1c5c35,32'h3f22be03, 32'h3f1792db,32'h3f27875d, 32'h3f0f9899,32'h3f2f819f,// invsqrt(2.5744) = 0.6232 +32'h3f8c653c,32'h3f6f8c91,32'h3f79539c, 32'h3f683748,32'h3f805472, 32'h3f5bfe7a,32'h3f8670d9,// invsqrt(1.0968) = 0.9548 +32'h3e12f87a,32'h40258dee,32'h402c4fce, 32'h40207c86,32'h40316136, 32'h40180a2e,32'h4039d38e,// invsqrt(0.1435) = 2.6396 +32'h3f3fc689,32'h3f90ee2d,32'h3f96d88d, 32'h3f8c7e65,32'h3f9b4855, 32'h3f85196d,32'h3fa2ad4d,// invsqrt(0.7491) = 1.1554 +32'h3ee98c2a,32'h3fb9bb07,32'h3fc14fb9, 32'h3fb40b82,32'h3fc6ff3e, 32'h3faa91a3,32'h3fd0791d,// invsqrt(0.4561) = 1.4806 +32'h3f64eb50,32'h3f84a700,32'h3f8a1114, 32'h3f809770,32'h3f8e20a4, 32'h3f73a5ac,32'h3f94e53e,// invsqrt(0.8942) = 1.0575 +32'h3f735551,32'h3f80a9da,32'h3f85ea40, 32'h3f797319,32'h3f89da8e, 32'h3f6c5217,32'h3f906b0e,// invsqrt(0.9505) = 1.0257 +32'h3f515fe4,32'h3f8ab4a4,32'h3f905df9, 32'h3f8675a4,32'h3f949cf8, 32'h3f7ec3f3,32'h3f9bb0a3,// invsqrt(0.8179) = 1.1058 +32'h418d21af,32'h3e6eec6d,32'h3e78acef, 32'h3e679c0c,32'h3e7ffd50, 32'h3e5b6b69,32'h3e8616f9,// invsqrt(17.6414) = 0.2381 +32'h3f2faf2f,32'h3f976c15,32'h3f9d9a4b, 32'h3f92c96e,32'h3fa23cf2, 32'h3f8b0fab,32'h3fa9f6b5,// invsqrt(0.6863) = 1.2071 +32'h3fb19c55,32'h3f54fa97,32'h3f5dac00, 32'h3f4e7587,32'h3f64310f, 32'h3f4397c4,32'h3f6f0ed3,// invsqrt(1.3876) = 0.8489 +32'h40ea877b,32'h3eb95769,32'h3ec0e80a, 32'h3eb3aaf0,32'h3ec69482, 32'h3eaa3626,32'h3ed0094c,// invsqrt(7.3290) = 0.3694 +32'h3fb132a0,32'h3f553a14,32'h3f5dee14, 32'h3f4eb313,32'h3f647515, 32'h3f43d212,32'h3f6f5616,// invsqrt(1.3844) = 0.8499 +32'h3ef0fbd1,32'h3fb6d7b0,32'h3fbe4e35, 32'h3fb13ecd,32'h3fc3e719, 32'h3fa7eaa8,32'h3fcd3b3f,// invsqrt(0.4707) = 1.4576 +32'h3dab8a51,32'h4058b6e9,32'h40618f5b, 32'h40521494,32'h406831b0, 32'h40470605,32'h4073403f,// invsqrt(0.0838) = 3.4553 +32'h3f521ae8,32'h3f8a76db,32'h3f901daa, 32'h3f8639bf,32'h3f945ac5, 32'h3f7e5277,32'h3f9b6b49,// invsqrt(0.8207) = 1.1038 +32'h40535e5f,32'h3f0a0cbf,32'h3f0faf39, 32'h3f05d2e3,32'h3f13e915, 32'h3efd8f92,32'h3f1af42f,// invsqrt(3.3026) = 0.5503 +32'h3ec63e53,32'h3fc9975a,32'h3fd1d1c6, 32'h3fc36b89,32'h3fd7fd97, 32'h3fb92282,32'h3fe2469e,// invsqrt(0.3872) = 1.6071 +32'h3f7e4446,32'h3f7bbbd1,32'h3f830117, 32'h3f74070d,32'h3f86db7a, 32'h3f672f1a,32'h3f8d4773,// invsqrt(0.9932) = 1.0034 +32'h3f2cf005,32'h3f989eb6,32'h3f9ed96f, 32'h3f93f2ab,32'h3fa38579, 32'h3f8c2943,32'h3fab4ee1,// invsqrt(0.6755) = 1.2167 +32'h404e4789,32'h3f0bbe0b,32'h3f117235, 32'h3f0776eb,32'h3f15b955, 32'h3f0055b6,32'h3f1cda8a,// invsqrt(3.2231) = 0.5570 +32'h3f40b683,32'h3f9093d4,32'h3f967a84, 32'h3f8c26d0,32'h3f9ae788, 32'h3f84c674,32'h3fa247e4,// invsqrt(0.7528) = 1.1526 +32'h400f3410,32'h3f27b7c8,32'h3f2e9044, 32'h3f22956c,32'h3f33b2a0, 32'h3f1a06d2,32'h3f3c413a,// invsqrt(2.2376) = 0.6685 +32'h3f9aa54c,32'h3f643ed5,32'h3f6d8fc4, 32'h3f5d4223,32'h3f748c77, 32'h3f519cf8,32'h3f8018d1,// invsqrt(1.2082) = 0.9098 +32'h3d8da72d,32'h406e7bbe,32'h407837a6, 32'h40672ed0,32'h407f8494, 32'h405b03ed,32'h4085d7bc,// invsqrt(0.0692) = 3.8023 +32'h3e01d668,32'h403023a0,32'h4037541a, 32'h402abf45,32'h403cb875, 32'h4021c2ad,32'h4045b50d,// invsqrt(0.1268) = 2.8083 +32'h3f6bade1,32'h3f82bc6e,32'h3f88127d, 32'h3f7d77c7,32'h3f8c1309, 32'h3f7020a1,32'h3f92be9b,// invsqrt(0.9206) = 1.0422 +32'h3ff1ee4f,32'h3f367bf7,32'h3f3deebd, 32'h3f30e5e2,32'h3f4384d2, 32'h3f27966b,32'h3f4cd449,// invsqrt(1.8901) = 0.7274 +32'h3fa5fb30,32'h3f5c5051,32'h3f654e5f, 32'h3f5591c7,32'h3f6c0ce9, 32'h3f4a5436,32'h3f774a7a,// invsqrt(1.2967) = 0.8782 +32'h3f176456,32'h3fa31e79,32'h3fa9c6e7, 32'h3f9e2027,32'h3faec539, 32'h3f95cd9e,32'h3fb717c2,// invsqrt(0.5914) = 1.3004 +32'h3eaf608f,32'h3fd654ac,32'h3fdf1436, 32'h3fcfc505,32'h3fe5a3dd, 32'h3fc4d599,32'h3ff09349,// invsqrt(0.3425) = 1.7086 +32'h3f491cde,32'h3f8d86a8,32'h3f934d75, 32'h3f89318d,32'h3f97a28f, 32'h3f81f90d,32'h3f9edb0f,// invsqrt(0.7856) = 1.1282 +32'h3f7144d8,32'h3f813676,32'h3f867c9a, 32'h3f7a83b6,32'h3f8a7135, 32'h3f6d545b,32'h3f9108e2,// invsqrt(0.9425) = 1.0301 +32'h3fa2e768,32'h3f5e6287,32'h3f677639, 32'h3f5793c2,32'h3f6e44fe, 32'h3f4c3b23,32'h3f799d9d,// invsqrt(1.2727) = 0.8864 +32'h3e1f4f72,32'h401f0376,32'h402580fe, 32'h401a2551,32'h402a5f23, 32'h40120867,32'h40327c0d,// invsqrt(0.1556) = 2.5353 +32'h41d841b6,32'h3e41034b,32'h3e48e415, 32'h3e3b1ab4,32'h3e4eccac, 32'h3e3141b8,32'h3e58a5a8,// invsqrt(27.0321) = 0.1923 +32'h3e937301,32'h3fe9bfbf,32'h3ff34a2f, 32'h3fe297eb,32'h3ffa7203, 32'h3fd6aade,32'h40032f88,// invsqrt(0.2880) = 1.8634 +32'h406b9f06,32'h3f02c08d,32'h3f0816c7, 32'h3efd7fc3,32'h3f0c1772, 32'h3ef02832,32'h3f12c33b,// invsqrt(3.6816) = 0.5212 +32'h4035630e,32'h3f1505dd,32'h3f1b1b01, 32'h3f107603,32'h3f1faadb, 32'h3f08db97,32'h3f274547,// invsqrt(2.8342) = 0.5940 +32'h3f9d28e4,32'h3f626999,32'h3f6ba761, 32'h3f5b7b44,32'h3f7295b6, 32'h3f4fee0a,32'h3f7e22f0,// invsqrt(1.2278) = 0.9025 +32'h3dec588b,32'h4038a0b5,32'h404029e1, 32'h4032f9d4,32'h4045d0c2, 32'h40298e5d,32'h404f3c39,// invsqrt(0.1154) = 2.9437 +32'h3f6273e4,32'h3f855f70,32'h3f8ad10c, 32'h3f814a3b,32'h3f8ee641, 32'h3f74f870,32'h3f95b444,// invsqrt(0.8846) = 1.0632 +32'h3f679096,32'h3f83e474,32'h3f894698, 32'h3f7fb5b2,32'h3f8d5033, 32'h3f724058,32'h3f940ae0,// invsqrt(0.9045) = 1.0514 +32'h3fa27d76,32'h3f5eaafb,32'h3f67c1a1, 32'h3f57d9fd,32'h3f6e929f, 32'h3f4c7dad,32'h3f79eeef,// invsqrt(1.2695) = 0.8875 +32'h3d4ce790,32'h408c35dd,32'h4091eeeb, 32'h4087eb12,32'h409639b6, 32'h4080c3c0,32'h409d6108,// invsqrt(0.0500) = 4.4710 +32'h3f84f02e,32'h3f762d1e,32'h3f801cb4, 32'h3f6ea3e6,32'h3f83e150, 32'h3f621489,32'h3f8a28fe,// invsqrt(1.0386) = 0.9813 +32'h3f192fb3,32'h3fa2292e,32'h3fa8c798, 32'h3f9d325e,32'h3fadbe68, 32'h3f94ec59,32'h3fb6046d,// invsqrt(0.5984) = 1.2927 +32'h3f1885ea,32'h3fa28357,32'h3fa9256f, 32'h3f9d89c4,32'h3fae1f02, 32'h3f953f26,32'h3fb669a0,// invsqrt(0.5958) = 1.2955 +32'h3e818612,32'h3ff96664,32'h4001ca2f, 32'h3ff1c3e9,32'h40059b6d, 32'h3fe50a71,32'h400bf829,// invsqrt(0.2530) = 1.9882 +32'h3dee362d,32'h4037e73f,32'h403f68da, 32'h4032460c,32'h40450a0e, 32'h4028e40c,32'h404e6c0e,// invsqrt(0.1163) = 2.9321 +32'h3f881a77,32'h3f734c02,32'h3f7d3a36, 32'h3f6bd95b,32'h3f82566f, 32'h3f5f6f99,32'h3f888b4f,// invsqrt(1.0633) = 0.9698 +32'h3e64621f,32'h4004ced2,32'h400a3a86, 32'h4000be0a,32'h400e4b4e, 32'h3ff3eecf,32'h401511f0,// invsqrt(0.2230) = 2.1175 +32'h40a2cac6,32'h3ede7615,32'h3ee78a93, 32'h3ed7a6b6,32'h3eee59f2, 32'h3ecc4d18,32'h3ef9b390,// invsqrt(5.0873) = 0.4434 +32'h3f886ee1,32'h3f7300b2,32'h3f7cebd3, 32'h3f6b9058,32'h3f822e16, 32'h3f5f2a6f,32'h3f88610b,// invsqrt(1.0659) = 0.9686 +32'h40275cdf,32'h3f1b2419,32'h3f217929, 32'h3f16644c,32'h3f2638f6, 32'h3f0e79f7,32'h3f2e234b,// invsqrt(2.6150) = 0.6184 +32'h4046e1e1,32'h3f0e513f,32'h3f142051, 32'h3f09f5f1,32'h3f187b9f, 32'h3f02b31a,32'h3f1fbe76,// invsqrt(3.1075) = 0.5673 +32'h3ffe5718,32'h3f31fa13,32'h3f393dc0, 32'h3f2c8751,32'h3f3eb081, 32'h3f2372b8,32'h3f47c51a,// invsqrt(1.9870) = 0.7094 +32'h3e79b5ef,32'h3ffe050e,32'h400431a7, 32'h3ff63e5f,32'h400814ff, 32'h3fe94890,32'h400e8fe6,// invsqrt(0.2439) = 2.0250 +32'h3f073bb2,32'h3fac96ea,32'h3fb3a24c, 32'h3fa74e60,32'h3fb8ead6, 32'h3f9e8025,32'h3fc1b911,// invsqrt(0.5283) = 1.3759 +32'h3e357846,32'h4014fd27,32'h401b11ef, 32'h40106d91,32'h401fa185, 32'h4008d397,32'h40273b7f,// invsqrt(0.1772) = 2.3755 +32'h3f9f621d,32'h3f60d3df,32'h3f6a0118, 32'h3f59f1f6,32'h3f70e302, 32'h3f4e7970,32'h3f7c5b88,// invsqrt(1.2452) = 0.8962 +32'h3ed4288b,32'h3fc2de41,32'h3fcad26e, 32'h3fbce720,32'h3fd0c990, 32'h3fb2f5e9,32'h3fdabac7,// invsqrt(0.4144) = 1.5535 +32'h3df3407f,32'h4035fcf1,32'h403d6a87, 32'h40306abf,32'h4042fcb9, 32'h402721c3,32'h404c45b5,// invsqrt(0.1188) = 2.9016 +32'h3f27b1e2,32'h3f9afcc1,32'h3fa15037, 32'h3f963e29,32'h3fa60ecf, 32'h3f8e55d6,32'h3fadf722,// invsqrt(0.6551) = 1.2355 +32'h3f50306c,32'h3f8b1997,32'h3f90c70a, 32'h3f86d77f,32'h3f950921, 32'h3f7f7d5d,32'h3f9c21f2,// invsqrt(0.8132) = 1.1089 +32'h411b7b6a,32'h3ea0f58f,32'h3ea7876b, 32'h3e9c082a,32'h3eac74d0, 32'h3e93d1d7,32'h3eb4ab23,// invsqrt(9.7176) = 0.3208 +32'h3f197855,32'h3fa202ca,32'h3fa89fa3, 32'h3f9d0d26,32'h3fad9546, 32'h3f94c917,32'h3fb5d955,// invsqrt(0.5995) = 1.2915 +32'h3efdc16f,32'h3fb22e87,32'h3fb97458, 32'h3facba29,32'h3fbee8b5, 32'h3fa3a2e4,32'h3fc7fffa,// invsqrt(0.4956) = 1.4205 +32'h4090b4c4,32'h3eebf442,32'h3ef595bc, 32'h3ee4bb25,32'h3efcced9, 32'h3ed8b14c,32'h3f046c59,// invsqrt(4.5221) = 0.4703 +32'h3faec945,32'h3f56b15a,32'h3f5f74ac, 32'h3f501edc,32'h3f66072a, 32'h3f452ab6,32'h3f70fb50,// invsqrt(1.3655) = 0.8558 +32'h4073950d,32'h3f009903,32'h3f05d8ba, 32'h3ef95274,32'h3f09c884, 32'h3eec332b,32'h3f105828,// invsqrt(3.8060) = 0.5126 +32'h40b4d5ed,32'h3ed3123b,32'h3edbafb5, 32'h3ecc9c1f,32'h3ee225d1, 32'h3ec1d746,32'h3eeceaaa,// invsqrt(5.6511) = 0.4207 +32'h402d48c8,32'h3f18779a,32'h3f1eb0ba, 32'h3f13ccc2,32'h3f235b92, 32'h3f0c0559,32'h3f2b22fb,// invsqrt(2.7076) = 0.6077 +32'h40620ef9,32'h3f057d32,32'h3f0af004, 32'h3f016713,32'h3f0f0623, 32'h3ef52f17,32'h3f15d5aa,// invsqrt(3.5322) = 0.5321 +32'h3f2727e0,32'h3f9b3caf,32'h3fa192c0, 32'h3f967c21,32'h3fa6534d, 32'h3f8e908b,32'h3fae3ee3,// invsqrt(0.6530) = 1.2375 +32'h3f11a605,32'h3fa64ddb,32'h3fad1790, 32'h3fa13692,32'h3fb22ed8, 32'h3f98ba70,32'h3fbaaafa,// invsqrt(0.5689) = 1.3258 +32'h3f06bbec,32'h3face8ad,32'h3fb3f765, 32'h3fa79da2,32'h3fb94270, 32'h3f9ecb3b,32'h3fc214d7,// invsqrt(0.5263) = 1.3784 +32'h3e267e2d,32'h401b8bb8,32'h4021e503, 32'h4016c8bf,32'h4026a7fb, 32'h400ed920,32'h402e979a,// invsqrt(0.1626) = 2.4800 +32'h3eea896a,32'h3fb956a5,32'h3fc0e73f, 32'h3fb3aa33,32'h3fc693b1, 32'h3faa3573,32'h3fd00871,// invsqrt(0.4581) = 1.4775 +32'h400bdf91,32'h3f29b3e0,32'h3f30a119, 32'h3f2481f7,32'h3f35d303, 32'h3f1bd970,32'h3f3e7b8a,// invsqrt(2.1855) = 0.6764 +32'h3eb6bf52,32'h3fd1f6dd,32'h3fda88c7, 32'h3fcb896e,32'h3fe0f636, 32'h3fc0d30a,32'h3febac9a,// invsqrt(0.3569) = 1.6738 +32'h3fa40cd7,32'h3f5d9b4b,32'h3f66a6db, 32'h3f56d29f,32'h3f6d6f87, 32'h3f4b842b,32'h3f78bdfb,// invsqrt(1.2816) = 0.8833 +32'h419bd7e1,32'h3e635de5,32'h3e6ca5a5, 32'h3e5c6815,32'h3e739b75, 32'h3e50ce64,32'h3e7f3526,// invsqrt(19.4804) = 0.2266 +32'h3f14f811,32'h3fa470b3,32'h3fab26ee, 32'h3f9f6806,32'h3fb02f9a, 32'h3f97043b,32'h3fb89365,// invsqrt(0.5819) = 1.3109 +32'h40fb929b,32'h3eb2f400,32'h3eba41e0, 32'h3ead7997,32'h3ebfbc49, 32'h3ea4583e,32'h3ec8dda2,// invsqrt(7.8616) = 0.3567 +32'h3f83b4bd,32'h3f77533b,32'h3f80b5c3, 32'h3f6fc103,32'h3f847ee0, 32'h3f6322a4,32'h3f8ace0f,// invsqrt(1.0290) = 0.9858 +32'h3ef15d74,32'h3fb6b2b1,32'h3fbe27b3, 32'h3fb11af0,32'h3fc3bf74, 32'h3fa7c8ad,32'h3fcd11b7,// invsqrt(0.4714) = 1.4565 +32'h3f52053a,32'h3f8a7e00,32'h3f90251a, 32'h3f8640ac,32'h3f94626e, 32'h3f7e5f97,32'h3f9b734e,// invsqrt(0.8204) = 1.1041 +32'h3f9e962c,32'h3f616441,32'h3f6a975e, 32'h3f5a7dec,32'h3f717db4, 32'h3f4efe08,32'h3f7cfd98,// invsqrt(1.2390) = 0.8984 +32'h3e6c9f8b,32'h4002799b,32'h4007ccef, 32'h3ffcf636,32'h400bcb6f, 32'h3fefa5e3,32'h40127399,// invsqrt(0.2311) = 2.0803 +32'h3f590556,32'h3f883d7e,32'h3f8dcd11, 32'h3f8411d1,32'h3f91f8bf, 32'h3f7a3cb5,32'h3f98ec36,// invsqrt(0.8477) = 1.0861 +32'h3f330e3d,32'h3f95fd6b,32'h3f9c1ca9, 32'h3f9165fd,32'h3fa0b417, 32'h3f89beef,32'h3fa85b25,// invsqrt(0.6994) = 1.1957 +32'h3f2dda5a,32'h3f9837b8,32'h3f9e6e3c, 32'h3f938ed4,32'h3fa31720, 32'h3f8bcaae,32'h3faadb46,// invsqrt(0.6791) = 1.2135 +32'h3f9bc017,32'h3f636f41,32'h3f6cb7b7, 32'h3f5c78e9,32'h3f73ae0f, 32'h3f50de56,32'h3f7f48a2,// invsqrt(1.2168) = 0.9065 +32'h3eb03baf,32'h3fd5cf43,32'h3fde895b, 32'h3fcf43b1,32'h3fe514ed, 32'h3fc45b14,32'h3feffd8a,// invsqrt(0.3442) = 1.7045 +32'h412fdcaa,32'h3e97587f,32'h3e9d85e7, 32'h3e92b670,32'h3ea227f6, 32'h3e8afdae,32'h3ea9e0b8,// invsqrt(10.9914) = 0.3016 +32'h3f140329,32'h3fa4f886,32'h3fabb44c, 32'h3f9febb1,32'h3fb0c121, 32'h3f9780f8,32'h3fb92bda,// invsqrt(0.5782) = 1.3151 +32'h3fe9e5d6,32'h3f399769,32'h3f412aa7, 32'h3f33e8fb,32'h3f46d915, 32'h3f2a70ee,32'h3f505122,// invsqrt(1.8273) = 0.7398 +32'h3e178fdf,32'h4023070a,32'h4029ae83, 32'h401e0970,32'h402eac1e, 32'h4015b81a,32'h4036fd75,// invsqrt(0.1480) = 2.5993 +32'h41006acb,32'h3eb11c4f,32'h3eb856ef, 32'h3eabb057,32'h3ebdc2e7, 32'h3ea2a70f,32'h3ec6cc2f,// invsqrt(8.0261) = 0.3530 +32'h3fc31fa4,32'h3f4b324b,32'h3f537d7d, 32'h3f44f9e5,32'h3f59b5e3, 32'h3f3a9be7,32'h3f6413e1,// invsqrt(1.5244) = 0.8099 +32'h3f66c859,32'h3f841da0,32'h3f898219, 32'h3f801244,32'h3f8d8d74, 32'h3f72a959,32'h3f944b0c,// invsqrt(0.9015) = 1.0532 +32'h3f3eae35,32'h3f91588f,32'h3f974747, 32'h3f8ce586,32'h3f9bba50, 32'h3f857b20,32'h3fa324b6,// invsqrt(0.7448) = 1.1587 +32'h3ff4e902,32'h3f355ef3,32'h3f3cc617, 32'h3f2fd198,32'h3f425372, 32'h3f2690ab,32'h3f4b945f,// invsqrt(1.9134) = 0.7229 +32'h4030588d,32'h3f17234c,32'h3f1d4e89, 32'h3f1282df,32'h3f21eef7, 32'h3f0accd4,32'h3f29a502,// invsqrt(2.7554) = 0.6024 +32'h3d6483a4,32'h4084c514,32'h408a3063, 32'h4080b498,32'h408e40de, 32'h4073dcea,32'h40950701,// invsqrt(0.0558) = 4.2337 +32'h3efbdbb8,32'h3fb2da05,32'h3fba26d6, 32'h3fad6068,32'h3fbfa072, 32'h3fa44062,32'h3fc8c078,// invsqrt(0.4919) = 1.4258 +32'h3ffbb8ed,32'h3f32e661,32'h3f3a33b3, 32'h3f2d6c63,32'h3f3fadb1, 32'h3f244bbc,32'h3f48ce58,// invsqrt(1.9666) = 0.7131 +32'h3fd3aa1f,32'h3f43186b,32'h3f4b0ef7, 32'h3f3d1f82,32'h3f5107e0, 32'h3f332b52,32'h3f5afc10,// invsqrt(1.6536) = 0.7776 +32'h3f55c4fb,32'h3f8945bc,32'h3f8ee017, 32'h3f8511f7,32'h3f9313db, 32'h3f7c220a,32'h3f9a14cd,// invsqrt(0.8350) = 1.0943 +32'h3f9f4244,32'h3f60ea59,32'h3f6a187c, 32'h3f5a07bf,32'h3f70fb17, 32'h3f4e8e14,32'h3f7c74c3,// invsqrt(1.2442) = 0.8965 +32'h3e9dbd0a,32'h3fe1ff2d,32'h3feb389d, 32'h3fdb141a,32'h3ff223b0, 32'h3fcf8c4e,32'h3ffdab7c,// invsqrt(0.3081) = 1.8016 +32'h401c171d,32'h3f20a534,32'h3f2733c8, 32'h3f1bba44,32'h3f2c1eb8, 32'h3f13880b,32'h3f3450f1,// invsqrt(2.4389) = 0.6403 +32'h3f89c963,32'h3f71ce63,32'h3f7bad03, 32'h3f6a676a,32'h3f8189fe, 32'h3f5e1121,32'h3f87b522,// invsqrt(1.0765) = 0.9638 +32'h3f575123,32'h3f88c739,32'h3f8e5c6b, 32'h3f849754,32'h3f928c50, 32'h3f7b39ad,32'h3f9986cd,// invsqrt(0.8411) = 1.0904 +32'h3fb49fb7,32'h3f5331e5,32'h3f5bd0ab, 32'h3f4cbad1,32'h3f6247bf, 32'h3f41f45a,32'h3f6d0e36,// invsqrt(1.4111) = 0.8418 +32'h3ff7cc3a,32'h3f344fa1,32'h3f3babb2, 32'h3f2eca94,32'h3f4130be, 32'h3f25977e,32'h3f4a63d4,// invsqrt(1.9359) = 0.7187 +32'h3fa60251,32'h3f5c4b96,32'h3f654972, 32'h3f558d31,32'h3f6c07d7, 32'h3f4a4fdd,32'h3f77452b,// invsqrt(1.2969) = 0.8781 +32'h3e5ce04e,32'h40070bc4,32'h400c8edb, 32'h4002e971,32'h4010b12d, 32'h3ff80b28,32'h4017950a,// invsqrt(0.2157) = 2.1532 +32'h3f3e8a74,32'h3f916632,32'h3f975578, 32'h3f8cf2be,32'h3f9bc8ec, 32'h3f8587a6,32'h3fa33404,// invsqrt(0.7443) = 1.1591 +32'h3f55c1f6,32'h3f8946b4,32'h3f8ee119, 32'h3f8512e7,32'h3f9314e5, 32'h3f7c23d1,32'h3f9a15e3,// invsqrt(0.8350) = 1.0944 +32'h3f60f0ec,32'h3f85d1f8,32'h3f8b4840, 32'h3f81b941,32'h3f8f60f7, 32'h3f75cacc,32'h3f9634d2,// invsqrt(0.8787) = 1.0668 +32'h3f9b7ffa,32'h3f639e1f,32'h3f6ce87f, 32'h3f5ca658,32'h3f73e046, 32'h3f510961,32'h3f7f7d3d,// invsqrt(1.2148) = 0.9073 +32'h3f7dffcf,32'h3f7bddbc,32'h3f8312be, 32'h3f7427ee,32'h3f86eda5, 32'h3f674e40,32'h3f8d5a7c,// invsqrt(0.9922) = 1.0039 +32'h40900cc4,32'h3eec7db1,32'h3ef624c8, 32'h3ee54060,32'h3efd621a, 32'h3ed92f84,32'h3f04b97b,// invsqrt(4.5016) = 0.4713 +32'h3fdc399b,32'h3f3f4416,32'h3f47129f, 32'h3f396930,32'h3f4ced86, 32'h3f2fa705,32'h3f56afb1,// invsqrt(1.7205) = 0.7624 +32'h3fc74abe,32'h3f490f6a,32'h3f51444a, 32'h3f42e7c2,32'h3f576bf2, 32'h3f38a5ab,32'h3f61ae09,// invsqrt(1.5570) = 0.8014 +32'h40278f0c,32'h3f1b0cdc,32'h3f2160fa, 32'h3f164dc6,32'h3f262010, 32'h3f0e64a0,32'h3f2e0936,// invsqrt(2.6181) = 0.6180 +32'h3f2df6c0,32'h3f982b4b,32'h3f9e614e, 32'h3f9382c8,32'h3fa309d0, 32'h3f8bbf44,32'h3faacd54,// invsqrt(0.6795) = 1.2131 +32'h3f01f610,32'h3fb00e2b,32'h3fb73dc4, 32'h3faaaa77,32'h3fbca177, 32'h3fa1aef8,32'h3fc59cf6,// invsqrt(0.5077) = 1.4035 +32'h3f33b5de,32'h3f95b767,32'h3f9bd3c9, 32'h3f91221d,32'h3fa06913, 32'h3f897ea2,32'h3fa80c8e,// invsqrt(0.7020) = 1.1935 +32'h3f00c621,32'h3fb0dd74,32'h3fb81584, 32'h3fab7369,32'h3fbd7f8f, 32'h3fa26d56,32'h3fc685a2,// invsqrt(0.5030) = 1.4100 +32'h3f2f8683,32'h3f977d9f,32'h3f9dac8b, 32'h3f92da6e,32'h3fa24fbc, 32'h3f8b1fc6,32'h3faa0a64,// invsqrt(0.6856) = 1.2077 +32'h3cf8a1d3,32'h40b4021d,32'h40bb5b04, 32'h40ae7f6f,32'h40c0ddb1, 32'h40a5504e,32'h40ca0cd2,// invsqrt(0.0304) = 5.7401 +32'h40432129,32'h3f0fadee,32'h3f158b3b, 32'h3f0b47f3,32'h3f19f135, 32'h3f03f352,32'h3f2145d6,// invsqrt(3.0489) = 0.5727 +32'h3f8696d2,32'h3f74a966,32'h3f7ea5de, 32'h3f6d2c0d,32'h3f83119b, 32'h3f60b078,32'h3f894f66,// invsqrt(1.0515) = 0.9752 +32'h3fad0620,32'h3f57c88a,32'h3f609742, 32'h3f512d81,32'h3f67324b, 32'h3f462b1c,32'h3f7234b0,// invsqrt(1.3517) = 0.8601 +32'h3e8e5b21,32'h3fede4d2,32'h3ff79a92, 32'h3fe69c83,32'h3ffee2e1, 32'h3fda7953,32'h40058308,// invsqrt(0.2780) = 1.8965 +32'h3f981554,32'h3f662903,32'h3f6f8df4, 32'h3f5f1d4f,32'h3f7699a9, 32'h3f535f23,32'h3f812beb,// invsqrt(1.1882) = 0.9174 +32'h3fe7cd37,32'h3f3a6dc0,32'h3f4209be, 32'h3f34b8c2,32'h3f47bebc, 32'h3f2b35c6,32'h3f5141b9,// invsqrt(1.8110) = 0.7431 +32'h3d81c7f2,32'h40792710,32'h4081a93a, 32'h40718685,32'h4085797f, 32'h4064d048,32'h408bd49e,// invsqrt(0.0634) = 3.9725 +32'h3ec897ce,32'h3fc86839,32'h3fd09646, 32'h3fc245b0,32'h3fd6b8d0, 32'h3fb80c20,32'h3fe0f260,// invsqrt(0.3918) = 1.5976 +32'h3f867630,32'h3f74c715,32'h3f7ec4c2, 32'h3f6d48d2,32'h3f832182, 32'h3f60cbba,32'h3f89600e,// invsqrt(1.0505) = 0.9757 +32'h3fa0f58a,32'h3f5fb96d,32'h3f68db1e, 32'h3f58e029,32'h3f6fb463, 32'h3f4d760c,32'h3f7b1e80,// invsqrt(1.2575) = 0.8918 +32'h3fbe7218,32'h3f4dad48,32'h3f561265, 32'h3f476172,32'h3f5c5e3a, 32'h3f3ce30d,32'h3f66dc9f,// invsqrt(1.4879) = 0.8198 +32'h3f913711,32'h3f6b8a4e,32'h3f752776, 32'h3f645470,32'h3f7c5d54, 32'h3f584fff,32'h3f8430e3,// invsqrt(1.1345) = 0.9389 +32'h3f64d731,32'h3f84acd5,32'h3f8a1727, 32'h3f809d18,32'h3f8e26e4, 32'h3f73b063,32'h3f94ebcb,// invsqrt(0.8939) = 1.0577 +32'h3f37a4c5,32'h3f941abd,32'h3f9a2647, 32'h3f8f9215,32'h3f9eaeef, 32'h3f8803a8,32'h3fa63d5c,// invsqrt(0.7174) = 1.1807 +32'h3f63d11c,32'h3f84f910,32'h3f8a667e, 32'h3f80e6fd,32'h3f8e7891, 32'h3f743c66,32'h3f95415b,// invsqrt(0.8899) = 1.0601 +32'h4121071f,32'h3e9e29cb,32'h3ea49e70, 32'h3e99524f,32'h3ea975eb, 32'h3e914080,32'h3eb187ba,// invsqrt(10.0642) = 0.3152 +32'h3f4aa872,32'h3f8cfc44,32'h3f92bd6b, 32'h3f88ab66,32'h3f970e48, 32'h3f8179f5,32'h3f9e3fb9,// invsqrt(0.7916) = 1.1239 +32'h3fa3b836,32'h3f5dd48a,32'h3f66e270, 32'h3f570a1d,32'h3f6dacdd, 32'h3f4bb8bd,32'h3f78fe3d,// invsqrt(1.2791) = 0.8842 +32'h404f326d,32'h3f0b6ebf,32'h3f111fad, 32'h3f072a0d,32'h3f15645f, 32'h3f000ce4,32'h3f1c8188,// invsqrt(3.2375) = 0.5558 +32'h4113e30c,32'h3ea50a6e,32'h3eabc6f0, 32'h3e9ffd0d,32'h3eb0d451, 32'h3e97916a,32'h3eb93ff4,// invsqrt(9.2429) = 0.3289 +32'h3f90eed6,32'h3f6bc4f8,32'h3f756484, 32'h3f648d4e,32'h3f7c9c2e, 32'h3f5885de,32'h3f8451cf,// invsqrt(1.1323) = 0.9398 +32'h40077472,32'h3f2c72bf,32'h3f337ca7, 32'h3f272b50,32'h3f38c416, 32'h3f1e5eee,32'h3f419078,// invsqrt(2.1165) = 0.6874 +32'h3f450691,32'h3f8efc83,32'h3f94d293, 32'h3f8a9bf7,32'h3f99331f, 32'h3f835064,32'h3fa07eb2,// invsqrt(0.7696) = 1.1399 +32'h3ef41445,32'h3fb5adec,32'h3fbd184a, 32'h3fb01e26,32'h3fc2a810, 32'h3fa6d932,32'h3fcbed04,// invsqrt(0.4767) = 1.4483 +32'h3f70b3b8,32'h3f815d64,32'h3f86a51f, 32'h3f7acf31,32'h3f8a9aec, 32'h3f6d9bdd,32'h3f913495,// invsqrt(0.9402) = 1.0313 +32'h3f4c9d6f,32'h3f8c4f41,32'h3f920958, 32'h3f8803af,32'h3f9654e9, 32'h3f80db11,32'h3f9d7d87,// invsqrt(0.7993) = 1.1185 +32'h3ff32321,32'h3f3607ee,32'h3f3d75f8, 32'h3f307567,32'h3f43087f, 32'h3f272bdb,32'h3f4c520b,// invsqrt(1.8995) = 0.7256 +32'h3f5836c5,32'h3f887e84,32'h3f8e10bd, 32'h3f8450d8,32'h3f923e68, 32'h3f7ab420,32'h3f993530,// invsqrt(0.8446) = 1.0881 +32'h3fcd988f,32'h3f45f42a,32'h3f4e0894, 32'h3f3fe4da,32'h3f5417e4, 32'h3f35cb56,32'h3f5e3169,// invsqrt(1.6062) = 0.7890 +32'h40d0115f,32'h3ec4c63d,32'h3eccce55, 32'h3ebec02c,32'h3ed2d466, 32'h3eb4b60e,32'h3edcde84,// invsqrt(6.5021) = 0.3922 +32'h43131189,32'h3da57fd3,32'h3dac411f, 32'h3da06ed9,32'h3db15219, 32'h3d97fd3a,32'h3db9c3b8,// invsqrt(147.0685) = 0.0825 +32'h3eb3cf92,32'h3fd3abff,32'h3fdc4fc0, 32'h3fcd312d,32'h3fe2ca91, 32'h3fc2647c,32'h3fed9742,// invsqrt(0.3512) = 1.6874 +32'h3fa702aa,32'h3f5ba243,32'h3f649937, 32'h3f54e90d,32'h3f6b526d, 32'h3f49b45d,32'h3f76871d,// invsqrt(1.3048) = 0.8755 +32'h3fc242c3,32'h3f4ba5af,32'h3f53f597, 32'h3f4569c1,32'h3f5a3185, 32'h3f3b05e0,32'h3f649567,// invsqrt(1.5177) = 0.8117 +32'h3f375185,32'h3f943c5a,32'h3f9a4944, 32'h3f8fb2ab,32'h3f9ed2f3, 32'h3f882287,32'h3fa66317,// invsqrt(0.7161) = 1.1817 +32'h3f9518b5,32'h3f687442,32'h3f71f12b, 32'h3f615694,32'h3f790eda, 32'h3f557a72,32'h3f82757e,// invsqrt(1.1648) = 0.9266 +32'h3f7837cb,32'h3f7ec84c,32'h3f849742, 32'h3f76fba3,32'h3f887d97, 32'h3f69fbde,32'h3f8efd79,// invsqrt(0.9696) = 1.0156 +32'h3f244ce7,32'h3f9c9485,32'h3fa2f89f, 32'h3f97c971,32'h3fa7c3b3, 32'h3f8fcc50,32'h3fafc0d4,// invsqrt(0.6418) = 1.2482 +32'h3fae84ae,32'h3f56db87,32'h3f5fa091, 32'h3f5047bf,32'h3f663459, 32'h3f455171,32'h3f712aa7,// invsqrt(1.3634) = 0.8564 +32'h3f81b222,32'h3f793c03,32'h3f81b421, 32'h3f719ad4,32'h3f8584b8, 32'h3f64e385,32'h3f8be060,// invsqrt(1.0132) = 0.9934 +32'h3e01b839,32'h4030381d,32'h4037696c, 32'h402ad321,32'h403cce67, 32'h4021d57d,32'h4045cc0b,// invsqrt(0.1267) = 2.8096 +32'h3f704128,32'h3f817c38,32'h3f86c536, 32'h3f7b0af5,32'h3f8abbf3, 32'h3f6dd47d,32'h3f915730,// invsqrt(0.9385) = 1.0322 +32'h3f47661b,32'h3f8e2208,32'h3f93ef2c, 32'h3f89c82c,32'h3f984908, 32'h3f8287be,32'h3f9f8976,// invsqrt(0.7789) = 1.1331 +32'h405d53e5,32'h3f06e87b,32'h3f0c6a22, 32'h3f02c73e,32'h3f108b60, 32'h3ef7ca5b,32'h3f176d70,// invsqrt(3.4582) = 0.5377 +32'h3fea3144,32'h3f397983,32'h3f410b89, 32'h3f33cbff,32'h3f46b90d, 32'h3f2a5579,32'h3f502f93,// invsqrt(1.8296) = 0.7393 +32'h3f40fdf4,32'h3f907910,32'h3f965ea8, 32'h3f8c0cde,32'h3f9acada, 32'h3f84ade0,32'h3fa229d8,// invsqrt(0.7539) = 1.1517 +32'h3f79485f,32'h3f7e3cda,32'h3f844eb1, 32'h3f767476,32'h3f8832e3, 32'h3f697bce,32'h3f8eaf37,// invsqrt(0.9738) = 1.0134 +32'h3fed8e08,32'h3f38284a,32'h3f3fac8b, 32'h3f328518,32'h3f454fbc, 32'h3f291fc6,32'h3f4eb50e,// invsqrt(1.8559) = 0.7340 +32'h3f7145ad,32'h3f81363d,32'h3f867c5f, 32'h3f7a8347,32'h3f8a70f8, 32'h3f6d53f3,32'h3f9108a3,// invsqrt(0.9425) = 1.0301 +32'h40ceaaab,32'h3ec570b8,32'h3ecd7fc4, 32'h3ebf656e,32'h3ed38b0e, 32'h3eb5529e,32'h3edd9dde,// invsqrt(6.4583) = 0.3935 +32'h3e1ff7d4,32'h401eafb0,32'h402529cc, 32'h4019d41b,32'h402a0561, 32'h4011bb78,32'h40321e04,// invsqrt(0.1562) = 2.5301 +32'h3f17ea53,32'h3fa2d67a,32'h3fa97bf8, 32'h3f9dda5d,32'h3fae7815, 32'h3f958b80,32'h3fb6c6f2,// invsqrt(0.5934) = 1.2981 +32'h3e94a4fd,32'h3fe8ceac,32'h3ff24f46, 32'h3fe1ae39,32'h3ff96fb9, 32'h3fd5cd7a,32'h4002a83c,// invsqrt(0.2903) = 1.8559 +32'h3ce590c1,32'h40bb55a2,32'h40c2fb16, 32'h40b5998b,32'h40c8b72d, 32'h40ac0ab9,32'h40d245ff,// invsqrt(0.0280) = 5.9737 +32'h41a989ba,32'h3e59fd8f,32'h3e62e357, 32'h3e53513a,32'h3e698fac, 32'h3e483201,32'h3e74aee5,// invsqrt(21.1922) = 0.2172 +32'h3f0694e1,32'h3fad01c0,32'h3fb4117e, 32'h3fa7b5f1,32'h3fb95d4d, 32'h3f9ee242,32'h3fc230fc,// invsqrt(0.5257) = 1.3792 +32'h3e348e8b,32'h40155d76,32'h401b762d, 32'h4010caee,32'h402008b6, 32'h40092c0a,32'h4027a79a,// invsqrt(0.1763) = 2.3815 +32'h40f44220,32'h3eb59cde,32'h3ebd0688, 32'h3eb00d9d,32'h3ec295c9, 32'h3ea6c988,32'h3ecbd9df,// invsqrt(7.6331) = 0.3620 +32'h3fd9add4,32'h3f40619a,32'h3f483bca, 32'h3f3a7df6,32'h3f4e1f6e, 32'h3f30ad3a,32'h3f57f02a,// invsqrt(1.7006) = 0.7668 +32'h402a24e1,32'h3f19de26,32'h3f2025e8, 32'h3f152853,32'h3f24dbbb, 32'h3f0d4ea0,32'h3f2cb56e,// invsqrt(2.6585) = 0.6133 +32'h3e97ac81,32'h3fe6787e,32'h3fefe0ad, 32'h3fdf6a5b,32'h3ff6eed1, 32'h3fd3a821,32'h40015886,// invsqrt(0.2962) = 1.8373 +32'h3e8e387e,32'h3fee01c8,32'h3ff7b8b6, 32'h3fe6b895,32'h3fff01e9, 32'h3fda93ec,32'h40059349,// invsqrt(0.2778) = 1.8974 +32'h3e970668,32'h3fe6f718,32'h3ff06472, 32'h3fdfe515,32'h3ff77675, 32'h3fd41c64,32'h40019f93,// invsqrt(0.2950) = 1.8412 +32'h3fdb27ad,32'h3f3fbb7a,32'h3f478ee2, 32'h3f39dcec,32'h3f4d6d70, 32'h3f3014a9,32'h3f5735b3,// invsqrt(1.7121) = 0.7642 +32'h3fdadd24,32'h3f3fdc1d,32'h3f47b0db, 32'h3f39fc8f,32'h3f4d9069, 32'h3f3032a3,32'h3f575a55,// invsqrt(1.7099) = 0.7647 +32'h3fa7050f,32'h3f5ba0b0,32'h3f649793, 32'h3f54e787,32'h3f6b50bd, 32'h3f49b2eb,32'h3f768559,// invsqrt(1.3048) = 0.8754 +32'h3fa46db6,32'h3f5d59fa,32'h3f6662df, 32'h3f56934d,32'h3f6d298b, 32'h3f4b482e,32'h3f7874aa,// invsqrt(1.2846) = 0.8823 +32'h3e85f561,32'h3ff53ca7,32'h3fff3f21, 32'h3fedbacc,32'h4003607e, 32'h3fe137b4,32'h4009a20a,// invsqrt(0.2616) = 1.9550 +32'h3dd15546,32'h40442dc6,32'h404c2fa4, 32'h403e2c5f,32'h4052310b, 32'h40342a09,32'h405c3361,// invsqrt(0.1022) = 3.1279 +32'h3b96faa5,32'h41670017,32'h41706dcf, 32'h415fedcd,32'h41778019, 32'h415424a8,32'h4181a49f,// invsqrt(0.0046) = 14.7322 +32'h40be98e6,32'h3ecd9857,32'h3ed5fc99, 32'h3ec74d25,32'h3edc47cb, 32'h3ebccfd2,32'h3ee6c51e,// invsqrt(5.9562) = 0.4097 +32'h3d652f71,32'h40849347,32'h4089fc8e, 32'h40808452,32'h408e0b84, 32'h40738174,32'h4094cf1c,// invsqrt(0.0560) = 4.2275 +32'h3f39966b,32'h3f9353a5,32'h3f99570f, 32'h3f8ed116,32'h3f9dd99e, 32'h3f874cd1,32'h3fa55de3,// invsqrt(0.7250) = 1.1745 +32'h3ff23f79,32'h3f365d63,32'h3f3dcee9, 32'h3f30c83e,32'h3f43640e, 32'h3f277a55,32'h3f4cb1f7,// invsqrt(1.8926) = 0.7269 +32'h3e15be80,32'h4024039a,32'h402ab562, 32'h401efe44,32'h402fbab8, 32'h4016a00b,32'h403818f1,// invsqrt(0.1462) = 2.6150 +32'h40143475,32'h3f24dd14,32'h3f2b97bc, 32'h3f1fd116,32'h3f30a3ba, 32'h3f1767c4,32'h3f390d0c,// invsqrt(2.3157) = 0.6571 +32'h40a8ed15,32'h3eda628a,32'h3ee34c70, 32'h3ed3b31d,32'h3ee9fbdd, 32'h3ec88ebd,32'h3ef5203d,// invsqrt(5.2789) = 0.4352 +32'h3f5cf0ac,32'h3f8706c3,32'h3f8c89a7, 32'h3f82e499,32'h3f90abd1, 32'h3f7801f9,32'h3f978f6e,// invsqrt(0.8630) = 1.0764 +32'h3d829343,32'h407864cb,32'h40814420, 32'h4070ca32,32'h4085116d, 32'h40641ddf,32'h408b6796,// invsqrt(0.0638) = 3.9604 +32'h3e4b0878,32'h400cdae9,32'h40129ab3, 32'h40088b10,32'h4016ea8c, 32'h40015b53,32'h401e1a49,// invsqrt(0.1983) = 2.2458 +32'h3f68b577,32'h3f83915b,32'h3f88f01b, 32'h3f7f1496,32'h3f8cf72b, 32'h3f71a7b7,32'h3f93ad9a,// invsqrt(0.9090) = 1.0489 +32'h3e3190ed,32'h40169e21,32'h401cc3ee, 32'h401201c7,32'h40216047, 32'h400a5286,32'h40290f88,// invsqrt(0.1734) = 2.4014 +32'h3fa8c842,32'h3f5a7a5c,32'h3f63653b, 32'h3f53ca34,32'h3f6a1562, 32'h3f48a49d,32'h3f753af9,// invsqrt(1.3186) = 0.8708 +32'h3fa62430,32'h3f5c3520,32'h3f653212, 32'h3f55776b,32'h3f6befc7, 32'h3f4a3b3d,32'h3f772bf5,// invsqrt(1.2980) = 0.8777 +32'h3f7f99cf,32'h3f7b136a,32'h3f82a973, 32'h3f7363cc,32'h3f868142, 32'h3f669471,32'h3f8ce8f0,// invsqrt(0.9984) = 1.0008 +32'h3f698430,32'h3f835711,32'h3f88b370, 32'h3f7ea395,32'h3f8cb8b7, 32'h3f713ca8,32'h3f936c2e,// invsqrt(0.9122) = 1.0470 +32'h3f5e81e6,32'h3f868cce,32'h3f8c0ab8, 32'h3f826e60,32'h3f902926, 32'h3f7721f9,32'h3f97068a,// invsqrt(0.8692) = 1.0726 +32'h3e850783,32'h3ff61786,32'h40001178, 32'h3fee8ef8,32'h4003d5bf, 32'h3fe200b5,32'h400a1ce0,// invsqrt(0.2598) = 1.9618 +32'h40c36370,32'h3ecb0f07,32'h3ed358c9, 32'h3ec4d7b6,32'h3ed9901a, 32'h3eba7b84,32'h3ee3ec4c,// invsqrt(6.1059) = 0.4047 +32'h3f39bef7,32'h3f934390,32'h3f994652, 32'h3f8ec17f,32'h3f9dc863, 32'h3f873e0c,32'h3fa54bd6,// invsqrt(0.7256) = 1.1740 +32'h3fec7c98,32'h3f3892a2,32'h3f401b3b, 32'h3f32ec30,32'h3f45c1ae, 32'h3f298171,32'h3f4f2c6d,// invsqrt(1.8476) = 0.7357 +32'h3f9d0b5d,32'h3f627ee1,32'h3f6bbd87, 32'h3f5b8fe5,32'h3f72ac83, 32'h3f500195,32'h3f7e3ad3,// invsqrt(1.2269) = 0.9028 +32'h3f8e7a85,32'h3f6dca9c,32'h3f777f4a, 32'h3f66831a,32'h3f7ec6cc, 32'h3f5a6141,32'h3f857452,// invsqrt(1.1131) = 0.9478 +32'h3f19999a,32'h3fa1f13d,32'h3fa88d5f, 32'h3f9cfc24,32'h3fad8278, 32'h3f94b8f9,32'h3fb5c5a3,// invsqrt(0.6000) = 1.2910 +32'h3fcf5d6b,32'h3f451b8c,32'h3f4d271f, 32'h3f3f12de,32'h3f532fce, 32'h3f350467,32'h3f5d3e45,// invsqrt(1.6200) = 0.7857 +32'h411b0316,32'h3ea133fc,32'h3ea7c864, 32'h3e9c44ae,32'h3eacb7b2, 32'h3e940b2b,32'h3eb4f135,// invsqrt(9.6883) = 0.3213 +32'h3fcb5c20,32'h3f470a03,32'h3f4f29c4, 32'h3f40f231,32'h3f554195, 32'h3f36ca80,32'h3f5f6947,// invsqrt(1.5887) = 0.7934 +32'h41090062,32'h3eab78d9,32'h3eb2788f, 32'h3ea63911,32'h3eb7b857, 32'h3e9d796f,32'h3ec077f9,// invsqrt(8.5626) = 0.3417 +32'h4016d308,32'h3f236cfa,32'h3f2a189b, 32'h3f1e6c40,32'h3f2f1954, 32'h3f1615b6,32'h3f376fde,// invsqrt(2.3566) = 0.6514 +32'h3d8bffa6,32'h406fe36a,32'h4079ae01, 32'h40688b7a,32'h408082f9, 32'h405c4e3d,32'h4086a197,// invsqrt(0.0684) = 3.8248 +32'h3edaa523,32'h3fbff4ae,32'h3fc7ca6c, 32'h3fba1460,32'h3fcdaaba, 32'h3fb04932,32'h3fd775e8,// invsqrt(0.4270) = 1.5303 +32'h3f54458c,32'h3f89c17f,32'h3f8f60e7, 32'h3f8589f0,32'h3f939876, 32'h3f7d055c,32'h3f9a9fb8,// invsqrt(0.8292) = 1.0982 +32'h41384bda,32'h3e93d78b,32'h3e99e057, 32'h3e8f50f2,32'h3e9e66f0, 32'h3e87c5f2,32'h3ea5f1f0,// invsqrt(11.5185) = 0.2946 +32'h3fdd922c,32'h3f3eaf24,32'h3f467798, 32'h3f38d8cc,32'h3f4c4df0, 32'h3f2f1e3b,32'h3f560881,// invsqrt(1.7310) = 0.7601 +32'h3eeac191,32'h3fb9407a,32'h3fc0d02b, 32'h3fb394b4,32'h3fc67bf0, 32'h3faa2117,32'h3fcfef8d,// invsqrt(0.4585) = 1.4768 +32'h3ef0d6e5,32'h3fb6e5b4,32'h3fbe5cca, 32'h3fb14c62,32'h3fc3f61c, 32'h3fa7f786,32'h3fcd4af9,// invsqrt(0.4704) = 1.4580 +32'h3f369552,32'h3f9488ac,32'h3f9a98b4, 32'h3f8ffca7,32'h3f9f24b9, 32'h3f88689e,32'h3fa6b8c2,// invsqrt(0.7132) = 1.1841 +32'h3f4cff19,32'h3f8c2dd0,32'h3f91e68a, 32'h3f87e344,32'h3f963116, 32'h3f80bc5c,32'h3f9d57fe,// invsqrt(0.8008) = 1.1175 +32'h40391d82,32'h3f1383bb,32'h3f19891b, 32'h3f0effb2,32'h3f1e0d24, 32'h3f0778fa,32'h3f2593dc,// invsqrt(2.8924) = 0.5880 +32'h3e3755aa,32'h40143aad,32'h401a4785, 32'h400fb10b,32'h401ed127, 32'h400820fd,32'h40266135,// invsqrt(0.1790) = 2.3633 +32'h3f2c1f6e,32'h3f98fb14,32'h3f9f3992, 32'h3f944c35,32'h3fa3e871, 32'h3f8c7e18,32'h3fabb68e,// invsqrt(0.6724) = 1.2196 +32'h3f831340,32'h3f77eb67,32'h3f8104f4, 32'h3f705486,32'h3f84d065, 32'h3f63ae64,32'h3f8b2376,// invsqrt(1.0240) = 0.9882 +32'h3fa31dbb,32'h3f5e3d7c,32'h3f674faa, 32'h3f576fd8,32'h3f6e1d4e, 32'h3f4c191e,32'h3f797408,// invsqrt(1.2743) = 0.8858 +32'h3f8dc28f,32'h3f6e64b4,32'h3f781fac, 32'h3f67187a,32'h3f7f6be6, 32'h3f5aeec5,32'h3f85cace,// invsqrt(1.1075) = 0.9502 +32'h3daad886,32'h4059278f,32'h4062049a, 32'h405281c6,32'h4068aa62, 32'h40476d78,32'h4073beb0,// invsqrt(0.0834) = 3.4623 +32'h3f356107,32'h3f9506b2,32'h3f9b1bde, 32'h3f9076d1,32'h3f9fabbf, 32'h3f88dc5a,32'h3fa74636,// invsqrt(0.7085) = 1.1880 +32'h3d78c701,32'h407e7eec,32'h40847112, 32'h4076b481,32'h40885647, 32'h4069b87a,32'h408ed44b,// invsqrt(0.0607) = 4.0576 +32'h403c4a3a,32'h3f124404,32'h3f183c58, 32'h3f0dc9c5,32'h3f1cb697, 32'h3f06535d,32'h3f242cff,// invsqrt(2.9420) = 0.5830 +32'h41036bc6,32'h3eaf1327,32'h3eb63881, 32'h3ea9b723,32'h3ebb9485, 32'h3ea0c872,32'h3ec48336,// invsqrt(8.2138) = 0.3489 +32'h3f5b1eb7,32'h3f879608,32'h3f8d1ec4, 32'h3f836f7a,32'h3f914552, 32'h3f79091e,32'h3f98303d,// invsqrt(0.8559) = 1.0809 +32'h40012d76,32'h3f3096a9,32'h3f37cbd5, 32'h3f2b2ec9,32'h3f3d33b5, 32'h3f222c52,32'h3f46362c,// invsqrt(2.0184) = 0.7039 +32'h400b144e,32'h3f2a2fb5,32'h3f3121fc, 32'h3f24fa01,32'h3f3657b1, 32'h3f1c4b2a,32'h3f3f0688,// invsqrt(2.1731) = 0.6784 +32'h400803ca,32'h3f2c17c8,32'h3f331dfa, 32'h3f26d323,32'h3f38629f, 32'h3f1e0b64,32'h3f412a5e,// invsqrt(2.1252) = 0.6860 +32'h4203cc51,32'h3e2ed2fc,32'h3e35f5b8, 32'h3e2978ef,32'h3e3b4fc5, 32'h3e208d84,32'h3e443b30,// invsqrt(32.9495) = 0.1742 +32'h3e88a969,32'h3ff2cca2,32'h3ffcb5a4, 32'h3feb5de1,32'h40021232, 32'h3fdefaa0,32'h400843d3,// invsqrt(0.2669) = 1.9356 +32'h3f7acf0c,32'h3f7d768b,32'h3f83e77d, 32'h3f75b438,32'h3f87c8a6, 32'h3f68c5af,32'h3f8e3feb,// invsqrt(0.9797) = 1.0103 +32'h3f7c2ec1,32'h3f7cc58e,32'h3f838b61, 32'h3f7508a6,32'h3f8769d5, 32'h3f682324,32'h3f8ddc96,// invsqrt(0.9851) = 1.0075 +32'h3ec8b576,32'h3fc8596a,32'h3fd086dc, 32'h3fc23754,32'h3fd6a8f2, 32'h3fb7fe86,32'h3fe0e1c0,// invsqrt(0.3920) = 1.5972 +32'h3ed86186,32'h3fc0f51a,32'h3fc8d550, 32'h3fbb0cf2,32'h3fcebd78, 32'h3fb134b0,32'h3fd895ba,// invsqrt(0.4226) = 1.5382 +32'h3d94aab7,32'h4068ca30,32'h40724a9b, 32'h4061a9e1,32'h40796aeb, 32'h4055c95c,32'h4082a5b8,// invsqrt(0.0726) = 3.7116 +32'h412058af,32'h3e9e7fbb,32'h3ea4f7e2, 32'h3e99a59e,32'h3ea9d200, 32'h3e918f6e,32'h3eb1e831,// invsqrt(10.0217) = 0.3159 +32'h3f16cf88,32'h3fa36edf,32'h3faa1a95, 32'h3f9e6e17,32'h3faf1b5d, 32'h3f961774,32'h3fb77200,// invsqrt(0.5891) = 1.3029 +32'h3e164bf9,32'h4023b657,32'h402a64f7, 32'h401eb35f,32'h402f67ef, 32'h40165916,32'h4037c238,// invsqrt(0.1468) = 2.6102 +32'h3f82adc7,32'h3f784b96,32'h3f813702, 32'h3f70b1c3,32'h3f8503ec, 32'h3f6406b8,32'h3f8b5971,// invsqrt(1.0209) = 0.9897 +32'h3e888c91,32'h3ff2e646,32'h3ffcd053, 32'h3feb76bb,32'h40021fee, 32'h3fdf122b,32'h40085237,// invsqrt(0.2667) = 1.9364 +32'h40255095,32'h3f1c195a,32'h3f22786d, 32'h3f17520b,32'h3f273fbb, 32'h3f0f5b33,32'h3f2f3693,// invsqrt(2.5830) = 0.6222 +32'h3ed01c6d,32'h3fc4c103,32'h3fccc8e3, 32'h3fbebb1a,32'h3fd2cecc, 32'h3fb4b141,32'h3fdcd8a5,// invsqrt(0.4065) = 1.5685 +32'h402117ac,32'h3f1e21aa,32'h3f2495fa, 32'h3f194a6e,32'h3f296d36, 32'h3f11390a,32'h3f317e9a,// invsqrt(2.5171) = 0.6303 +32'h3f8fca91,32'h3f6cb41b,32'h3f765d6b, 32'h3f657520,32'h3f7d9c66, 32'h3f59617c,32'h3f84d805,// invsqrt(1.1234) = 0.9435 +32'h3f878452,32'h3f73d2a4,32'h3f7dc657, 32'h3f6c5bdd,32'h3f829e8e, 32'h3f5feb3d,32'h3f88d6de,// invsqrt(1.0587) = 0.9719 +32'h3fd79bc7,32'h3f414d82,32'h3f493154, 32'h3f3b62a6,32'h3f4f1c30, 32'h3f3185e0,32'h3f58f8f6,// invsqrt(1.6844) = 0.7705 +32'h3fb4aba5,32'h3f532aec,32'h3f5bc968, 32'h3f4cb40e,32'h3f624046, 32'h3f41edf3,32'h3f6d0661,// invsqrt(1.4115) = 0.8417 +32'h3f93a221,32'h3f699a6d,32'h3f732357, 32'h3f6273bd,32'h3f7a4a07, 32'h3f568898,32'h3f831a96,// invsqrt(1.1534) = 0.9311 +32'h41d4c524,32'h3e42967e,32'h3e4a87bc, 32'h3e3ca18f,32'h3e507cab, 32'h3e32b400,32'h3e5a6a3a,// invsqrt(26.5963) = 0.1939 +32'h3fc430cc,32'h3f4aa4a5,32'h3f52ea0f, 32'h3f447096,32'h3f591e1e, 32'h3f3a19d1,32'h3f6374e3,// invsqrt(1.5327) = 0.8077 +32'h3fdb3867,32'h3f3fb429,32'h3f478745, 32'h3f39d5d4,32'h3f4d659a, 32'h3f300df2,32'h3f572d7d,// invsqrt(1.7127) = 0.7641 +32'h3ee76ece,32'h3fba93c3,32'h3fc2314d, 32'h3fb4dd9b,32'h3fc7e775, 32'h3fab58ae,32'h3fd16c62,// invsqrt(0.4520) = 1.4874 +32'h3e18326b,32'h4022afe4,32'h402953ce, 32'h401db4f5,32'h402e4ebd, 32'h40156810,32'h40369ba2,// invsqrt(0.1486) = 2.5939 +32'h3fbf9d43,32'h3f4d0c79,32'h3f556b05, 32'h3f46c58f,32'h3f5bb1ef, 32'h3f3c4f5f,32'h3f66281f,// invsqrt(1.4970) = 0.8173 +32'h4109823c,32'h3eab27d0,32'h3eb22436, 32'h3ea5ea83,32'h3eb76183, 32'h3e9d2f03,32'h3ec01d03,// invsqrt(8.5943) = 0.3411 +32'h402797e1,32'h3f1b08c6,32'h3f215cba, 32'h3f1649d0,32'h3f261bb0, 32'h3f0e60e0,32'h3f2e04a0,// invsqrt(2.6186) = 0.6180 +32'h3fa0a136,32'h3f5ff420,32'h3f691836, 32'h3f59190f,32'h3f6ff347, 32'h3f4dabf4,32'h3f7b6063,// invsqrt(1.2549) = 0.8927 +32'h40bf2c8f,32'h3ecd48e1,32'h3ed5a9e5, 32'h3ec7001e,32'h3edbf2a8, 32'h3ebc86d9,32'h3ee66bed,// invsqrt(5.9742) = 0.4091 +32'h3d101dac,32'h40a72fa2,32'h40ae028e, 32'h40a21170,32'h40b320c0, 32'h409989c9,32'h40bba867,// invsqrt(0.0352) = 5.3312 +32'h3e69837f,32'h40035743,32'h4008b3a4, 32'h3ffea3f6,32'h400cb8ed, 32'h3ff13d04,32'h40136c66,// invsqrt(0.2280) = 2.0941 +32'h3f942456,32'h3f6933ad,32'h3f72b866, 32'h3f621023,32'h3f79dbf1, 32'h3f562a3c,32'h3f82e0ec,// invsqrt(1.1574) = 0.9295 +32'h3fbf288e,32'h3f4d4b07,32'h3f55ac22, 32'h3f470234,32'h3f5bf4f6, 32'h3f3c88d3,32'h3f666e57,// invsqrt(1.4934) = 0.8183 +32'h3f23f724,32'h3f9cbd73,32'h3fa32339, 32'h3f97f11e,32'h3fa7ef8e, 32'h3f8ff1e7,32'h3fafeec5,// invsqrt(0.6405) = 1.2495 +32'h3f45d5a9,32'h3f8eb198,32'h3f94849a, 32'h3f8a5358,32'h3f98e2da, 32'h3f830b96,32'h3fa02a9c,// invsqrt(0.7728) = 1.1375 +32'h3f1d98a7,32'h3f9fe03b,32'h3fa666c6, 32'h3f9afb54,32'h3fab4bae, 32'h3f92d327,32'h3fb373db,// invsqrt(0.6156) = 1.2745 +32'h406bf445,32'h3f02a8ed,32'h3f07fe30, 32'h3efd51f4,32'h3f0bfe22, 32'h3eeffccc,32'h3f12a8b6,// invsqrt(3.6868) = 0.5208 +32'h3f99435e,32'h3f6545c9,32'h3f6ea173, 32'h3f5e4109,32'h3f75a633, 32'h3f528e75,32'h3f80ac64,// invsqrt(1.1974) = 0.9139 +32'h3c7d0e21,32'h40fc55e5,32'h41035146, 32'h40f49c68,32'h41072e04, 32'h40e7bc99,32'h410d9dec,// invsqrt(0.0154) = 8.0464 +32'h3fa191dc,32'h3f5f4d19,32'h3f686a5d, 32'h3f587725,32'h3f6f4051, 32'h3f4d128f,32'h3f7aa4e7,// invsqrt(1.2623) = 0.8901 +32'h40fc78ab,32'h3eb2a265,32'h3eb9ecf1, 32'h3ead2a7c,32'h3ebf64da, 32'h3ea40d4d,32'h3ec88209,// invsqrt(7.8897) = 0.3560 +32'h3f3aa4cb,32'h3f92e8c9,32'h3f98e7d7, 32'h3f8e697f,32'h3f9d6721, 32'h3f86eaae,32'h3fa4e5f2,// invsqrt(0.7291) = 1.1712 +32'h3fad698a,32'h3f578aa7,32'h3f6056d7, 32'h3f50f182,32'h3f66effc, 32'h3f45f246,32'h3f71ef39,// invsqrt(1.3548) = 0.8591 +32'h412b0978,32'h3e997731,32'h3e9fbac0, 32'h3e94c485,32'h3ea46d6b, 32'h3e8cf012,32'h3eac41de,// invsqrt(10.6898) = 0.3059 +32'h3f851375,32'h3f760c7a,32'h3f800bb8, 32'h3f6e8442,32'h3f83cfd4, 32'h3f61f690,32'h3f8a16ad,// invsqrt(1.0397) = 0.9807 +32'h4018631d,32'h3f2295e4,32'h3f2938be, 32'h3f1d9bc0,32'h3f2e32e2, 32'h3f15502f,32'h3f367e73,// invsqrt(2.3810) = 0.6481 +32'h3ed62642,32'h3fc1f5ce,32'h3fc9e07d, 32'h3fbc05ca,32'h3fcfd080, 32'h3fb2206e,32'h3fd9b5dc,// invsqrt(0.4183) = 1.5462 +32'h3f8ecef0,32'h3f6d8449,32'h3f773618, 32'h3f663eef,32'h3f7e7b73, 32'h3f5a20ac,32'h3f854cdb,// invsqrt(1.1157) = 0.9467 +32'h40ac8edc,32'h3ed8130f,32'h3ee0e4d1, 32'h3ed175be,32'h3ee78222, 32'h3ec66f8b,32'h3ef28855,// invsqrt(5.3924) = 0.4306 +32'h3f5dd868,32'h3f86c02b,32'h3f8c402d, 32'h3f82a02a,32'h3f90602e, 32'h3f77804f,32'h3f974030,// invsqrt(0.8666) = 1.0742 +32'h3e7554fd,32'h40002367,32'h40055e51, 32'h3ff86e6f,32'h40094a81, 32'h3feb5b26,32'h400fd425,// invsqrt(0.2396) = 2.0430 +32'h3f3b383a,32'h3f92aee5,32'h3f98ab95, 32'h3f8e3160,32'h3f9d291a, 32'h3f86b584,32'h3fa4a4f6,// invsqrt(0.7313) = 1.1693 +32'h40112430,32'h3f26982c,32'h3f2d64ea, 32'h3f217e9e,32'h3f327e78, 32'h3f18feb0,32'h3f3afe66,// invsqrt(2.2678) = 0.6640 +32'h3f72f864,32'h3f80c272,32'h3f8603da, 32'h3f79a2c8,32'h3f89f4e8, 32'h3f6c7f44,32'h3f9086aa,// invsqrt(0.9491) = 1.0265 +32'h40020fa1,32'h3f2ffcdc,32'h3f372bc0, 32'h3f2a99b0,32'h3f3c8eec, 32'h3f219f13,32'h3f458989,// invsqrt(2.0322) = 0.7015 +32'h3f969b8e,32'h3f6748f8,32'h3f70b9aa, 32'h3f603473,32'h3f77ce2f, 32'h3f546796,32'h3f81cd86,// invsqrt(1.1766) = 0.9219 +32'h400d4bd8,32'h3f28d891,32'h3f2fbcd5, 32'h3f23ad5d,32'h3f34e809, 32'h3f1b1008,32'h3f3d855f,// invsqrt(2.2078) = 0.6730 +32'h408f8a5f,32'h3eece904,32'h3ef6947c, 32'h3ee5a86a,32'h3efdd516, 32'h3ed99213,32'h3f04f5b6,// invsqrt(4.4856) = 0.4722 +32'h406cc436,32'h3f026f80,32'h3f07c26b, 32'h3efce29e,32'h3f0bc09b, 32'h3eef9353,32'h3f126841,// invsqrt(3.6995) = 0.5199 +32'h4014b805,32'h3f249417,32'h3f2b4bc5, 32'h3f1f8a55,32'h3f305587, 32'h3f1724bd,32'h3f38bb1f,// invsqrt(2.3237) = 0.6560 +32'h3f115e99,32'h3fa676b0,32'h3fad4210, 32'h3fa15e28,32'h3fb25a98, 32'h3f98dff0,32'h3fbad8d0,// invsqrt(0.5678) = 1.3270 +32'h3f837374,32'h3f77909e,32'h3f80d5b6, 32'h3f6ffc85,32'h3f849fc3, 32'h3f635b04,32'h3f8af083,// invsqrt(1.0270) = 0.9868 +32'h3eb3434c,32'h3fd3fec0,32'h3fdca5e1, 32'h3fcd8166,32'h3fe3233a, 32'h3fc2b07b,32'h3fedf425,// invsqrt(0.3501) = 1.6900 +32'h3da0fac7,32'h405fb5ca,32'h4068d754, 32'h4058dca1,32'h406fb07d, 32'h404d72b4,32'h407b1a6a,// invsqrt(0.0786) = 3.5668 +32'h3f4f9dbd,32'h3f8b4ab1,32'h3f90fa26, 32'h3f87071a,32'h3f953dbe, 32'h3f7fd78f,32'h3f9c5910,// invsqrt(0.8110) = 1.1104 +32'h3f8ab35c,32'h3f710219,32'h3f7ad864, 32'h3f69a162,32'h3f811c8e, 32'h3f5d5586,32'h3f87427c,// invsqrt(1.0836) = 0.9607 +32'h3ed37697,32'h3fc3302f,32'h3fcb27b3, 32'h3fbd368b,32'h3fd12157, 32'h3fb34126,32'h3fdb16bc,// invsqrt(0.4130) = 1.5560 +32'h408c6a58,32'h3eef8835,32'h3ef94f13, 32'h3ee8330f,32'h3f00521c, 32'h3edbfa7a,32'h3f066e67,// invsqrt(4.3880) = 0.4774 +32'h403351ba,32'h3f15e12f,32'h3f1bff45, 32'h3f114a9e,32'h3f2095d6, 32'h3f09a501,32'h3f283b73,// invsqrt(2.8019) = 0.5974 +32'h4027e7d0,32'h3f1ae3db,32'h3f21364d, 32'h3f162606,32'h3f25f422, 32'h3f0e3ef8,32'h3f2ddb30,// invsqrt(2.6235) = 0.6174 +32'h3e494b29,32'h400d7660,32'h40133c84, 32'h400921c6,32'h4017911e, 32'h4001ea1a,32'h401ec8ca,// invsqrt(0.1966) = 2.2555 +32'h3fa4efba,32'h3f5d02aa,32'h3f660800, 32'h3f563eaa,32'h3f6ccc00, 32'h3f4af800,32'h3f7812aa,// invsqrt(1.2886) = 0.8809 +32'h3f119404,32'h3fa65823,32'h3fad2243, 32'h3fa1408a,32'h3fb239dc, 32'h3f98c3e1,32'h3fbab685,// invsqrt(0.5687) = 1.3261 +32'h3ebc81c5,32'h3fcebb5a,32'h3fd72b7e, 32'h3fc86740,32'h3fdd7f98, 32'h3fbddb14,32'h3fe80bc4,// invsqrt(0.3682) = 1.6481 +32'h3fa22101,32'h3f5eea6f,32'h3f6803ad, 32'h3f581780,32'h3f6ed69c, 32'h3f4cb7f3,32'h3f7a3629,// invsqrt(1.2666) = 0.8885 +32'h3f85f5f4,32'h3f753c21,32'h3f7f3e95, 32'h3f6dba4a,32'h3f836036, 32'h3f613738,32'h3f89a1bf,// invsqrt(1.0466) = 0.9775 +32'h4029406d,32'h3f1a45db,32'h3f2091d9, 32'h3f158cdc,32'h3f254ad8, 32'h3f0dadde,32'h3f2d29d6,// invsqrt(2.6446) = 0.6149 +32'h3f145a18,32'h3fa4c829,32'h3fab81f6, 32'h3f9fbcce,32'h3fb08d50, 32'h3f97548e,32'h3fb8f591,// invsqrt(0.5795) = 1.3136 +32'h3eb9327c,32'h3fd0922a,32'h3fd91584, 32'h3fca2fa6,32'h3fdf7808, 32'h3fbf8b75,32'h3fea1c39,// invsqrt(0.3617) = 1.6627 +32'h3fb7e440,32'h3f514f5f,32'h3f59da73, 32'h3f4ae711,32'h3f6042c1, 32'h3f403938,32'h3f6af09a,// invsqrt(1.4367) = 0.8343 +32'h3fa93426,32'h3f5a34a9,32'h3f631caf, 32'h3f5386a3,32'h3f69cab5, 32'h3f48649b,32'h3f74ecbd,// invsqrt(1.3219) = 0.8698 +32'h40c20753,32'h3ecbc4de,32'h3ed4160c, 32'h3ec587fc,32'h3eda52ee, 32'h3ebb2283,32'h3ee4b867,// invsqrt(6.0634) = 0.4061 +32'h3f81e3ca,32'h3f790c5a,32'h3f819b54, 32'h3f716ca1,32'h3f856b31, 32'h3f64b7c1,32'h3f8bc5a1,// invsqrt(1.0148) = 0.9927 +32'h3f4adca7,32'h3f8cea1e,32'h3f92aa88, 32'h3f8899cf,32'h3f96fad7, 32'h3f81694b,32'h3f9e2b5b,// invsqrt(0.7924) = 1.1234 +32'h403694ca,32'h3f1488e4,32'h3f1a98ed, 32'h3f0ffcdc,32'h3f1f24f4, 32'h3f0868d1,32'h3f26b8ff,// invsqrt(2.8528) = 0.5921 +32'h3fb459d0,32'h3f535acf,32'h3f5bfb3f, 32'h3f4ce27a,32'h3f627394, 32'h3f4219ed,32'h3f6d3c21,// invsqrt(1.4090) = 0.8425 +32'h3e13e14f,32'h40250b67,32'h402bc7f3, 32'h401ffdfe,32'h4030d55c, 32'h4017924f,32'h4039410b,// invsqrt(0.1444) = 2.6314 +32'h4006c879,32'h3f2ce0a0,32'h3f33ef04, 32'h3f2795d4,32'h3f3939d0, 32'h3f1ec3d7,32'h3f420bcd,// invsqrt(2.1060) = 0.6891 +32'h3e68f77f,32'h40037eb4,32'h4008dcb1, 32'h3ffef06e,32'h400ce32f, 32'h3ff18575,32'h401398ab,// invsqrt(0.2275) = 2.0965 +32'h3ef38482,32'h3fb5e385,32'h3fbd5013, 32'h3fb0521b,32'h3fc2e17d, 32'h3fa70a6b,32'h3fcc292d,// invsqrt(0.4756) = 1.4500 +32'h3e4759ee,32'h400e265f,32'h4013f3b1, 32'h4009cc61,32'h40184daf, 32'h40028bba,32'h401f8e56,// invsqrt(0.1947) = 2.2664 +32'h3f4b6e70,32'h3f8cb797,32'h3f9275f1, 32'h3f8868d4,32'h3f96c4b4, 32'h3f813ae4,32'h3f9df2a4,// invsqrt(0.7947) = 1.1218 +32'h3e786589,32'h3ffeb0d5,32'h40048b0c, 32'h3ff6e4e3,32'h40087104, 32'h3fe9e651,32'h400ef04e,// invsqrt(0.2426) = 2.0304 +32'h3ffcc47f,32'h3f328797,32'h3f39d10b, 32'h3f2d1080,32'h3f3f4822, 32'h3f23f4af,32'h3f4863f3,// invsqrt(1.9747) = 0.7116 +32'h40377be6,32'h3f142b3b,32'h3f1a3771, 32'h3f0fa212,32'h3f1ec09a, 32'h3f0812cd,32'h3f264fdf,// invsqrt(2.8669) = 0.5906 +32'h3eb96a9f,32'h3fd07294,32'h3fd8f4a5, 32'h3fca1108,32'h3fdf5632, 32'h3fbf6e74,32'h3fe9f8c6,// invsqrt(0.3621) = 1.6617 +32'h3f6b3a69,32'h3f82dc81,32'h3f8833df, 32'h3f7db5f5,32'h3f8c3566, 32'h3f705b8a,32'h3f92e29b,// invsqrt(0.9189) = 1.0432 +32'h3ee62385,32'h3fbb19dc,32'h3fc2bce0, 32'h3fb55f99,32'h3fc87723, 32'h3fabd3d5,32'h3fd202e7,// invsqrt(0.4495) = 1.4916 +32'h3f6c1112,32'h3f82a0f4,32'h3f87f5e4, 32'h3f7d4280,32'h3f8bf598, 32'h3f6fee29,32'h3f929fc4,// invsqrt(0.9221) = 1.0414 +32'h3f7e4236,32'h3f7bbcd6,32'h3f83019f, 32'h3f74080a,32'h3f86dc05, 32'h3f673009,32'h3f8d4806,// invsqrt(0.9932) = 1.0034 +32'h3ff586e1,32'h3f35249a,32'h3f3c895d, 32'h3f2f9909,32'h3f4214ef, 32'h3f265b16,32'h3f4b52e2,// invsqrt(1.9182) = 0.7220 +32'h3fdf01a2,32'h3f3e11c9,32'h3f45d3d1, 32'h3f384043,32'h3f4ba557, 32'h3f2e8db8,32'h3f5557e2,// invsqrt(1.7422) = 0.7576 +32'h3fa8613b,32'h3f5abd29,32'h3f63aac2, 32'h3f540af6,32'h3f6a5cf4, 32'h3f48e1f6,32'h3f7585f4,// invsqrt(1.3155) = 0.8719 +32'h3fd646ac,32'h3f41e721,32'h3f49d137, 32'h3f3bf790,32'h3f4fc0c8, 32'h3f3212f4,32'h3f59a564,// invsqrt(1.6740) = 0.7729 +32'h3dcc0fb6,32'h4046b25a,32'h404ece88, 32'h40409d38,32'h4054e3aa, 32'h403679ff,32'h405f06e3,// invsqrt(0.0996) = 3.1680 +32'h3fbfd3af,32'h3f4cef61,32'h3f554cbd, 32'h3f46a95b,32'h3f5b92c3, 32'h3f3c34a7,32'h3f660777,// invsqrt(1.4986) = 0.8169 +32'h3e67a75a,32'h4003ddf9,32'h40093fd9, 32'h3fffa921,32'h400d4941, 32'h3ff23470,32'h4014039a,// invsqrt(0.2262) = 2.1025 +32'h3f853935,32'h3f75e99c,32'h3f7ff324, 32'h3f6e6275,32'h3f83bd26, 32'h3f61d68a,32'h3f8a031b,// invsqrt(1.0408) = 0.9802 +32'h3f2b85d6,32'h3f993f84,32'h3f9f80cd, 32'h3f948e8c,32'h3fa431c4, 32'h3f8cbcf1,32'h3fac035f,// invsqrt(0.6700) = 1.2217 +32'h3fd75ba9,32'h3f416a47,32'h3f494f45, 32'h3f3b7e89,32'h3f4f3b03, 32'h3f31a04c,32'h3f591940,// invsqrt(1.6825) = 0.7709 +32'h3e71e52d,32'h40010b9d,32'h40065001, 32'h3ffa30a3,32'h400a434d, 32'h3fed05a8,32'h4010d8ca,// invsqrt(0.2362) = 2.0575 +32'h40d0f30d,32'h3ec45bdd,32'h3ecc5f9c, 32'h3ebe590c,32'h3ed2626c, 32'h3eb4545c,32'h3edc671c,// invsqrt(6.5297) = 0.3913 +32'h3f672c07,32'h3f840121,32'h3f896471, 32'h3f7fed4b,32'h3f8d6eed, 32'h3f727503,32'h3f942b10,// invsqrt(0.9030) = 1.0523 +32'h3e297f93,32'h401a291b,32'h402073ed, 32'h401570fd,32'h40252c0b, 32'h400d9377,32'h402d0991,// invsqrt(0.1655) = 2.4579 +32'h3fdf015c,32'h3f3e11e7,32'h3f45d3f1, 32'h3f384060,32'h3f4ba578, 32'h3f2e8dd4,32'h3f555804,// invsqrt(1.7422) = 0.7576 +32'h3f933728,32'h3f69ef3d,32'h3f737b9d, 32'h3f62c5f4,32'h3f7aa4e6, 32'h3f56d67c,32'h3f834a2f,// invsqrt(1.1501) = 0.9325 +32'h40bd5270,32'h3ece494d,32'h3ed6b4c9, 32'h3ec7f8b1,32'h3edd0565, 32'h3ebd7257,32'h3ee78bbf,// invsqrt(5.9163) = 0.4111 +32'h3f4a6bae,32'h3f8d116b,32'h3f92d370, 32'h3f88bfe8,32'h3f9724f4, 32'h3f818d63,32'h3f9e5779,// invsqrt(0.7907) = 1.1246 +32'h3eab0cc1,32'h3fd90665,32'h3fe1e215, 32'h3fd261a0,32'h3fe886da, 32'h3fc74f04,32'h3ff39976,// invsqrt(0.3341) = 1.7301 +32'h3f438072,32'h3f8f8ae6,32'h3f9566c6, 32'h3f8b25fe,32'h3f99cbae, 32'h3f83d327,32'h3fa11e85,// invsqrt(0.7637) = 1.1443 +32'h3f7865c8,32'h3f7eb0b5,32'h3f848afb, 32'h3f76e4c5,32'h3f8870f4, 32'h3f69e634,32'h3f8ef03c,// invsqrt(0.9703) = 1.0152 +32'h3f836de5,32'h3f7795da,32'h3f80d86f, 32'h3f700197,32'h3f84a290, 32'h3f635fd3,32'h3f8af373,// invsqrt(1.0268) = 0.9869 +32'h3eb25885,32'h3fd48a1b,32'h3fdd36ed, 32'h3fce087d,32'h3fe3b88b, 32'h3fc33077,32'h3fee9091,// invsqrt(0.3483) = 1.6944 +32'h40241a92,32'h3f1cac86,32'h3f23119c, 32'h3f17e0b7,32'h3f27dd6b, 32'h3f0fe25c,32'h3f2fdbc6,// invsqrt(2.5641) = 0.6245 +32'h4263bf84,32'h3e04fe32,32'h3e0a6bd6, 32'h3e00ebf7,32'h3e0e7e11, 32'h3df445d4,32'h3e15471e,// invsqrt(56.9370) = 0.1325 +32'h3eb5bad6,32'h3fd28d22,32'h3fdb252e, 32'h3fcc1b19,32'h3fe19737, 32'h3fc15d0a,32'h3fec5546,// invsqrt(0.3549) = 1.6785 +32'h3f010a68,32'h3fb0aea4,32'h3fb7e4ca, 32'h3fab4607,32'h3fbd4d67, 32'h3fa24258,32'h3fc65116,// invsqrt(0.5041) = 1.4085 +32'h3c330f63,32'h4115fcf0,32'h411c1c28, 32'h41116585,32'h4120b393, 32'h4109be7e,32'h41285a9a,// invsqrt(0.0109) = 9.5656 +32'h3f1ec1af,32'h3f9f4a65,32'h3fa5cad1, 32'h3f9a6a13,32'h3faaab23, 32'h3f92498c,32'h3fb2cbab,// invsqrt(0.6201) = 1.2699 +32'h3f3d0e0f,32'h3f91f82f,32'h3f97ed6b, 32'h3f8d8043,32'h3f9c6557, 32'h3f860db8,32'h3fa3d7e2,// invsqrt(0.7385) = 1.1637 +32'h3f7bc8c8,32'h3f7cf8b8,32'h3f83a602, 32'h3f753a40,32'h3f87853e, 32'h3f685221,32'h3f8df94d,// invsqrt(0.9835) = 1.0083 +32'h3f76f236,32'h3f7f700a,32'h3f84ee8d, 32'h3f779e3e,32'h3f88d773, 32'h3f6a95ea,32'h3f8f5b9d,// invsqrt(0.9646) = 1.0182 +32'h3fa037d5,32'h3f603dba,32'h3f6964d1, 32'h3f596068,32'h3f704222, 32'h3f4def8b,32'h3f7bb2ff,// invsqrt(1.2517) = 0.8938 +32'h3f46ca93,32'h3f8e5997,32'h3f942900, 32'h3f89fe07,32'h3f98848f, 32'h3f82bac4,32'h3f9fc7d2,// invsqrt(0.7765) = 1.1348 +32'h3f705eac,32'h3f817445,32'h3f86bcef, 32'h3f7afb8b,32'h3f8ab36e, 32'h3f6dc5e2,32'h3f914e43,// invsqrt(0.9389) = 1.0320 +32'h3fb47173,32'h3f534cf7,32'h3f5becd7, 32'h3f4cd50f,32'h3f6264bf, 32'h3f420d36,32'h3f6d2c98,// invsqrt(1.4097) = 0.8422 +32'h3f4500e7,32'h3f8efe91,32'h3f94d4b7, 32'h3f8a9df5,32'h3f993553, 32'h3f835247,32'h3fa08101,// invsqrt(0.7695) = 1.1399 +32'h3e1e0d1e,32'h401fa549,32'h4026296b, 32'h401ac22f,32'h402b0c85, 32'h40129d04,32'h403331b0,// invsqrt(0.1543) = 2.5454 +32'h3fd87db4,32'h3f40e88b,32'h3f48c83d, 32'h3f3b00c5,32'h3f4eb003, 32'h3f312927,32'h3f5887a1,// invsqrt(1.6913) = 0.7689 +32'h40586624,32'h3f086f92,32'h3f0e0130, 32'h3f04425c,32'h3f122e66, 32'h3efa98af,32'h3f19246b,// invsqrt(3.3812) = 0.5438 +32'h3fb2aca5,32'h3f54580c,32'h3f5d02d4, 32'h3f4dd7f7,32'h3f6382e9, 32'h3f43027e,32'h3f6e5862,// invsqrt(1.3959) = 0.8464 +32'h3e459102,32'h400eca61,32'h40149e65, 32'h400a6b5e,32'h4018fd68, 32'h40032259,32'h4020466d,// invsqrt(0.1929) = 2.2766 +32'h418fefa7,32'h3e6c959b,32'h3e763dab, 32'h3e65578e,32'h3e7d7bb8, 32'h3e594579,32'h3e84c6e6,// invsqrt(17.9920) = 0.2358 +32'h3ec01eca,32'h3fccc74e,32'h3fd52308, 32'h3fc68282,32'h3fdb67d4, 32'h3fbc0fda,32'h3fe5da7c,// invsqrt(0.3752) = 1.6325 +32'h3f9abedb,32'h3f642bfb,32'h3f6d7c25, 32'h3f5d2fdc,32'h3f747844, 32'h3f518ba8,32'h3f800e3c,// invsqrt(1.2089) = 0.9095 +32'h3e806754,32'h3ffa7c42,32'h40025ac9, 32'h3ff2d145,32'h40063048, 32'h3fe6099f,32'h400c941a,// invsqrt(0.2508) = 1.9969 +32'h3d4c07d2,32'h408c82a9,32'h40923ed9, 32'h40883584,32'h40968bfe, 32'h40810a47,32'h409db73b,// invsqrt(0.0498) = 4.4806 +32'h3f0ffd1c,32'h3fa74288,32'h3fae163a, 32'h3fa223c3,32'h3fb334ff, 32'h3f999b24,32'h3fbbbd9e,// invsqrt(0.5625) = 1.3334 +32'h3f6bda90,32'h3f82b00b,32'h3f880599, 32'h3f7d5fc2,32'h3f8c05c3, 32'h3f7009e0,32'h3f92b0b4,// invsqrt(0.9213) = 1.0418 +32'h3f6927d2,32'h3f837113,32'h3f88ce81, 32'h3f7ed600,32'h3f8cd494, 32'h3f716c6c,32'h3f93895e,// invsqrt(0.9108) = 1.0478 +32'h3f17e231,32'h3fa2dad6,32'h3fa98080, 32'h3f9dde96,32'h3fae7cc0, 32'h3f958f80,32'h3fb6cbd6,// invsqrt(0.5933) = 1.2983 +32'h3f6b2db5,32'h3f82e00a,32'h3f88378c, 32'h3f7dbcce,32'h3f8c392f, 32'h3f706207,32'h3f92e692,// invsqrt(0.9187) = 1.0433 +32'h3f5fc950,32'h3f862a3d,32'h3f8ba420, 32'h3f820ed2,32'h3f8fbf8a, 32'h3f766cec,32'h3f9697e6,// invsqrt(0.8742) = 1.0696 +32'h3ec4dc86,32'h3fca4c2f,32'h3fd28dfd, 32'h3fc41ad5,32'h3fd8bf57, 32'h3fb9c894,32'h3fe31198,// invsqrt(0.3845) = 1.6127 +32'h3f2d7482,32'h3f986461,32'h3f9e9cb9, 32'h3f93ba20,32'h3fa346fa, 32'h3f8bf3b2,32'h3fab0d68,// invsqrt(0.6776) = 1.2149 +32'h40376f81,32'h3f14303c,32'h3f1a3ca7, 32'h3f0fa6ec,32'h3f1ec5f8, 32'h3f081767,32'h3f26557d,// invsqrt(2.8662) = 0.5907 +32'h3f076dec,32'h3fac76e6,32'h3fb380fa, 32'h3fa72f57,32'h3fb8c889, 32'h3f9e62be,32'h3fc19522,// invsqrt(0.5290) = 1.3749 +32'h3f15c9b3,32'h3fa3fd78,32'h3faaaf00, 32'h3f9ef853,32'h3fafb425, 32'h3f969a69,32'h3fb8120f,// invsqrt(0.5851) = 1.3073 +32'h3f8612f6,32'h3f752198,32'h3f7f22f6, 32'h3f6da090,32'h3f8351ff, 32'h3f611eda,32'h3f8992da,// invsqrt(1.0475) = 0.9771 +32'h3ff81097,32'h3f3436c7,32'h3f3b91d4, 32'h3f2eb27c,32'h3f41161e, 32'h3f2580ac,32'h3f4a47ee,// invsqrt(1.9380) = 0.7183 +32'h3fd8ee06,32'h3f40b694,32'h3f48943c, 32'h3f3ad056,32'h3f4e7a7a, 32'h3f30fb44,32'h3f584f8c,// invsqrt(1.6948) = 0.7681 +32'h3f76db00,32'h3f7f7c0c,32'h3f84f4cc, 32'h3f77a9e1,32'h3f88dde1, 32'h3f6aa0f0,32'h3f8f625a,// invsqrt(0.9643) = 1.0184 +32'h40347f1d,32'h3f1563d8,32'h3f1b7cd2, 32'h3f10d11e,32'h3f200f8c, 32'h3f0931e6,32'h3f27aec4,// invsqrt(2.8203) = 0.5955 +32'h3f8fdd5a,32'h3f6ca4a7,32'h3f764d55, 32'h3f656624,32'h3f7d8bd8, 32'h3f59534b,32'h3f84cf58,// invsqrt(1.1239) = 0.9433 +32'h4031834a,32'h3f16a3ea,32'h3f1cc9f4, 32'h3f120763,32'h3f21667b, 32'h3f0a57d7,32'h3f291607,// invsqrt(2.7736) = 0.6004 +32'h3f2569df,32'h3f9c0d6b,32'h3fa26c01, 32'h3f97467a,32'h3fa732f2, 32'h3f8f503d,32'h3faf292f,// invsqrt(0.6461) = 1.2440 +32'h4043cbef,32'h3f0f6f37,32'h3f1549f6, 32'h3f0b0b29,32'h3f19ae05, 32'h3f03b9bb,32'h3f20ff73,// invsqrt(3.0593) = 0.5717 +32'h3f2169b4,32'h3f9df977,32'h3fa46c23, 32'h3f992376,32'h3fa94224, 32'h3f91141f,32'h3fb1517b,// invsqrt(0.6305) = 1.2594 +32'h3fe61141,32'h3f3b214a,32'h3f42c49b, 32'h3f3566cc,32'h3f487f18, 32'h3f2bdaa7,32'h3f520b3d,// invsqrt(1.7974) = 0.7459 +32'h40f8d1ab,32'h3eb3f0cd,32'h3ebb48ff, 32'h3eae6ea7,32'h3ec0cb25, 32'h3ea54069,32'h3ec9f963,// invsqrt(7.7756) = 0.3586 +32'h4082eb2b,32'h3ef81158,32'h3f0118b3, 32'h3ef0794d,32'h3f04e4b8, 32'h3ee3d13c,32'h3f0b38c1,// invsqrt(4.0912) = 0.4944 +32'h3f5e9bec,32'h3f8684f1,32'h3f8c0287, 32'h3f8266bf,32'h3f9020b9, 32'h3f771386,32'h3f96fdb5,// invsqrt(0.8696) = 1.0724 +32'h3ee68c87,32'h3fbaef3c,32'h3fc29082, 32'h3fb53647,32'h3fc84977, 32'h3fabacaf,32'h3fd1d30f,// invsqrt(0.4503) = 1.4902 +32'h405e8ed6,32'h3f0688e5,32'h3f0c06a5, 32'h3f026a95,32'h3f1024f5, 32'h3ef71ac9,32'h3f170226,// invsqrt(3.4775) = 0.5363 +32'h3f4d08e2,32'h3f8c2a78,32'h3f91e310, 32'h3f87e007,32'h3f962d81, 32'h3f80b94a,32'h3f9d543e,// invsqrt(0.8009) = 1.1174 +32'h3f28ee87,32'h3f9a6b3c,32'h3fa0b8c1, 32'h3f95b118,32'h3fa572e4, 32'h3f8dd031,32'h3fad53cb,// invsqrt(0.6599) = 1.2310 +32'h3f889a42,32'h3f72da19,32'h3f7cc3a7, 32'h3f6b6aee,32'h3f821969, 32'h3f5f06fd,32'h3f884b62,// invsqrt(1.0672) = 0.9680 +32'h3f4360df,32'h3f8f967f,32'h3f9572d7, 32'h3f8b313c,32'h3f99d81a, 32'h3f83ddcd,32'h3fa12b89,// invsqrt(0.7632) = 1.1447 +32'h3f6750f0,32'h3f83f698,32'h3f89597a, 32'h3f7fd8de,32'h3f8d63a3, 32'h3f7261aa,32'h3f941f3d,// invsqrt(0.9036) = 1.0520 +32'h3f4906ce,32'h3f8d8e6b,32'h3f93558a, 32'h3f893915,32'h3f97aae1, 32'h3f82002f,32'h3f9ee3c7,// invsqrt(0.7853) = 1.1285 +32'h40a6c87c,32'h3edbc88f,32'h3ee4c113, 32'h3ed50e2d,32'h3eeb7b75, 32'h3ec9d789,32'h3ef6b219,// invsqrt(5.2120) = 0.4380 +32'h3ec5c7fb,32'h3fc9d3a1,32'h3fd21083, 32'h3fc3a5f8,32'h3fd83e2c, 32'h3fb959dd,32'h3fe28a47,// invsqrt(0.3863) = 1.6089 +32'h3febdb36,32'h3f38d1bd,32'h3f405ce9, 32'h3f33295c,32'h3f46054a, 32'h3f29bb64,32'h3f4f7342,// invsqrt(1.8426) = 0.7367 +32'h3f81d596,32'h3f7919f9,32'h3f81a26a, 32'h3f7179d5,32'h3f85727c, 32'h3f64c443,32'h3f8bcd45,// invsqrt(1.0143) = 0.9929 +32'h3f519f3d,32'h3f8a9fad,32'h3f904827, 32'h3f866152,32'h3f948682, 32'h3f7e9d72,32'h3f9b991b,// invsqrt(0.8188) = 1.1051 +32'h3f92e933,32'h3f6a2d46,32'h3f73bc2f, 32'h3f630218,32'h3f7ae75e, 32'h3f570f75,32'h3f836d00,// invsqrt(1.1477) = 0.9334 +32'h3fb70605,32'h3f51ce4c,32'h3f5a5e8e, 32'h3f4b621b,32'h3f60cabf, 32'h3f40adc8,32'h3f6b7f12,// invsqrt(1.4299) = 0.8363 +32'h3f1779dc,32'h3fa312e2,32'h3fa9bad6, 32'h3f9e14eb,32'h3faeb8cd, 32'h3f95c2f9,32'h3fb70abf,// invsqrt(0.5917) = 1.3000 +32'h408da468,32'h3eee7e13,32'h3ef83a13, 32'h3ee73112,32'h3eff8714, 32'h3edb0611,32'h3f05d90a,// invsqrt(4.4263) = 0.4753 +32'h3f7596bb,32'h3f801240,32'h3f854c76, 32'h3f784d2d,32'h3f893820, 32'h3f6b3ba4,32'h3f8fc0e4,// invsqrt(0.9593) = 1.0210 +32'h3ee0ad52,32'h3fbd5c8b,32'h3fc5172e, 32'h3fb79092,32'h3fcae328, 32'h3fade747,32'h3fd48c73,// invsqrt(0.4388) = 1.5096 +32'h3f0f45fe,32'h3fa7ad49,32'h3fae8557, 32'h3fa28b3f,32'h3fb3a761, 32'h3f99fd2e,32'h3fbc3572,// invsqrt(0.5597) = 1.3367 +32'h40a17182,32'h3edf6377,32'h3ee881a6, 32'h3ed88cd5,32'h3eef5849, 32'h3ecd271a,32'h3efabe04,// invsqrt(5.0451) = 0.4452 +32'h3e967c12,32'h3fe76129,32'h3ff0d2d7, 32'h3fe04be6,32'h3ff7e81a, 32'h3fd47dcd,32'h4001db1a,// invsqrt(0.2939) = 1.8445 +32'h40096d46,32'h3f2b34dd,32'h3f3231cb, 32'h3f25f729,32'h3f376f7f, 32'h3f1d3aff,32'h3f402ba9,// invsqrt(2.1473) = 0.6824 +32'h410b4d16,32'h3eaa0d02,32'h3eb0fdde, 32'h3ea4d85e,32'h3eb63282, 32'h3e9c2b4b,32'h3ebedf95,// invsqrt(8.7063) = 0.3389 +32'h3f506e07,32'h3f8b0506,32'h3f90b1a4, 32'h3f86c391,32'h3f94f319, 32'h3f7f5799,32'h3f9c0ade,// invsqrt(0.8142) = 1.1083 +32'h3f21dd23,32'h3f9dc118,32'h3fa43178, 32'h3f98ecd1,32'h3fa905bf, 32'h3f90e05a,32'h3fb11236,// invsqrt(0.6323) = 1.2576 +32'h3f2f1cc8,32'h3f97ab54,32'h3f9ddc1e, 32'h3f9306bd,32'h3fa280b5, 32'h3f8b49c0,32'h3faa3db2,// invsqrt(0.6840) = 1.2091 +32'h3f9e77ef,32'h3f6179c1,32'h3f6aadbf, 32'h3f5a92c3,32'h3f7194bd, 32'h3f4f11c6,32'h3f7d15ba,// invsqrt(1.2380) = 0.8987 +32'h402a5717,32'h3f19c776,32'h3f200e4c, 32'h3f151256,32'h3f24c36c, 32'h3f0d39ca,32'h3f2c9bf8,// invsqrt(2.6616) = 0.6130 +32'h3f1aa21a,32'h3fa16682,32'h3fa7fcfa, 32'h3f9c75a8,32'h3facedd4, 32'h3f943991,32'h3fb529eb,// invsqrt(0.6040) = 1.2867 +32'h3e7f7250,32'h3ffb26d2,32'h4002b38d, 32'h3ff3769d,32'h40068ba8, 32'h3fe6a644,32'h400cf3d4,// invsqrt(0.2495) = 2.0022 +32'h3d8af7ed,32'h4070c69d,32'h407a9a7a, 32'h406967b8,32'h4080fcb0, 32'h405d1ee4,32'h4087211a,// invsqrt(0.0679) = 3.8389 +32'h40d19160,32'h3ec411a2,32'h3ecc125a, 32'h3ebe1118,32'h3ed212e4, 32'h3eb41031,32'h3edc13cb,// invsqrt(6.5490) = 0.3908 +32'h3fb4f62b,32'h3f52ff6c,32'h3f5b9c22, 32'h3f4c89e3,32'h3f6211ab, 32'h3f41c600,32'h3f6cd58e,// invsqrt(1.4138) = 0.8410 +32'h3f6dd6a3,32'h3f82242a,32'h3f877402, 32'h3f7c5090,32'h3f8b6fe4, 32'h3f6f08f4,32'h3f9213b2,// invsqrt(0.9291) = 1.0375 +32'h3f8de8a2,32'h3f6e44b7,32'h3f77fe61, 32'h3f66f978,32'h3f7f49a0, 32'h3f5ad164,32'h3f85b8da,// invsqrt(1.1087) = 0.9497 +32'h3f29b65f,32'h3f9a1036,32'h3fa05a04, 32'h3f9558dc,32'h3fa5115e, 32'h3f8d7c9a,32'h3faceda0,// invsqrt(0.6629) = 1.2282 +32'h40348e61,32'h3f155d88,32'h3f1b763f, 32'h3f10cafe,32'h3f2008c8, 32'h3f092c19,32'h3f27a7ad,// invsqrt(2.8212) = 0.5954 +32'h3fc983bf,32'h3f47f2c4,32'h3f501c06, 32'h3f41d3d3,32'h3f563af7, 32'h3f37a041,32'h3f606e89,// invsqrt(1.5743) = 0.7970 +32'h3f84cbd3,32'h3f764ece,32'h3f802e3c, 32'h3f6ec48e,32'h3f83f35c, 32'h3f623379,32'h3f8a3be6,// invsqrt(1.0375) = 0.9818 +32'h40a825de,32'h3edae3c2,32'h3ee3d2ee, 32'h3ed43060,32'h3eea8650, 32'h3ec90569,32'h3ef5b147,// invsqrt(5.2546) = 0.4362 +32'h40ded45d,32'h3ebe2517,32'h3ec5e7e9, 32'h3eb852fa,32'h3ecbba06, 32'h3eae9f73,32'h3ed56d8d,// invsqrt(6.9634) = 0.3790 +32'h3f0c0306,32'h3fa99e62,32'h3fb08aba, 32'h3fa46d20,32'h3fb5bbfc, 32'h3f9bc5b3,32'h3fbe6369,// invsqrt(0.5469) = 1.3522 +32'h3f1f0777,32'h3f9f276f,32'h3fa5a66e, 32'h3f9a482f,32'h3faa85ad, 32'h3f922970,32'h3fb2a46c,// invsqrt(0.6212) = 1.2688 +32'h3db213b1,32'h4054b32a,32'h405d61aa, 32'h404e304b,32'h4063e489, 32'h4043562c,32'h406ebea8,// invsqrt(0.0870) = 3.3913 +32'h4018f786,32'h3f2246f2,32'h3f28e694, 32'h3f1d4f39,32'h3f2dde4d, 32'h3f1507b0,32'h3f3625d7,// invsqrt(2.3901) = 0.6468 +32'h4068e07d,32'h3f038533,32'h3f08e373, 32'h3efefd04,32'h3f0cea24, 32'h3ef19162,32'h3f139ff5,// invsqrt(3.6387) = 0.5242 +32'h3fac7135,32'h3f5825a2,32'h3f60f826, 32'h3f5187bf,32'h3f679609, 32'h3f46809a,32'h3f729d2e,// invsqrt(1.3472) = 0.8616 +32'h4152bfc6,32'h3e8a40a7,32'h3e8fe541, 32'h3e860535,32'h3e9420b3, 32'h3e7deeea,32'h3e9b2e73,// invsqrt(13.1718) = 0.2755 +32'h40471812,32'h3f0e3de0,32'h3f140c28, 32'h3f09e32a,32'h3f1866de, 32'h3f02a150,32'h3f1fa8b8,// invsqrt(3.1108) = 0.5670 +32'h3f08eb79,32'h3fab85f1,32'h3fb2862f, 32'h3fa645c2,32'h3fb7c65e, 32'h3f9d8575,32'h3fc086ab,// invsqrt(0.5348) = 1.3674 +32'h3e4fcd65,32'h400b3ab7,32'h4010e985, 32'h4006f79c,32'h40152ca0, 32'h3fffba36,32'h401c4721,// invsqrt(0.2029) = 2.2199 +32'h3fdc389d,32'h3f3f4485,32'h3f471312, 32'h3f39699a,32'h3f4cedfc, 32'h3f2fa76a,32'h3f56b02c,// invsqrt(1.7205) = 0.7624 +32'h400be68a,32'h3f29afa6,32'h3f309cb2, 32'h3f247ddd,32'h3f35ce7b, 32'h3f1bd58e,32'h3f3e76ca,// invsqrt(2.1859) = 0.6764 +32'h40b0187d,32'h3ed5e4a0,32'h3ede9f96, 32'h3ecf5866,32'h3ee52bd0, 32'h3ec46eb2,32'h3ef01584,// invsqrt(5.5030) = 0.4263 +32'h4142014e,32'h3e90185e,32'h3e95fa04, 32'h3e8baf22,32'h3e9a6340, 32'h3e845512,32'h3ea1bd50,// invsqrt(12.1253) = 0.2872 +32'h403754df,32'h3f143aff,32'h3f1a47db, 32'h3f0fb15b,32'h3f1ed17f, 32'h3f082148,32'h3f266192,// invsqrt(2.8646) = 0.5908 +32'h3f996998,32'h3f652937,32'h3f6e83b6, 32'h3f5e2556,32'h3f758796, 32'h3f527437,32'h3f809c5a,// invsqrt(1.1985) = 0.9134 +32'h3f18e88d,32'h3fa24ee4,32'h3fa8eed8, 32'h3f9d56ec,32'h3fade6d0, 32'h3f950efb,32'h3fb62ec1,// invsqrt(0.5973) = 1.2939 +32'h4070960f,32'h3f01655d,32'h3f06ad6b, 32'h3efadea4,32'h3f0aa376, 32'h3eedaa81,32'h3f113d88,// invsqrt(3.7592) = 0.5158 +32'h3f9db7a4,32'h3f62030c,32'h3f6b3ca3, 32'h3f5b17d9,32'h3f7227d5, 32'h3f4f8fdb,32'h3f7dafd3,// invsqrt(1.2322) = 0.9009 +32'h3f466fe1,32'h3f8e7a1b,32'h3f944ad8, 32'h3f8a1d8d,32'h3f98a765, 32'h3f82d8a0,32'h3f9fec52,// invsqrt(0.7751) = 1.1358 +32'h402d05ca,32'h3f18951c,32'h3f1ecf70, 32'h3f13e95c,32'h3f237b30, 32'h3f0c2072,32'h3f2b441a,// invsqrt(2.7035) = 0.6082 +32'h3fad1dc2,32'h3f57b9cf,32'h3f6087ed, 32'h3f511f39,32'h3f672283, 32'h3f461d95,32'h3f722427,// invsqrt(1.3525) = 0.8599 +32'h3f417022,32'h3f904e66,32'h3f963240, 32'h3f8be382,32'h3f9a9d24, 32'h3f8486b1,32'h3fa1f9f5,// invsqrt(0.7556) = 1.1504 +32'h3f429931,32'h3f8fe017,32'h3f95bf71, 32'h3f8b7894,32'h3f9a26f4, 32'h3f842164,32'h3fa17e24,// invsqrt(0.7602) = 1.1470 +32'h3f177487,32'h3fa315c1,32'h3fa9bdd3, 32'h3f9e17b3,32'h3faebbe1, 32'h3f95c59c,32'h3fb70df8,// invsqrt(0.5916) = 1.3001 +32'h3f926c66,32'h3f6a90fd,32'h3f7423f8, 32'h3f6362c2,32'h3f7b5234, 32'h3f576b08,32'h3f83a4f7,// invsqrt(1.1439) = 0.9350 +32'h400fd923,32'h3f275771,32'h3f2e2bfd, 32'h3f223807,32'h3f334b67, 32'h3f19ae58,32'h3f3bd516,// invsqrt(2.2476) = 0.6670 +32'h3f43736f,32'h3f8f8fad,32'h3f956bbf, 32'h3f8b2aa0,32'h3f99d0cc, 32'h3f83d78a,32'h3fa123e2,// invsqrt(0.7635) = 1.1445 +32'h3fe474da,32'h3f3bc9e4,32'h3f437418, 32'h3f360a3e,32'h3f4933be, 32'h3f2c757e,32'h3f52c87e,// invsqrt(1.7848) = 0.7485 +32'h3f783ade,32'h3f7ec6b8,32'h3f849670, 32'h3f76fa1b,32'h3f887cbe, 32'h3f69fa6b,32'h3f8efc97,// invsqrt(0.9696) = 1.0155 +32'h3e67f38d,32'h4003c84e,32'h4009294c, 32'h3fff7f1f,32'h400d320a, 32'h3ff20ca4,32'h4013eb48,// invsqrt(0.2265) = 2.1011 +32'h3cf7f3f2,32'h40b4412f,32'h40bb9ca9, 32'h40aebc93,32'h40c12145, 32'h40a58a3b,32'h40ca539d,// invsqrt(0.0303) = 5.7479 +32'h40616a53,32'h3f05ade9,32'h3f0b22ba, 32'h3f01964e,32'h3f0f3a56, 32'h3ef58894,32'h3f160c5a,// invsqrt(3.5221) = 0.5328 +32'h3f2472c1,32'h3f9c827f,32'h3fa2e5dd, 32'h3f97b7f8,32'h3fa7b064, 32'h3f8fbbc3,32'h3fafac99,// invsqrt(0.6424) = 1.2477 +32'h404f3765,32'h3f0b6d13,32'h3f111def, 32'h3f07286e,32'h3f156294, 32'h3f000b5a,32'h3f1c7fa8,// invsqrt(3.2378) = 0.5557 +32'h3ed5a4d0,32'h3fc23087,32'h3fca1d9d, 32'h3fbc3eb8,32'h3fd00f6c, 32'h3fb2565d,32'h3fd9f7c7,// invsqrt(0.4173) = 1.5481 +32'h3f11d47e,32'h3fa63359,32'h3facfbf9, 32'h3fa11ce1,32'h3fb21271, 32'h3f98a218,32'h3fba8d3a,// invsqrt(0.5696) = 1.3249 +32'h4133518a,32'h3e95e143,32'h3e9bff5b, 32'h3e914ab1,32'h3ea095ed, 32'h3e89a514,32'h3ea83b8a,// invsqrt(11.2074) = 0.2987 +32'h3eb3a57f,32'h3fd3c4c7,32'h3fdc698b, 32'h3fcd4934,32'h3fe2e51e, 32'h3fc27b3e,32'h3fedb314,// invsqrt(0.3509) = 1.6882 +32'h3fd97b54,32'h3f4077ee,32'h3f485308, 32'h3f3a939b,32'h3f4e375b, 32'h3f30c1bc,32'h3f58093b,// invsqrt(1.6991) = 0.7672 +32'h3fa0db8b,32'h3f5fcb81,32'h3f68edef, 32'h3f58f1af,32'h3f6fc7c1, 32'h3f4d86a6,32'h3f7b32ca,// invsqrt(1.2567) = 0.8920 +32'h3f40689a,32'h3f90b117,32'h3f9698f9, 32'h3f8c432e,32'h3f9b06e2, 32'h3f84e154,32'h3fa268bc,// invsqrt(0.7516) = 1.1535 +32'h3d87d879,32'h40738713,32'h407d77b1, 32'h406c129d,32'h40827613, 32'h405fa5d8,32'h4088ac76,// invsqrt(0.0663) = 3.8828 +32'h3f82e4c2,32'h3f78176b,32'h3f811bdc, 32'h3f707f31,32'h3f84e7f9, 32'h3f63d6d0,32'h3f8b3c2a,// invsqrt(1.0226) = 0.9889 +32'h3fec838f,32'h3f388fea,32'h3f401867, 32'h3f32e98d,32'h3f45bec5, 32'h3f297ef2,32'h3f4f2960,// invsqrt(1.8478) = 0.7357 +32'h3e89f4b4,32'h3ff1a86a,32'h3ffb857e, 32'h3fea429b,32'h400175a6, 32'h3fddee42,32'h40079fd3,// invsqrt(0.2694) = 1.9265 +32'h4047200e,32'h3f0e3b06,32'h3f140930, 32'h3f09e066,32'h3f1863d0, 32'h3f029eb2,32'h3f1fa584,// invsqrt(3.1113) = 0.5669 +32'h41409be9,32'h3e909dd0,32'h3e9684e8, 32'h3e8c307e,32'h3e9af23a, 32'h3e84cfa0,32'h3ea25318,// invsqrt(12.0381) = 0.2882 +32'h3e706175,32'h40017385,32'h4006bc27, 32'h3ffafa17,32'h400ab2a1, 32'h3fedc481,32'h40114d6b,// invsqrt(0.2347) = 2.0640 +32'h411ded53,32'h3e9fb55a,32'h3ea63a24, 32'h3e9ad1c2,32'h3eab1dbc, 32'h3e92abc6,32'h3eb343b9,// invsqrt(9.8704) = 0.3183 +32'h4081e5c7,32'h3ef90a72,32'h3f019a56, 32'h3ef16ac8,32'h3f056a2b, 32'h3ee4b601,32'h3f0bc48f,// invsqrt(4.0593) = 0.4963 +32'h3ec69e1a,32'h3fc966b9,32'h3fd19f29, 32'h3fc33c65,32'h3fd7c97d, 32'h3fb8f5d9,32'h3fe21009,// invsqrt(0.3879) = 1.6056 +32'h3fededc2,32'h3f38033a,32'h3f3f85f8, 32'h3f32612b,32'h3f452807, 32'h3f28fdbd,32'h3f4e8b75,// invsqrt(1.8588) = 0.7335 +32'h409e36cc,32'h3ee1a827,32'h3eeade09, 32'h3edabfbd,32'h3ef1c673, 32'h3ecf3c62,32'h3efd49ce,// invsqrt(4.9442) = 0.4497 +32'h3f11716a,32'h3fa66beb,32'h3fad36db, 32'h3fa153b8,32'h3fb24f0e, 32'h3f98d60c,32'h3fbaccba,// invsqrt(0.5681) = 1.3267 +32'h3fa6bd27,32'h3f5bd007,32'h3f64c8d8, 32'h3f551569,32'h3f6b8375, 32'h3f49de64,32'h3f76ba7a,// invsqrt(1.3026) = 0.8762 +32'h401b8a0c,32'h3f20edfd,32'h3f277f89, 32'h3f1c00d3,32'h3f2c6cb3, 32'h3f13cae3,32'h3f34a2a3,// invsqrt(2.4303) = 0.6415 +32'h3f04fbe3,32'h3fae0aff,32'h3fb52591, 32'h3fa8b711,32'h3fba797f, 32'h3f9fd5da,32'h3fc35ab6,// invsqrt(0.5195) = 1.3875 +32'h3fe3298c,32'h3f3c52a3,32'h3f44026b, 32'h3f368ecd,32'h3f49c641, 32'h3f2cf313,32'h3f5361fb,// invsqrt(1.7747) = 0.7506 +32'h3f15ac23,32'h3fa40daa,32'h3faabfda, 32'h3f9f0805,32'h3fafc57f, 32'h3f96a948,32'h3fb8243c,// invsqrt(0.5847) = 1.3078 +32'h4117f0a0,32'h3ea2d31a,32'h3ea97874, 32'h3e9dd717,32'h3eae7477, 32'h3e958866,32'h3eb6c328,// invsqrt(9.4962) = 0.3245 +32'h3f8d25e7,32'h3f6ee8db,32'h3f78a937, 32'h3f679895,32'h3f7ff97d, 32'h3f5b6822,32'h3f8614f8,// invsqrt(1.1027) = 0.9523 +32'h3f055182,32'h3fadd313,32'h3fb4eb5d, 32'h3fa880dc,32'h3fba3d94, 32'h3f9fa27f,32'h3fc31bf1,// invsqrt(0.5208) = 1.3857 +32'h3f2637ed,32'h3f9bac93,32'h3fa20735, 32'h3f96e899,32'h3fa6cb2f, 32'h3f8ef74d,32'h3faebc7b,// invsqrt(0.6493) = 1.2410 +32'h41c90ad1,32'h3e482ede,32'h3e505a94, 32'h3e420e16,32'h3e567b5c, 32'h3e37d773,32'h3e60b1ff,// invsqrt(25.1303) = 0.1995 +32'h3ea761fd,32'h3fdb63b0,32'h3fe45816, 32'h3fd4ac64,32'h3feb0f62, 32'h3fc97ae6,32'h3ff640e0,// invsqrt(0.3269) = 1.7490 +32'h3ec22dbf,32'h3fcbb0b4,32'h3fd4010f, 32'h3fc57470,32'h3fda3d54, 32'h3fbb0fff,32'h3fe4a1c5,// invsqrt(0.3793) = 1.6238 +32'h3d4ae57a,32'h408ce70e,32'h4092a758, 32'h408896d7,32'h4096f78f, 32'h4081667b,32'h409e27eb,// invsqrt(0.0495) = 4.4931 +32'h3f804acf,32'h3f7a9818,32'h3f826946, 32'h3f72ec41,32'h3f863f31, 32'h3f662330,32'h3f8ca3ba,// invsqrt(1.0023) = 0.9989 +32'h3f6162de,32'h3f85b020,32'h3f8b2507, 32'h3f819872,32'h3f8f3cb4, 32'h3f758ca2,32'h3f960ed5,// invsqrt(0.8804) = 1.0658 +32'h3de4e8bc,32'h403b9a56,32'h40434298, 32'h4035dc24,32'h404900ca, 32'h402c49d2,32'h4052931d,// invsqrt(0.1118) = 2.9911 +32'h4280a2b6,32'h3dfa426a,32'h3e023caf, 32'h3df29932,32'h3e06114b, 32'h3de5d480,32'h3e0c73a4,// invsqrt(64.3178) = 0.1247 +32'h40961f4e,32'h3ee7a89b,32'h3ef11d33, 32'h3ee09128,32'h3ef834a6, 32'h3ed4bf6a,32'h3f020332,// invsqrt(4.6913) = 0.4617 +32'h40d2393f,32'h3ec3c34a,32'h3ecbc0d0, 32'h3ebdc526,32'h3ed1bef4, 32'h3eb3c83f,32'h3edbbbdb,// invsqrt(6.5695) = 0.3902 +32'h3f999f61,32'h3f650115,32'h3f6e59f1, 32'h3f5dfe70,32'h3f755c96, 32'h3f524f5c,32'h3f8085d5,// invsqrt(1.2002) = 0.9128 +32'h40e5f8c1,32'h3ebb2b41,32'h3ec2cefb, 32'h3eb57076,32'h3ec889c6, 32'h3eabe3ce,32'h3ed2166e,// invsqrt(7.1866) = 0.3730 +32'h3ff864e7,32'h3f34182e,32'h3f3b71fc, 32'h3f2e94d4,32'h3f40f556, 32'h3f256493,32'h3f4a2597,// invsqrt(1.9406) = 0.7179 +32'h3eb21a33,32'h3fd4af47,32'h3fdd5d9e, 32'h3fce2c87,32'h3fe3e05f, 32'h3fc3529b,32'h3feeba4b,// invsqrt(0.3479) = 1.6955 +32'h3f9bcd7a,32'h3f63657c,32'h3f6cad8b, 32'h3f5c6f70,32'h3f73a396, 32'h3f50d55c,32'h3f7f3daa,// invsqrt(1.2172) = 0.9064 +32'h3ff67a64,32'h3f34cb09,32'h3f3c2c23, 32'h3f2f4235,32'h3f41b4f7, 32'h3f2608d4,32'h3f4aee58,// invsqrt(1.9256) = 0.7206 +32'h3eb22cba,32'h3fd4a438,32'h3fdd521b, 32'h3fce21ce,32'h3fe3d486, 32'h3fc34873,32'h3feeade1,// invsqrt(0.3480) = 1.6952 +32'h3fd3ff3d,32'h3f42f13c,32'h3f4ae62f, 32'h3f3cf986,32'h3f50dde6, 32'h3f330757,32'h3f5ad015,// invsqrt(1.6562) = 0.7770 +32'h3f1df188,32'h3f9fb339,32'h3fa637ed, 32'h3f9acfb2,32'h3fab1b74, 32'h3f92a9d1,32'h3fb34155,// invsqrt(0.6170) = 1.2731 +32'h3f6f8fef,32'h3f81ac15,32'h3f86f706, 32'h3f7b67bf,32'h3f8aef3a, 32'h3f6e2c64,32'h3f918ce8,// invsqrt(0.9358) = 1.0337 +32'h4005f550,32'h3f2d68ab,32'h3f347c9d, 32'h3f2819b5,32'h3f39cb93, 32'h3f1f40c7,32'h3f42a481,// invsqrt(2.0931) = 0.6912 +32'h3ea593bd,32'h3fdc9519,32'h3fe595f5, 32'h3fd5d473,32'h3fec569b, 32'h3fca9360,32'h3ff797ae,// invsqrt(0.3234) = 1.7585 +32'h40dfb27f,32'h3ebdc697,32'h3ec5858d, 32'h3eb7f75e,32'h3ecb54c6, 32'h3eae48aa,32'h3ed5037a,// invsqrt(6.9905) = 0.3782 +32'h3e0d5d73,32'h4028ce0d,32'h402fb1e4, 32'h4023a32d,32'h4034dcc5, 32'h401b0660,32'h403d7992,// invsqrt(0.1381) = 2.6914 +32'h3ff1d611,32'h3f36851c,32'h3f3df842, 32'h3f30eec0,32'h3f438e9e, 32'h3f279ed1,32'h3f4cde8d,// invsqrt(1.8893) = 0.7275 +32'h3eea8baa,32'h3fb955c2,32'h3fc0e652, 32'h3fb3a956,32'h3fc692be, 32'h3faa34a3,32'h3fd00771,// invsqrt(0.4581) = 1.4775 +32'h3eea1d45,32'h3fb9816f,32'h3fc113c7, 32'h3fb3d3ad,32'h3fc6c189, 32'h3faa5cbf,32'h3fd03877,// invsqrt(0.4573) = 1.4788 +32'h3f9a5e90,32'h3f64731a,32'h3f6dc62b, 32'h3f5d74ce,32'h3f74c478, 32'h3f51ccf9,32'h3f803626,// invsqrt(1.2060) = 0.9106 +32'h4045b2cb,32'h3f0ebe2d,32'h3f1491b1, 32'h3f0a5f89,32'h3f18f055, 32'h3f031724,32'h3f2038ba,// invsqrt(3.0890) = 0.5690 +32'h3f027f00,32'h3fafb1b3,32'h3fb6dd87, 32'h3faa50d5,32'h3fbc3e65, 32'h3fa15a0d,32'h3fc5352d,// invsqrt(0.5098) = 1.4006 +32'h3de9b448,32'h4039ab15,32'h40413f21, 32'h4033fc0d,32'h4046ee29, 32'h402a82ff,32'h40506737,// invsqrt(0.1141) = 2.9603 +32'h3e1df3f3,32'h401fb201,32'h402636a8, 32'h401ace83,32'h402b1a25, 32'h4012a8b2,32'h40333ff6,// invsqrt(0.1543) = 2.5462 +32'h3f96e686,32'h3f670f7d,32'h3f707dd5, 32'h3f5ffcba,32'h3f779098, 32'h3f5432cb,32'h3f81ad43,// invsqrt(1.1789) = 0.9210 +32'h3f33479c,32'h3f95e569,32'h3f9c03ac, 32'h3f914eb7,32'h3fa09a5f, 32'h3f89a8e4,32'h3fa84032,// invsqrt(0.7003) = 1.1950 +32'h3ec2de73,32'h3fcb5445,32'h3fd3a0db, 32'h3fc51ad6,32'h3fd9da4a, 32'h3fbabb1b,32'h3fe43a05,// invsqrt(0.3806) = 1.6209 +32'h3e02888d,32'h402fab45,32'h4036d6d5, 32'h402a4a99,32'h403c3781, 32'h40215425,32'h40452df5,// invsqrt(0.1275) = 2.8008 +32'h3f81cae7,32'h3f79243a,32'h3f81a7c0, 32'h3f7183c5,32'h3f8577fa, 32'h3f64cdad,32'h3f8bd306,// invsqrt(1.0140) = 0.9931 +32'h4117c84e,32'h3ea2e8b9,32'h3ea98ef5, 32'h3e9dec0c,32'h3eae8ba2, 32'h3e959c42,32'h3eb6db6d,// invsqrt(9.4864) = 0.3247 +32'h3e626215,32'h400564af,32'h400ad681, 32'h40014f50,32'h400eebe0, 32'h3ff50212,32'h4015ba27,// invsqrt(0.2211) = 2.1268 +32'h3fce794b,32'h3f458852,32'h3f4d9855, 32'h3f3f7c4f,32'h3f53a457, 32'h3f35684b,32'h3f5db85b,// invsqrt(1.6131) = 0.7874 +32'h3ffb7730,32'h3f32fdc1,32'h3f3a4c08, 32'h3f2d830d,32'h3f3fc6bd, 32'h3f246134,32'h3f48e896,// invsqrt(1.9646) = 0.7135 +32'h3fd75f78,32'h3f416891,32'h3f494d7d, 32'h3f3b7ce0,32'h3f4f392e, 32'h3f319eba,32'h3f591755,// invsqrt(1.6826) = 0.7709 +32'h41113326,32'h3ea68f96,32'h3ead5bfa, 32'h3ea1764b,32'h3eb27545, 32'h3e98f6ce,32'h3ebaf4c2,// invsqrt(9.0750) = 0.3320 +32'h4036b6a7,32'h3f147b1f,32'h3f1a8a99, 32'h3f0fef84,32'h3f1f1634, 32'h3f085c2c,32'h3f26a98c,// invsqrt(2.8549) = 0.5918 +32'h3f8c51d7,32'h3f6f9d1e,32'h3f7964d6, 32'h3f684754,32'h3f805d50, 32'h3f5c0dae,32'h3f867a23,// invsqrt(1.0962) = 0.9551 +32'h3e7efe0b,32'h3ffb600e,32'h4002d155, 32'h3ff3ae17,32'h4006aa50, 32'h3fe6dad3,32'h400d13f3,// invsqrt(0.2490) = 2.0039 +32'h3f475ef2,32'h3f8e2495,32'h3f93f1d5, 32'h3f89caa6,32'h3f984bc4, 32'h3f828a16,32'h3f9f8c54,// invsqrt(0.7788) = 1.1332 +32'h4037e191,32'h3f14023f,32'h3f1a0cc9, 32'h3f0f7a57,32'h3f1e94b1, 32'h3f07ed2a,32'h3f2621de,// invsqrt(2.8731) = 0.5900 +32'h3fe94d05,32'h3f39d428,32'h3f4169e0, 32'h3f3423dd,32'h3f471a2b, 32'h3f2aa8b7,32'h3f509551,// invsqrt(1.8227) = 0.7407 +32'h3f999d61,32'h3f650293,32'h3f6e5b7f, 32'h3f5dffe2,32'h3f755e30, 32'h3f5250bb,32'h3f8086ab,// invsqrt(1.2001) = 0.9128 +32'h402ad57c,32'h3f198e89,32'h3f1fd30b, 32'h3f14db26,32'h3f24866e, 32'h3f0d0583,32'h3f2c5c11,// invsqrt(2.6693) = 0.6121 +32'h3fdf2c45,32'h3f3dffa1,32'h3f45c0eb, 32'h3f382ea9,32'h3f4b91e3, 32'h3f2e7d0c,32'h3f554380,// invsqrt(1.7435) = 0.7573 +32'h3fe3136c,32'h3f3c5bd0,32'h3f440bf7, 32'h3f3697b1,32'h3f49d015, 32'h3f2cfb80,32'h3f536c47,// invsqrt(1.7740) = 0.7508 +32'h3ee17574,32'h3fbd086d,32'h3fc4bfa1, 32'h3fb73f07,32'h3fca8907, 32'h3fad9a06,32'h3fd42e08,// invsqrt(0.4403) = 1.5070 +32'h3ec910b2,32'h3fc82bf1,32'h3fd05787, 32'h3fc20b3f,32'h3fd67839, 32'h3fb7d4c3,32'h3fe0aeb5,// invsqrt(0.3927) = 1.5958 +32'h40825420,32'h3ef8a0ee,32'h3f01636c, 32'h3ef1047e,32'h3f0531a4, 32'h3ee45519,32'h3f0b8956,// invsqrt(4.0728) = 0.4955 +32'h3fb95a66,32'h3f507bb3,32'h3f58fe23, 32'h3f4a19df,32'h3f5f5ff7, 32'h3f3f76d4,32'h3f6a0303,// invsqrt(1.4481) = 0.8310 +32'h402cdbf2,32'h3f18a792,32'h3f1ee2a8, 32'h3f13fb42,32'h3f238ef8, 32'h3f0c3167,32'h3f2b58d3,// invsqrt(2.7009) = 0.6085 +32'h3f25dc08,32'h3f9bd7ad,32'h3fa23412, 32'h3f971261,32'h3fa6f95d, 32'h3f8f1ee2,32'h3faeecdc,// invsqrt(0.6479) = 1.2424 +32'h405f0c5c,32'h3f066305,32'h3f0bdf39, 32'h3f0245dd,32'h3f0ffc61, 32'h3ef6d538,32'h3f16d7a2,// invsqrt(3.4851) = 0.5357 +32'h3e1ca18f,32'h40205e25,32'h4026e9d3, 32'h401b7563,32'h402bd295, 32'h401346c9,32'h4034012f,// invsqrt(0.1530) = 2.5569 +32'h3fba5dc5,32'h3f4fea6d,32'h3f5866ef, 32'h3f498d0c,32'h3f5ec450, 32'h3f3ef169,32'h3f695ff3,// invsqrt(1.4560) = 0.8287 +32'h3e6c5fed,32'h40028b28,32'h4007df34, 32'h3ffd183e,32'h400bde3d, 32'h3fefc620,32'h4012874c,// invsqrt(0.2308) = 2.0814 +32'h3f30a96c,32'h3f9700b1,32'h3f9d2a83, 32'h3f926152,32'h3fa1c9e2, 32'h3f8aad0b,32'h3fa97e29,// invsqrt(0.6901) = 1.2038 +32'h3f23f423,32'h3f9cbee2,32'h3fa324b8, 32'h3f97f283,32'h3fa7f117, 32'h3f8ff338,32'h3faff062,// invsqrt(0.6404) = 1.2496 +32'h3ee6aca5,32'h3fbae238,32'h3fc282f6, 32'h3fb529a9,32'h3fc83b85, 32'h3faba0bb,32'h3fd1c473,// invsqrt(0.4505) = 1.4898 +32'h3f5db499,32'h3f86cb0c,32'h3f8c4b80, 32'h3f82aab6,32'h3f906bd6, 32'h3f77944b,32'h3f974c67,// invsqrt(0.8660) = 1.0746 +32'h3eb77dc8,32'h3fd189c9,32'h3fda173f, 32'h3fcb1fb1,32'h3fe08157, 32'h3fc06edd,32'h3feb322b,// invsqrt(0.3584) = 1.6704 +32'h3f5415a6,32'h3f89d10c,32'h3f8f7116, 32'h3f859903,32'h3f93a91f, 32'h3f7d21eb,32'h3f9ab12c,// invsqrt(0.8285) = 1.0987 +32'h3fbeb756,32'h3f4d87ee,32'h3f55eb84, 32'h3f473d3d,32'h3f5c3635, 32'h3f3cc0c0,32'h3f66b2b2,// invsqrt(1.4900) = 0.8192 +32'h3f12c0cd,32'h3fa5ad53,32'h3fac707b, 32'h3fa09af5,32'h3fb182d9, 32'h3f982703,32'h3fb9f6cb,// invsqrt(0.5733) = 1.3208 +32'h3f4ed81a,32'h3f8b8d2d,32'h3f913f59, 32'h3f87478c,32'h3f9584fa, 32'h3f8028d6,32'h3f9ca3b0,// invsqrt(0.8080) = 1.1125 +32'h3e8ee2ad,32'h3fed73e1,32'h3ff72504, 32'h3fe62f06,32'h3ffe69de, 32'h3fda119a,32'h400543a5,// invsqrt(0.2791) = 1.8930 +32'h3f621449,32'h3f857ba0,32'h3f8aee63, 32'h3f81658f,32'h3f8f0475, 32'h3f752c37,32'h3f95d3e9,// invsqrt(0.8831) = 1.0641 +32'h3f42eb51,32'h3f8fc1c4,32'h3f959fe1, 32'h3f8b5b2f,32'h3f9a0677, 32'h3f84058b,32'h3fa15c1b,// invsqrt(0.7614) = 1.1460 +32'h3f2cc8cf,32'h3f98b006,32'h3f9eeb74, 32'h3f940374,32'h3fa39806, 32'h3f8c392a,32'h3fab6250,// invsqrt(0.6749) = 1.2172 +32'h3eeeeeef,32'h3fb7a017,32'h3fbf1eca, 32'h3fb20112,32'h3fc4bdd0, 32'h3fa8a2b2,32'h3fce1c30,// invsqrt(0.4667) = 1.4639 +32'h3f2d7b2d,32'h3f986174,32'h3f9e99ac, 32'h3f93b749,32'h3fa343d7, 32'h3f8bf102,32'h3fab0a1e,// invsqrt(0.6777) = 1.2148 +32'h3f2bd723,32'h3f991b3f,32'h3f9f5b0d, 32'h3f946b64,32'h3fa40ae8, 32'h3f8c9ba2,32'h3fabdaaa,// invsqrt(0.6713) = 1.2206 +32'h3f8d684b,32'h3f6eb0bf,32'h3f786ed1, 32'h3f676231,32'h3f7fbd5f, 32'h3f5b349a,32'h3f85f57b,// invsqrt(1.1047) = 0.9514 +32'h409b9ddc,32'h3ee38843,32'h3eecd1be, 32'h3edc9127,32'h3ef3c8db, 32'h3ed0f54e,32'h3eff64b4,// invsqrt(4.8630) = 0.4535 +32'h3feab801,32'h3f394440,32'h3f40d418, 32'h3f33985d,32'h3f467ffb, 32'h3f2a248e,32'h3f4ff3ca,// invsqrt(1.8337) = 0.7385 +32'h3f71ab2d,32'h3f811b18,32'h3f86601e, 32'h3f7a4ea6,32'h3f8a53e3, 32'h3f6d2217,32'h3f90ea2a,// invsqrt(0.9440) = 1.0292 +32'h3f897b6f,32'h3f7212e7,32'h3f7bf453, 32'h3f6aa9d5,32'h3f81aeb2, 32'h3f5e500d,32'h3f87db96,// invsqrt(1.0741) = 0.9649 +32'h3ed7abda,32'h3fc1464e,32'h3fc929d4, 32'h3fbb5baa,32'h3fcf1478, 32'h3fb17f42,32'h3fd8f0e0,// invsqrt(0.4212) = 1.5408 +32'h3f6511d6,32'h3f849bd8,32'h3f8a0578, 32'h3f808ca0,32'h3f8e14b0, 32'h3f73912e,32'h3f94d8b9,// invsqrt(0.8948) = 1.0571 +32'h3fbf0d4b,32'h3f4d59ac,32'h3f55bb60, 32'h3f471066,32'h3f5c04a6, 32'h3f3c9645,32'h3f667ec7,// invsqrt(1.4926) = 0.8185 +32'h3f59d52e,32'h3f87fc70,32'h3f8d895a, 32'h3f83d2c0,32'h3f91b30a, 32'h3f79c536,32'h3f98a32f,// invsqrt(0.8509) = 1.0841 +32'h3eee111b,32'h3fb7f590,32'h3fbf77c0, 32'h3fb253ec,32'h3fc51964, 32'h3fa8f131,32'h3fce7c1f,// invsqrt(0.4650) = 1.4665 +32'h3e4bc635,32'h400c9946,32'h40125662, 32'h40084b70,32'h4016a438, 32'h40011f0c,32'h401dd09c,// invsqrt(0.1990) = 2.2417 +32'h402b0d6f,32'h3f197569,32'h3f1fb8e6, 32'h3f14c2cc,32'h3f246b84, 32'h3f0cee71,32'h3f2c3fdf,// invsqrt(2.6727) = 0.6117 +32'h3f860522,32'h3f752e3d,32'h3f7f3021, 32'h3f6dacd3,32'h3f8358c5, 32'h3f612a77,32'h3f8999f3,// invsqrt(1.0470) = 0.9773 +32'h3d2a0ee1,32'h4099e819,32'h40a03044, 32'h409531f9,32'h40a4e665, 32'h408d57c4,32'h40acc09a,// invsqrt(0.0415) = 4.9077 +32'h3f9c6b68,32'h3f62f293,32'h3f6c35f1, 32'h3f5c000c,32'h3f732878, 32'h3f506bd5,32'h3f7ebcaf,// invsqrt(1.2220) = 0.9046 +32'h3e7eb289,32'h3ffb854e,32'h4002e4b9, 32'h3ff3d235,32'h4006be46, 32'h3fe6fd0a,32'h400d28db,// invsqrt(0.2487) = 2.0051 +32'h3f0ef5aa,32'h3fa7dc5e,32'h3faeb658, 32'h3fa2b8e3,32'h3fb3d9d3, 32'h3f9a286c,32'h3fbc6a4b,// invsqrt(0.5584) = 1.3382 +32'h3e460957,32'h400e9ef9,32'h40147137, 32'h400a414a,32'h4018cee6, 32'h4002fa7c,32'h402015b4,// invsqrt(0.1934) = 2.2739 +32'h3f51d398,32'h3f8a8e60,32'h3f903626, 32'h3f86508c,32'h3f9473fa, 32'h3f7e7dac,32'h3f9b85b0,// invsqrt(0.8196) = 1.1046 +32'h3ef77777,32'h3fb46e7f,32'h3fbbcbd3, 32'h3faee881,32'h3fc151d1, 32'h3fa5b3d8,32'h3fca867a,// invsqrt(0.4833) = 1.4384 +32'h3f5dc529,32'h3f86c603,32'h3f8c4642, 32'h3f82a5d4,32'h3f906672, 32'h3f778b0c,32'h3f9746c0,// invsqrt(0.8663) = 1.0744 +32'h3fb78767,32'h3f51844b,32'h3f5a1187, 32'h3f4b1a5d,32'h3f607b75, 32'h3f4069d2,32'h3f6b2c00,// invsqrt(1.4338) = 0.8351 +32'h3ef7ebe3,32'h3fb4441d,32'h3fbb9fb5, 32'h3faebf6a,32'h3fc12468, 32'h3fa58ceb,32'h3fca56e7,// invsqrt(0.4842) = 1.4371 +32'h3fcb9c38,32'h3f46eaac,32'h3f4f0926, 32'h3f40d3d1,32'h3f552001, 32'h3f36adb8,32'h3f5f461a,// invsqrt(1.5907) = 0.7929 +32'h3e8e29dd,32'h3fee0e07,32'h3ff7c575, 32'h3fe6c474,32'h3fff0f08, 32'h3fda9f2b,32'h40059a28,// invsqrt(0.2777) = 1.8978 +32'h3f6275a3,32'h3f855eec,32'h3f8ad083, 32'h3f8149bc,32'h3f8ee5b4, 32'h3f74f77e,32'h3f95b3b1,// invsqrt(0.8846) = 1.0632 +32'h3ee5608e,32'h3fbb694f,32'h3fc30f91, 32'h3fb5ac9e,32'h3fc8cc42, 32'h3fac1ccb,32'h3fd25c15,// invsqrt(0.4480) = 1.4940 +32'h400a4094,32'h3f2ab1d5,32'h3f31a96a, 32'h3f257824,32'h3f36e31a, 32'h3f1cc2a9,32'h3f3f9895,// invsqrt(2.1602) = 0.6804 +32'h3f4cb75f,32'h3f8c465d,32'h3f920017, 32'h3f87fb11,32'h3f964b63, 32'h3f80d2e7,32'h3f9d738d,// invsqrt(0.7997) = 1.1183 +32'h3fb50657,32'h3f52f5ff,32'h3f5b9253, 32'h3f4c80c1,32'h3f620791, 32'h3f41bd58,32'h3f6ccafa,// invsqrt(1.4143) = 0.8409 +32'h3f1eec09,32'h3f9f352a,32'h3fa5b4b9, 32'h3f9a5580,32'h3faa9464, 32'h3f92360d,32'h3fb2b3d7,// invsqrt(0.6208) = 1.2692 +32'h3f9b7187,32'h3f63a8b3,32'h3f6cf381, 32'h3f5cb099,32'h3f73eb9b, 32'h3f511317,32'h3f7f891d,// invsqrt(1.2144) = 0.9074 +32'h3f2c7c58,32'h3f98d1db,32'h3f9f0eaa, 32'h3f94243f,32'h3fa3bc45, 32'h3f8c583b,32'h3fab8849,// invsqrt(0.6738) = 1.2183 +32'h3faa182a,32'h3f59a236,32'h3f628443, 32'h3f52f8ad,32'h3f692dcd, 32'h3f47de1d,32'h3f74485d,// invsqrt(1.3289) = 0.8675 +32'h3e44e61a,32'h400f084c,32'h4014ded6, 32'h400aa763,32'h40193fbf, 32'h40035b36,32'h40208bec,// invsqrt(0.1923) = 2.2805 +32'h4039fa74,32'h3f132c01,32'h3f192dcd, 32'h3f0eaaa8,32'h3f1daf26, 32'h3f07286a,32'h3f253164,// invsqrt(2.9059) = 0.5866 +32'h3fb59913,32'h3f52a0b3,32'h3f5b398b, 32'h3f4c2e11,32'h3f61ac2d, 32'h3f416f02,32'h3f6c6b3c,// invsqrt(1.4187) = 0.8396 +32'h3fdeb156,32'h3f3e340b,32'h3f45f779, 32'h3f386178,32'h3f4bca0c, 32'h3f2ead2f,32'h3f557e55,// invsqrt(1.7398) = 0.7581 +32'h3f1fa115,32'h3f9edac8,32'h3fa556a6, 32'h3f99fde1,32'h3faa338d, 32'h3f91e30b,32'h3fb24e63,// invsqrt(0.6236) = 1.2664 +32'h3f08ea83,32'h3fab868b,32'h3fb286cf, 32'h3fa64658,32'h3fb7c702, 32'h3f9d8602,32'h3fc08758,// invsqrt(0.5348) = 1.3674 +32'h3f052ce4,32'h3fadeaf7,32'h3fb5043a, 32'h3fa89804,32'h3fba572c, 32'h3f9fb86f,32'h3fc336c1,// invsqrt(0.5202) = 1.3865 +32'h3fc3d761,32'h3f4ad2e3,32'h3f531a30, 32'h3f449d69,32'h3f594fa9, 32'h3f3a4448,32'h3f63a8ca,// invsqrt(1.5300) = 0.8084 +32'h3f662938,32'h3f844b44,32'h3f89b19a, 32'h3f803e83,32'h3f8dbe5b, 32'h3f72fd2e,32'h3f947e47,// invsqrt(0.8991) = 1.0546 +32'h40b5c329,32'h3ed2884f,32'h3edb2029, 32'h3ecc166c,32'h3ee1920c, 32'h3ec1589c,32'h3eec4fdc,// invsqrt(5.6801) = 0.4196 +32'h3f871bc9,32'h3f7430e5,32'h3f7e2871, 32'h3f6cb73c,32'h3f82d10d, 32'h3f6041cd,32'h3f890bc4,// invsqrt(1.0555) = 0.9733 +32'h4003d193,32'h3f2ecf7f,32'h3f35f217, 32'h3f29758e,32'h3f3b4c08, 32'h3f208a50,32'h3f443746,// invsqrt(2.0597) = 0.6968 +32'h41126b6c,32'h3ea5dd9a,32'h3eaca2ba, 32'h3ea0c9c2,32'h3eb1b692, 32'h3e985359,32'h3eba2cfb,// invsqrt(9.1512) = 0.3306 +32'h3ee3b201,32'h3fbc1a2c,32'h3fc3c7a6, 32'h3fb65811,32'h3fc989c1, 32'h3facbf38,32'h3fd3229a,// invsqrt(0.4447) = 1.4995 +32'h3f7f6635,32'h3f7b2cc5,32'h3f82b6a5, 32'h3f737c61,32'h3f868ed7, 32'h3f66abba,32'h3f8cf72b,// invsqrt(0.9977) = 1.0012 +32'h4210ad80,32'h3e26dc73,32'h3e2dabfb, 32'h3e21c0ce,32'h3e32c7a0, 32'h3e193d65,32'h3e3b4b09,// invsqrt(36.1694) = 0.1663 +32'h3edff973,32'h3fbda886,32'h3fc56642, 32'h3fb7da39,32'h3fcb348f, 32'h3fae2d0d,32'h3fd4e1bb,// invsqrt(0.4375) = 1.5119 +32'h41da39e1,32'h3e4023d4,32'h3e47fb7f, 32'h3e3a4215,32'h3e4ddd3f, 32'h3e30747f,32'h3e57aad5,// invsqrt(27.2783) = 0.1915 +32'h41c5a81c,32'h3e49e3e5,32'h3e522171, 32'h3e43b5bc,32'h3e584f9a, 32'h3e3968cd,32'h3e629c89,// invsqrt(24.7071) = 0.2012 +32'h3f0918f1,32'h3fab697d,32'h3fb26891, 32'h3fa62a2d,32'h3fb7a7e1, 32'h3f9d6b53,32'h3fc066bb,// invsqrt(0.5355) = 1.3665 +32'h4047d5ff,32'h3f0dfa38,32'h3f13c5bc, 32'h3f09a194,32'h3f181e60, 32'h3f02632e,32'h3f1f5cc6,// invsqrt(3.1224) = 0.5659 +32'h3fb1d2d9,32'h3f54d9ef,32'h3f5d8a03, 32'h3f4e55e0,32'h3f640e12, 32'h3f4379c6,32'h3f6eea2c,// invsqrt(1.3892) = 0.8484 +32'h41384bf6,32'h3e93d780,32'h3e99e04c, 32'h3e8f50e7,32'h3e9e66e5, 32'h3e87c5e9,32'h3ea5f1e3,// invsqrt(11.5185) = 0.2946 +32'h404322ad,32'h3f0fad5f,32'h3f158aa7, 32'h3f0b4769,32'h3f19f09d, 32'h3f03f2d0,32'h3f214536,// invsqrt(3.0490) = 0.5727 +32'h3f9a6adb,32'h3f646a02,32'h3f6dbcb4, 32'h3f5d6bfd,32'h3f74bab9, 32'h3f51c49f,32'h3f80310c,// invsqrt(1.2064) = 0.9105 +32'h4065914a,32'h3f047703,32'h3f09df23, 32'h3f0068ec,32'h3f0ded3a, 32'h3ef34d89,32'h3f14af62,// invsqrt(3.5870) = 0.5280 +32'h3f3e07f3,32'h3f919816,32'h3f978966, 32'h3f8d231b,32'h3f9bfe61, 32'h3f85b578,32'h3fa36c04,// invsqrt(0.7423) = 1.1607 +32'h402c3220,32'h3f18f2c6,32'h3f1f30ee, 32'h3f144429,32'h3f23df8b, 32'h3f0c7677,32'h3f2bad3d,// invsqrt(2.6906) = 0.6096 +32'h3f97799a,32'h3f669f35,32'h3f7008f8, 32'h3f5f8fe2,32'h3f77184a, 32'h3f53cbad,32'h3f816e3f,// invsqrt(1.1834) = 0.9193 +32'h4095c732,32'h3ee7ecb4,32'h3ef16414, 32'h3ee0d32c,32'h3ef87d9c, 32'h3ed4fdf4,32'h3f02296a,// invsqrt(4.6806) = 0.4622 +32'h3f61f316,32'h3f85856f,32'h3f8af898, 32'h3f816f10,32'h3f8f0ef6, 32'h3f753e39,32'h3f95deea,// invsqrt(0.8826) = 1.0644 +32'h4066dec6,32'h3f041735,32'h3f097b6b, 32'h3f000c0c,32'h3f0d8694, 32'h3ef29d90,32'h3f1443d8,// invsqrt(3.6073) = 0.5265 +32'h3ffe1729,32'h3f321075,32'h3f39550d, 32'h3f2c9d04,32'h3f3ec87e, 32'h3f238747,32'h3f47de3b,// invsqrt(1.9851) = 0.7098 +32'h408d2199,32'h3eeeec7f,32'h3ef8ad02, 32'h3ee79c1e,32'h3efffd64, 32'h3edb6b7a,32'h3f061704,// invsqrt(4.4104) = 0.4762 +32'h3e033794,32'h402f35f5,32'h40365cbb, 32'h4029d8e0,32'h403bb9d0, 32'h4020e869,32'h4044aa47,// invsqrt(0.1281) = 2.7935 +32'h3daa3624,32'h40598f0b,32'h40627050, 32'h4052e618,32'h40691944, 32'h4047cc83,32'h407432d9,// invsqrt(0.0831) = 3.4687 +32'h3f1dbfa8,32'h3f9fcc76,32'h3fa65232, 32'h3f9ae829,32'h3fab367f, 32'h3f92c0ff,32'h3fb35da9,// invsqrt(0.6162) = 1.2739 +32'h3f22fb5d,32'h3f9d3655,32'h3fa3a10b, 32'h3f98664e,32'h3fa87112, 32'h3f9060eb,32'h3fb07675,// invsqrt(0.6366) = 1.2533 +32'h3e1726ae,32'h40233fbb,32'h4029e983, 32'h401e4064,32'h402ee8da, 32'h4015ec29,32'h40373d15,// invsqrt(0.1476) = 2.6028 +32'h40c1491d,32'h3ecc2909,32'h3ed47e4d, 32'h3ec5e916,32'h3edabe40, 32'h3ebb7e80,32'h3ee528d6,// invsqrt(6.0402) = 0.4069 +32'h3f43797f,32'h3f8f8d73,32'h3f95696d, 32'h3f8b2877,32'h3f99ce69, 32'h3f83d57e,32'h3fa12162,// invsqrt(0.7636) = 1.1444 +32'h3eca2ec7,32'h3fc79e20,32'h3fcfc3ee, 32'h3fc181c7,32'h3fd5e047, 32'h3fb75286,32'h3fe00f88,// invsqrt(0.3949) = 1.5913 +32'h3ff7e716,32'h3f3445dc,32'h3f3ba186, 32'h3f2ec11b,32'h3f412647, 32'h3f258e86,32'h3f4a58dc,// invsqrt(1.9367) = 0.7186 +32'h3ff78d40,32'h3f34668f,32'h3f3bc38f, 32'h3f2ee0ce,32'h3f414950, 32'h3f25ac8e,32'h3f4a7d91,// invsqrt(1.9340) = 0.7191 +32'h3df861e2,32'h40341947,32'h403b7320, 32'h402e95e4,32'h4040f682, 32'h40256594,32'h404a26d2,// invsqrt(0.1213) = 2.8715 +32'h3fe57719,32'h3f3b601b,32'h3f4305fc, 32'h3f35a3b1,32'h3f48c265, 32'h3f2c1457,32'h3f5251bf,// invsqrt(1.7927) = 0.7469 +32'h3f6a9888,32'h3f83099f,32'h3f8862d5, 32'h3f7e0d6e,32'h3f8c65bd, 32'h3f70ae68,32'h3f931540,// invsqrt(0.9164) = 1.0446 +32'h401a8027,32'h3f21783c,32'h3f280f6e, 32'h3f1c86d7,32'h3f2d00d3, 32'h3f1449d9,32'h3f353dd1,// invsqrt(2.4141) = 0.6436 +32'h400df891,32'h3f2871bc,32'h3f2f51ce, 32'h3f2349ae,32'h3f3479dc, 32'h3f1ab198,32'h3f3d11f2,// invsqrt(2.2183) = 0.6714 +32'h3f65cfd5,32'h3f8464fc,32'h3f89cc5f, 32'h3f805771,32'h3f8dd9e9, 32'h3f732c6b,32'h3f949b25,// invsqrt(0.8977) = 1.0554 +32'h416cde56,32'h3e82684e,32'h3e87baee, 32'h3e7cd4ac,32'h3e8bb8e6, 32'h3e6f861c,32'h3e92602e,// invsqrt(14.8043) = 0.2599 +32'h400cf3dc,32'h3f290d3c,32'h3f2ff3a6, 32'h3f23e06b,32'h3f352077, 32'h3f1b4066,32'h3f3dc07c,// invsqrt(2.2024) = 0.6738 +32'h41140995,32'h3ea4f4f2,32'h3eabb093, 32'h3e9fe838,32'h3eb0bd4c, 32'h3e977daf,32'h3eb927d5,// invsqrt(9.2523) = 0.3288 +32'h400da0f4,32'h3f28a5ce,32'h3f2f8800, 32'h3f237c28,32'h3f34b1a6, 32'h3f1ae16a,32'h3f3d4c65,// invsqrt(2.2129) = 0.6722 +32'h3f8cb724,32'h3f6f46cf,32'h3f790b01, 32'h3f67f3a9,32'h3f802f13, 32'h3f5bbe6a,32'h3f8649b3,// invsqrt(1.0993) = 0.9537 +32'h3fded953,32'h3f3e22f9,32'h3f45e5b5, 32'h3f3850ec,32'h3f4bb7c2, 32'h3f2e9d82,32'h3f556b2d,// invsqrt(1.7410) = 0.7579 +32'h3fae0212,32'h3f572c1a,32'h3f5ff46e, 32'h3f5095da,32'h3f668aae, 32'h3f459b70,32'h3f718518,// invsqrt(1.3594) = 0.8577 +32'h3c15072f,32'h4124685b,32'h412b1e3f, 32'h411f5ff0,32'h413026aa, 32'h4116fc92,32'h41388a08,// invsqrt(0.0091) = 10.4852 +32'h3ec4bb8a,32'h3fca5d24,32'h3fd29fa2, 32'h3fc42b44,32'h3fd8d182, 32'h3fb9d826,32'h3fe324a0,// invsqrt(0.3842) = 1.6132 +32'h3f57d09b,32'h3f889ece,32'h3f8e325a, 32'h3f847026,32'h3f926102, 32'h3f7aef71,32'h3f995970,// invsqrt(0.8430) = 1.0891 +32'h3f5ef19b,32'h3f866b15,32'h3f8be79d, 32'h3f824dae,32'h3f900504, 32'h3f76e407,32'h3f96e0af,// invsqrt(0.8709) = 1.0716 +32'h3f3c2da4,32'h3f924f20,32'h3f9847e8, 32'h3f8dd48a,32'h3f9cc27e, 32'h3f865d90,32'h3fa43978,// invsqrt(0.7351) = 1.1664 +32'h3fc3c9f7,32'h3f4ad9d5,32'h3f53216b, 32'h3f44a425,32'h3f59571b, 32'h3f3a4aaa,32'h3f63b096,// invsqrt(1.5296) = 0.8086 +32'h4137f4df,32'h3e93fa7b,32'h3e9a04b4, 32'h3e8f72cf,32'h3e9e8c5f, 32'h3e87e608,32'h3ea61926,// invsqrt(11.4973) = 0.2949 +32'h3f443876,32'h3f8f4787,32'h3f9520a7, 32'h3f8ae4af,32'h3f99837f, 32'h3f839548,32'h3fa0d2e6,// invsqrt(0.7665) = 1.1422 +32'h42310a73,32'h3e16d74a,32'h3e1cff6c, 32'h3e123930,32'h3e219d86, 32'h3e0a8705,32'h3e294fb1,// invsqrt(44.2602) = 0.1503 +32'h3fc93c0b,32'h3f481660,32'h3f504116, 32'h3f41f658,32'h3f56611e, 32'h3f37c0f5,32'h3f609681,// invsqrt(1.5721) = 0.7975 +32'h4036e37f,32'h3f1468ea,32'h3f1a77a6, 32'h3f0fddde,32'h3f1f02b2, 32'h3f084b74,32'h3f26951c,// invsqrt(2.8576) = 0.5916 +32'h3f1064f3,32'h3fa70659,32'h3fadd797, 32'h3fa1e96c,32'h3fb2f484, 32'h3f9963df,32'h3fbb7a11,// invsqrt(0.5640) = 1.3315 +32'h3f911111,32'h3f6ba925,32'h3f75478f, 32'h3f647256,32'h3f7c7e5e, 32'h3f586c51,32'h3f844232,// invsqrt(1.1333) = 0.9393 +32'h41403c88,32'h3e90c1ac,32'h3e96aa3a, 32'h3e8c5340,32'h3e9b18a6, 32'h3e84f08e,32'h3ea27b58,// invsqrt(12.0148) = 0.2885 +32'h3fa8d13f,32'h3f5a748b,32'h3f635f2d, 32'h3f53c491,32'h3f6a0f27, 32'h3f489f46,32'h3f753472,// invsqrt(1.3189) = 0.8708 +32'h3fb19036,32'h3f5501db,32'h3f5db391, 32'h3f4e7c93,32'h3f6438d9, 32'h3f439e71,32'h3f6f16fb,// invsqrt(1.3872) = 0.8490 +32'h3f391607,32'h3f9386b6,32'h3f998c36, 32'h3f8f0296,32'h3f9e1056, 32'h3f877bb7,32'h3fa59735,// invsqrt(0.7230) = 1.1761 +32'h3f824abb,32'h3f78a9e4,32'h3f816816, 32'h3f710d2e,32'h3f853671, 32'h3f645d54,32'h3f8b8e5e,// invsqrt(1.0179) = 0.9912 +32'h3fad221c,32'h3f57b719,32'h3f60851a, 32'h3f511c98,32'h3f671f9a, 32'h3f461b16,32'h3f72211c,// invsqrt(1.3526) = 0.8598 +32'h405ccb93,32'h3f07121a,32'h3f0c9574, 32'h3f02ef97,32'h3f10b7f7, 32'h3ef816cd,32'h3f179c28,// invsqrt(3.4499) = 0.5384 +32'h3e796b7f,32'h3ffe2af3,32'h4004455f, 32'h3ff6631a,32'h4008294b, 32'h3fe96b5c,32'h400ea52a,// invsqrt(0.2436) = 2.0262 +32'h40d53078,32'h3ec2657d,32'h3eca54bb, 32'h3ebc720e,32'h3ed0482a, 32'h3eb28700,32'h3eda3338,// invsqrt(6.6622) = 0.3874 +32'h40a0bcf0,32'h3edfe0ce,32'h3ee9041a, 32'h3ed90655,32'h3eefde93, 32'h3ecd9a35,32'h3efb4ab3,// invsqrt(5.0231) = 0.4462 +32'h3f2ec126,32'h3f97d312,32'h3f9e057c, 32'h3f932d43,32'h3fa2ab4b, 32'h3f8b6e40,32'h3faa6a4e,// invsqrt(0.6826) = 1.2103 +32'h40016b65,32'h3f306c63,32'h3f379fd5, 32'h3f2b05ce,32'h3f3d066a, 32'h3f220580,32'h3f4606b8,// invsqrt(2.0222) = 0.7032 +32'h3f860186,32'h3f75318a,32'h3f7f3390, 32'h3f6db006,32'h3f835a8a, 32'h3f612d7f,32'h3f899bce,// invsqrt(1.0469) = 0.9773 +32'h402f4117,32'h3f179b9d,32'h3f1dcbc3, 32'h3f12f781,32'h3f226fdf, 32'h3f0b3b52,32'h3f2a2c0e,// invsqrt(2.7383) = 0.6043 +32'h3decd04f,32'h40387200,32'h403ff944, 32'h4032cc8d,32'h40459eb7, 32'h40296378,32'h404f07cc,// invsqrt(0.1156) = 2.9408 +32'h3e6468fb,32'h4004ccd3,32'h400a3873, 32'h4000bc1b,32'h400e492b, 32'h3ff3eb25,32'h40150fb3,// invsqrt(0.2231) = 2.1173 +32'h401f5045,32'h3f1f030d,32'h3f258090, 32'h3f1a24ea,32'h3f2a5eb2, 32'h3f120806,32'h3f327b96,// invsqrt(2.4893) = 0.6338 +32'h40f81158,32'h3eb43681,32'h3ebb918b, 32'h3eaeb239,32'h3ec115d3, 32'h3ea5806c,32'h3eca47a0,// invsqrt(7.7521) = 0.3592 +32'h4013bbec,32'h3f252047,32'h3f2bddae, 32'h3f20123b,32'h3f30ebbb, 32'h3f17a57c,32'h3f39587b,// invsqrt(2.3083) = 0.6582 +32'h3f612d9a,32'h3f85bfee,32'h3f8b357b, 32'h3f81a7c6,32'h3f8f4da4, 32'h3f75a9ac,32'h3f962094,// invsqrt(0.8796) = 1.0662 +32'h3c7d5b8f,32'h40fc2f53,32'h41033d33, 32'h40f47704,32'h4107195a, 32'h40e7992c,32'h410d8846,// invsqrt(0.0155) = 8.0416 +32'h3e002e94,32'h403145e3,32'h40388236, 32'h402bd8a6,32'h403def74, 32'h4022cd3f,32'h4046fadb,// invsqrt(0.1252) = 2.8264 +32'h40bcbf38,32'h3ece99b1,32'h3ed70874, 32'h3ec8469e,32'h3edd5b86, 32'h3ebdbc2a,32'h3ee7e5fa,// invsqrt(5.8983) = 0.4118 +32'h3f68bde7,32'h3f838ef8,32'h3f88ed9f, 32'h3f7f0ff7,32'h3f8cf49d, 32'h3f71a356,32'h3f93aaed,// invsqrt(0.9091) = 1.0488 +32'h3f806c17,32'h3f7a779d,32'h3f82585f, 32'h3f72ccc4,32'h3f862dcb, 32'h3f66055c,32'h3f8c917f,// invsqrt(1.0033) = 0.9984 +32'h3ee858c3,32'h3fba35bb,32'h3fc1cf6f, 32'h3fb48274,32'h3fc782b6, 32'h3fab0253,32'h3fd102d7,// invsqrt(0.4538) = 1.4845 +32'h4004f7ed,32'h3f2e0d97,32'h3f352844, 32'h3f28b994,32'h3f3a7c46, 32'h3f1fd83c,32'h3f435d9e,// invsqrt(2.0776) = 0.6938 +32'h3e0bc5a4,32'h4029c39d,32'h4030b179, 32'h40249137,32'h4035e3df, 32'h401be7e4,32'h403e8d33,// invsqrt(0.1365) = 2.7067 +32'h3e0033dd,32'h4031423c,32'h40387e68, 32'h402bd51b,32'h403deb89, 32'h4022c9e3,32'h4046f6c1,// invsqrt(0.1252) = 2.8262 +32'h4000ef8e,32'h3f30c108,32'h3f37f7ee, 32'h3f2b57db,32'h3f3d611b, 32'h3f22533c,32'h3f4665bb,// invsqrt(2.0146) = 0.7045 +32'h3f790614,32'h3f7e5eaf,32'h3f84604b, 32'h3f769540,32'h3f884502, 32'h3f699adf,32'h3f8ec233,// invsqrt(0.9727) = 1.0139 +32'h3f13bf2d,32'h3fa51e76,32'h3fabdbca, 32'h3fa01078,32'h3fb0e9c8, 32'h3f97a3d0,32'h3fb95670,// invsqrt(0.5771) = 1.3163 +32'h3f39ed56,32'h3f933132,32'h3f993334, 32'h3f8eafb0,32'h3f9db4b6, 32'h3f872d2e,32'h3fa53738,// invsqrt(0.7263) = 1.1734 +32'h3f44e88e,32'h3f8f0768,32'h3f94ddea, 32'h3f8aa687,32'h3f993ecb, 32'h3f835a65,32'h3fa08aed,// invsqrt(0.7692) = 1.1402 +32'h3ea934c6,32'h3fda3442,32'h3fe31c44, 32'h3fd38640,32'h3fe9ca46, 32'h3fc8643c,32'h3ff4ec4a,// invsqrt(0.3305) = 1.7395 +32'h40e08c18,32'h3ebd6a8d,32'h3ec525c2, 32'h3eb79e26,32'h3ecaf22a, 32'h3eadf424,32'h3ed49c2c,// invsqrt(7.0171) = 0.3775 +32'h4046fe45,32'h3f0e4718,32'h3f1415c0, 32'h3f09ec1a,32'h3f1870be, 32'h3f02a9c8,32'h3f1fb310,// invsqrt(3.1093) = 0.5671 +32'h3e282ee4,32'h401ac31d,32'h40211438, 32'h40160648,32'h4025d10c, 32'h400e20e6,32'h402db66e,// invsqrt(0.1642) = 2.4675 +32'h3f754be1,32'h3f8025c8,32'h3f8560cb, 32'h3f78730d,32'h3f894d0e, 32'h3f6b5f85,32'h3f8fd6d1,// invsqrt(0.9582) = 1.0216 +32'h3f094468,32'h3fab4e57,32'h3fb24c51, 32'h3fa60fdd,32'h3fb78acb, 32'h3f9d5265,32'h3fc04843,// invsqrt(0.5362) = 1.3656 +32'h3f0ca5e4,32'h3fa93c11,32'h3fb02465, 32'h3fa40dd2,32'h3fb552a4, 32'h3f9b6b68,32'h3fbdf50e,// invsqrt(0.5494) = 1.3491 +32'h3f7d361a,32'h3f7c41f9,32'h3f8346e7, 32'h3f748919,32'h3f872358, 32'h3f67aa4d,32'h3f8d92bd,// invsqrt(0.9891) = 1.0055 +32'h3f0d5af6,32'h3fa8cf8a,32'h3fafb370, 32'h3fa3a49d,32'h3fb4de5d, 32'h3f9b07bd,32'h3fbd7b3d,// invsqrt(0.5522) = 1.3457 +32'h404327ae,32'h3f0fab87,32'h3f1588bb, 32'h3f0b459f,32'h3f19eea3, 32'h3f03f11e,32'h3f214324,// invsqrt(3.0493) = 0.5727 +32'h3fd83af6,32'h3f41064e,32'h3f48e738, 32'h3f3b1da0,32'h3f4ecfe6, 32'h3f31447c,32'h3f58a90a,// invsqrt(1.6893) = 0.7694 +32'h4057e180,32'h3f089976,32'h3f0e2cc9, 32'h3f046af7,32'h3f125b47, 32'h3efae59e,32'h3f19536f,// invsqrt(3.3731) = 0.5445 +32'h3fe41349,32'h3f3bf20a,32'h3f439de1, 32'h3f36312a,32'h3f495ec2, 32'h3f2c9a5d,32'h3f52f58f,// invsqrt(1.7818) = 0.7491 +32'h3f0cb1ff,32'h3fa934c9,32'h3fb01cd1, 32'h3fa406c3,32'h3fb54ad7, 32'h3f9b64b8,32'h3fbdece2,// invsqrt(0.5496) = 1.3489 +32'h3e892783,32'h3ff25ceb,32'h3ffc415d, 32'h3feaf195,32'h4001d659, 32'h3fde9407,32'h40080521,// invsqrt(0.2679) = 1.9321 +32'h415dcd07,32'h3e86c3a0,32'h3e8c43c5, 32'h3e82a383,32'h3e9063e1, 32'h3e7786a7,32'h3e974411,// invsqrt(13.8626) = 0.2686 +32'h3ee1cffc,32'h3fbce285,32'h3fc4982c, 32'h3fb71a47,32'h3fca6069, 32'h3fad7736,32'h3fd4037a,// invsqrt(0.4410) = 1.5058 +32'h3f88f38f,32'h3f728adf,32'h3f7c7131, 32'h3f6b1e21,32'h3f81eef7, 32'h3f5ebe3a,32'h3f881eeb,// invsqrt(1.0699) = 0.9668 +32'h3f9cfe3c,32'h3f628859,32'h3f6bc762, 32'h3f5b9913,32'h3f72b6a9, 32'h3f500a48,32'h3f7e4574,// invsqrt(1.2265) = 0.9030 +32'h3fe995a3,32'h3f39b743,32'h3f414bcd, 32'h3f3407db,32'h3f46fb35, 32'h3f2a8e2e,32'h3f5074e2,// invsqrt(1.8249) = 0.7403 +32'h3e32601f,32'h4016468d,32'h401c68c7, 32'h4011ace2,32'h40210272, 32'h400a0219,32'h4028ad3b,// invsqrt(0.1742) = 2.3960 +32'h40044d54,32'h3f2e7daa,32'h3f359cea, 32'h3f29263a,32'h3f3af45a, 32'h3f203f29,32'h3f43db6b,// invsqrt(2.0672) = 0.6955 +32'h3f932a6d,32'h3f69f95b,32'h3f738625, 32'h3f62cfc3,32'h3f7aafbd, 32'h3f56dfc6,32'h3f834fdd,// invsqrt(1.1497) = 0.9326 +32'h3f95e25d,32'h3f67d7ae,32'h3f714e32, 32'h3f60beca,32'h3f786716, 32'h3f54eaa5,32'h3f821d9e,// invsqrt(1.1710) = 0.9241 +32'h3d8e571f,32'h406de82b,32'h40779e0e, 32'h40669fc2,32'h407ee678, 32'h405a7c67,32'h408584ea,// invsqrt(0.0695) = 3.7932 +32'h3e1dc111,32'h401fcbc0,32'h40265174, 32'h401ae779,32'h402b35bb, 32'h4012c057,32'h40335cdd,// invsqrt(0.1541) = 2.5478 +32'h40399f68,32'h3f135014,32'h3f195359, 32'h3f0ecda1,32'h3f1dd5cd, 32'h3f07498b,32'h3f2559e3,// invsqrt(2.9004) = 0.5872 +32'h3f4831c7,32'h3f8dd9a8,32'h3f93a3d8, 32'h3f898203,32'h3f97fb7d, 32'h3f824546,32'h3f9f383a,// invsqrt(0.7820) = 1.1308 +32'h3ff0990e,32'h3f36fd33,32'h3f3e7540, 32'h3f31632a,32'h3f440f4a, 32'h3f280d1b,32'h3f4d6559,// invsqrt(1.8797) = 0.7294 +32'h3f0d4ead,32'h3fa8d6e0,32'h3fafbb13, 32'h3fa3abba,32'h3fb4e63a, 32'h3f9b0e7b,32'h3fbd8379,// invsqrt(0.5520) = 1.3460 +32'h3fe53fc3,32'h3f3b76b6,32'h3f431d84, 32'h3f35b99c,32'h3f48da9e, 32'h3f2c291a,32'h3f526b20,// invsqrt(1.7910) = 0.7472 +32'h3e90524f,32'h3fec44b0,32'h3ff5e974, 32'h3fe5091e,32'h3ffd2506, 32'h3fd8fb2a,32'h4004997d,// invsqrt(0.2819) = 1.8835 +32'h3e47952e,32'h400e1144,32'h4013ddb9, 32'h4009b7eb,32'h40183711, 32'h40027858,32'h401f76a4,// invsqrt(0.1949) = 2.2651 +32'h40d0e351,32'h3ec46342,32'h3ecc674f, 32'h3ebe6038,32'h3ed26a58, 32'h3eb45b27,32'h3edc6f69,// invsqrt(6.5277) = 0.3914 +32'h3f02bb8f,32'h3faf88fd,32'h3fb6b327, 32'h3faa295e,32'h3fbc12c6, 32'h3fa134aa,32'h3fc5077a,// invsqrt(0.5107) = 1.3994 +32'h40173291,32'h3f233950,32'h3f29e2d6, 32'h3f1e3a2c,32'h3f2ee1fa, 32'h3f15e644,32'h3f3735e2,// invsqrt(2.3625) = 0.6506 +32'h3f6eede0,32'h3f81d807,32'h3f8724c3, 32'h3f7bbcf3,32'h3f8b1e50, 32'h3f6e7d1c,32'h3f91be3c,// invsqrt(0.9333) = 1.0351 +32'h3ec9783a,32'h3fc7f87c,32'h3fd021f9, 32'h3fc1d95e,32'h3fd64116, 32'h3fb7a581,32'h3fe074f3,// invsqrt(0.3935) = 1.5942 +32'h4010bba0,32'h3f26d44e,32'h3f2da380, 32'h3f21b8e8,32'h3f32bee6, 32'h3f1935ea,32'h3f3b41e5,// invsqrt(2.2615) = 0.6650 +32'h3f37ce72,32'h3f9409f2,32'h3f9a14cc, 32'h3f8f81cd,32'h3f9e9cf1, 32'h3f87f43c,32'h3fa62a82,// invsqrt(0.7180) = 1.1802 +32'h3ec4410a,32'h3fca9c42,32'h3fd2e154, 32'h3fc46874,32'h3fd91522, 32'h3fba121d,32'h3fe36b79,// invsqrt(0.3833) = 1.6152 +32'h3face66a,32'h3f57dc53,32'h3f60abd9, 32'h3f5140ae,32'h3f67477e, 32'h3f463d47,32'h3f724ae5,// invsqrt(1.3508) = 0.8604 +32'h3fa1a1d4,32'h3f5f4211,32'h3f685ee3, 32'h3f586c74,32'h3f6f3480, 32'h3f4d086e,32'h3f7a9886,// invsqrt(1.2628) = 0.8899 +32'h3f04c090,32'h3fae31de,32'h3fb54e06, 32'h3fa8dcc0,32'h3fbaa324, 32'h3f9ff98d,32'h3fc38657,// invsqrt(0.5186) = 1.3887 +32'h3fd1f110,32'h3f43e4ef,32'h3f4be3d4, 32'h3f3de5c3,32'h3f51e2ff, 32'h3f33e724,32'h3f5be19e,// invsqrt(1.6402) = 0.7808 +32'h3f9827a7,32'h3f661b27,32'h3f6f7f87, 32'h3f5f0fdf,32'h3f768acf, 32'h3f535268,32'h3f812423,// invsqrt(1.1887) = 0.9172 +32'h3e531e4b,32'h400a21b1,32'h400fc507, 32'h4005e731,32'h4013ff87, 32'h3ffdb60c,32'h401b0bb2,// invsqrt(0.2062) = 2.2024 +32'h3ef82b87,32'h3fb42cff,32'h3fbb87a6, 32'h3faea901,32'h3fc10ba3, 32'h3fa577b0,32'h3fca3cf4,// invsqrt(0.4847) = 1.4364 +32'h3f88154e,32'h3f73509e,32'h3f7d3f03, 32'h3f6bddd3,32'h3f8258e7, 32'h3f5f73d6,32'h3f888de6,// invsqrt(1.0632) = 0.9698 +32'h403ab7ff,32'h3f12e13b,32'h3f18dff9, 32'h3f0e622c,32'h3f1d5f08, 32'h3f06e3be,32'h3f24dd76,// invsqrt(2.9175) = 0.5855 +32'h3eedbe16,32'h3fb815ac,32'h3fbf992c, 32'h3fb2730d,32'h3fc53bcb, 32'h3fa90eae,32'h3fcea02a,// invsqrt(0.4643) = 1.4675 +32'h3e5d89c4,32'h4006d813,32'h400c590f, 32'h4002b757,32'h401079cb, 32'h3ff7ac38,32'h40175b06,// invsqrt(0.2163) = 2.1499 +32'h3f056cff,32'h3fadc12a,32'h3fb4d8b8, 32'h3fa86f7f,32'h3fba2a63, 32'h3f9f920c,32'h3fc307d6,// invsqrt(0.5212) = 1.3852 +32'h40aceb47,32'h3ed7d94a,32'h3ee0a8b0, 32'h3ed13dbd,32'h3ee7443d, 32'h3ec63a7d,32'h3ef2477d,// invsqrt(5.4037) = 0.4302 +32'h3ff1d54d,32'h3f368566,32'h3f3df88f, 32'h3f30ef08,32'h3f438eee, 32'h3f279f15,32'h3f4cdee1,// invsqrt(1.8893) = 0.7275 +32'h40271138,32'h3f1b4735,32'h3f219db5, 32'h3f168656,32'h3f265e94, 32'h3f0e9a36,32'h3f2e4ab4,// invsqrt(2.6104) = 0.6189 +32'h3f025374,32'h3fafcf0b,32'h3fb6fc11, 32'h3faa6d47,32'h3fbc5dd5, 32'h3fa17500,32'h3fc5561c,// invsqrt(0.5091) = 1.4015 +32'h3fce692b,32'h3f459009,32'h3f4da05d, 32'h3f3f83ca,32'h3f53ac9c, 32'h3f356f61,32'h3f5dc105,// invsqrt(1.6126) = 0.7875 +32'h3f31c172,32'h3f968991,32'h3f9cae87, 32'h3f91edd8,32'h3fa14a40, 32'h3f8a3fa4,32'h3fa8f874,// invsqrt(0.6944) = 1.2001 +32'h3e751cee,32'h4000320e,32'h40056d91, 32'h3ff88ad6,32'h40095a33, 32'h3feb760e,32'h400fe497,// invsqrt(0.2394) = 2.0439 +32'h3f73b94f,32'h3f808f72,32'h3f85cec6, 32'h3f793fe8,32'h3f89be44, 32'h3f6c2199,32'h3f904d6c,// invsqrt(0.9520) = 1.0249 +32'h3ff60000,32'h3f34f7fd,32'h3f3c5aed, 32'h3f2f6dc9,32'h3f41e521, 32'h3f26321c,32'h3f4b20ce,// invsqrt(1.9219) = 0.7213 +32'h40d2063e,32'h3ec3db0e,32'h3ecbd98c, 32'h3ebddc30,32'h3ed1d86a, 32'h3eb3de12,32'h3edbd688,// invsqrt(6.5633) = 0.3903 +32'h43555ef8,32'h3d896688,32'h3d8f023a, 32'h3d8531c3,32'h3d9336ff, 32'h3d7c5e48,32'h3d9a399e,// invsqrt(213.3710) = 0.0685 +32'h3e7ac11d,32'h3ffd7d95,32'h4003eb27, 32'h3ff5bb0c,32'h4007cc6c, 32'h3fe8cc27,32'h400e43df,// invsqrt(0.2449) = 2.0208 +32'h3e01feb3,32'h40300851,32'h403737ae, 32'h402aa4cd,32'h403c9b33, 32'h4021a99a,32'h40459666,// invsqrt(0.1269) = 2.8066 +32'h3fae381f,32'h3f570ab7,32'h3f5fd1af, 32'h3f50757d,32'h3f6666e9, 32'h3f457cc8,32'h3f715f9f,// invsqrt(1.3611) = 0.8572 +32'h3e90adfe,32'h3febf9c8,32'h3ff59b7c, 32'h3fe4c080,32'h3ffcd4c4, 32'h3fd8b65f,32'h40046f73,// invsqrt(0.2826) = 1.8812 +32'h3eece0aa,32'h3fb86ba1,32'h3fbff2a3, 32'h3fb2c660,32'h3fc597e4, 32'h3fa95d9f,32'h3fcf00a5,// invsqrt(0.4627) = 1.4702 +32'h3f19c7e8,32'h3fa1d8da,32'h3fa873fc, 32'h3f9ce47f,32'h3fad6857, 32'h3f94a294,32'h3fb5aa43,// invsqrt(0.6007) = 1.2902 +32'h4085c5f8,32'h3ef56818,32'h3eff6c58, 32'h3eede4e8,32'h3f0377c4, 32'h3ee15f99,32'h3f09ba6c,// invsqrt(4.1804) = 0.4891 +32'h400957ab,32'h3f2b4254,32'h3f323fcf, 32'h3f260437,32'h3f377deb, 32'h3f1d475c,32'h3f403ac6,// invsqrt(2.1460) = 0.6826 +32'h3f5e5c8d,32'h3f86981b,32'h3f8c167a, 32'h3f827953,32'h3f903541, 32'h3f7736b8,32'h3f971338,// invsqrt(0.8686) = 1.0730 +32'h3e894f68,32'h3ff239b3,32'h3ffc1cb5, 32'h3feacf71,32'h4001c37b, 32'h3fde73af,32'h4007f15d,// invsqrt(0.2682) = 1.9310 +32'h3f0eab68,32'h3fa80808,32'h3faee3ca, 32'h3fa2e337,32'h3fb4089b, 32'h3f9a5085,32'h3fbc9b4d,// invsqrt(0.5573) = 1.3395 +32'h3f7f1241,32'h3f7b5618,32'h3f82cc26, 32'h3f73a470,32'h3f86a4fa, 32'h3f66d1ad,32'h3f8d0e5c,// invsqrt(0.9964) = 1.0018 +32'h3fce7bea,32'h3f458711,32'h3f4d9707, 32'h3f3f7b18,32'h3f53a300, 32'h3f356724,32'h3f5db6f4,// invsqrt(1.6132) = 0.7873 +32'h3d4a4e94,32'h408d1b90,32'h4092ddff, 32'h4088c9be,32'h40972fd2, 32'h408196b4,32'h409e62dc,// invsqrt(0.0494) = 4.4996 +32'h3fe5f2e8,32'h3f3b2da2,32'h3f42d175, 32'h3f3572c5,32'h3f488c53, 32'h3f2be5fe,32'h3f52191a,// invsqrt(1.7965) = 0.7461 +32'h4075d879,32'h3f00011f,32'h3f053aa3, 32'h3ef82bf8,32'h3f0925c6, 32'h3eeb1c2f,32'h3f0fadab,// invsqrt(3.8413) = 0.5102 +32'h3ee48970,32'h3fbbc16f,32'h3fc36b49, 32'h3fb6020b,32'h3fc92aad, 32'h3fac6db9,32'h3fd2beff,// invsqrt(0.4464) = 1.4968 +32'h3f50b6be,32'h3f8aeccd,32'h3f90986d, 32'h3f86ac15,32'h3f94d925, 32'h3f7f2b1b,32'h3f9befad,// invsqrt(0.8153) = 1.1075 +32'h3f2aec4a,32'h3f99844a,32'h3f9fc862, 32'h3f94d138,32'h3fa47b74, 32'h3f8cfc1a,32'h3fac5092,// invsqrt(0.6677) = 1.2238 +32'h3fe75fb9,32'h3f3a99d7,32'h3f4237a1, 32'h3f34e37f,32'h3f47edf9, 32'h3f2b5e43,32'h3f517335,// invsqrt(1.8076) = 0.7438 +32'h4011c4e6,32'h3f263c3c,32'h3f2d053a, 32'h3f21257e,32'h3f321bf8, 32'h3f18aa42,32'h3f3a9734,// invsqrt(2.2776) = 0.6626 +32'h3da40851,32'h405d9e59,32'h4066aa09, 32'h4056d595,32'h406d72cd, 32'h404b86f9,32'h4078c169,// invsqrt(0.0801) = 3.5335 +32'h3e292b09,32'h401a4f9b,32'h40209c00, 32'h40159650,32'h4025554c, 32'h400db6d3,32'h402d34c9,// invsqrt(0.1652) = 2.4603 +32'h3e9b91d5,32'h3fe3910f,32'h3fecdae5, 32'h3fdc99ae,32'h3ff3d246, 32'h3fd0fd61,32'h3fff6e93,// invsqrt(0.3038) = 1.8141 +32'h3ebccca7,32'h3fce9257,32'h3fd700cd, 32'h3fc83f7e,32'h3fdd53a6, 32'h3fbdb56a,32'h3fe7ddba,// invsqrt(0.3687) = 1.6468 +32'h3f534cea,32'h3f8a1273,32'h3f8fb529, 32'h3f85d86a,32'h3f93ef32, 32'h3f7d9a0c,32'h3f9afa96,// invsqrt(0.8254) = 1.1007 +32'h3fcb1355,32'h3f472dac,32'h3f4f4ee2, 32'h3f4114c3,32'h3f5567cb, 32'h3f36eb40,32'h3f5f914e,// invsqrt(1.5865) = 0.7939 +32'h401d2399,32'h3f201bbc,32'h3f26a4b4, 32'h3f1b3502,32'h3f2b8b6e, 32'h3f1309cc,32'h3f33b6a4,// invsqrt(2.4553) = 0.6382 +32'h3d8ff174,32'h406c9420,32'h40763c22, 32'h4065561f,32'h407d7a23, 32'h4059441e,32'h4084c612,// invsqrt(0.0703) = 3.7720 +32'h3f7c97a8,32'h3f7c910b,32'h3f83700e, 32'h3f74d5c0,32'h3f874db4, 32'h3f67f2ec,32'h3f8dbf1e,// invsqrt(0.9867) = 1.0067 +32'h3e88b6bf,32'h3ff2c0ca,32'h3ffca950, 32'h3feb5266,32'h40020bda, 32'h3fdeefbf,32'h40083d2e,// invsqrt(0.2670) = 1.9352 +32'h3f88bf7b,32'h3f72b90a,32'h3f7ca13e, 32'h3f6b4ae2,32'h3f8207b3, 32'h3f5ee8a0,32'h3f8838d4,// invsqrt(1.0683) = 0.9675 +32'h3d85aeef,32'h40757d3c,32'h407f8259, 32'h406df967,32'h40838317, 32'h40617304,32'h4089c649,// invsqrt(0.0653) = 3.9140 +32'h400256af,32'h3f2fccdd,32'h3f36f9cc, 32'h3f2a6b2a,32'h3f3c5b80, 32'h3f217300,32'h3f4553aa,// invsqrt(2.0365) = 0.7007 +32'h3f6aa828,32'h3f830542,32'h3f885e4a, 32'h3f7e04f8,32'h3f8c6110, 32'h3f70a664,32'h3f93105a,// invsqrt(0.9166) = 1.0445 +32'h3eefb094,32'h3fb755dc,32'h3fbed186, 32'h3fb1b91b,32'h3fc46e47, 32'h3fa85e86,32'h3fcdc8dc,// invsqrt(0.4681) = 1.4615 +32'h3fbb1ddd,32'h3f4f7f99,32'h3f57f7bf, 32'h3f49257d,32'h3f5e51db, 32'h3f3e8f4e,32'h3f68e80a,// invsqrt(1.4618) = 0.8271 +32'h40d5b6ee,32'h3ec2284c,32'h3eca150b, 32'h3ebc36bc,32'h3ed0069a, 32'h3eb24ecd,32'h3ed9ee89,// invsqrt(6.6786) = 0.3870 +32'h3e8e23e2,32'h3fee1309,32'h3ff7caab, 32'h3fe6c94f,32'h3fff1465, 32'h3fdaa3c4,32'h40059cf8,// invsqrt(0.2776) = 1.8979 +32'h3f9175e9,32'h3f6b5767,32'h3f74f27b, 32'h3f642318,32'h3f7c26ca, 32'h3f58213f,32'h3f841451,// invsqrt(1.1364) = 0.9381 +32'h4003c7d1,32'h3f2ed5f8,32'h3f35f8d3, 32'h3f297bd3,32'h3f3b52f7, 32'h3f209041,32'h3f443e89,// invsqrt(2.0591) = 0.6969 +32'h4047dbbd,32'h3f0df82d,32'h3f13c39d, 32'h3f099f9a,32'h3f181c30, 32'h3f02614e,32'h3f1f5a7c,// invsqrt(3.1228) = 0.5659 +32'h3f8373ec,32'h3f77902d,32'h3f80d57b, 32'h3f6ffc17,32'h3f849f86, 32'h3f635a9c,32'h3f8af043,// invsqrt(1.0270) = 0.9868 +32'h4154d7ea,32'h3e899219,32'h3e8f2f92, 32'h3e855bfe,32'h3e9365ac, 32'h3e7cae4c,32'h3e9a6a84,// invsqrt(13.3027) = 0.2742 +32'h3fb2f67e,32'h3f542c38,32'h3f5cd536, 32'h3f4dad7b,32'h3f6353f3, 32'h3f42da3e,32'h3f6e2730,// invsqrt(1.3981) = 0.8457 +32'h3f46ef30,32'h3f8e4c7d,32'h3f941b5d, 32'h3f89f154,32'h3f987686, 32'h3f82aebc,32'h3f9fb91e,// invsqrt(0.7771) = 1.1344 +32'h3f9d56f3,32'h3f624873,32'h3f6b84e1, 32'h3f5b5b22,32'h3f727232, 32'h3f4fcf99,32'h3f7dfdbb,// invsqrt(1.2292) = 0.9020 +32'h4057cc55,32'h3f08a029,32'h3f0e33c2, 32'h3f047176,32'h3f126274, 32'h3efaf1ec,32'h3f195af4,// invsqrt(3.3718) = 0.5446 +32'h40aeca70,32'h3ed6b0a3,32'h3edf73ed, 32'h3ed01e2b,32'h3ee60665, 32'h3ec52a0e,32'h3ef0fa82,// invsqrt(5.4622) = 0.4279 +32'h3f906415,32'h3f6c3625,32'h3f75da51, 32'h3f64fb05,32'h3f7d1571, 32'h3f58edcf,32'h3f849154,// invsqrt(1.1281) = 0.9415 +32'h400272de,32'h3f2fb9df,32'h3f36e607, 32'h3f2a58c0,32'h3f3c4726, 32'h3f21618e,32'h3f453e58,// invsqrt(2.0383) = 0.7004 +32'h3e82247d,32'h3ff8ce6b,32'h40017b18, 32'h3ff13096,32'h40054a02, 32'h3fe47edf,32'h400ba2de,// invsqrt(0.2542) = 1.9835 +32'h40c4453d,32'h3eca9a17,32'h3ed2df13, 32'h3ec4665a,32'h3ed912d0, 32'h3eba1020,32'h3ee3690a,// invsqrt(6.1335) = 0.4038 +32'h3f4846e6,32'h3f8dd22d,32'h3f939c0f, 32'h3f897ac3,32'h3f97f379, 32'h3f823e68,32'h3f9f2fd4,// invsqrt(0.7823) = 1.1306 +32'h3e8ed79d,32'h3fed7d13,32'h3ff72e96, 32'h3fe637f0,32'h3ffe73b8, 32'h3fda1a0c,32'h400548ce,// invsqrt(0.2790) = 1.8932 +32'h3e1219fc,32'h40260bcd,32'h402cd2d1, 32'h4020f68b,32'h4031e813, 32'h40187dc7,32'h403a60d7,// invsqrt(0.1427) = 2.6474 +32'h3e7f452b,32'h3ffb3d06,32'h4002bf1b, 32'h3ff38c23,32'h4006978d, 32'h3fe6baa8,32'h400d004a,// invsqrt(0.2493) = 2.0029 +32'h3fc92634,32'h3f48213d,32'h3f504c64, 32'h3f4200df,32'h3f566cc1, 32'h3f37caee,32'h3f60a2b2,// invsqrt(1.5715) = 0.7977 +32'h3e95b5b5,32'h3fe7fa3f,32'h3ff1722d, 32'h3fe0e04d,32'h3ff88c1f, 32'h3fd50a64,32'h40023104,// invsqrt(0.2924) = 1.8493 +32'h3f3747b7,32'h3f944051,32'h3f9a4d64, 32'h3f8fb683,32'h3f9ed733, 32'h3f88262c,32'h3fa6678a,// invsqrt(0.7159) = 1.1818 +32'h3f2ecff0,32'h3f97cca6,32'h3f9dfecc, 32'h3f932709,32'h3fa2a469, 32'h3f8b685a,32'h3faa6318,// invsqrt(0.6829) = 1.2101 +32'h41368e9c,32'h3e948b67,32'h3e9a9b8b, 32'h3e8fff4d,32'h3e9f27a5, 32'h3e886b20,32'h3ea6bbd2,// invsqrt(11.4098) = 0.2960 +32'h3da638c6,32'h405c277d,32'h406523e0, 32'h40556a32,32'h406be12a, 32'h404a2eb6,32'h40771ca6,// invsqrt(0.0812) = 3.5101 +32'h3f1793b3,32'h3fa304fb,32'h3fa9ac5f, 32'h3f9e0771,32'h3faea9e9, 32'h3f95b635,32'h3fb6fb25,// invsqrt(0.5921) = 1.2996 +32'h3f43d363,32'h3f8f6c7d,32'h3f95471e, 32'h3f8b0883,32'h3f99ab17, 32'h3f83b738,32'h3fa0fc62,// invsqrt(0.7649) = 1.1434 +32'h3e880a0d,32'h3ff35aaf,32'h3ffd497c, 32'h3febe794,32'h40025e4b, 32'h3fdf7d13,32'h4008938c,// invsqrt(0.2657) = 1.9400 +32'h3f0040a0,32'h3fb1396a,32'h3fb8753a, 32'h3fabcc8e,32'h3fbde216, 32'h3fa2c1ca,32'h3fc6ecda,// invsqrt(0.5010) = 1.4128 +32'h3fa37eb7,32'h3f5dfb88,32'h3f670b06, 32'h3f572fea,32'h3f6dd6a4, 32'h3f4bdc8d,32'h3f792a01,// invsqrt(1.2773) = 0.8848 +32'h3f9812cd,32'h3f662aed,32'h3f6f8ff1, 32'h3f5f1f29,32'h3f769bb5, 32'h3f5360e4,32'h3f812cfd,// invsqrt(1.1881) = 0.9174 +32'h3f3b17b3,32'h3f92bba5,32'h3f98b8db, 32'h3f8e3dbd,32'h3f9d36c3, 32'h3f86c13a,32'h3fa4b346,// invsqrt(0.7308) = 1.1697 +32'h3fa24758,32'h3f5ed019,32'h3f67e843, 32'h3f57fdf8,32'h3f6eba64, 32'h3f4c9fc3,32'h3f7a1899,// invsqrt(1.2678) = 0.8881 +32'h3e9a5af9,32'h3fe475c2,32'h3fedc8ef, 32'h3fdd7761,32'h3ff4c751, 32'h3fd1cf6a,32'h400037a4,// invsqrt(0.3015) = 1.8213 +32'h3f4c447f,32'h3f8c6dc9,32'h3f92291f, 32'h3f882148,32'h3f9675a0, 32'h3f80f71c,32'h3f9d9fcc,// invsqrt(0.7979) = 1.1195 +32'h3e91742d,32'h3feb58ce,32'h3ff4f3f0, 32'h3fe42474,32'h3ffc284a, 32'h3fd82289,32'h4004151b,// invsqrt(0.2841) = 1.8762 +32'h402bd40d,32'h3f191c9f,32'h3f1f5c7b, 32'h3f146cb9,32'h3f240c61, 32'h3f0c9ce5,32'h3f2bdc35,// invsqrt(2.6848) = 0.6103 +32'h3fd76839,32'h3f4164a3,32'h3f494965, 32'h3f3b7911,32'h3f4f34f7, 32'h3f319b1d,32'h3f5912eb,// invsqrt(1.6829) = 0.7709 +32'h3f3bed5e,32'h3f926823,32'h3f9861f0, 32'h3f8decc9,32'h3f9cdd49, 32'h3f867488,32'h3fa4558a,// invsqrt(0.7341) = 1.1671 +32'h3de6a242,32'h403ae66d,32'h40428757, 32'h40352dbd,32'h40484007, 32'h402ba498,32'h4051c92c,// invsqrt(0.1126) = 2.9799 +32'h3deae661,32'h403931f5,32'h4040c10f, 32'h403386a2,32'h40466c62, 32'h402a13c2,32'h404fdf42,// invsqrt(0.1147) = 2.9527 +32'h400aa6f5,32'h3f2a72c4,32'h3f3167c6, 32'h3f253b02,32'h3f369f88, 32'h3f1c88be,32'h3f3f51cc,// invsqrt(2.1664) = 0.6794 +32'h3fb51845,32'h3f52eb8e,32'h3f5b8774, 32'h3f4c76a1,32'h3f61fc61, 32'h3f41b3c1,32'h3f6cbf41,// invsqrt(1.4148) = 0.8407 +32'h3f305fda,32'h3f97202c,32'h3f9d4b48, 32'h3f927fd7,32'h3fa1eb9d, 32'h3f8ac9f4,32'h3fa9a180,// invsqrt(0.6890) = 1.2048 +32'h3f432cb1,32'h3f8fa9af,32'h3f9586d1, 32'h3f8b43d6,32'h3f99ecaa, 32'h3f83ef6d,32'h3fa14113,// invsqrt(0.7624) = 1.1453 +32'h3f818487,32'h3f7967e0,32'h3f81caf5, 32'h3f71c55a,32'h3f859c38, 32'h3f650bce,32'h3f8bf8fe,// invsqrt(1.0119) = 0.9941 +32'h3fadd5dc,32'h3f574775,32'h3f6010e7, 32'h3f50b05f,32'h3f66a7fd, 32'h3f45b490,32'h3f71a3cc,// invsqrt(1.3581) = 0.8581 +32'h3cddb21d,32'h40bea167,32'h40c6694b, 32'h40b8cb7b,32'h40cc3f37, 32'h40af119d,32'h40d5f915,// invsqrt(0.0271) = 6.0788 +32'h3e1ff304,32'h401eb213,32'h40252c48, 32'h4019d66b,32'h402a07ef, 32'h4011bda8,32'h403220b2,// invsqrt(0.1562) = 2.5302 +32'h3eb6e2b4,32'h3fd1e28d,32'h3fda73a2, 32'h3fcb75bc,32'h3fe0e072, 32'h3fc0c062,32'h3feb95cd,// invsqrt(0.3572) = 1.6732 +32'h3f80c873,32'h3f7a1dbd,32'h3f822999, 32'h3f7275a4,32'h3f85fda5, 32'h3f65b2d2,32'h3f8c5f0e,// invsqrt(1.0061) = 0.9970 +32'h3f3a5390,32'h3f9308cb,32'h3f990927, 32'h3f8e8886,32'h3f9d896c, 32'h3f870813,32'h3fa509df,// invsqrt(0.7278) = 1.1721 +32'h3ed9572a,32'h3fc087f1,32'h3fc863b1, 32'h3fbaa320,32'h3fce4882, 32'h3fb0d06f,32'h3fd81b33,// invsqrt(0.4245) = 1.5348 +32'h3e18f8d4,32'h40224641,32'h4028e5db, 32'h401d4e8d,32'h402ddd8f, 32'h4015070d,32'h4036250f,// invsqrt(0.1494) = 2.5873 +32'h400f997d,32'h3f277c83,32'h3f2e5293, 32'h3f225bf7,32'h3f33731f, 32'h3f19d064,32'h3f3bfeb3,// invsqrt(2.2437) = 0.6676 +32'h40d43c1a,32'h3ec2d547,32'h3ecac915, 32'h3ebcde6c,32'h3ed0bff0, 32'h3eb2eda9,32'h3edab0b3,// invsqrt(6.6323) = 0.3883 +32'h4276a12f,32'h3dff99fc,32'h3e050462, 32'h3df7c6e8,32'h3e08edec, 32'h3deabc70,32'h3e0f7328,// invsqrt(61.6574) = 0.1274 +32'h3f3efa56,32'h3f913b94,32'h3f97291c, 32'h3f8cc96d,32'h3f9b9b43, 32'h3f856082,32'h3fa3042e,// invsqrt(0.7460) = 1.1578 +32'h41a48cfa,32'h3e5d44f1,32'h3e664cfb, 32'h3e567eea,32'h3e6d1302, 32'h3e4b34dd,32'h3e785d0f,// invsqrt(20.5688) = 0.2205 +32'h406dd2f2,32'h3f02252c,32'h3f07750f, 32'h3efc5286,32'h3f0b70f9, 32'h3eef0ad0,32'h3f1214d4,// invsqrt(3.7160) = 0.5188 +32'h3f7f8584,32'h3f7b1d62,32'h3f82aea3, 32'h3f736d76,32'h3f868699, 32'h3f669d98,32'h3f8cee88,// invsqrt(0.9981) = 1.0009 +32'h41566a5c,32'h3e8910c1,32'h3e8ea8f3, 32'h3e84de9c,32'h3e92db18, 32'h3e7bc0bc,32'h3e99d956,// invsqrt(13.4010) = 0.2732 +32'h41706076,32'h3e8173ca,32'h3e86bc6e, 32'h3e7afa9c,32'h3e8ab2ea, 32'h3e6dc4ff,32'h3e914db8,// invsqrt(15.0236) = 0.2580 +32'h3f43ac01,32'h3f8f7aeb,32'h3f955623, 32'h3f8b1680,32'h3f99ba8e, 32'h3f83c47a,32'h3fa10c94,// invsqrt(0.7643) = 1.1438 +32'h3fc1ebf0,32'h3f4bd341,32'h3f542505, 32'h3f4595ee,32'h3f5a6258, 32'h3f3b2fb9,32'h3f64c88d,// invsqrt(1.5150) = 0.8124 +32'h3f088059,32'h3fabc931,32'h3fb2cc2e, 32'h3fa686f4,32'h3fb80e6c, 32'h3f9dc338,32'h3fc0d228,// invsqrt(0.5332) = 1.3695 +32'h3f222d17,32'h3f9d9a31,32'h3fa408f9, 32'h3f98c71a,32'h3fa8dc10, 32'h3f90bca0,32'h3fb0e68a,// invsqrt(0.6335) = 1.2564 +32'h41382702,32'h3e93e654,32'h3e99efbb, 32'h3e8f5f48,32'h3e9e76c8, 32'h3e87d387,32'h3ea60289,// invsqrt(11.5095) = 0.2948 +32'h4050e194,32'h3f0ade8d,32'h3f108998, 32'h3f069e45,32'h3f14c9e1, 32'h3eff10ef,32'h3f1bdfae,// invsqrt(3.2638) = 0.5535 +32'h3f9bff7c,32'h3f634106,32'h3f6c8798, 32'h3f5c4c18,32'h3f737c86, 32'h3f50b3e1,32'h3f7f14bd,// invsqrt(1.2187) = 0.9058 +32'h3fa90ddb,32'h3f5a4d5e,32'h3f633667, 32'h3f539e97,32'h3f69e52d, 32'h3f487b4b,32'h3f750879,// invsqrt(1.3207) = 0.8701 +32'h3fc5aefe,32'h3f49e062,32'h3f521dc9, 32'h3f43b254,32'h3f584bd6, 32'h3f396593,32'h3f629897,// invsqrt(1.5444) = 0.8047 +32'h3e79284f,32'h3ffe4d35,32'h40045733, 32'h3ff68450,32'h40083ba6, 32'h3fe98ad3,32'h400eb864,// invsqrt(0.2433) = 2.0273 +32'h3f59f22a,32'h3f87f365,32'h3f8d7ff1, 32'h3f83c9fc,32'h3f91a95a, 32'h3f79b49a,32'h3f989909,// invsqrt(0.8514) = 1.0838 +32'h3f516a19,32'h3f8ab142,32'h3f905a74, 32'h3f86725d,32'h3f949959, 32'h3f7ebdbd,32'h3f9bacd7,// invsqrt(0.8180) = 1.1056 +32'h40baae93,32'h3ecfbd69,32'h3ed83815, 32'h3ec96169,32'h3ede9415, 32'h3ebec812,32'h3ee92d6c,// invsqrt(5.8338) = 0.4140 +32'h3fecc66a,32'h3f3875da,32'h3f3ffd46, 32'h3f32d049,32'h3f45a2d7, 32'h3f296702,32'h3f4f0c1e,// invsqrt(1.8498) = 0.7353 +32'h3fa98c74,32'h3f59fbcf,32'h3f62e183, 32'h3f534f87,32'h3f698dcb, 32'h3f483065,32'h3f74aced,// invsqrt(1.3246) = 0.8689 +32'h3f90938f,32'h3f6c0f59,32'h3f75b1ef, 32'h3f64d569,32'h3f7cebdf, 32'h3f58ca2d,32'h3f847b8d,// invsqrt(1.1295) = 0.9409 +32'h3ea9ae70,32'h3fd9e5f9,32'h3fe2caca, 32'h3fd33a5d,32'h3fe97667, 32'h3fc81c58,32'h3ff4946c,// invsqrt(0.3314) = 1.7371 +32'h41070df3,32'h3eacb422,32'h3eb3c0b6, 32'h3ea76ab3,32'h3eb90a25, 32'h3e9e9afb,32'h3ec1d9dd,// invsqrt(8.4409) = 0.3442 +32'h405e8f91,32'h3f0688ad,32'h3f0c066b, 32'h3f026a5f,32'h3f1024b9, 32'h3ef71a62,32'h3f1701e7,// invsqrt(3.4775) = 0.5362 +32'h3e874dd8,32'h3ff403b4,32'h3ffdf968, 32'h3fec8b6d,32'h4002b8d7, 32'h3fe0184d,32'h4008f268,// invsqrt(0.2643) = 1.9453 +32'h3ffed8ef,32'h3f31ccb6,32'h3f390e8a, 32'h3f2c5b58,32'h3f3e7fe8, 32'h3f234910,32'h3f479230,// invsqrt(1.9910) = 0.7087 +32'h3fd244f6,32'h3f43bdd6,32'h3f4bbb22, 32'h3f3dbfdc,32'h3f51b91c, 32'h3f33c33c,32'h3f5bb5bc,// invsqrt(1.6427) = 0.7802 +32'h4065bba8,32'h3f046acc,32'h3f09d26c, 32'h3f005d14,32'h3f0de024, 32'h3ef33719,32'h3f14a1ac,// invsqrt(3.5896) = 0.5278 +32'h404043fc,32'h3f10bede,32'h3f16a74f, 32'h3f0c5088,32'h3f1b15a4, 32'h3f04edfa,32'h3f227832,// invsqrt(3.0041) = 0.5770 +32'h3f413903,32'h3f9062fa,32'h3f9647ab, 32'h3f8bf774,32'h3f9ab330, 32'h3f849997,32'h3fa2110d,// invsqrt(0.7548) = 1.1510 +32'h3eb7d594,32'h3fd157b9,32'h3fd9e324, 32'h3fcaef29,32'h3fe04bb5, 32'h3fc040e4,32'h3feaf9fa,// invsqrt(0.3591) = 1.6689 +32'h3f19875e,32'h3fa1fadb,32'h3fa89761, 32'h3f9d0576,32'h3fad8cc6, 32'h3f94c1ce,32'h3fb5d06e,// invsqrt(0.5997) = 1.2913 +32'h41a59dfd,32'h3e5c8e46,32'h3e658edb, 32'h3e55cdd6,32'h3e6c4f4a, 32'h3e4a8d1b,32'h3e779005,// invsqrt(20.7021) = 0.2198 +32'h40940af9,32'h3ee947a6,32'h3ef2cd30, 32'h3ee2237f,32'h3ef9f157, 32'h3ed63c94,32'h3f02ec21,// invsqrt(4.6263) = 0.4649 +32'h3f2b38fa,32'h3f9961e5,32'h3f9fa495, 32'h3f94afe0,32'h3fa4569a, 32'h3f8cdc84,32'h3fac29f6,// invsqrt(0.6688) = 1.2228 +32'h40272478,32'h3f1b3e44,32'h3f219466, 32'h3f167daa,32'h3f265500, 32'h3f0e9200,32'h3f2e40aa,// invsqrt(2.6116) = 0.6188 +32'h3e5524c0,32'h4009794b,32'h400f15c1, 32'h400543f2,32'h40134b1a, 32'h3ffc80be,32'h401a4ead,// invsqrt(0.2081) = 2.1919 +32'h3f4929dc,32'h3f8d8215,32'h3f9348b3, 32'h3f892d1f,32'h3f979da9, 32'h3f81f4da,32'h3f9ed5ee,// invsqrt(0.7858) = 1.1281 +32'h412c1b6e,32'h3e98fcdb,32'h3e9f3b6c, 32'h3e944def,32'h3ea3ea59, 32'h3e8c7fba,32'h3eabb88e,// invsqrt(10.7567) = 0.3049 +32'h400959df,32'h3f2b40f4,32'h3f323e62, 32'h3f2602e2,32'h3f377c74, 32'h3f1d461a,32'h3f40393c,// invsqrt(2.1461) = 0.6826 +32'h3f3cb2d5,32'h3f921b74,32'h3f981220, 32'h3f8da273,32'h3f9c8b21, 32'h3f862e1c,32'h3fa3ff78,// invsqrt(0.7371) = 1.1648 +32'h40c7a941,32'h3ec8dfce,32'h3ed112bc, 32'h3ec2b99b,32'h3ed738ef, 32'h3eb879f1,32'h3ee17899,// invsqrt(6.2394) = 0.4003 +32'h3fd8b27b,32'h3f40d10c,32'h3f48afc8, 32'h3f3ae9fe,32'h3f4e96d6, 32'h3f311393,32'h3f586d41,// invsqrt(1.6929) = 0.7686 +32'h401ab772,32'h3f215b5f,32'h3f27f163, 32'h3f1c6adc,32'h3f2ce1e6, 32'h3f142f57,32'h3f351d6b,// invsqrt(2.4174) = 0.6432 +32'h3f8df473,32'h3f6e3acc,32'h3f77f40e, 32'h3f66efdb,32'h3f7f3eff, 32'h3f5ac848,32'h3f85b349,// invsqrt(1.1090) = 0.9496 +32'h3fb9c8ed,32'h3f503da6,32'h3f58bd8e, 32'h3f49ddb9,32'h3f5f1d7b, 32'h3f3f3dd7,32'h3f69bd5d,// invsqrt(1.4514) = 0.8300 +32'h3fcae788,32'h3f47432a,32'h3f4f6540, 32'h3f412999,32'h3f557ed1, 32'h3f36fefc,32'h3f5fa96e,// invsqrt(1.5852) = 0.7943 +32'h3f474d66,32'h3f8e2ad7,32'h3f93f857, 32'h3f89d0b6,32'h3f985278, 32'h3f828fd5,32'h3f9f9359,// invsqrt(0.7785) = 1.1333 +32'h3f518443,32'h3f8aa899,32'h3f905171, 32'h3f8669f8,32'h3f949012, 32'h3f7eadd6,32'h3f9ba31f,// invsqrt(0.8184) = 1.1054 +32'h4084755b,32'h3ef69f26,32'h3f00580c, 32'h3eef1271,32'h3f041e67, 32'h3ee27d42,32'h3f0a68fe,// invsqrt(4.1393) = 0.4915 +32'h3fdde0ad,32'h3f3e8d65,32'h3f465479, 32'h3f38b816,32'h3f4c29c8, 32'h3f2eff3e,32'h3f55e2a1,// invsqrt(1.7334) = 0.7595 +32'h3f0b91e0,32'h3fa9e315,32'h3fb0d23b, 32'h3fa4afb9,32'h3fb60597, 32'h3f9c04ca,32'h3fbeb086,// invsqrt(0.5452) = 1.3543 +32'h4017bfe0,32'h3f22ed3f,32'h3f2993ab, 32'h3f1df06f,32'h3f2e907b, 32'h3f15a069,32'h3f36e081,// invsqrt(2.3711) = 0.6494 +32'h3fa5ac18,32'h3f5c84e2,32'h3f658514, 32'h3f55c4bb,32'h3f6c453b, 32'h3f4a847c,32'h3f77857b,// invsqrt(1.2943) = 0.8790 +32'h3ed244a8,32'h3fc3bdfa,32'h3fcbbb48, 32'h3fbdbfff,32'h3fd1b943, 32'h3fb3c35e,32'h3fdbb5e4,// invsqrt(0.4107) = 1.5604 +32'h3f4ebf3a,32'h3f8b9592,32'h3f914816, 32'h3f874fb0,32'h3f958df8, 32'h3f80308c,32'h3f9cad1c,// invsqrt(0.8076) = 1.1128 +32'h3f397e5c,32'h3f935d33,32'h3f996101, 32'h3f8eda59,32'h3f9de3db, 32'h3f875597,32'h3fa5689d,// invsqrt(0.7246) = 1.1748 +32'h411410c7,32'h3ea4f0f0,32'h3eabac67, 32'h3e9fe456,32'h3eb0b900, 32'h3e977a00,32'h3eb92356,// invsqrt(9.2541) = 0.3287 +32'h3e7babbf,32'h3ffd074f,32'h4003ad9a, 32'h3ff54865,32'h40078d0f, 32'h3fe85f88,32'h400e017e,// invsqrt(0.2458) = 2.0171 +32'h3f006b6b,32'h3fb11be0,32'h3fb8567c, 32'h3fabafec,32'h3fbdc270, 32'h3fa2a6a9,32'h3fc6cbb3,// invsqrt(0.5016) = 1.4119 +32'h402dae08,32'h3f184b23,32'h3f1e8273, 32'h3f13a1a7,32'h3f232bef, 32'h3f0bdc84,32'h3f2af112,// invsqrt(2.7137) = 0.6070 +32'h3f49dd46,32'h3f8d4325,32'h3f930731, 32'h3f88f01c,32'h3f975a3a, 32'h3f81bb0d,32'h3f9e8f49,// invsqrt(0.7885) = 1.1261 +32'h3fd33100,32'h3f435054,32'h3f4b4929, 32'h3f3d55b5,32'h3f5143c9, 32'h3f335eac,32'h3f5b3ad2,// invsqrt(1.6499) = 0.7785 +32'h3f549b58,32'h3f89a5b0,32'h3f8f43f6, 32'h3f856efc,32'h3f937aaa, 32'h3f7cd249,32'h3f9a8082,// invsqrt(0.8305) = 1.0973 +32'h3e7f11bd,32'h3ffb5659,32'h4002cc48, 32'h3ff3a4ae,32'h4006a51d, 32'h3fe6d1e8,32'h400d0e80,// invsqrt(0.2491) = 2.0036 +32'h3f6c2950,32'h3f829a3f,32'h3f87eee9, 32'h3f7d3580,32'h3f8bee68, 32'h3f6fe1d7,32'h3f92983c,// invsqrt(0.9225) = 1.0412 +32'h3f56c518,32'h3f88f3ca,32'h3f8e8acc, 32'h3f84c287,32'h3f92bc0f, 32'h3f7b8b87,32'h3f99b8d2,// invsqrt(0.8389) = 1.0918 +32'h3fcedae6,32'h3f4559b2,32'h3f4d67ce, 32'h3f3f4f1d,32'h3f537263, 32'h3f353d7a,32'h3f5d8406,// invsqrt(1.6161) = 0.7866 +32'h4145b2ec,32'h3e8ebe21,32'h3e9491a5, 32'h3e8a5f7e,32'h3e98f048, 32'h3e831719,32'h3ea038ad,// invsqrt(12.3562) = 0.2845 +32'h3ecadbef,32'h3fc748dc,32'h3fcf6b2e, 32'h3fc12f1e,32'h3fd584ec, 32'h3fb70438,32'h3fdfafd2,// invsqrt(0.3962) = 1.5887 +32'h3ec6be2f,32'h3fc95677,32'h3fd18e3d, 32'h3fc32ca2,32'h3fd7b812, 32'h3fb8e6eb,32'h3fe1fdc9,// invsqrt(0.3882) = 1.6051 +32'h3fa04715,32'h3f60330f,32'h3f6959b6, 32'h3f595610,32'h3f7036b4, 32'h3f4de5bf,32'h3f7ba705,// invsqrt(1.2522) = 0.8937 +32'h41bfddb0,32'h3e4cea09,32'h3e55472d, 32'h3e46a42d,32'h3e5b8d09, 32'h3e3c2fbf,32'h3e660177,// invsqrt(23.9832) = 0.2042 +32'h3f72306b,32'h3f80f78f,32'h3f863b22, 32'h3f7a09c3,32'h3f8a2dd1, 32'h3f6ce0d3,32'h3f90c248,// invsqrt(0.9461) = 1.0281 +32'h3f9165a2,32'h3f6b6493,32'h3f750031, 32'h3f642fdd,32'h3f7c34e7, 32'h3f582d58,32'h3f841bb6,// invsqrt(1.1359) = 0.9383 +32'h3f98d2df,32'h3f659a1c,32'h3f6ef938, 32'h3f5e92c8,32'h3f76008c, 32'h3f52dbe6,32'h3f80dbb7,// invsqrt(1.1939) = 0.9152 +32'h40082767,32'h3f2c0145,32'h3f33068b, 32'h3f26bd50,32'h3f384a80, 32'h3f1df6b7,32'h3f411119,// invsqrt(2.1274) = 0.6856 +32'h3f0f058a,32'h3fa7d30d,32'h3faeaca5, 32'h3fa2afdb,32'h3fb3cfd7, 32'h3f9a1fdd,32'h3fbc5fd5,// invsqrt(0.5587) = 1.3379 +32'h3f35146f,32'h3f952634,32'h3f9b3ca9, 32'h3f90955c,32'h3f9fcd80, 32'h3f88f949,32'h3fa76993,// invsqrt(0.7073) = 1.1890 +32'h3eeda623,32'h3fb81ef2,32'h3fbfa2d2, 32'h3fb27c0a,32'h3fc545ba, 32'h3fa91732,32'h3fceaa92,// invsqrt(0.4642) = 1.4678 +32'h3c3f88e2,32'h4111057f,32'h4116f0d3, 32'h410c9501,32'h411b6151, 32'h41052ed8,32'h4122c77a,// invsqrt(0.0117) = 9.2488 +32'h3e017c24,32'h403060fa,32'h403793f4, 32'h402afabe,32'h403cfa30, 32'h4021fb05,32'h4045f9e9,// invsqrt(0.1265) = 2.8122 +32'h4098dc66,32'h3ee592f4,32'h3eeef1c4, 32'h3ede8bd7,32'h3ef5f8e1, 32'h3ed2d553,32'h3f00d7b3,// invsqrt(4.7769) = 0.4575 +32'h4058288b,32'h3f088301,32'h3f0e156a, 32'h3f045533,32'h3f124339, 32'h3efabc61,32'h3f193a3b,// invsqrt(3.3775) = 0.5441 +32'h3f0808c2,32'h3fac14a3,32'h3fb31ab5, 32'h3fa6d017,32'h3fb85f41, 32'h3f9e0881,32'h3fc126d7,// invsqrt(0.5314) = 1.3718 +32'h3f85caff,32'h3f75637c,32'h3f7f678c, 32'h3f6de071,32'h3f83754c, 32'h3f615b5d,32'h3f89b7d5,// invsqrt(1.0453) = 0.9781 +32'h3ed8785a,32'h3fc0eaed,32'h3fc8cab8, 32'h3fbb0315,32'h3fceb291, 32'h3fb12b58,32'h3fd88a4f,// invsqrt(0.4228) = 1.5379 +32'h40a94010,32'h3eda2cfb,32'h3ee314b1, 32'h3ed37f32,32'h3ee9c27a, 32'h3ec85d8d,32'h3ef4e41f,// invsqrt(5.2891) = 0.4348 +32'h3f8b7fd4,32'h3f705138,32'h3f7a204a, 32'h3f68f5eb,32'h3f80bdcc, 32'h3f5cb314,32'h3f86df37,// invsqrt(1.0898) = 0.9579 +32'h3eb0223d,32'h3fd5deb4,32'h3fde996e, 32'h3fcf52aa,32'h3fe52578, 32'h3fc46942,32'h3ff00ee0,// invsqrt(0.3440) = 1.7050 +32'h3ffb85f3,32'h3f32f881,32'h3f3a4690, 32'h3f2d7df4,32'h3f3fc11c, 32'h3f245c61,32'h3f48e2af,// invsqrt(1.9650) = 0.7134 +32'h3db00b7b,32'h4055ec87,32'h405ea7d0, 32'h404f600f,32'h40653447, 32'h404475f4,32'h40701e63,// invsqrt(0.0860) = 3.4108 +32'h3f36ce49,32'h3f947186,32'h3f9a809c, 32'h3f8fe637,32'h3f9f0beb, 32'h3f88535c,32'h3fa69ec6,// invsqrt(0.7141) = 1.1834 +32'h40f2ee16,32'h3eb61bcd,32'h3ebd8aa6, 32'h3eb088a9,32'h3ec31dc9, 32'h3ea73e1a,32'h3ecc6858,// invsqrt(7.5916) = 0.3629 +32'h408dff46,32'h3eee31b8,32'h3ef7ea9a, 32'h3ee6e70d,32'h3eff3545, 32'h3edabff2,32'h3f05ae30,// invsqrt(4.4374) = 0.4747 +32'h3f9e2ec0,32'h3f61ade4,32'h3f6ae402, 32'h3f5ac54d,32'h3f71cc99, 32'h3f4f41a8,32'h3f7d503f,// invsqrt(1.2358) = 0.8996 +32'h3e8cb1af,32'h3fef4b73,32'h3ff90fd5, 32'h3fe7f829,32'h40003190, 32'h3fdbc2ad,32'h40064c4d,// invsqrt(0.2748) = 1.9076 +32'h3f1fdbf9,32'h3f9ebd82,32'h3fa5382f, 32'h3f99e181,32'h3faa1431, 32'h3f91c82a,32'h3fb22d88,// invsqrt(0.6245) = 1.2655 +32'h3e06e0fa,32'h402cd0eb,32'h4033deab, 32'h4027869a,32'h403928fc, 32'h401eb56a,32'h4041fa2c,// invsqrt(0.1317) = 2.7554 +32'h3f455175,32'h3f8ee15e,32'h3f94b652, 32'h3f8a81a7,32'h3f991609, 32'h3f833776,32'h3fa0603a,// invsqrt(0.7708) = 1.1390 +32'h3f747701,32'h3f805d88,32'h3f859ad1, 32'h3f78df20,32'h3f8988c8, 32'h3f6bc5e9,32'h3f901564,// invsqrt(0.9549) = 1.0233 +32'h3f7f66aa,32'h3f7b2c8c,32'h3f82b688, 32'h3f737c2a,32'h3f868eb9, 32'h3f66ab86,32'h3f8cf70b,// invsqrt(0.9977) = 1.0012 +32'h4064deb2,32'h3f04aaa8,32'h3f0a14e4, 32'h3f009afc,32'h3f0e2490, 32'h3ef3ac64,32'h3f14e95a,// invsqrt(3.5761) = 0.5288 +32'h3eb828b7,32'h3fd12873,32'h3fd9b1f0, 32'h3fcac156,32'h3fe0190e, 32'h3fc0157a,32'h3feac4ea,// invsqrt(0.3597) = 1.6674 +32'h40d38144,32'h3ec32b41,32'h3ecb2293, 32'h3ebd31c5,32'h3ed11c0f, 32'h3eb33c9f,32'h3edb1135,// invsqrt(6.6095) = 0.3890 +32'h3f35528b,32'h3f950ca6,32'h3f9b2210, 32'h3f907c96,32'h3f9fb220, 32'h3f88e1d2,32'h3fa74ce4,// invsqrt(0.7083) = 1.1882 +32'h3f85764a,32'h3f75b14f,32'h3f7fb88c, 32'h3f6e2be2,32'h3f839efd, 32'h3f61a2d6,32'h3f89e383,// invsqrt(1.0427) = 0.9793 +32'h3c35e1ec,32'h4114d1db,32'h411ae4df, 32'h41104398,32'h411f7322, 32'h4108abd4,32'h41270ae6,// invsqrt(0.0111) = 9.4911 +32'h40cf0620,32'h3ec54516,32'h3ecd525b, 32'h3ebf3b23,32'h3ed35c4f, 32'h3eb52a8d,32'h3edd6ce5,// invsqrt(6.4695) = 0.3932 +32'h422ca5ca,32'h3e18bf82,32'h3e1efb92, 32'h3e141276,32'h3e23a89e, 32'h3e0c4763,32'h3e2b73b1,// invsqrt(43.1619) = 0.1522 +32'h3fa4a2c3,32'h3f5d364d,32'h3f663dbd, 32'h3f5670b8,32'h3f6d0352, 32'h3f4b276b,32'h3f784c9f,// invsqrt(1.2862) = 0.8817 +32'h43021bd9,32'h3daff498,32'h3db72326, 32'h3daa91ad,32'h3dbc8611, 32'h3da1977c,32'h3dc58042,// invsqrt(130.1088) = 0.0877 +32'h3ffff678,32'h3f31696f,32'h3f38a735, 32'h3f2bfb1b,32'h3f3e1589, 32'h3f22ede3,32'h3f4722c1,// invsqrt(1.9997) = 0.7072 +32'h3ea99cf9,32'h3fd9f131,32'h3fe2d677, 32'h3fd3453d,32'h3fe9826b, 32'h3fc826a5,32'h3ff4a103,// invsqrt(0.3313) = 1.7374 +32'h3f839997,32'h3f776cbd,32'h3f80c30a, 32'h3f6fd9bc,32'h3f848c8a, 32'h3f633a11,32'h3f8adc60,// invsqrt(1.0281) = 0.9862 +32'h3f833ae9,32'h3f77c5ee,32'h3f80f174, 32'h3f703032,32'h3f84bc52, 32'h3f638bfa,32'h3f8b0e6e,// invsqrt(1.0252) = 0.9876 +32'h40506fc3,32'h3f0b0472,32'h3f10b109, 32'h3f06c301,32'h3f14f27b, 32'h3eff5689,32'h3f1c0a37,// invsqrt(3.2568) = 0.5541 +32'h3f655548,32'h3f848857,32'h3f89f12b, 32'h3f8079b7,32'h3f8dffcb, 32'h3f736d5b,32'h3f94c2d4,// invsqrt(0.8958) = 1.0565 +32'h3ecc965b,32'h3fc670ed,32'h3fce8a6f, 32'h3fc05dcc,32'h3fd49d90, 32'h3fb63de9,32'h3fdebd73,// invsqrt(0.3996) = 1.5820 +32'h400aee5f,32'h3f2a46f0,32'h3f313a28, 32'h3f251085,32'h3f367093, 32'h3f1c607e,32'h3f3f209a,// invsqrt(2.1708) = 0.6787 +32'h3f0f2b81,32'h3fa7bccb,32'h3fae957b, 32'h3fa29a48,32'h3fb3b7fe, 32'h3f9a0b6c,32'h3fbc46da,// invsqrt(0.5593) = 1.3372 +32'h4004d851,32'h3f2e224a,32'h3f353dd0, 32'h3f28cda6,32'h3f3a9274, 32'h3f1feb3f,32'h3f4374db,// invsqrt(2.0757) = 0.6941 +32'h3f42bd95,32'h3f8fd2a5,32'h3f95b173, 32'h3f8b6b8b,32'h3f9a188d, 32'h3f84150b,32'h3fa16f0d,// invsqrt(0.7607) = 1.1465 +32'h40a8c7e3,32'h3eda7a99,32'h3ee3657b, 32'h3ed3ca70,32'h3eea15a4, 32'h3ec8a4d6,32'h3ef53b3e,// invsqrt(5.2744) = 0.4354 +32'h3f359d15,32'h3f94ee0d,32'h3f9b0237, 32'h3f905eed,32'h3f9f9157, 32'h3f88c5b8,32'h3fa72a8c,// invsqrt(0.7094) = 1.1873 +32'h409543b5,32'h3ee852c5,32'h3ef1ce4f, 32'h3ee1361d,32'h3ef8eaf7, 32'h3ed55baf,32'h3f0262b2,// invsqrt(4.6645) = 0.4630 +32'h3fb444a4,32'h3f536738,32'h3f5c082a, 32'h3f4cee82,32'h3f6280e0, 32'h3f422552,32'h3f6d4a10,// invsqrt(1.4083) = 0.8426 +32'h3f221a99,32'h3f9da32e,32'h3fa41254, 32'h3f98cfd1,32'h3fa8e5b1, 32'h3f90c4e1,32'h3fb0f0a1,// invsqrt(0.6332) = 1.2567 +32'h3fa005c1,32'h3f6060cd,32'h3f698953, 32'h3f598269,32'h3f7067b7, 32'h3f4e0fc2,32'h3f7bda5e,// invsqrt(1.2502) = 0.8944 +32'h4159eb08,32'h3e87f59e,32'h3e8d8242, 32'h3e83cc24,32'h3e91abbc, 32'h3e79b8b0,32'h3e989b88,// invsqrt(13.6199) = 0.2710 +32'h4075043d,32'h3f003883,32'h3f057449, 32'h3ef8975b,32'h3f09611e, 32'h3eeb81eb,32'h3f0febd7,// invsqrt(3.8284) = 0.5111 +32'h3f85510b,32'h3f75d39f,32'h3f7fdc43, 32'h3f6e4d25,32'h3f83b15f, 32'h3f61c259,32'h3f89f6c5,// invsqrt(1.0415) = 0.9799 +32'h4097cd7c,32'h3ee65f74,32'h3eefc69d, 32'h3edf5214,32'h3ef6d3fc, 32'h3ed39121,32'h3f014a78,// invsqrt(4.7438) = 0.4591 +32'h3f9fe920,32'h3f6074e2,32'h3f699e3a, 32'h3f5995e0,32'h3f707d3c, 32'h3f4e2233,32'h3f7bf0e9,// invsqrt(1.2493) = 0.8947 +32'h3fcc99a8,32'h3f466f53,32'h3f4e88c5, 32'h3f405c3f,32'h3f549bd9, 32'h3f363c71,32'h3f5ebba7,// invsqrt(1.5984) = 0.7910 +32'h3f771cbb,32'h3f7f5a0f,32'h3f84e31d, 32'h3f7788ef,32'h3f88cbac, 32'h3f6a81ba,32'h3f8f4f47,// invsqrt(0.9653) = 1.0178 +32'h3f8f01f9,32'h3f6d59e4,32'h3f7709f8, 32'h3f6615d5,32'h3f7e4e07, 32'h3f59f9bd,32'h3f853510,// invsqrt(1.1172) = 0.9461 +32'h3f6d9314,32'h3f8236aa,32'h3f878743, 32'h3f7c746d,32'h3f8b83b6, 32'h3f6f2aee,32'h3f922875,// invsqrt(0.9280) = 1.0381 +32'h3d8884dd,32'h4072ed20,32'h407cd774, 32'h406b7d60,32'h4082239a, 32'h405f1876,32'h4088560f,// invsqrt(0.0667) = 3.8732 +32'h402fcbb5,32'h3f175fcc,32'h3f1d8d80, 32'h3f12bd84,32'h3f222fc8, 32'h3f0b0462,32'h3f29e8ea,// invsqrt(2.7468) = 0.6034 +32'h40c2c416,32'h3ecb6208,32'h3ed3af2c, 32'h3ec5282c,32'h3ed9e908, 32'h3ebac7be,32'h3ee44976,// invsqrt(6.0864) = 0.4053 +32'h3f8e0622,32'h3f6e2bf7,32'h3f77e49d, 32'h3f66e17a,32'h3f7f2f1a, 32'h3f5abaa9,32'h3f85aaf6,// invsqrt(1.1096) = 0.9493 +32'h3e7e6704,32'h3ffbaaa0,32'h4002f824, 32'h3ff3f661,32'h4006d243, 32'h3fe71f4f,32'h400d3dcd,// invsqrt(0.2484) = 2.0063 +32'h3e236ee6,32'h401cfeba,32'h4023672a, 32'h40183066,32'h4028357e, 32'h40102dda,32'h4030380a,// invsqrt(0.1596) = 2.5031 +32'h3fa73e73,32'h3f5b7afe,32'h3f647057, 32'h3f54c2fc,32'h3f6b285a, 32'h3f49904d,32'h3f765b09,// invsqrt(1.3066) = 0.8748 +32'h3f41f064,32'h3f901ea6,32'h3f96008e, 32'h3f8bb539,32'h3f9a69fb, 32'h3f845ad7,32'h3fa1c45d,// invsqrt(0.7576) = 1.1489 +32'h4021b54e,32'h3f1dd485,32'h3f2445af, 32'h3f18ffa6,32'h3f291a8e, 32'h3f10f231,32'h3f312803,// invsqrt(2.5267) = 0.6291 +32'h3e2b74af,32'h4019472e,32'h401f88c7, 32'h401495fa,32'h402439fa, 32'h400cc3fb,32'h402c0bf9,// invsqrt(0.1674) = 2.4438 +32'h3d0d4e9e,32'h40a8d6e9,32'h40afbb1d, 32'h40a3abc3,32'h40b4e643, 32'h409b0e83,32'h40bd8383,// invsqrt(0.0345) = 5.3839 +32'h3fdd5747,32'h3f3ec881,32'h3f4691fe, 32'h3f38f162,32'h3f4c691c, 32'h3f2f3586,32'h3f5624f9,// invsqrt(1.7292) = 0.7605 +32'h3f582aa9,32'h3f888256,32'h3f8e14b8, 32'h3f84548d,32'h3f924281, 32'h3f7abb26,32'h3f99397b,// invsqrt(0.8444) = 1.0882 +32'h4050b3b6,32'h3f0aedcf,32'h3f109979, 32'h3f06ad0f,32'h3f14da39, 32'h3eff2cf4,32'h3f1bf0ce,// invsqrt(3.2610) = 0.5538 +32'h4046f0b6,32'h3f0e4bf1,32'h3f141acc, 32'h3f09f0cd,32'h3f1875f1, 32'h3f02ae3c,32'h3f1fb882,// invsqrt(3.1084) = 0.5672 +32'h3faa30b2,32'h3f599286,32'h3f6273ef, 32'h3f52e978,32'h3f691cfe, 32'h3f47cfb5,32'h3f7436c1,// invsqrt(1.3296) = 0.8672 +32'h3f8f5b95,32'h3f6d0faa,32'h3f76bcb6, 32'h3f65cde1,32'h3f7dfe7f, 32'h3f59b592,32'h3f850b67,// invsqrt(1.1200) = 0.9449 +32'h3ef4363a,32'h3fb5a14a,32'h3fbd0b24, 32'h3fb011e7,32'h3fc29a87, 32'h3fa6cd98,32'h3fcbded6,// invsqrt(0.4770) = 1.4479 +32'h407db83f,32'h3efc013f,32'h3f032538, 32'h3ef44a5a,32'h3f0700ab, 32'h3ee76edc,32'h3f0d6e6a,// invsqrt(3.9644) = 0.5022 +32'h409a50d8,32'h3ee47d42,32'h3eedd0bc, 32'h3edd7ea5,32'h3ef4cf59, 32'h3ed1d64c,32'h3f003bd9,// invsqrt(4.8224) = 0.4554 +32'h3fd4d7bf,32'h3f428dfd,32'h3f4a7ee3, 32'h3f3c9951,32'h3f50738f, 32'h3f32ac32,32'h3f5a60ae,// invsqrt(1.6628) = 0.7755 +32'h4000af76,32'h3f30ed07,32'h3f3825b9, 32'h3f2b8282,32'h3f3d903e, 32'h3f227ba3,32'h3f46971d,// invsqrt(2.0107) = 0.7052 +32'h3f594c7d,32'h3f88272e,32'h3f8db5d8, 32'h3f83fc30,32'h3f91e0d6, 32'h3f7a13b9,32'h3f98d32a,// invsqrt(0.8488) = 1.0854 +32'h3f0be65a,32'h3fa9afc3,32'h3fb09cd0, 32'h3fa47df9,32'h3fb5ce99, 32'h3f9bd5a8,32'h3fbe76ea,// invsqrt(0.5465) = 1.3527 +32'h41c244e5,32'h3e4ba491,32'h3e53f46d, 32'h3e4568ac,32'h3e5a3052, 32'h3e3b04d9,32'h3e649425,// invsqrt(24.2836) = 0.2029 +32'h409a62d5,32'h3ee46ff2,32'h3eedc2e1, 32'h3edd71bd,32'h3ef4c115, 32'h3ed1ca12,32'h3f003460,// invsqrt(4.8246) = 0.4553 +32'h40bba92d,32'h3ecf3285,32'h3ed7a786, 32'h3ec8dac6,32'h3eddff46, 32'h3ebe4885,32'h3ee89187,// invsqrt(5.8644) = 0.4129 +32'h3f79ee2b,32'h3f7de878,32'h3f8422c6, 32'h3f7622a8,32'h3f8805ae, 32'h3f692e4f,32'h3f8e7fdb,// invsqrt(0.9763) = 1.0121 +32'h3e6fc370,32'h40019e26,32'h4006e886, 32'h3ffb4cbd,32'h400ae04e, 32'h3fee12ce,32'h40117d45,// invsqrt(0.2341) = 2.0666 +32'h4006a859,32'h3f2cf53e,32'h3f34047a, 32'h3f27a9d1,32'h3f394fe7, 32'h3f1ed6c6,32'h3f4222f2,// invsqrt(2.1040) = 0.6894 +32'h3f565326,32'h3f89182d,32'h3f8eb0ac, 32'h3f84e5cd,32'h3f92e30b, 32'h3f7bce5c,32'h3f99e1aa,// invsqrt(0.8372) = 1.0929 +32'h3f653ede,32'h3f848ed1,32'h3f89f7e9, 32'h3f807fff,32'h3f8e06bb, 32'h3f737941,32'h3f94ca1a,// invsqrt(0.8955) = 1.0567 +32'h3f0aca90,32'h3faa5ce6,32'h3fb15104, 32'h3fa525cf,32'h3fb6881b, 32'h3f9c74a9,32'h3fbf3941,// invsqrt(0.5422) = 1.3581 +32'h3f034e00,32'h3faf26ff,32'h3fb64d29, 32'h3fa9ca60,32'h3fbba9c8, 32'h3fa0daac,32'h3fc4997c,// invsqrt(0.5129) = 1.3963 +32'h3fa999ad,32'h3f59f34f,32'h3f62d8ab, 32'h3f53474a,32'h3f6984b0, 32'h3f482897,32'h3f74a363,// invsqrt(1.3250) = 0.8687 +32'h4064b7c6,32'h3f04b5f1,32'h3f0a20a3, 32'h3f00a5ed,32'h3f0e30a7, 32'h3ef3c11f,32'h3f14f605,// invsqrt(3.5737) = 0.5290 +32'h3f9af064,32'h3f64077f,32'h3f6d562b, 32'h3f5d0c7e,32'h3f74512c, 32'h3f516a26,32'h3f7ff384,// invsqrt(1.2105) = 0.9089 +32'h3c9991b7,32'h40e50b45,32'h40ee648c, 32'h40de0851,32'h40f56781, 32'h40d258b8,32'h41008b8d,// invsqrt(0.0187) = 7.3037 +32'h3f383390,32'h3f93e14a,32'h3f99ea7c, 32'h3f8f5a64,32'h3f9e7162, 32'h3f87cee6,32'h3fa5fce0,// invsqrt(0.7195) = 1.1789 +32'h400bfab7,32'h3f29a36b,32'h3f308ff7, 32'h3f247202,32'h3f35c160, 32'h3f1bca52,32'h3f3e6910,// invsqrt(2.1872) = 0.6762 +32'h3fd0e352,32'h3f446341,32'h3f4c674f, 32'h3f3e6038,32'h3f526a58, 32'h3f345b27,32'h3f5c6f69,// invsqrt(1.6319) = 0.7828 +32'h40112ab3,32'h3f26946f,32'h3f2d6105, 32'h3f217afe,32'h3f327a76, 32'h3f18fb41,32'h3f3afa33,// invsqrt(2.2682) = 0.6640 +32'h3f0d08a6,32'h3fa900c6,32'h3fafe6ae, 32'h3fa3d457,32'h3fb5131d, 32'h3f9b34f4,32'h3fbdb280,// invsqrt(0.5509) = 1.3473 +32'h3e85ab9d,32'h3ff58049,32'h3fff8585, 32'h3fedfc5b,32'h400384b9, 32'h3fe175d0,32'h4009c7ff,// invsqrt(0.2611) = 1.9571 +32'h3f4c480d,32'h3f8c6c90,32'h3f9227da, 32'h3f882019,32'h3f967451, 32'h3f80f5fc,32'h3f9d9e6e,// invsqrt(0.7980) = 1.1195 +32'h3f36d9b6,32'h3f946ce3,32'h3f9a7bc7, 32'h3f8fe1b7,32'h3f9f06f3, 32'h3f884f19,32'h3fa69991,// invsqrt(0.7143) = 1.1832 +32'h3f910291,32'h3f6bb4ed,32'h3f7553d3, 32'h3f647dc2,32'h3f7c8afe, 32'h3f587723,32'h3f8448ce,// invsqrt(1.1329) = 0.9395 +32'h3db9d648,32'h4050362a,32'h4058b5c4, 32'h4049d677,32'h405f1577, 32'h403f36f8,32'h4069b4f6,// invsqrt(0.0907) = 3.3197 +32'h4022c4b6,32'h3f1d50b8,32'h3f23bc80, 32'h3f187fe1,32'h3f288d57, 32'h3f107926,32'h3f309412,// invsqrt(2.5433) = 0.6271 +32'h40b62e1a,32'h3ed24a7b,32'h3edadfcf, 32'h3ecbda7d,32'h3ee14fcd, 32'h3ec11fd4,32'h3eec0a76,// invsqrt(5.6931) = 0.4191 +32'h3f610e8e,32'h3f85c928,32'h3f8b3f14, 32'h3f81b0b6,32'h3f8f5786, 32'h3f75ba9c,32'h3f962aee,// invsqrt(0.8791) = 1.0665 +32'h3f824b79,32'h3f78a92f,32'h3f8167b8, 32'h3f710c7e,32'h3f853610, 32'h3f645cae,32'h3f8b8df8,// invsqrt(1.0179) = 0.9912 +32'h3f9d7f62,32'h3f622b65,32'h3f6b66a3, 32'h3f5b3ef7,32'h3f725311, 32'h3f4fb4ea,32'h3f7ddd1e,// invsqrt(1.2304) = 0.9015 +32'h4074f50c,32'h3f003c7d,32'h3f05786d, 32'h3ef89f12,32'h3f096561, 32'h3eeb8939,32'h3f0ff04e,// invsqrt(3.8275) = 0.5111 +32'h40a71e07,32'h3edb9048,32'h3ee4867f, 32'h3ed4d79e,32'h3eeb3f28, 32'h3ec9a3d9,32'h3ef672ed,// invsqrt(5.2224) = 0.4376 +32'h3f86715e,32'h3f74cb78,32'h3f7ec953, 32'h3f6d4d13,32'h3f8323db, 32'h3f60cfc1,32'h3f896284,// invsqrt(1.0503) = 0.9757 +32'h3ebbe712,32'h3fcf1063,32'h3fd783fe, 32'h3fc8b9ae,32'h3fdddab2, 32'h3fbe292b,32'h3fe86b35,// invsqrt(0.3670) = 1.6507 +32'h3e02d5dc,32'h402f7757,32'h4036a0c9, 32'h402a1842,32'h403bffde, 32'h40212475,32'h4044f3ab,// invsqrt(0.1278) = 2.7976 +32'h3efaa4f4,32'h3fb348c3,32'h3fba9a19, 32'h3fadcbc2,32'h3fc0171a, 32'h3fa4a616,32'h3fc93cc6,// invsqrt(0.4895) = 1.4292 +32'h3fb6b86e,32'h3f51fad3,32'h3f5a8ce6, 32'h3f4b8d44,32'h3f60fa74, 32'h3f40d6ac,32'h3f6bb10c,// invsqrt(1.4275) = 0.8370 +32'h3ee67624,32'h3fbaf850,32'h3fc299f5, 32'h3fb53f14,32'h3fc85330, 32'h3fabb505,32'h3fd1dd3f,// invsqrt(0.4501) = 1.4905 +32'h3f6a3412,32'h3f8325b7,32'h3f888012, 32'h3f7e43e4,32'h3f8c83d6, 32'h3f70e201,32'h3f9334c8,// invsqrt(0.9149) = 1.0455 +32'h3f82ab3b,32'h3f784e02,32'h3f813845, 32'h3f70b41c,32'h3f850538, 32'h3f6408f2,32'h3f8b5acd,// invsqrt(1.0209) = 0.9897 +32'h3cb39262,32'h40d3d00c,32'h40dc7546, 32'h40cd5421,32'h40e2f131, 32'h40c28598,32'h40edbfba,// invsqrt(0.0219) = 6.7542 +32'h3cac0e40,32'h40d863c2,32'h40e138cf, 32'h40d1c3f8,32'h40e7d898, 32'h40c6b9a7,32'h40f2e2e9,// invsqrt(0.0210) = 6.9002 +32'h3f527d8e,32'h3f8a5664,32'h3f8ffbe1, 32'h3f861a48,32'h3f9437fe, 32'h3f7e16d9,32'h3f9b46da,// invsqrt(0.8222) = 1.1028 +32'h3f667a2e,32'h3f843405,32'h3f899969, 32'h3f8027fb,32'h3f8da573, 32'h3f72d27d,32'h3f946430,// invsqrt(0.9003) = 1.0539 +32'h3f2bf9ed,32'h3f990bc2,32'h3f9f4aee, 32'h3f945c60,32'h3fa3fa50, 32'h3f8c8d69,32'h3fabc947,// invsqrt(0.6718) = 1.2201 +32'h3f2aa01a,32'h3f99a68c,32'h3f9fec0a, 32'h3f94f26e,32'h3fa4a028, 32'h3f8d1b90,32'h3fac7706,// invsqrt(0.6665) = 1.2249 +32'h404dcbc9,32'h3f0be809,32'h3f119dea, 32'h3f079fa0,32'h3f15e652, 32'h3f007c46,32'h3f1d09ac,// invsqrt(3.2156) = 0.5577 +32'h3e159e0d,32'h40241562,32'h402ac7e4, 32'h401f0f81,32'h402fcdc5, 32'h4016b060,32'h40382ce7,// invsqrt(0.1461) = 2.6161 +32'h4119c0d9,32'h3ea1dc91,32'h3ea877db, 32'h3e9ce81a,32'h3ead6c52, 32'h3e94a5fd,32'h3eb5ae6f,// invsqrt(9.6096) = 0.3226 +32'h3ee2d78f,32'h3fbc74a8,32'h3fc425d4, 32'h3fb6afc8,32'h3fc9eab4, 32'h3fad1251,32'h3fd3882b,// invsqrt(0.4431) = 1.5024 +32'h3f718776,32'h3f8124a3,32'h3f866a0d, 32'h3f7a6127,32'h3f8a5e1c, 32'h3f6d339e,32'h3f90f4e1,// invsqrt(0.9435) = 1.0295 +32'h415e1168,32'h3e86aede,32'h3e8c2e2c, 32'h3e828f65,32'h3e904da5, 32'h3e776089,32'h3e972cc6,// invsqrt(13.8792) = 0.2684 +32'h3eeb1ede,32'h3fb91bb4,32'h3fc0a9e6, 32'h3fb37110,32'h3fc6548a, 32'h3fa9ff52,32'h3fcfc648,// invsqrt(0.4592) = 1.4757 +32'h3fd70f5a,32'h3f418c94,32'h3f4972f8, 32'h3f3b9fc9,32'h3f4f5fc3, 32'h3f31bfcc,32'h3f593fc0,// invsqrt(1.6802) = 0.7715 +32'h40dd67e5,32'h3ebec158,32'h3ec68a8a, 32'h3eb8ea72,32'h3ecc6170, 32'h3eaf2ef2,32'h3ed61cf0,// invsqrt(6.9189) = 0.3802 +32'h3f7d6e1c,32'h3f7c2618,32'h3f833866, 32'h3f746e13,32'h3f871469, 32'h3f6790b3,32'h3f8d8318,// invsqrt(0.9900) = 1.0051 +32'h3f1d20c3,32'h3fa01d2e,32'h3fa6a636, 32'h3f9b3669,32'h3fab8cfb, 32'h3f930b20,32'h3fb3b844,// invsqrt(0.6138) = 1.2764 +32'h3e01bd39,32'h403034b7,32'h403765e3, 32'h402acfd6,32'h403ccac4, 32'h4021d25f,32'h4045c83b,// invsqrt(0.1267) = 2.8094 +32'h4007b124,32'h3f2c4c29,32'h3f33547f, 32'h3f2705e9,32'h3f389abf, 32'h3f1e3b7f,32'h3f416529,// invsqrt(2.1202) = 0.6868 +32'h3ed75319,32'h3fc16e1f,32'h3fc95345, 32'h3fbb8243,32'h3fcf3f21, 32'h3fb1a3d3,32'h3fd91d91,// invsqrt(0.4206) = 1.5420 +32'h401c3218,32'h3f209753,32'h3f272557, 32'h3f1bacd1,32'h3f2c0fd9, 32'h3f137b4c,32'h3f34415e,// invsqrt(2.4406) = 0.6401 +32'h3dab9c39,32'h4058ab9a,32'h40618396, 32'h4052099d,32'h40682593, 32'h4046fba2,32'h4073338e,// invsqrt(0.0838) = 3.4546 +32'h3f80b894,32'h3f7a2d27,32'h3f82319f, 32'h3f728497,32'h3f8605e8, 32'h3f65c0fb,32'h3f8c67b6,// invsqrt(1.0056) = 0.9972 +32'h3f0ce305,32'h3fa91756,32'h3faffe2a, 32'h3fa3ea36,32'h3fb52b4a, 32'h3f9b49ad,32'h3fbdcbd3,// invsqrt(0.5503) = 1.3480 +32'h3d4e4d09,32'h408bbc2e,32'h40917045, 32'h4087751e,32'h4095b756, 32'h40805401,32'h409cd873,// invsqrt(0.0504) = 4.4558 +32'h3f655c26,32'h3f84865b,32'h3f89ef1b, 32'h3f8077cb,32'h3f8dfdab, 32'h3f7369b7,32'h3f94c09a,// invsqrt(0.8959) = 1.0565 +32'h3e91a3c7,32'h3feb3255,32'h3ff4cbe5, 32'h3fe3ff28,32'h3ffbff12, 32'h3fd7ff34,32'h4003ff83,// invsqrt(0.2845) = 1.8750 +32'h3f8ccbf8,32'h3f6f351c,32'h3f78f895, 32'h3f67e280,32'h3f802598, 32'h3f5bae29,32'h3f863fc4,// invsqrt(1.1000) = 0.9535 +32'h40083210,32'h3f2bfa89,32'h3f32ff8a, 32'h3f26b6ca,32'h3f38434a, 32'h3f1df089,32'h3f41098b,// invsqrt(2.1281) = 0.6855 +32'h408d48dc,32'h3eeecb4a,32'h3ef88a72, 32'h3ee77bec,32'h3effd9d0, 32'h3edb4cfb,32'h3f060460,// invsqrt(4.4151) = 0.4759 +32'h3f0a2af7,32'h3faabf2e,32'h3fb1b74f, 32'h3fa58514,32'h3fb6f168, 32'h3f9cceeb,32'h3fbfa791,// invsqrt(0.5397) = 1.3612 +32'h3f979820,32'h3f6687fc,32'h3f6ff0cc, 32'h3f5f795f,32'h3f76ff69, 32'h3f53b65a,32'h3f816137,// invsqrt(1.1843) = 0.9189 +32'h3ec6bee8,32'h3fc95619,32'h3fd18ddb, 32'h3fc32c47,32'h3fd7b7ad, 32'h3fb8e694,32'h3fe1fd60,// invsqrt(0.3882) = 1.6050 +32'h3b927462,32'h416a8a98,32'h41741d50, 32'h41635c8e,32'h417b4b5a, 32'h41576528,32'h4183a160,// invsqrt(0.0045) = 14.9580 +32'h3dfbd398,32'h4032dce7,32'h403a29d7, 32'h402d6334,32'h403fa38a, 32'h40244309,32'h4048c3b5,// invsqrt(0.1230) = 2.8518 +32'h3fe3bd31,32'h3f3c158e,32'h3f43c2d7, 32'h3f365396,32'h3f4984ce, 32'h3f2cbafa,32'h3f531d6a,// invsqrt(1.7792) = 0.7497 +32'h3fbb85cb,32'h3f4f4611,32'h3f57bbdd, 32'h3f48edb7,32'h3f5e1437, 32'h3f3e5a78,32'h3f68a776,// invsqrt(1.4650) = 0.8262 +32'h3f92bd50,32'h3f6a5048,32'h3f73e09f, 32'h3f632408,32'h3f7b0ce0, 32'h3f572f9c,32'h3f8380a6,// invsqrt(1.1464) = 0.9340 +32'h3f668057,32'h3f843241,32'h3f899792, 32'h3f802644,32'h3f8da38e, 32'h3f72cf3d,32'h3f946234,// invsqrt(0.9004) = 1.0539 +32'h3dab399e,32'h4058e9f4,32'h4061c47c, 32'h4052460f,32'h40686861, 32'h404734e6,32'h4073798a,// invsqrt(0.0836) = 3.4584 +32'h3d1f47dd,32'h409f073f,32'h40a584ed, 32'h409a28fb,32'h40aa6331, 32'h40920be1,32'h40b2804b,// invsqrt(0.0389) = 5.0711 +32'h3edc1bc3,32'h3fbf510d,32'h3fc7201d, 32'h3fb975c1,32'h3fccfb69, 32'h3fafb2ec,32'h3fd6be3e,// invsqrt(0.4299) = 1.5252 +32'h3f0548df,32'h3fadd8b4,32'h3fb4f139, 32'h3fa88651,32'h3fba439d, 32'h3f9fa7ab,32'h3fc32243,// invsqrt(0.5206) = 1.3859 +32'h3d684416,32'h4083b173,32'h40891183, 32'h407f52d0,32'h408d198e, 32'h4071e2aa,32'h4093d1a1,// invsqrt(0.0567) = 4.1994 +32'h3c2b7449,32'h4119475b,32'h411f88f7, 32'h41149627,32'h41243a2b, 32'h410cc425,32'h412c0c2d,// invsqrt(0.0105) = 9.7754 +32'h3f21d0de,32'h3f9dc713,32'h3fa437b1, 32'h3f98f29d,32'h3fa90c27, 32'h3f90e5d8,32'h3fb118ec,// invsqrt(0.6321) = 1.2578 +32'h3f0f80ad,32'h3fa78afd,32'h3fae61a4, 32'h3fa269ff,32'h3fb382a1, 32'h3f99ddae,32'h3fbc0ef2,// invsqrt(0.5606) = 1.3356 +32'h3f59934f,32'h3f881104,32'h3f8d9ec6, 32'h3f83e6b3,32'h3f91c917, 32'h3f79eb03,32'h3f98ba49,// invsqrt(0.8499) = 1.0847 +32'h3fb42c45,32'h3f537584,32'h3f5c170c, 32'h3f4cfc5e,32'h3f629032, 32'h3f423274,32'h3f6d5a1c,// invsqrt(1.4076) = 0.8429 +32'h3f0e6380,32'h3fa83270,32'h3faf0fed, 32'h3fa30c53,32'h3fb4360b, 32'h3f9a7777,32'h3fbccae7,// invsqrt(0.5562) = 1.3409 +32'h40823937,32'h3ef8ba9d,32'h3f0170ca, 32'h3ef11d64,32'h3f053f66, 32'h3ee46caf,32'h3f0b97c0,// invsqrt(4.0695) = 0.4957 +32'h4038356d,32'h3f13e08b,32'h3f19e9b5, 32'h3f0f59ab,32'h3f1e7095, 32'h3f07ce36,32'h3f25fc0a,// invsqrt(2.8783) = 0.5894 +32'h3e6c60fa,32'h40028ade,32'h4007dee7, 32'h3ffd17ad,32'h400bdded, 32'h3fefc597,32'h401286f9,// invsqrt(0.2308) = 2.0814 +32'h3f5db8ff,32'h3f86c9b6,32'h3f8c4a1c, 32'h3f82a96a,32'h3f906a68, 32'h3f7791d7,32'h3f974ae7,// invsqrt(0.8661) = 1.0745 +32'h3fbdd97c,32'h3f4dffe2,32'h3f56685e, 32'h3f47b185,32'h3f5cb6bb, 32'h3f3d2eea,32'h3f673956,// invsqrt(1.4832) = 0.8211 +32'h40820d57,32'h3ef8e48e,32'h3f01869e, 32'h3ef1460d,32'h3f0555df, 32'h3ee49334,32'h3f0baf4b,// invsqrt(4.0641) = 0.4960 +32'h3f2c08e9,32'h3f990517,32'h3f9f43fe, 32'h3f9455ea,32'h3fa3f32c, 32'h3f8c874a,32'h3fabc1cc,// invsqrt(0.6720) = 1.2199 +32'h40218937,32'h3f1dea0d,32'h3f245c18, 32'h3f191485,32'h3f2931a1, 32'h3f1105f8,32'h3f31402f,// invsqrt(2.5240) = 0.6294 +32'h401d85ae,32'h3f1fe9dc,32'h3f2670ca, 32'h3f1b04a8,32'h3f2b55fe, 32'h3f12dbfe,32'h3f337ea8,// invsqrt(2.4613) = 0.6374 +32'h41861c3d,32'h3e75191d,32'h3e7f1a23, 32'h3e6d9858,32'h3e834d74, 32'h3e611710,32'h3e898e18,// invsqrt(16.7638) = 0.2442 +32'h3ef50b94,32'h3fb55227,32'h3fbcb8c6, 32'h3fafc531,32'h3fc245bd, 32'h3fa684eb,32'h3fcb8603,// invsqrt(0.4786) = 1.4455 +32'h3f774028,32'h3f7f47c3,32'h3f84d997, 32'h3f777732,32'h3f88c1df, 32'h3f6a70ec,32'h3f8f4502,// invsqrt(0.9658) = 1.0175 +32'h4012ed44,32'h3f25943f,32'h3f2c5661, 32'h3f2082a6,32'h3f3167fa, 32'h3f180ffb,32'h3f39daa5,// invsqrt(2.2957) = 0.6600 +32'h40bafb9b,32'h3ecf929a,32'h3ed80b86, 32'h3ec937e9,32'h3ede6637, 32'h3ebea0c2,32'h3ee8fd5e,// invsqrt(5.8432) = 0.4137 +32'h3fdec738,32'h3f3e2ab3,32'h3f45edbf, 32'h3f385869,32'h3f4bc009, 32'h3f2ea49a,32'h3f5573d8,// invsqrt(1.7405) = 0.7580 +32'h3fd9e3f5,32'h3f4049b3,32'h3f4822e9, 32'h3f3a66ca,32'h3f4e05d2, 32'h3f309746,32'h3f57d556,// invsqrt(1.7023) = 0.7665 +32'h3f05ccc4,32'h3fad82f0,32'h3fb497f4, 32'h3fa8332c,32'h3fb9e7b8, 32'h3f9f58e7,32'h3fc2c1fd,// invsqrt(0.5227) = 1.3832 +32'h3e8ccf5f,32'h3fef3238,32'h3ff8f593, 32'h3fe7dfb3,32'h4000240b, 32'h3fdbab81,32'h40063e24,// invsqrt(0.2750) = 1.9069 +32'h3fba9868,32'h3f4fc9c0,32'h3f5844ec, 32'h3f496d5e,32'h3f5ea14e, 32'h3f3ed367,32'h3f693b45,// invsqrt(1.4578) = 0.8282 +32'h3dfffb47,32'h403167c4,32'h4038a579, 32'h402bf97d,32'h403e13c1, 32'h4022ec5c,32'h404720e2,// invsqrt(0.1250) = 2.8285 +32'h3f74f9c4,32'h3f803b41,32'h3f857724, 32'h3f789cac,32'h3f89640e, 32'h3f6b86f4,32'h3f8feeea,// invsqrt(0.9569) = 1.0223 +32'h4082103a,32'h3ef8e1cb,32'h3f01852e, 32'h3ef1435f,32'h3f055464, 32'h3ee490ab,32'h3f0badbe,// invsqrt(4.0645) = 0.4960 +32'h3ffe5f41,32'h3f31f738,32'h3f393ac8, 32'h3f2c848d,32'h3f3ead73, 32'h3f23701a,32'h3f47c1e6,// invsqrt(1.9873) = 0.7094 +32'h3f9d6612,32'h3f623d94,32'h3f6b7990, 32'h3f5b5098,32'h3f72668c, 32'h3f4fc59d,32'h3f7df187,// invsqrt(1.2297) = 0.9018 +32'h3ec8da16,32'h3fc84725,32'h3fd073d9, 32'h3fc2259f,32'h3fd6955f, 32'h3fb7edbf,32'h3fe0cd3f,// invsqrt(0.3923) = 1.5966 +32'h4052ef64,32'h3f0a310b,32'h3f0fd501, 32'h3f05f612,32'h3f140ffa, 32'h3efdd23e,32'h3f1b1ced,// invsqrt(3.2959) = 0.5508 +32'h3effb246,32'h3fb18116,32'h3fb8bfd2, 32'h3fac1208,32'h3fbe2ee0, 32'h3fa3039c,32'h3fc73d4c,// invsqrt(0.4994) = 1.4151 +32'h3f5c1219,32'h3f874afa,32'h3f8cd0a6, 32'h3f8326b9,32'h3f90f4e7, 32'h3f787f43,32'h3f97dbfe,// invsqrt(0.8597) = 1.0785 +32'h4048becf,32'h3f0da7cc,32'h3f136ff3, 32'h3f0951ad,32'h3f17c611, 32'h3f02177c,32'h3f1f0042,// invsqrt(3.1366) = 0.5646 +32'h3fc19f4c,32'h3f4bfb94,32'h3f544efe, 32'h3f45bd05,32'h3f5a8d8d, 32'h3f3b54c2,32'h3f64f5d0,// invsqrt(1.5127) = 0.8131 +32'h3f8e7188,32'h3f6dd21c,32'h3f778718, 32'h3f668a5f,32'h3f7eced5, 32'h3f5a6824,32'h3f857888,// invsqrt(1.1128) = 0.9479 +32'h41551aa5,32'h3e897c8d,32'h3e8f1925, 32'h3e85471b,32'h3e934e97, 32'h3e7c86ba,32'h3e9a5255,// invsqrt(13.3190) = 0.2740 +32'h3fb58de2,32'h3f52a731,32'h3f5b404d, 32'h3f4c345c,32'h3f61b322, 32'h3f4174f9,32'h3f6c7285,// invsqrt(1.4184) = 0.8397 +32'h3f34b248,32'h3f954eb0,32'h3f9b66cc, 32'h3f90bc9b,32'h3f9ff8e1, 32'h3f891e78,32'h3fa79704,// invsqrt(0.7058) = 1.1903 +32'h3f547831,32'h3f89b113,32'h3f8f4fcf, 32'h3f857a05,32'h3f9386dd, 32'h3f7ce732,32'h3f9a8d49,// invsqrt(0.8300) = 1.0977 +32'h3f4c03c3,32'h3f8c840f,32'h3f92404e, 32'h3f8836df,32'h3f968d7d, 32'h3f810b90,32'h3f9db8cc,// invsqrt(0.7969) = 1.1202 +32'h3f470a80,32'h3f8e42b9,32'h3f941133, 32'h3f89e7dd,32'h3f986c0f, 32'h3f82a5c4,32'h3f9fae28,// invsqrt(0.7775) = 1.1341 +32'h3f083d34,32'h3fabf381,32'h3fb2f838, 32'h3fa6aff8,32'h3fb83bc2, 32'h3f9dea14,32'h3fc101a6,// invsqrt(0.5322) = 1.3708 +32'h3f24843a,32'h3f9c7a2f,32'h3fa2dd36, 32'h3f97afe9,32'h3fa7a77b, 32'h3f8fb420,32'h3fafa344,// invsqrt(0.6426) = 1.2474 +32'h3f705371,32'h3f81774b,32'h3f86c015, 32'h3f7b0168,32'h3f8ab6ac, 32'h3f6dcb70,32'h3f9151a8,// invsqrt(0.9388) = 1.0321 +32'h40112ed1,32'h3f269212,32'h3f2d5e90, 32'h3f2178b3,32'h3f3277ef, 32'h3f18f916,32'h3f3af78c,// invsqrt(2.2685) = 0.6639 +32'h3f61c7e2,32'h3f859234,32'h3f8b05e2, 32'h3f817b71,32'h3f8f1ca5, 32'h3f7555ad,32'h3f95ed3f,// invsqrt(0.8820) = 1.0648 +32'h3f1ac357,32'h3fa1552c,32'h3fa7eaef, 32'h3f9c64d9,32'h3facdb41, 32'h3f9429a5,32'h3fb51675,// invsqrt(0.6045) = 1.2861 +32'h3f8e6053,32'h3f6de07b,32'h3f77960d, 32'h3f66984d,32'h3f7ede3b, 32'h3f5a7557,32'h3f858099,// invsqrt(1.1123) = 0.9482 +32'h3f194a34,32'h3fa21b28,32'h3fa8b900, 32'h3f9d24c6,32'h3fadaf62, 32'h3f94df78,32'h3fb5f4b0,// invsqrt(0.5988) = 1.2923 +32'h40529102,32'h3f0a5000,32'h3f0ff53a, 32'h3f061415,32'h3f143125, 32'h3efe0b1b,32'h3f1b3fad,// invsqrt(3.2901) = 0.5513 +32'h3f68cb7a,32'h3f838b22,32'h3f88e9a1, 32'h3f7f0887,32'h3f8cf080, 32'h3f719c4a,32'h3f93a69f,// invsqrt(0.9094) = 1.0487 +32'h3eb3c652,32'h3fd3b171,32'h3fdc556b, 32'h3fcd3675,32'h3fe2d067, 32'h3fc2697d,32'h3fed9d5f,// invsqrt(0.3511) = 1.6876 +32'h40f89ba3,32'h3eb4045a,32'h3ebb5d58, 32'h3eae819b,32'h3ec0e017, 32'h3ea5525d,32'h3eca0f55,// invsqrt(7.7690) = 0.3588 +32'h3ffbf727,32'h3f32d048,32'h3f3a1cb4, 32'h3f2d56f8,32'h3f3f9604, 32'h3f243771,32'h3f48b58b,// invsqrt(1.9685) = 0.7127 +32'h3e772d81,32'h3fff5165,32'h4004de9a, 32'h3ff78088,32'h4008c708, 32'h3fea79c4,32'h400f4a6a,// invsqrt(0.2414) = 2.0354 +32'h3f70089b,32'h3f818b78,32'h3f86d514, 32'h3f7b2885,32'h3f8acc4a, 32'h3f6df07e,32'h3f91684d,// invsqrt(0.9376) = 1.0327 +32'h3f5e10cf,32'h3f86af0d,32'h3f8c2e5b, 32'h3f828f91,32'h3f904dd7, 32'h3f7760de,32'h3f972cf9,// invsqrt(0.8674) = 1.0737 +32'h3ecc10d2,32'h3fc6b1d0,32'h3fcecdf8, 32'h3fc09cb2,32'h3fd4e316, 32'h3fb67980,32'h3fdf0648,// invsqrt(0.3986) = 1.5840 +32'h3d02f55a,32'h40af623d,32'h40b68ad2, 32'h40aa03ce,32'h40bbe942, 32'h40a11114,32'h40c4dbfc,// invsqrt(0.0320) = 5.5926 +32'h3d8dd865,32'h406e525a,32'h40780c92, 32'h406706b0,32'h407f583c, 32'h405addea,32'h4085c081,// invsqrt(0.0693) = 3.7998 +32'h3fdd51e3,32'h3f3ecad4,32'h3f469469, 32'h3f38f3a3,32'h3f4c6b99, 32'h3f2f37a8,32'h3f562794,// invsqrt(1.7291) = 0.7605 +32'h405d37dd,32'h3f06f107,32'h3f0c7307, 32'h3f02cf87,32'h3f109487, 32'h3ef7da0d,32'h3f177708,// invsqrt(3.4565) = 0.5379 +32'h408a41eb,32'h3ef164e5,32'h3efb3f37, 32'h3eea0127,32'h3f01517b, 32'h3eddb040,32'h3f0779ee,// invsqrt(4.3205) = 0.4811 +32'h3ea2d127,32'h3fde71b9,32'h3fe7860a, 32'h3fd7a27d,32'h3fee5547, 32'h3fcc4918,32'h3ff9aeac,// invsqrt(0.3180) = 1.7733 +32'h3efb5072,32'h3fb30b8d,32'h3fba5a63, 32'h3fad906c,32'h3fbfd584, 32'h3fa46ddf,32'h3fc8f811,// invsqrt(0.4908) = 1.4273 +32'h3f9f0a73,32'h3f6111cd,32'h3f6a418d, 32'h3f5a2dfe,32'h3f71255c, 32'h3f4eb24f,32'h3f7ca10b,// invsqrt(1.2425) = 0.8971 +32'h3fb62ddd,32'h3f524a9f,32'h3f5adff3, 32'h3f4bda9f,32'h3f614ff3, 32'h3f411ff5,32'h3f6c0a9d,// invsqrt(1.4233) = 0.8382 +32'h3e166831,32'h4023a6fb,32'h402a54fa, 32'h401ea47a,32'h402f577a, 32'h40164afb,32'h4037b0f9,// invsqrt(0.1469) = 2.6093 +32'h408447b0,32'h3ef6c9b5,32'h3f006e32, 32'h3eef3bb2,32'h3f043533, 32'h3ee2a458,32'h3f0a80e0,// invsqrt(4.1338) = 0.4918 +32'h3ee6130c,32'h3fbb208f,32'h3fc2c3d9, 32'h3fb56618,32'h3fc87e50, 32'h3fabd9fc,32'h3fd20a6c,// invsqrt(0.4494) = 1.4918 +32'h40526747,32'h3f0a5db7,32'h3f100380, 32'h3f062161,32'h3f143fd7, 32'h3efe244c,32'h3f1b4f12,// invsqrt(3.2876) = 0.5515 +32'h3f111041,32'h3fa6a39d,32'h3fad70d3, 32'h3fa189b5,32'h3fb28abb, 32'h3f990932,32'h3fbb0b3e,// invsqrt(0.5667) = 1.3284 +32'h3fdd972c,32'h3f3eacfd,32'h3f46755b, 32'h3f38d6b7,32'h3f4c4ba1, 32'h3f2f1c41,32'h3f560617,// invsqrt(1.7312) = 0.7600 +32'h3f49a228,32'h3f8d57d9,32'h3f931cbd, 32'h3f89042e,32'h3f977068, 32'h3f81ce10,32'h3f9ea686,// invsqrt(0.7876) = 1.1268 +32'h3f9c6fae,32'h3f62ef79,32'h3f6c32b7, 32'h3f5bfd0a,32'h3f732526, 32'h3f5068fc,32'h3f7eb934,// invsqrt(1.2222) = 0.9046 +32'h3f81c082,32'h3f792e34,32'h3f81acf1, 32'h3f718d71,32'h3f857d53, 32'h3f64d6d7,32'h3f8bd8a0,// invsqrt(1.0137) = 0.9932 +32'h3e7ceef0,32'h3ffc6574,32'h4003595e, 32'h3ff4ab7d,32'h40073659, 32'h3fe7cae2,32'h400da6a7,// invsqrt(0.2470) = 2.0121 +32'h3f5e236a,32'h3f86a969,32'h3f8c287d, 32'h3f828a1a,32'h3f9047cc, 32'h3f775682,32'h3f9726a5,// invsqrt(0.8677) = 1.0735 +32'h3f23b026,32'h3f9cdf6c,32'h3fa34696, 32'h3f98120e,32'h3fa813f4, 32'h3f90111a,32'h3fb014e8,// invsqrt(0.6394) = 1.2506 +32'h3f64ca76,32'h3f84b086,32'h3f8a1afe, 32'h3f80a0ac,32'h3f8e2ad8, 32'h3f73b72a,32'h3f94efef,// invsqrt(0.8937) = 1.0578 +32'h4027a3d3,32'h3f1b0340,32'h3f2156fa, 32'h3f164475,32'h3f2615c5, 32'h3f0e5bcd,32'h3f2dfe6d,// invsqrt(2.6194) = 0.6179 +32'h3f801fd6,32'h3f7ac21a,32'h3f827f23, 32'h3f7314fa,32'h3f8655b3, 32'h3f6649c5,32'h3f8cbb4e,// invsqrt(1.0010) = 0.9995 +32'h3f655c88,32'h3f84863e,32'h3f89eefd, 32'h3f8077b0,32'h3f8dfd8c, 32'h3f736983,32'h3f94c07b,// invsqrt(0.8959) = 1.0565 +32'h3ed5f2a6,32'h3fc20d31,32'h3fc9f8d5, 32'h3fbc1c76,32'h3fcfe990, 32'h3fb235e9,32'h3fd9d01d,// invsqrt(0.4179) = 1.5470 +32'h400a3f7f,32'h3f2ab280,32'h3f31aa1c, 32'h3f2578ca,32'h3f36e3d2, 32'h3f1cc346,32'h3f3f9956,// invsqrt(2.1601) = 0.6804 +32'h3ec1ed33,32'h3fcbd297,32'h3fd42455, 32'h3fc5954a,32'h3fda61a2, 32'h3fbb2f1d,32'h3fe4c7cf,// invsqrt(0.3788) = 1.6249 +32'h3f7668f3,32'h3f7fb725,32'h3f85138e, 32'h3f77e32b,32'h3f88fd8a, 32'h3f6ad736,32'h3f8f8385,// invsqrt(0.9625) = 1.0193 +32'h41525b64,32'h3e8a61a0,32'h3e900792, 32'h3e86252b,32'h3e944407, 32'h3e7e2b7a,32'h3e9b5375,// invsqrt(13.1473) = 0.2758 +32'h40336b9c,32'h3f15d65f,32'h3f1bf405, 32'h3f114023,32'h3f208a41, 32'h3f099b13,32'h3f282f51,// invsqrt(2.8034) = 0.5972 +32'h3f14901b,32'h3fa4aa32,32'h3fab62c6, 32'h3f9f9fc3,32'h3fb06d35, 32'h3f973909,32'h3fb8d3ef,// invsqrt(0.5803) = 1.3127 +32'h3f064677,32'h3fad343c,32'h3fb4460b, 32'h3fa7e6e2,32'h3fb99366, 32'h3f9f10a0,32'h3fc269a8,// invsqrt(0.5245) = 1.3808 +32'h40317737,32'h3f16a90a,32'h3f1ccf49, 32'h3f120c5a,32'h3f216bf8, 32'h3f0a5c8b,32'h3f291bc7,// invsqrt(2.7729) = 0.6005 +32'h3ebc7782,32'h3fcec0fb,32'h3fd73159, 32'h3fc86cb5,32'h3fdd859f, 32'h3fbde03f,32'h3fe81215,// invsqrt(0.3681) = 1.6482 +32'h3faea30d,32'h3f56c8d7,32'h3f5f8d1f, 32'h3f5035a2,32'h3f662054, 32'h3f454048,32'h3f7115ae,// invsqrt(1.3644) = 0.8561 +32'h3f73f61b,32'h3f807f6c,32'h3f85be18, 32'h3f7920d7,32'h3f89ad18, 32'h3f6c042a,32'h3f903b6f,// invsqrt(0.9530) = 1.0244 +32'h401b19c4,32'h3f212832,32'h3f27bc20, 32'h3f1c3941,32'h3f2cab11, 32'h3f140058,32'h3f34e3fa,// invsqrt(2.4234) = 0.6424 +32'h40665ef9,32'h3f043bd3,32'h3f09a189, 32'h3f002f8c,32'h3f0dadd0, 32'h3ef2e0d3,32'h3f146cf3,// invsqrt(3.5995) = 0.5271 +32'h3e90d75c,32'h3febd813,32'h3ff57867, 32'h3fe49fd4,32'h3ffcb0a6, 32'h3fd8976a,32'h40045c88,// invsqrt(0.2829) = 1.8801 +32'h401cfe7d,32'h3f202ea7,32'h3f26b865, 32'h3f1b4759,32'h3f2b9fb3, 32'h3f131b2c,32'h3f33cbe0,// invsqrt(2.4530) = 0.6385 +32'h3bea73e2,32'h41395f28,32'h4140f01a, 32'h4133b273,32'h41469ccf, 32'h412a3d44,32'h415011fe,// invsqrt(0.0072) = 11.8222 +32'h3e69635b,32'h4003604e,32'h4008bd0e, 32'h3ffeb57e,32'h400cc29d, 32'h3ff14da0,32'h4013768c,// invsqrt(0.2279) = 2.0946 +32'h3fda3335,32'h3f4026c4,32'h3f47fe8e, 32'h3f3a44ed,32'h3f4de065, 32'h3f307732,32'h3f57ae20,// invsqrt(1.7047) = 0.7659 +32'h3f42ffe3,32'h3f8fba2f,32'h3f9597fd, 32'h3f8b53d5,32'h3f99fe57, 32'h3f83fe94,32'h3fa15398,// invsqrt(0.7617) = 1.1458 +32'h46b96600,32'h3bd0752d,32'h3bd8f759, 32'h3bca138c,32'h3bdf58fa, 32'h3bbf70d6,32'h3be9fbb0,// invsqrt(23731.0000) = 0.0065 +32'h3d4cb0de,32'h408c4897,32'h40920269, 32'h4087fd3a,32'h40964dc6, 32'h4080d4f3,32'h409d760d,// invsqrt(0.0500) = 4.4733 +32'h3f056a5e,32'h3fadc2e0,32'h3fb4da80, 32'h3fa87127,32'h3fba2c39, 32'h3f9f939e,32'h3fc309c2,// invsqrt(0.5212) = 1.3852 +32'h3dd7626b,32'h4041673e,32'h40494c1c, 32'h403b7b98,32'h404f37c2, 32'h40319d82,32'h405915d8,// invsqrt(0.1052) = 3.0836 +32'h3f96989e,32'h3f674b39,32'h3f70bc02, 32'h3f6036a3,32'h3f77d099, 32'h3f5469a8,32'h3f81ceca,// invsqrt(1.1765) = 0.9219 +32'h3f75a5d9,32'h3f800e4f,32'h3f85485c, 32'h3f784588,32'h3f8933e6, 32'h3f6b3466,32'h3f8fbc77,// invsqrt(0.9596) = 1.0209 +32'h3f74cba4,32'h3f804755,32'h3f8583b7, 32'h3f78b418,32'h3f897100, 32'h3f6b9d24,32'h3f8ffc7a,// invsqrt(0.9562) = 1.0226 +32'h40913216,32'h3eeb8e58,32'h3ef52baa, 32'h3ee4585b,32'h3efc61a7, 32'h3ed853b4,32'h3f043327,// invsqrt(4.5374) = 0.4695 +32'h41a712c5,32'h3e5b97ad,32'h3e648e31, 32'h3e54dec9,32'h3e6b4715, 32'h3e49aaa4,32'h3e767b3a,// invsqrt(20.8842) = 0.2188 +32'h3f9f86d1,32'h3f60ba01,32'h3f69e62b, 32'h3f59d8e2,32'h3f70c74a, 32'h3f4e61ad,32'h3f7c3e7f,// invsqrt(1.2463) = 0.8958 +32'h3f879a5b,32'h3f73bed4,32'h3f7db1b8, 32'h3f6c48a9,32'h3f8293f2, 32'h3f5fd90c,32'h3f88cbc0,// invsqrt(1.0594) = 0.9716 +32'h3f6ec6bd,32'h3f81e2ab,32'h3f872fd7, 32'h3f7bd195,32'h3f8b29b7, 32'h3f6e90a8,32'h3f91ca2e,// invsqrt(0.9327) = 1.0354 +32'h3ec03140,32'h3fccbd78,32'h3fd518cc, 32'h3fc678fa,32'h3fdb5d4a, 32'h3fbc06d2,32'h3fe5cf72,// invsqrt(0.3754) = 1.6322 +32'h3eba1061,32'h3fd015a6,32'h3fd893ec, 32'h3fc9b6f2,32'h3fdef2a0, 32'h3fbf191b,32'h3fe99077,// invsqrt(0.3634) = 1.6588 +32'h4074ed7f,32'h3f003e77,32'h3f057a7b, 32'h3ef8a2e6,32'h3f09677f, 32'h3eeb8cda,32'h3f0ff285,// invsqrt(3.8270) = 0.5112 +32'h3f3c0ddd,32'h3f925b7c,32'h3f9854c4, 32'h3f8de085,32'h3f9ccfbb, 32'h3f8668ea,32'h3fa44756,// invsqrt(0.7346) = 1.1668 +32'h3f4af718,32'h3f8ce0f0,32'h3f92a0fa, 32'h3f8890e9,32'h3f96f101, 32'h3f8160dc,32'h3f9e210e,// invsqrt(0.7928) = 1.1231 +32'h3f06d359,32'h3facd9a7,32'h3fb3e7c3, 32'h3fa78f12,32'h3fb93258, 32'h3f9ebd70,32'h3fc203fa,// invsqrt(0.5267) = 1.3780 +32'h3e9202e8,32'h3feae5aa,32'h3ff47c1a, 32'h3fe3b4d7,32'h3ffbaced, 32'h3fd7b8cb,32'h4003d47c,// invsqrt(0.2852) = 1.8726 +32'h42f394b2,32'h3db5dd7a,32'h3dbd49c8, 32'h3db04c3f,32'h3dc2db03, 32'h3da704de,32'h3dcc2264,// invsqrt(121.7904) = 0.0906 +32'h3f7ed652,32'h3f7b73a4,32'h3f82db88, 32'h3f73c116,32'h3f86b4cf, 32'h3f66ecd1,32'h3f8d1ef2,// invsqrt(0.9955) = 1.0023 +32'h3f13c41b,32'h3fa51bb5,32'h3fabd8eb, 32'h3fa00dcc,32'h3fb0e6d4, 32'h3f97a148,32'h3fb95358,// invsqrt(0.5772) = 1.3162 +32'h3fbf85e7,32'h3f4d18fa,32'h3f557809, 32'h3f46d1ae,32'h3f5bbf54, 32'h3f3c5ada,32'h3f663628,// invsqrt(1.4963) = 0.8175 +32'h3e3727c3,32'h40144d3f,32'h401a5ad9, 32'h400fc30b,32'h401ee50d, 32'h4008320b,32'h4026760d,// invsqrt(0.1789) = 2.3645 +32'h3f96f182,32'h3f670715,32'h3f707515, 32'h3f5ff494,32'h3f778796, 32'h3f542b13,32'h3f81a88c,// invsqrt(1.1792) = 0.9209 +32'h3f769180,32'h3f7fa21d,32'h3f85089c, 32'h3f77cec8,32'h3f88f246, 32'h3f6ac3e6,32'h3f8f77b7,// invsqrt(0.9632) = 1.0189 +32'h3f2e6777,32'h3f97fa17,32'h3f9e2e17, 32'h3f935316,32'h3fa2d518, 32'h3f8b9215,32'h3faa9619,// invsqrt(0.6813) = 1.2116 +32'h4041afae,32'h3f1036b8,32'h3f16199a, 32'h3f0bcc8d,32'h3f1a83c5, 32'h3f0470f2,32'h3f21df60,// invsqrt(3.0263) = 0.5748 +32'h3ebb4645,32'h3fcf6935,32'h3fd7e071, 32'h3fc90fc8,32'h3fde39de, 32'h3fbe7abe,32'h3fe8cee8,// invsqrt(0.3658) = 1.6535 +32'h3e338940,32'h4015ca00,32'h401be724, 32'h40113424,32'h40207d00, 32'h40098fb7,32'h4028216d,// invsqrt(0.1753) = 2.3882 +32'h4000e22a,32'h3f30ca37,32'h3f38017d, 32'h3f2b60c2,32'h3f3d6af2, 32'h3f225bab,32'h3f467009,// invsqrt(2.0138) = 0.7047 +32'h4251b4ae,32'h3e0a9896,32'h3e1040c6, 32'h3e065a72,32'h3e147eea, 32'h3dfe906c,32'h3e1b9126,// invsqrt(52.4264) = 0.1381 +32'h40a9b7a2,32'h3ed9e012,32'h3ee2c4a6, 32'h3ed334a4,32'h3ee97014, 32'h3ec816ec,32'h3ef48dcc,// invsqrt(5.3037) = 0.4342 +32'h3fb9fe6a,32'h3f501fb3,32'h3f589e61, 32'h3f49c0b0,32'h3f5efd64, 32'h3f3f2256,32'h3f699bbe,// invsqrt(1.4531) = 0.8296 +32'h40001092,32'h3f315aa7,32'h3f3897d3, 32'h3f2becc7,32'h3f3e05b3, 32'h3f22e050,32'h3f47122a,// invsqrt(2.0010) = 0.7069 +32'h3fc043ca,32'h3f4cb399,32'h3f550e85, 32'h3f466f68,32'h3f5b52b6, 32'h3f3bfdc1,32'h3f65c45d,// invsqrt(1.5021) = 0.8159 +32'h3f786987,32'h3f7eaec9,32'h3f8489fb, 32'h3f76e2e8,32'h3f886fec, 32'h3f69e470,32'h3f8eef28,// invsqrt(0.9704) = 1.0152 +32'h41939884,32'h3e69a209,32'h3e732b42, 32'h3e627b1d,32'h3e7a522d, 32'h3e568f94,32'h3e831edb,// invsqrt(18.4495) = 0.2328 +32'h3fd4b588,32'h3f429da2,32'h3f4a8f2b, 32'h3f3ca87a,32'h3f508452, 32'h3f32ba8f,32'h3f5a723d,// invsqrt(1.6618) = 0.7757 +32'h3dbf07a1,32'h404d5cb8,32'h4055be8b, 32'h40471359,32'h405c07e9, 32'h403c9911,32'h40668231,// invsqrt(0.0933) = 3.2743 +32'h3f14ac8a,32'h3fa49a72,32'h3fab5262, 32'h3f9f907e,32'h3fb05c56, 32'h3f972a93,32'h3fb8c241,// invsqrt(0.5808) = 1.3122 +32'h3f062427,32'h3fad4a62,32'h3fb45d18, 32'h3fa7fc5a,32'h3fb9ab20, 32'h3f9f24f7,32'h3fc28283,// invsqrt(0.5240) = 1.3815 +32'h3faeb0cd,32'h3f56c063,32'h3f5f8452, 32'h3f502d6f,32'h3f661745, 32'h3f453884,32'h3f710c30,// invsqrt(1.3648) = 0.8560 +32'h3f49982d,32'h3f8d5b58,32'h3f932061, 32'h3f890792,32'h3f977428, 32'h3f81d147,32'h3f9eaa73,// invsqrt(0.7875) = 1.1269 +32'h3f943e8a,32'h3f691f10,32'h3f72a2f2, 32'h3f61fc27,32'h3f79c5db, 32'h3f56174e,32'h3f82d55a,// invsqrt(1.1582) = 0.9292 +32'h3f12f447,32'h3fa5904c,32'h3fac5244, 32'h3fa07ed1,32'h3fb163bf, 32'h3f980c5a,32'h3fb9d636,// invsqrt(0.5740) = 1.3199 +32'h3fb34c37,32'h3f53f97a,32'h3f5ca064, 32'h3f4d7c49,32'h3f631d95, 32'h3f42aba4,32'h3f6dee3a,// invsqrt(1.4008) = 0.8449 +32'h3ea3ee87,32'h3fddafc7,32'h3fe6bc2d, 32'h3fd6e67a,32'h3fed857a, 32'h3fcb96fb,32'h3ff8d4f9,// invsqrt(0.3202) = 1.7673 +32'h3eadb64d,32'h3fd75b02,32'h3fe02541, 32'h3fd0c354,32'h3fe6bcf0, 32'h3fc5c685,32'h3ff1b9bf,// invsqrt(0.3393) = 1.7168 +32'h405a78eb,32'h3f07c971,32'h3f0d5447, 32'h3f03a151,32'h3f117c67, 32'h3ef9678c,32'h3f1869f2,// invsqrt(3.4136) = 0.5412 +32'h3f44e9a1,32'h3f8f0704,32'h3f94dd82, 32'h3f8aa626,32'h3f993e60, 32'h3f835a09,32'h3fa08a7d,// invsqrt(0.7692) = 1.1402 +32'h3f0e7b89,32'h3fa82440,32'h3faf0128, 32'h3fa2fe91,32'h3fb426d7, 32'h3f9a6a6f,32'h3fbcbaf9,// invsqrt(0.5566) = 1.3404 +32'h3e8de610,32'h3fee46df,32'h3ff8009f, 32'h3fe6fb8f,32'h3fff4bef, 32'h3fdad35f,32'h4005ba0f,// invsqrt(0.2771) = 1.8995 +32'h3f6c75dc,32'h3f82851a,32'h3f87d8e6, 32'h3f7d0c80,32'h3f8bd7c0, 32'h3f6fbb00,32'h3f928080,// invsqrt(0.9237) = 1.0405 +32'h3f931500,32'h3f6a0a65,32'h3f7397e1, 32'h3f62e048,32'h3f7ac1fe, 32'h3f56ef6c,32'h3f83596d,// invsqrt(1.1491) = 0.9329 +32'h406ad9a8,32'h3f02f773,32'h3f084feb, 32'h3efdea33,32'h3f0c5245, 32'h3ef08d08,32'h3f1300da,// invsqrt(3.6695) = 0.5220 +32'h3f840a57,32'h3f770303,32'h3f808c04, 32'h3f6f733e,32'h3f8453e6, 32'h3f62d8f8,32'h3f8aa109,// invsqrt(1.0316) = 0.9846 +32'h3ed8c215,32'h3fc0ca1b,32'h3fc8a88f, 32'h3fbae344,32'h3fce8f66, 32'h3fb10d33,32'h3fd86577,// invsqrt(0.4234) = 1.5369 +32'h3f8634a1,32'h3f7502d7,32'h3f7f02f4, 32'h3f6d82c0,32'h3f834185, 32'h3f61029b,32'h3f898198,// invsqrt(1.0485) = 0.9766 +32'h4096a1d6,32'h3ee74425,32'h3ef0b4a4, 32'h3ee02fc6,32'h3ef7c904, 32'h3ed46328,32'h3f01cad1,// invsqrt(4.7073) = 0.4609 +32'h3f9055cf,32'h3f6c41d3,32'h3f75e679, 32'h3f650657,32'h3f7d21f5, 32'h3f58f889,32'h3f8497e2,// invsqrt(1.1276) = 0.9417 +32'h3d929ea4,32'h406a68c9,32'h4073fa20, 32'h40633bc9,32'h407b2721, 32'h4057461c,32'h40838e67,// invsqrt(0.0716) = 3.7374 +32'h3f8f3aa2,32'h3f6d2aed,32'h3f76d916, 32'h3f65e84e,32'h3f7e1bb4, 32'h3f59ce9a,32'h3f851ab4,// invsqrt(1.1190) = 0.9453 +32'h40404a59,32'h3f10bc78,32'h3f16a4d1, 32'h3f0c4e36,32'h3f1b1314, 32'h3f04ebc8,32'h3f227582,// invsqrt(3.0045) = 0.5769 +32'h3e8ef85f,32'h3fed61dc,32'h3ff71242, 32'h3fe61d8e,32'h3ffe5690, 32'h3fda010e,32'h40053988,// invsqrt(0.2792) = 1.8924 +32'h409ac9ed,32'h3ee423d2,32'h3eed73a6, 32'h3edd27f3,32'h3ef46f85, 32'h3ed18429,32'h3f0009a7,// invsqrt(4.8371) = 0.4547 +32'h3d7110f3,32'h4081445e,32'h40868b14, 32'h407a9eac,32'h408a801c, 32'h406d6de7,32'h4091187f,// invsqrt(0.0589) = 4.1220 +32'h4083ff58,32'h3ef70d4c,32'h3f00915f, 32'h3eef7d38,32'h3f045969, 32'h3ee2e26b,32'h3f0aa6d0,// invsqrt(4.1249) = 0.4924 +32'h400077a3,32'h3f311374,32'h3f384db8, 32'h3f2ba7c2,32'h3f3db96a, 32'h3f229eed,32'h3f46c23f,// invsqrt(2.0073) = 0.7058 +32'h3eebe0e1,32'h3fb8cf84,32'h3fc05a9a, 32'h3fb32735,32'h3fc602e9, 32'h3fa9b95a,32'h3fcf70c4,// invsqrt(0.4607) = 1.4733 +32'h3fd0b755,32'h3f4477f2,32'h3f4c7cd8, 32'h3f3e7446,32'h3f528084, 32'h3f346e28,32'h3f5c86a2,// invsqrt(1.6306) = 0.7831 +32'h400df67c,32'h3f2872f8,32'h3f2f5318, 32'h3f234ae1,32'h3f347b2f, 32'h3f1ab2ba,32'h3f3d1356,// invsqrt(2.2182) = 0.6714 +32'h3f145943,32'h3fa4c89f,32'h3fab8271, 32'h3f9fbd41,32'h3fb08dcf, 32'h3f9754fa,32'h3fb8f616,// invsqrt(0.5795) = 1.3136 +32'h3e22ee26,32'h401d3cb5,32'h4023a7ad, 32'h40186c7b,32'h402877e7, 32'h401066c6,32'h40307d9c,// invsqrt(0.1591) = 2.5070 +32'h3f6fa417,32'h3f81a6a0,32'h3f86f159, 32'h3f7b5d2e,32'h3f8ae963, 32'h3f6e2261,32'h3f9186ca,// invsqrt(0.9361) = 1.0336 +32'h3f928812,32'h3f6a7ad6,32'h3f740cea, 32'h3f634d48,32'h3f7b3a78, 32'h3f5756b0,32'h3f839888,// invsqrt(1.1448) = 0.9346 +32'h3d459292,32'h408ec9d0,32'h40949dce, 32'h408a6ad1,32'h4098fccd, 32'h408321d4,32'h40a045ca,// invsqrt(0.0482) = 4.5532 +32'h3f9a7d4b,32'h3f645c61,32'h3f6dae84, 32'h3f5d5ec6,32'h3f74ac1e, 32'h3f51b81a,32'h3f802965,// invsqrt(1.2069) = 0.9102 +32'h3fe508be,32'h3f3b8d39,32'h3f4334f3, 32'h3f35cf6e,32'h3f48f2be, 32'h3f2c3dc7,32'h3f528465,// invsqrt(1.7893) = 0.7476 +32'h3f6f80d7,32'h3f81b02b,32'h3f86fb47, 32'h3f7b6fac,32'h3f8af39c, 32'h3f6e33e7,32'h3f91917f,// invsqrt(0.9356) = 1.0339 +32'h3f83093b,32'h3f77f4e2,32'h3f8109e3, 32'h3f705db6,32'h3f84d579, 32'h3f63b718,32'h3f8b28c8,// invsqrt(1.0237) = 0.9883 +32'h3f163f06,32'h3fa3bd65,32'h3faa6c4f, 32'h3f9eba36,32'h3faf6f7e, 32'h3f965f91,32'h3fb7ca23,// invsqrt(0.5869) = 1.3053 +32'h3fe98acd,32'h3f39bb92,32'h3f415049, 32'h3f340c08,32'h3f46ffd2, 32'h3f2a9222,32'h3f5079b8,// invsqrt(1.8245) = 0.7403 +32'h4023ebd0,32'h3f1cc2dd,32'h3f2328db, 32'h3f17f65e,32'h3f27f55a, 32'h3f0ff6e0,32'h3f2ff4d8,// invsqrt(2.5613) = 0.6248 +32'h3ee826a4,32'h3fba49d4,32'h3fc1e45a, 32'h3fb495ef,32'h3fc7983f, 32'h3fab14c8,32'h3fd11966,// invsqrt(0.4534) = 1.4851 +32'h409a7322,32'h3ee463e3,32'h3eedb655, 32'h3edd660e,32'h3ef4b42a, 32'h3ed1bf00,32'h3f002d9c,// invsqrt(4.8266) = 0.4552 +32'h40086228,32'h3f2bdc34,32'h3f32dff8, 32'h3f269962,32'h3f3822ca, 32'h3f1dd4ad,32'h3f40e77f,// invsqrt(2.1310) = 0.6850 +32'h3e598812,32'h40081488,32'h400da26e, 32'h4003ea1b,32'h4011ccdb, 32'h3ff9f177,32'h4018be3a,// invsqrt(0.2124) = 2.1696 +32'h40441aa0,32'h3f0f526d,32'h3f152bff, 32'h3f0aef40,32'h3f198f2c, 32'h3f039f4a,32'h3f20df22,// invsqrt(3.0641) = 0.5713 +32'h3eec7d76,32'h3fb8924c,32'h3fc01ae1, 32'h3fb2ebdb,32'h3fc5c151, 32'h3fa98121,32'h3fcf2c0b,// invsqrt(0.4619) = 1.4714 +32'h3f8da8b1,32'h3f6e7a77,32'h3f783653, 32'h3f672d93,32'h3f7f8337, 32'h3f5b02c1,32'h3f85d704,// invsqrt(1.1067) = 0.9506 +32'h3f49cea8,32'h3f8d4842,32'h3f930c84, 32'h3f88f511,32'h3f975fb5, 32'h3f81bfc0,32'h3f9e9506,// invsqrt(0.7883) = 1.1263 +32'h3edf6eea,32'h3fbde348,32'h3fc5a36b, 32'h3fb8132f,32'h3fcb7385, 32'h3fae6304,32'h3fd523b0,// invsqrt(0.4364) = 1.5138 +32'h3e6e351c,32'h40020a59,32'h40075923, 32'h3ffc1e83,32'h400b543b, 32'h3feed989,32'h4011f6b7,// invsqrt(0.2326) = 2.0733 +32'h3f29df26,32'h3f99fdb7,32'h3fa046c3, 32'h3f9546ed,32'h3fa4fd8d, 32'h3f8d6b9d,32'h3facd8dd,// invsqrt(0.6636) = 1.2276 +32'h403fa403,32'h3f10fb3b,32'h3f16e623, 32'h3f0c8b0d,32'h3f1b5651, 32'h3f05256a,32'h3f22bbf4,// invsqrt(2.9944) = 0.5779 +32'h3f057eb3,32'h3fadb5a4,32'h3fb4ccba, 32'h3fa86453,32'h3fba1e0b, 32'h3f9f8777,32'h3fc2fae7,// invsqrt(0.5215) = 1.3848 +32'h408e2500,32'h3eee1219,32'h3ef7c9b2, 32'h3ee6c867,32'h3eff1365, 32'h3edaa2e9,32'h3f059c72,// invsqrt(4.4420) = 0.4745 +32'h418a2544,32'h3e717dec,32'h3e7b5944, 32'h3e6a196a,32'h3e815ee3, 32'h3e5dc73c,32'h3e8787fa,// invsqrt(17.2682) = 0.2406 +32'h3f098b7e,32'h3fab220d,32'h3fb21e37, 32'h3fa5e4ed,32'h3fb75b57, 32'h3f9d29b8,32'h3fc0168c,// invsqrt(0.5373) = 1.3643 +32'h3fac0503,32'h3f586991,32'h3f613edb, 32'h3f51c99a,32'h3f67ded2, 32'h3f46befd,32'h3f72e96f,// invsqrt(1.3439) = 0.8626 +32'h408d9b1c,32'h3eee85e7,32'h3ef84239, 32'h3ee738a9,32'h3eff8f77, 32'h3edb0d42,32'h3f05dd6f,// invsqrt(4.4252) = 0.4754 +32'h40b6af15,32'h3ed20032,32'h3eda927e, 32'h3ecb927a,32'h3ee10036, 32'h3ec0db9c,32'h3eebb714,// invsqrt(5.7089) = 0.4185 +32'h3e6dac76,32'h40022fb5,32'h40078006, 32'h3ffc66f3,32'h400b7c43, 32'h3fef1e29,32'h401220a7,// invsqrt(0.2321) = 2.0757 +32'h3ff9a84a,32'h3f33a365,32'h3f3af86e, 32'h3f2e239d,32'h3f407835, 32'h3f24f952,32'h3f49a280,// invsqrt(1.9504) = 0.7160 +32'h3da9145c,32'h405a492b,32'h40633209, 32'h40539a86,32'h4069e0ae, 32'h40487771,32'h407503c3,// invsqrt(0.0826) = 3.4803 +32'h3f9cd3b7,32'h3f62a70d,32'h3f6be757, 32'h3f5bb6d6,32'h3f72d78e, 32'h3f50267a,32'h3f7e67ea,// invsqrt(1.2252) = 0.9034 +32'h3f04bc80,32'h3fae3488,32'h3fb550cc, 32'h3fa8df55,32'h3fbaa5ff, 32'h3f9ffbff,32'h3fc38955,// invsqrt(0.5185) = 1.3888 +32'h41276be3,32'h3e9b1d23,32'h3ea171eb, 32'h3e965d8d,32'h3ea63181, 32'h3e8e7393,32'h3eae1b7b,// invsqrt(10.4638) = 0.3091 +32'h3f9bf0f7,32'h3f634b9a,32'h3f6c929c, 32'h3f5c565a,32'h3f7387dc, 32'h3f50bd98,32'h3f7f209e,// invsqrt(1.2183) = 0.9060 +32'h3fbc0bdf,32'h3f4efc1f,32'h3f576ee7, 32'h3f48a609,32'h3f5dc4fd, 32'h3f3e1690,32'h3f685477,// invsqrt(1.4691) = 0.8250 +32'h3fa05949,32'h3f602654,32'h3f694c77, 32'h3f5949ba,32'h3f702912, 32'h3f4dda0f,32'h3f7b98bd,// invsqrt(1.2527) = 0.8935 +32'h40b2b469,32'h3ed4536f,32'h3edcfe06, 32'h3ecdd37e,32'h3ee37df8, 32'h3ec2fe42,32'h3eee5334,// invsqrt(5.5845) = 0.4232 +32'h3e960a2f,32'h3fe7b8e8,32'h3ff12e2b, 32'h3fe0a0f6,32'h3ff8461e, 32'h3fd4ce63,32'h40020c59,// invsqrt(0.2930) = 1.8473 +32'h3d23df30,32'h409cc8e7,32'h40a32f25, 32'h4097fc39,32'h40a7fbd3, 32'h408ffc6c,32'h40affba0,// invsqrt(0.0400) = 4.9995 +32'h3fb1c85d,32'h3f54e035,32'h3f5d908b, 32'h3f4e5bf5,32'h3f6414cb, 32'h3f437f8a,32'h3f6ef136,// invsqrt(1.3889) = 0.8485 +32'h3f8ffc1b,32'h3f6c8b60,32'h3f763306, 32'h3f654da4,32'h3f7d70c2, 32'h3f593c14,32'h3f84c129,// invsqrt(1.1249) = 0.9429 +32'h3f6ffa17,32'h3f818f63,32'h3f86d929, 32'h3f7b301f,32'h3f8ad07d, 32'h3f6df7b1,32'h3f916cb3,// invsqrt(0.9374) = 1.0328 +32'h3f13106a,32'h3fa58075,32'h3fac41c8, 32'h3fa06f76,32'h3fb152c6, 32'h3f97fdce,32'h3fb9c46e,// invsqrt(0.5745) = 1.3194 +32'h3f3585e5,32'h3f94f78f,32'h3f9b0c1d, 32'h3f906825,32'h3f9f9b87, 32'h3f88ce74,32'h3fa73538,// invsqrt(0.7091) = 1.1876 +32'h4020a542,32'h3f1e59f0,32'h3f24d08c, 32'h3f1980fb,32'h3f29a981, 32'h3f116cb8,32'h3f31bdc4,// invsqrt(2.5101) = 0.6312 +32'h3f8258de,32'h3f789c68,32'h3f816112, 32'h3f71001c,32'h3f852f38, 32'h3f6450f2,32'h3f8b86cd,// invsqrt(1.0183) = 0.9910 +32'h3d099ee7,32'h40ab15fb,32'h40b211a7, 32'h40a5d93a,32'h40b74e68, 32'h409d1ea2,32'h40c00900,// invsqrt(0.0336) = 5.4555 +32'h44cd138e,32'h3cc63451,32'h3cce4b59, 32'h3cc0230a,32'h3cd45ca0, 32'h3cb60640,32'h3cde796a,// invsqrt(1640.6111) = 0.0247 +32'h3f3baca4,32'h3f928160,32'h3f987c34, 32'h3f8e0540,32'h3f9cf854, 32'h3f868bb6,32'h3fa471de,// invsqrt(0.7331) = 1.1679 +32'h3dbc4f0f,32'h404ed72f,32'h40574874, 32'h4048823a,32'h405d9d68, 32'h403df4a2,32'h40682b00,// invsqrt(0.0919) = 3.2978 +32'h3f7a47b3,32'h3f7dbb0a,32'h3f840b22, 32'h3f75f6a0,32'h3f87ed58, 32'h3f690497,32'h3f8e665c,// invsqrt(0.9777) = 1.0114 +32'h3f3ca6b3,32'h3f922027,32'h3f981704, 32'h3f8da701,32'h3f9c9029, 32'h3f86326c,32'h3fa404be,// invsqrt(0.7369) = 1.1649 +32'h3f3511be,32'h3f95274f,32'h3f9b3dd0, 32'h3f90966f,32'h3f9fceb1, 32'h3f88fa4e,32'h3fa76ad2,// invsqrt(0.7073) = 1.1890 +32'h3f984515,32'h3f6604e9,32'h3f6f6861, 32'h3f5efa50,32'h3f7672fa, 32'h3f533dfb,32'h3f8117a8,// invsqrt(1.1896) = 0.9168 +32'h3f9217b0,32'h3f6ad4f5,32'h3f746ab5, 32'h3f63a4a4,32'h3f7b9b06, 32'h3f57a973,32'h3f83cb1c,// invsqrt(1.1413) = 0.9360 +32'h40dbd310,32'h3ebf70ad,32'h3ec74108, 32'h3eb9946a,32'h3ecd1d4c, 32'h3eafcff8,32'h3ed6e1be,// invsqrt(6.8695) = 0.3815 +32'h3f7172c3,32'h3f812a2c,32'h3f866fd0, 32'h3f7a6be3,32'h3f8a640b, 32'h3f6d3dc9,32'h3f90fb17,// invsqrt(0.9432) = 1.0297 +32'h40911cd1,32'h3eeb9f9b,32'h3ef53da1, 32'h3ee46916,32'h3efc7426, 32'h3ed8638e,32'h3f043cd7,// invsqrt(4.5348) = 0.4696 +32'h3f93d87b,32'h3f696f79,32'h3f72f6a3, 32'h3f624a1a,32'h3f7a1c02, 32'h3f566126,32'h3f83027b,// invsqrt(1.1550) = 0.9305 +32'h3f3cfab4,32'h3f91ffa9,32'h3f97f533, 32'h3f8d8782,32'h3f9c6d5a, 32'h3f861496,32'h3fa3e046,// invsqrt(0.7382) = 1.1639 +32'h3ec3d610,32'h3fcad391,32'h3fd31ae5, 32'h3fc49e12,32'h3fd95064, 32'h3fba44e8,32'h3fe3a98e,// invsqrt(0.3825) = 1.6169 +32'h4152b8ad,32'h3e8a42fb,32'h3e8fe7ad, 32'h3e860776,32'h3e942332, 32'h3e7df331,32'h3e9b3110,// invsqrt(13.1701) = 0.2756 +32'h3fcae7ae,32'h3f474317,32'h3f4f652d, 32'h3f412987,32'h3f557ebd, 32'h3f36feeb,32'h3f5fa959,// invsqrt(1.5852) = 0.7943 +32'h3f02a5ca,32'h3faf979c,32'h3fb6c25e, 32'h3faa378a,32'h3fbc2270, 32'h3fa14217,32'h3fc517e3,// invsqrt(0.5103) = 1.3998 +32'h40556d58,32'h3f0961e8,32'h3f0efd69, 32'h3f052d46,32'h3f13320a, 32'h3efc55c8,32'h3f1a346c,// invsqrt(3.3348) = 0.5476 +32'h3f7c064c,32'h3f7cd9d7,32'h3f8395f0, 32'h3f751c51,32'h3f8774b4, 32'h3f6835c6,32'h3f8de7f9,// invsqrt(0.9845) = 1.0079 +32'h3f8cb753,32'h3f6f46a7,32'h3f790ad7, 32'h3f67f382,32'h3f802efe, 32'h3f5bbe46,32'h3f86499c,// invsqrt(1.0993) = 0.9537 +32'h3e9c1d86,32'h3fe32b28,32'h3fec70d6, 32'h3fdc36e5,32'h3ff36519, 32'h3fd09fcc,32'h3ffefc32,// invsqrt(0.3049) = 1.8110 +32'h40496492,32'h3f0d6d74,32'h3f13333a, 32'h3f09191f,32'h3f17878f, 32'h3f01e1e8,32'h3f1ebec6,// invsqrt(3.1468) = 0.5637 +32'h3f3850f4,32'h3f93d57f,32'h3f99de36, 32'h3f8f4ef6,32'h3f9e64c0, 32'h3f87c412,32'h3fa5efa4,// invsqrt(0.7200) = 1.1785 +32'h3e9b4095,32'h3fe3cc93,32'h3fed18d7, 32'h3fdcd35f,32'h3ff4120b, 32'h3fd13409,32'h3fffb161,// invsqrt(0.3032) = 1.8160 +32'h3e353a8e,32'h40151683,32'h401b2c55, 32'h40108627,32'h401fbcb1, 32'h4008eae1,32'h402757f7,// invsqrt(0.1770) = 2.3770 +32'h3f543b84,32'h3f89c4c0,32'h3f8f644a, 32'h3f858d18,32'h3f939bf2, 32'h3f7d0b56,32'h3f9aa35f,// invsqrt(0.8290) = 1.0983 +32'h40008122,32'h3f310ce9,32'h3f3846e9, 32'h3f2ba16a,32'h3f3db268, 32'h3f2298eb,32'h3f46bae7,// invsqrt(2.0079) = 0.7057 +32'h3e157e74,32'h402426b9,32'h402ad9ef, 32'h401f2050,32'h402fe058, 32'h4016c04c,32'h4038405c,// invsqrt(0.1460) = 2.6172 +32'h3f9c312b,32'h3f631cde,32'h3f6c61f7, 32'h3f5c290c,32'h3f7355ca, 32'h3f5092ad,32'h3f7eec29,// invsqrt(1.2203) = 0.9053 +32'h3f274efd,32'h3f9b2a88,32'h3fa17fdc, 32'h3f966a89,32'h3fa63fdb, 32'h3f8e7fe0,32'h3fae2a84,// invsqrt(0.6535) = 1.2370 +32'h3f5bda86,32'h3f875c12,32'h3f8ce271, 32'h3f83374c,32'h3f910738, 32'h3f789eaa,32'h3f97ef2f,// invsqrt(0.8588) = 1.0791 +32'h3f87e359,32'h3f737d54,32'h3f7d6d8c, 32'h3f6c092a,32'h3f8270db, 32'h3f5f9ce5,32'h3f88a6fe,// invsqrt(1.0616) = 0.9705 +32'h4014c0c2,32'h3f248f42,32'h3f2b46bc, 32'h3f1f85a5,32'h3f305059, 32'h3f17204c,32'h3f38b5b2,// invsqrt(2.3243) = 0.6559 +32'h402f199d,32'h3f17acb3,32'h3f1ddd8b, 32'h3f130811,32'h3f22822d, 32'h3f0b4b02,32'h3f2a3f3c,// invsqrt(2.7359) = 0.6046 +32'h3f910598,32'h3f6bb277,32'h3f755143, 32'h3f647b5f,32'h3f7c885b, 32'h3f5874e0,32'h3f84476d,// invsqrt(1.1330) = 0.9395 +32'h3f5c0348,32'h3f874f88,32'h3f8cd564, 32'h3f832b23,32'h3f90f9c9, 32'h3f7887a1,32'h3f97e11b,// invsqrt(0.8594) = 1.0787 +32'h40fdfd52,32'h3eb21984,32'h3eb95e7a, 32'h3eaca5cc,32'h3ebed232, 32'h3ea38f99,32'h3ec7e865,// invsqrt(7.9372) = 0.3549 +32'h40ad89f6,32'h3ed77684,32'h3ee041e2, 32'h3ed0ddfd,32'h3ee6da69, 32'h3ec5dfc8,32'h3ef1d89f,// invsqrt(5.4231) = 0.4294 +32'h3f73aaab,32'h3f80934f,32'h3f85d2cb, 32'h3f794766,32'h3f89c267, 32'h3f6c28b1,32'h3f9051c2,// invsqrt(0.9518) = 1.0250 +32'h3c0d2575,32'h4128ef85,32'h412fd4ba, 32'h4123c39e,32'h413500a2, 32'h411b251d,32'h413d9f23,// invsqrt(0.0086) = 10.7740 +32'h40409551,32'h3f10a04a,32'h3f16877c, 32'h3f0c32e4,32'h3f1af4e2, 32'h3f04d1e6,32'h3f2255e0,// invsqrt(3.0091) = 0.5765 +32'h404ccd8f,32'h3f0c3ec3,32'h3f11f82f, 32'h3f07f3b3,32'h3f16433f, 32'h3f00cbed,32'h3f1d6b05,// invsqrt(3.2000) = 0.5590 +32'h3e716de6,32'h40012b79,32'h4006712b, 32'h3ffa6e69,32'h400a6570, 32'h3fed402d,32'h4010fc8d,// invsqrt(0.2358) = 2.0595 +32'h3f101b42,32'h3fa73108,32'h3fae0404, 32'h3fa212cc,32'h3fb32240, 32'h3f998b12,32'h3fbba9fa,// invsqrt(0.5629) = 1.3328 +32'h3f8d072d,32'h3f6f02e0,32'h3f78c44c, 32'h3f67b1ce,32'h3f800aaf, 32'h3f5b8007,32'h3f862392,// invsqrt(1.1018) = 0.9527 +32'h3f34d0bc,32'h3f95421d,32'h3f9b59b5, 32'h3f90b06a,32'h3f9feb68, 32'h3f8912eb,32'h3fa788e7,// invsqrt(0.7063) = 1.1899 +32'h3f8085a7,32'h3f7a5eb3,32'h3f824b68, 32'h3f72b49e,32'h3f862072, 32'h3f65ee7a,32'h3f8c8384,// invsqrt(1.0041) = 0.9980 +32'h3f85412a,32'h3f75e244,32'h3f7feb80, 32'h3f6e5b57,32'h3f83b937, 32'h3f61cfcb,32'h3f89fefc,// invsqrt(1.0411) = 0.9801 +32'h3f1b2909,32'h3fa12044,32'h3fa7b3de, 32'h3f9c3190,32'h3faca292, 32'h3f93f90f,32'h3fb4db13,// invsqrt(0.6061) = 1.2845 +32'h3f638958,32'h3f850e06,32'h3f8a7c50, 32'h3f80fb4f,32'h3f8e8f07, 32'h3f7462e7,32'h3f9558e2,// invsqrt(0.8888) = 1.0607 +32'h3e1b9b33,32'h4020e51e,32'h4027764e, 32'h401bf83a,32'h402c6332, 32'h4013c2bd,32'h403498af,// invsqrt(0.1520) = 2.5653 +32'h3f1803f5,32'h3fa2c8bf,32'h3fa96dad, 32'h3f9dcd0d,32'h3fae695f, 32'h3f957ee4,32'h3fb6b788,// invsqrt(0.5938) = 1.2977 +32'h3ef0df73,32'h3fb6e274,32'h3fbe596a, 32'h3fb1493d,32'h3fc3f2a1, 32'h3fa7f48a,32'h3fcd4754,// invsqrt(0.4705) = 1.4579 +32'h3f9ce488,32'h3f629ae7,32'h3f6bdab1, 32'h3f5bab0f,32'h3f72ca89, 32'h3f501b51,32'h3f7e5a47,// invsqrt(1.2257) = 0.9032 +32'h3f0c7879,32'h3fa9576a,32'h3fb040dc, 32'h3fa42854,32'h3fb56ff2, 32'h3f9b8486,32'h3fbe13c0,// invsqrt(0.5487) = 1.3500 +32'h3e110802,32'h4026a85a,32'h402d75c1, 32'h40218e4d,32'h40328fcf, 32'h40190d8d,32'h403b108f,// invsqrt(0.1416) = 2.6572 +32'h3fb10089,32'h3f55583d,32'h3f5e0d79, 32'h3f4ed050,32'h3f649566, 32'h3f43edc5,32'h3f6f77f1,// invsqrt(1.3828) = 0.8504 +32'h3ed49231,32'h3fc2adcf,32'h3fcaa001, 32'h3fbcb829,32'h3fd095a7, 32'h3fb2c96a,32'h3fda8466,// invsqrt(0.4152) = 1.5520 +32'h3fafeb64,32'h3f560009,32'h3f5ebc1e, 32'h3f4f72f8,32'h3f65492e, 32'h3f4487de,32'h3f703448,// invsqrt(1.3744) = 0.8530 +32'h3f96cf06,32'h3f67217d,32'h3f709091, 32'h3f600e2d,32'h3f77a3e1, 32'h3f544353,32'h3f81b75d,// invsqrt(1.1782) = 0.9213 +32'h3ffda3c9,32'h3f3238f1,32'h3f397f2f, 32'h3f2cc442,32'h3f3ef3de, 32'h3f23ac75,32'h3f480bab,// invsqrt(1.9816) = 0.7104 +32'h409c42c7,32'h3ee31012,32'h3eec54a4, 32'h3edc1ca3,32'h3ef34813, 32'h3ed086ec,32'h3efeddcb,// invsqrt(4.8832) = 0.4525 +32'h402406c8,32'h3f1cb5f9,32'h3f231b71, 32'h3f17e9df,32'h3f27e78b, 32'h3f0feb09,32'h3f2fe661,// invsqrt(2.5629) = 0.6246 +32'h407b6383,32'h3efd2ba7,32'h3f03c083, 32'h3ef56b9e,32'h3f07a087, 32'h3ee880e7,32'h3f0e15e2,// invsqrt(3.9279) = 0.5046 +32'h3f925245,32'h3f6aa5ee,32'h3f7439c4, 32'h3f63770e,32'h3f7b68a4, 32'h3f577e43,32'h3f83b0b7,// invsqrt(1.1431) = 0.9353 +32'h3fde83a0,32'h3f3e4793,32'h3f460bcd, 32'h3f387467,32'h3f4bdef9, 32'h3f2ebf1e,32'h3f559442,// invsqrt(1.7384) = 0.7584 +32'h3fcd4457,32'h3f461cc2,32'h3f4e32d4, 32'h3f400c34,32'h3f544362, 32'h3f35f09d,32'h3f5e5ef9,// invsqrt(1.6036) = 0.7897 +32'h40054c38,32'h3f2dd685,32'h3f34eef3, 32'h3f288433,32'h3f3a4145, 32'h3f1fa5a9,32'h3f431fcf,// invsqrt(2.0828) = 0.6929 +32'h3f5c1a6a,32'h3f87486b,32'h3f8ccdfd, 32'h3f83243e,32'h3f90f22a, 32'h3f787a91,32'h3f97d920,// invsqrt(0.8598) = 1.0785 +32'h3fb0c0a2,32'h3f557ecb,32'h3f5e3599, 32'h3f4ef5b0,32'h3f64beb4, 32'h3f44112d,32'h3f6fa337,// invsqrt(1.3809) = 0.8510 +32'h3f16af45,32'h3fa3805d,32'h3faa2cc9, 32'h3f9e7f0c,32'h3faf2e1a, 32'h3f962784,32'h3fb785a2,// invsqrt(0.5886) = 1.3034 +32'h3f3f0f8e,32'h3f913383,32'h3f9720b8, 32'h3f8cc19c,32'h3f9b92a0, 32'h3f85591b,32'h3fa2fb21,// invsqrt(0.7463) = 1.1575 +32'h3f2082e5,32'h3f9e6ae3,32'h3fa4e230, 32'h3f999169,32'h3fa9bba9, 32'h3f917c48,32'h3fb1d0ca,// invsqrt(0.6270) = 1.2629 +32'h3f09b77c,32'h3fab06b6,32'h3fb201c2, 32'h3fa5ca6c,32'h3fb73e0c, 32'h3f9d109c,32'h3fbff7dc,// invsqrt(0.5380) = 1.3634 +32'h3e82d0b3,32'h3ff82a70,32'h400125c2, 32'h3ff091a1,32'h4004f22a, 32'h3fe3e847,32'h400b46d6,// invsqrt(0.2555) = 1.9784 +32'h3d10a049,32'h40a6e412,32'h40adb3e9, 32'h40a1c831,32'h40b2cfcb, 32'h40994465,32'h40bb5397,// invsqrt(0.0353) = 5.3218 +32'h3e7fb6fb,32'h3ffb0517,32'h4002a1ff, 32'h3ff355ea,32'h40067995, 32'h3fe68749,32'h400ce0e6,// invsqrt(0.2497) = 2.0011 +32'h3f2892e6,32'h3f9a952e,32'h3fa0e469, 32'h3f95d9c1,32'h3fa59fd5, 32'h3f8df6b7,32'h3fad82df,// invsqrt(0.6585) = 1.2323 +32'h3f8a15ca,32'h3f718b74,32'h3f7b675a, 32'h3f6a2688,32'h3f816623, 32'h3f5dd3aa,32'h3f878f92,// invsqrt(1.0788) = 0.9628 +32'h3f8831cb,32'h3f73372a,32'h3f7d2485, 32'h3f6bc527,32'h3f824b45, 32'h3f5f5c76,32'h3f887f9d,// invsqrt(1.0640) = 0.9694 +32'h3c4c313a,32'h410c7469,32'h41123005, 32'h410827b4,32'h41167cba, 32'h4100fd32,32'h411da73c,// invsqrt(0.0125) = 8.9576 +32'h3fa3ddfa,32'h3f5dbaf8,32'h3f66c7d4, 32'h3f56f154,32'h3f6d9178, 32'h3f4ba142,32'h3f78e18a,// invsqrt(1.2802) = 0.8838 +32'h3f26078e,32'h3f9bc33e,32'h3fa21ece, 32'h3f96fe92,32'h3fa6e37a, 32'h3f8f0c1f,32'h3faed5ed,// invsqrt(0.6486) = 1.2417 +32'h3f7935ab,32'h3f7e4664,32'h3f8453a8, 32'h3f767db6,32'h3f8837ff, 32'h3f698491,32'h3f8eb492,// invsqrt(0.9735) = 1.0135 +32'h3f22f31c,32'h3f9d3a51,32'h3fa3a52f, 32'h3f986a2a,32'h3fa87556, 32'h3f906493,32'h3fb07aed,// invsqrt(0.6365) = 1.2534 +32'h41338b89,32'h3e95c90c,32'h3e9be626, 32'h3e913338,32'h3ea07bfa, 32'h3e898ed6,32'h3ea8205c,// invsqrt(11.2216) = 0.2985 +32'h4025a333,32'h3f1bf267,32'h3f224fe3, 32'h3f172c4a,32'h3f271600, 32'h3f0f376e,32'h3f2f0adc,// invsqrt(2.5881) = 0.6216 +32'h3f4cafb0,32'h3f8c48ff,32'h3f9202d5, 32'h3f87fd9e,32'h3f964e36, 32'h3f80d553,32'h3f9d7681,// invsqrt(0.7996) = 1.1183 +32'h401efc23,32'h3f1f2d1a,32'h3f25ac54, 32'h3f1a4dae,32'h3f2a8bc0, 32'h3f122ea5,32'h3f32aac9,// invsqrt(2.4841) = 0.6345 +32'h3f6fa22e,32'h3f81a725,32'h3f86f1e3, 32'h3f7b5e2e,32'h3f8ae9f1, 32'h3f6e2354,32'h3f91875e,// invsqrt(0.9361) = 1.0336 +32'h4035eb95,32'h3f14cde7,32'h3f1ae0c2, 32'h3f103fc4,32'h3f1f6ee6, 32'h3f08a833,32'h3f270677,// invsqrt(2.8425) = 0.5931 +32'h4018c2a7,32'h3f226305,32'h3f2903cb, 32'h3f1d6a70,32'h3f2dfc60, 32'h3f152177,32'h3f364559,// invsqrt(2.3869) = 0.6473 +32'h3f2f8fdb,32'h3f977997,32'h3f9da859, 32'h3f92d685,32'h3fa24b6b, 32'h3f8b1c12,32'h3faa05de,// invsqrt(0.6858) = 1.2075 +32'h40647573,32'h3f04c933,32'h3f0a34ae, 32'h3f00b898,32'h3f0e454a, 32'h3ef3e47f,32'h3f150ba3,// invsqrt(3.5697) = 0.5293 +32'h3ed4ebac,32'h3fc284e2,32'h3fca7568, 32'h3fbc907d,32'h3fd069cd, 32'h3fb2a3d4,32'h3fda5676,// invsqrt(0.4159) = 1.5507 +32'h3f240c46,32'h3f9cb35a,32'h3fa318b6, 32'h3f97e754,32'h3fa7e4bc, 32'h3f8fe8a1,32'h3fafe36f,// invsqrt(0.6408) = 1.2492 +32'h3ed37b1f,32'h3fc32e17,32'h3fcb2586, 32'h3fbd3485,32'h3fd11f19, 32'h3fb33f3a,32'h3fdb1464,// invsqrt(0.4130) = 1.5560 +32'h3fbe7994,32'h3f4da93d,32'h3f560e30, 32'h3f475d87,32'h3f5c59e7, 32'h3f3cdf58,32'h3f66d816,// invsqrt(1.4881) = 0.8198 +32'h3e81ac4a,32'h3ff941a0,32'h4001b70d, 32'h3ff1a045,32'h400587ba, 32'h3fe4e8ad,32'h400be386,// invsqrt(0.2533) = 1.9871 +32'h3f57a0fa,32'h3f88ade4,32'h3f8e420d, 32'h3f847ec6,32'h3f92712c, 32'h3f7b0b27,32'h3f996a5f,// invsqrt(0.8423) = 1.0896 +32'h3f3038c7,32'h3f9730ec,32'h3f9d5cb6, 32'h3f929013,32'h3fa1fd8f, 32'h3f8ad956,32'h3fa9b44c,// invsqrt(0.6884) = 1.2053 +32'h3fa34ace,32'h3f5e1ece,32'h3f672fbc, 32'h3f57521b,32'h3f6dfc6f, 32'h3f4bfcf1,32'h3f795199,// invsqrt(1.2757) = 0.8854 +32'h3f819271,32'h3f795a7c,32'h3f81c3fc, 32'h3f71b85e,32'h3f85950b, 32'h3f64ff81,32'h3f8bf17a,// invsqrt(1.0123) = 0.9939 +32'h400a1542,32'h3f2acc99,32'h3f31c547, 32'h3f259217,32'h3f36ffc9, 32'h3f1cdb3e,32'h3f3fb6a2,// invsqrt(2.1575) = 0.6808 +32'h3fbc4bdb,32'h3f4ed8f1,32'h3f574a49, 32'h3f4883ef,32'h3f5d9f4b, 32'h3f3df640,32'h3f682cfa,// invsqrt(1.4711) = 0.8245 +32'h3e89b060,32'h3ff1e458,32'h3ffbc3df, 32'h3fea7cb4,32'h400195c2, 32'h3fde254c,32'h4007c176,// invsqrt(0.2689) = 1.9283 +32'h3ed972c3,32'h3fc07bb9,32'h3fc856fa, 32'h3fba9748,32'h3fce3b6a, 32'h3fb0c536,32'h3fd80d7c,// invsqrt(0.4247) = 1.5345 +32'h3f0aa3ba,32'h3faa74c0,32'h3fb169d8, 32'h3fa53cef,32'h3fb6a1a9, 32'h3f9c8a91,32'h3fbf5407,// invsqrt(0.5416) = 1.3589 +32'h3ee3a458,32'h3fbc1fd1,32'h3fc3cd86, 32'h3fb65d8a,32'h3fc98fce, 32'h3facc468,32'h3fd328f0,// invsqrt(0.4446) = 1.4997 +32'h3ef33ae9,32'h3fb5ff08,32'h3fbd6cb4, 32'h3fb06cc6,32'h3fc2fef6, 32'h3fa723ae,32'h3fcc480e,// invsqrt(0.4751) = 1.4509 +32'h4021405c,32'h3f1e0db6,32'h3f248136, 32'h3f193716,32'h3f2957d6, 32'h3f1126b7,32'h3f316835,// invsqrt(2.5196) = 0.6300 +32'h3e258efb,32'h401bfbec,32'h402259cc, 32'h40173584,32'h40272034, 32'h400f402c,32'h402f158c,// invsqrt(0.1617) = 2.4870 +32'h3f8824c6,32'h3f7342cb,32'h3f7d309f, 32'h3f6bd06c,32'h3f82517f, 32'h3f5f6723,32'h3f888624,// invsqrt(1.0636) = 0.9696 +32'h3d454300,32'h408ee69a,32'h4094bbc4, 32'h408a86b9,32'h40991ba5, 32'h40833c44,32'h40a0661a,// invsqrt(0.0482) = 4.5568 +32'h401b8c73,32'h3f20ecbf,32'h3f277e3f, 32'h3f1bff9f,32'h3f2c6b5f, 32'h3f13c9bf,32'h3f34a13f,// invsqrt(2.4304) = 0.6414 +32'h3edf961a,32'h3fbdd2a4,32'h3fc59218, 32'h3fb8030c,32'h3fcb61b0, 32'h3fae53bb,32'h3fd51101,// invsqrt(0.4367) = 1.5133 +32'h3e134336,32'h402563e7,32'h402c240f, 32'h402053c8,32'h4031342e, 32'h4017e395,32'h4039a461,// invsqrt(0.1438) = 2.6370 +32'h3f3ac342,32'h3f92dccd,32'h3f98db5d, 32'h3f8e5de1,32'h3f9d5a49, 32'h3f86dfac,32'h3fa4d87e,// invsqrt(0.7295) = 1.1708 +32'h3f0de968,32'h3fa87abb,32'h3faf5b2b, 32'h3fa35267,32'h3fb4837f, 32'h3f9ab9db,32'h3fbd1c0b,// invsqrt(0.5543) = 1.3431 +32'h3eb77d93,32'h3fd189e7,32'h3fda175f, 32'h3fcb1fce,32'h3fe08178, 32'h3fc06ef9,32'h3feb324d,// invsqrt(0.3584) = 1.6704 +32'h3fa7deb6,32'h3f5b1221,32'h3f640333, 32'h3f545d55,32'h3f6ab7ff, 32'h3f492fff,32'h3f75e555,// invsqrt(1.3115) = 0.8732 +32'h40d3b9ba,32'h3ec3113a,32'h3ecb077b, 32'h3ebd1889,32'h3ed1002b, 32'h3eb324b7,32'h3edaf3fd,// invsqrt(6.6164) = 0.3888 +32'h3d48f6b7,32'h408d9416,32'h40935b70, 32'h40893e93,32'h4097b0f3, 32'h40820563,32'h409eea23,// invsqrt(0.0491) = 4.5146 +32'h3f925e0c,32'h3f6a9c7d,32'h3f742fef, 32'h3f636de6,32'h3f7b5e86, 32'h3f577597,32'h3f83ab6a,// invsqrt(1.1435) = 0.9352 +32'h3df34c37,32'h4035f88f,32'h403d65f8, 32'h40306680,32'h4042f806, 32'h40271dbc,32'h404c40ca,// invsqrt(0.1188) = 2.9013 +32'h3facd178,32'h3f57e967,32'h3f60b976, 32'h3f514d5d,32'h3f675581, 32'h3f46494a,32'h3f725994,// invsqrt(1.3501) = 0.8606 +32'h3fd3cc10,32'h3f4308c8,32'h3f4afeb0, 32'h3f3d1059,32'h3f50f71f, 32'h3f331cf6,32'h3f5aea82,// invsqrt(1.6547) = 0.7774 +32'h3f94dcff,32'h3f68a2dd,32'h3f7221ac, 32'h3f6183c1,32'h3f7940c7, 32'h3f55a53d,32'h3f828fa5,// invsqrt(1.1630) = 0.9273 +32'h41142a58,32'h3ea4e2b4,32'h3eab9d96, 32'h3e9fd68a,32'h3eb0a9c0, 32'h3e976cee,32'h3eb9135c,// invsqrt(9.2603) = 0.3286 +32'h3ed472ff,32'h3fc2bc19,32'h3fcaaee1, 32'h3fbcc604,32'h3fd0a4f6, 32'h3fb2d68a,32'h3fda9470,// invsqrt(0.4149) = 1.5524 +32'h3f5db794,32'h3f86ca24,32'h3f8c4a8e, 32'h3f82a9d5,32'h3f906add, 32'h3f7792a0,32'h3f974b62,// invsqrt(0.8661) = 1.0745 +32'h3f37e9a3,32'h3f93ff00,32'h3f9a0968, 32'h3f8f7731,32'h3f9e9137, 32'h3f87ea2f,32'h3fa61e39,// invsqrt(0.7184) = 1.1798 +32'h3f2d5c5d,32'h3f986efe,32'h3f9ea7c4, 32'h3f93c469,32'h3fa35259, 32'h3f8bfd71,32'h3fab1951,// invsqrt(0.6772) = 1.2152 +32'h406e4545,32'h3f0205f0,32'h3f07548c, 32'h3efc15f6,32'h3f0b4f81, 32'h3eeed170,32'h3f11f1c4,// invsqrt(3.7230) = 0.5183 +32'h3da2c954,32'h405e7712,32'h40678b9a, 32'h4057a7ab,32'h406e5b01, 32'h404c4e01,32'h4079b4ab,// invsqrt(0.0795) = 3.5470 +32'h4057990e,32'h3f08b067,32'h3f0e44a9, 32'h3f048134,32'h3f1273dc, 32'h3efb0fc2,32'h3f196d2f,// invsqrt(3.3687) = 0.5448 +32'h3d30970e,32'h4097088b,32'h409d32b0, 32'h409268ef,32'h40a1d24b, 32'h408ab440,32'h40a986fa,// invsqrt(0.0431) = 4.8161 +32'h3f7a6b93,32'h3f7da8dd,32'h3f8401ac, 32'h3f75e4ff,32'h3f87e39a, 32'h3f68f3e4,32'h3f8e5c28,// invsqrt(0.9782) = 1.0111 +32'h40d74027,32'h3ec176a2,32'h3ec95c21, 32'h3ebb8a84,32'h3ecf4840, 32'h3eb1aba5,32'h3ed9271f,// invsqrt(6.7266) = 0.3856 +32'h3f76bcfb,32'h3f7f8b96,32'h3f84fce3, 32'h3f77b8f2,32'h3f88e635, 32'h3f6aaf36,32'h3f8f6b13,// invsqrt(0.9638) = 1.0186 +32'h3f7702c9,32'h3f7f6778,32'h3f84ea17, 32'h3f7795ee,32'h3f88d2db, 32'h3f6a8e0a,32'h3f8f56cd,// invsqrt(0.9649) = 1.0180 +32'h3f34f219,32'h3f95345a,32'h3f9b4b62, 32'h3f90a313,32'h3f9fdca9, 32'h3f890648,32'h3fa77974,// invsqrt(0.7068) = 1.1894 +32'h3f68fded,32'h3f837ce4,32'h3f88dace, 32'h3f7eece9,32'h3f8ce13d, 32'h3f718220,32'h3f9396a2,// invsqrt(0.9101) = 1.0482 +32'h3d11438b,32'h40a68630,32'h40ad5232, 32'h40a16d2f,32'h40b26b33, 32'h4098ee2c,32'h40baea36,// invsqrt(0.0355) = 5.3101 +32'h3e927374,32'h3fea8b57,32'h3ff41e17, 32'h3fe35d47,32'h3ffb4c27, 32'h3fd765d8,32'h4003a1cb,// invsqrt(0.2860) = 1.8698 +32'h41b07eff,32'h3e55a67a,32'h3e5e5ee7, 32'h3e4f1c27,32'h3e64e939, 32'h3e44359e,32'h3e6fcfc2,// invsqrt(22.0620) = 0.2129 +32'h40416645,32'h3f105214,32'h3f163614, 32'h3f0be713,32'h3f1aa115, 32'h3f048a12,32'h3f21fe16,// invsqrt(3.0219) = 0.5753 +32'h3f8f9597,32'h3f6cdfc2,32'h3f768ada, 32'h3f659f70,32'h3f7dcb2c, 32'h3f598993,32'h3f84f084,// invsqrt(1.1218) = 0.9442 +32'h418b7867,32'h3e70579e,32'h3e7a26f2, 32'h3e68fc1e,32'h3e80c139, 32'h3e5cb8f4,32'h3e86e2ce,// invsqrt(17.4338) = 0.2395 +32'h40359c60,32'h3f14ee57,32'h3f1b0285, 32'h3f105f35,32'h3f1f91a7, 32'h3f08c5fd,32'h3f272adf,// invsqrt(2.8377) = 0.5936 +32'h41c44000,32'h3e4a9ccc,32'h3e52e1e4, 32'h3e4468fa,32'h3e5915b6, 32'h3e3a129c,32'h3e636c14,// invsqrt(24.5313) = 0.2019 +32'h3ee46117,32'h3fbbd204,32'h3fc37c8c, 32'h3fb6121e,32'h3fc93c72, 32'h3fac7cf4,32'h3fd2d19c,// invsqrt(0.4461) = 1.4973 +32'h40613c06,32'h3f05bba6,32'h3f0b3106, 32'h3f01a39e,32'h3f0f490e, 32'h3ef5a1ce,32'h3f161bc5,// invsqrt(3.5193) = 0.5331 +32'h3f643cf9,32'h3f84d9a0,32'h3f8a45c6, 32'h3f80c884,32'h3f8e56e2, 32'h3f7402a9,32'h3f951e12,// invsqrt(0.8916) = 1.0591 +32'h3f96413d,32'h3f678e70,32'h3f7101f8, 32'h3f6077cb,32'h3f78189d, 32'h3f54a762,32'h3f81f483,// invsqrt(1.1739) = 0.9230 +32'h3f9a8c26,32'h3f645167,32'h3f6da317, 32'h3f5d5422,32'h3f74a05c, 32'h3f51ae06,32'h3f80233c,// invsqrt(1.2074) = 0.9101 +32'h3f6c4a7d,32'h3f829114,32'h3f87e55e, 32'h3f7d23b9,32'h3f8be495, 32'h3f6fd100,32'h3f928df2,// invsqrt(0.9230) = 1.0409 +32'h3f0e2b3a,32'h3fa853b7,32'h3faf328f, 32'h3fa32c94,32'h3fb459b2, 32'h3f9a9606,32'h3fbcf040,// invsqrt(0.5553) = 1.3419 +32'h41ddc367,32'h3e3e99f9,32'h3e466190, 32'h3e38c447,32'h3e4c3741, 32'h3e2f0aca,32'h3e55f0be,// invsqrt(27.7204) = 0.1899 +32'h3f98e130,32'h3f658f5c,32'h3f6eee06, 32'h3f5e885b,32'h3f75f507, 32'h3f52d206,32'h3f80d5ae,// invsqrt(1.1944) = 0.9150 +32'h3f836fe4,32'h3f7793f9,32'h3f80d775, 32'h3f6fffc5,32'h3f84a18f, 32'h3f635e19,32'h3f8af265,// invsqrt(1.0269) = 0.9868 +32'h3ed35571,32'h3fc33f7d,32'h3fcb37a1, 32'h3fbd4561,32'h3fd131bd, 32'h3fb34f34,32'h3fdb27ea,// invsqrt(0.4128) = 1.5565 +32'h3f6869f9,32'h3f83a6b7,32'h3f890656, 32'h3f7f3e00,32'h3f8d0e0e, 32'h3f71cef3,32'h3f93c594,// invsqrt(0.9079) = 1.0495 +32'h3f420ebe,32'h3f901361,32'h3f95f4d2, 32'h3f8baa4b,32'h3f9a5de7, 32'h3f84507d,32'h3fa1b7b5,// invsqrt(0.7580) = 1.1486 +32'h3ee09bd0,32'h3fbd63ec,32'h3fc51edc, 32'h3fb797b9,32'h3fcaeb0f, 32'h3fadee0d,32'h3fd494bb,// invsqrt(0.4387) = 1.5098 +32'h3f78047e,32'h3f7ee2a4,32'h3f84a4f8, 32'h3f77152d,32'h3f888bb4, 32'h3f6a140f,32'h3f8f0c42,// invsqrt(0.9688) = 1.0160 +32'h3f9bce40,32'h3f6364eb,32'h3f6cacf5, 32'h3f5c6ee4,32'h3f73a2fc, 32'h3f50d4d8,32'h3f7f3d08,// invsqrt(1.2172) = 0.9064 +32'h3fc147b7,32'h3f4c29c6,32'h3f547f12, 32'h3f45e9cd,32'h3f5abf0b, 32'h3f3b7f2e,32'h3f6529aa,// invsqrt(1.5100) = 0.8138 +32'h412dcf23,32'h3e983ca1,32'h3e9e7359, 32'h3e939397,32'h3ea31c63, 32'h3e8bcf30,32'h3eaae0ca,// invsqrt(10.8631) = 0.3034 +32'h3eb5d23c,32'h3fd27f95,32'h3fdb1713, 32'h3fcc0df6,32'h3fe188b2, 32'h3fc15098,32'h3fec4610,// invsqrt(0.3551) = 1.6781 +32'h3eb7f749,32'h3fd1448b,32'h3fd9cf2d, 32'h3fcadc91,32'h3fe03727, 32'h3fc02f46,32'h3feae472,// invsqrt(0.3593) = 1.6683 +32'h406220b8,32'h3f0577f5,32'h3f0aea91, 32'h3f016200,32'h3f0f0086, 32'h3ef52579,32'h3f15cfca,// invsqrt(3.5332) = 0.5320 +32'h3d0be244,32'h40a9b23d,32'h40b09f65, 32'h40a48060,32'h40b5d142, 32'h409bd7ef,32'h40be79b3,// invsqrt(0.0342) = 5.4112 +32'h3e43d566,32'h400f6bc0,32'h4015465a, 32'h400b07cc,32'h4019aa4e, 32'h4003b68c,32'h4020fb8e,// invsqrt(0.1912) = 2.2867 +32'h3f7bccd8,32'h3f7cf6ae,32'h3f83a4f2, 32'h3f753844,32'h3f878426, 32'h3f685041,32'h3f8df828,// invsqrt(0.9836) = 1.0083 +32'h3f4862f5,32'h3f8dc83f,32'h3f9391b9, 32'h3f897123,32'h3f97e8d5, 32'h3f823549,32'h3f9f24af,// invsqrt(0.7828) = 1.1303 +32'h3f560876,32'h3f893016,32'h3f8ec990, 32'h3f84fcfc,32'h3f92fcaa, 32'h3f7bfa49,32'h3f99fc82,// invsqrt(0.8361) = 1.0937 +32'h3f8f88c3,32'h3f6cea58,32'h3f7695de, 32'h3f65a9b3,32'h3f7dd683, 32'h3f59934c,32'h3f84f675,// invsqrt(1.1214) = 0.9443 +32'h3f3174bf,32'h3f96aa16,32'h3f9cd060, 32'h3f920d5e,32'h3fa16d18, 32'h3f8a5d82,32'h3fa91cf4,// invsqrt(0.6932) = 1.2011 +32'h3f025226,32'h3fafcfec,32'h3fb6fcfb, 32'h3faa6e21,32'h3fbc5ec7, 32'h3fa175cf,32'h3fc55719,// invsqrt(0.5091) = 1.4016 +32'h3d85d249,32'h40755ccd,32'h407f6097, 32'h406dd9f6,32'h408371b7, 32'h4061553a,32'h4089b415,// invsqrt(0.0653) = 3.9120 +32'h3f3b2e07,32'h3f92b2e4,32'h3f98afbe, 32'h3f8e3540,32'h3f9d2d62, 32'h3f86b92f,32'h3fa4a973,// invsqrt(0.7312) = 1.1695 +32'h3f50b228,32'h3f8aee54,32'h3f909a03, 32'h3f86ad8f,32'h3f94dac7, 32'h3f7f2de7,32'h3f9bf162,// invsqrt(0.8152) = 1.1075 +32'h3e5c4c1f,32'h40073927,32'h400cbe19, 32'h40031572,32'h4010e1ce, 32'h3ff85e86,32'h4017c7fd,// invsqrt(0.2151) = 2.1560 +32'h3f0d43a8,32'h3fa8dd76,32'h3fafc1ee, 32'h3fa3b21c,32'h3fb4ed48, 32'h3f9b1487,32'h3fbd8add,// invsqrt(0.5518) = 1.3462 +32'h3f8b80dc,32'h3f705055,32'h3f7a1f5d, 32'h3f68f50e,32'h3f80bd52, 32'h3f5cb243,32'h3f86deb7,// invsqrt(1.0899) = 0.9579 +32'h3f0bfb0e,32'h3fa9a336,32'h3fb08fc0, 32'h3fa471ce,32'h3fb5c128, 32'h3f9bca22,32'h3fbe68d4,// invsqrt(0.5468) = 1.3523 +32'h41382251,32'h3e93e837,32'h3e99f1b1, 32'h3e8f611b,32'h3e9e78cd, 32'h3e87d542,32'h3ea604a6,// invsqrt(11.5084) = 0.2948 +32'h3f0d6ee9,32'h3fa8c3a1,32'h3fafa70b, 32'h3fa39912,32'h3fb4d19a, 32'h3f9afccd,32'h3fbd6ddf,// invsqrt(0.5525) = 1.3454 +32'h3f0437d9,32'h3fae8bd6,32'h3fb5abaa, 32'h3fa933f6,32'h3fbb038a, 32'h3fa04c2d,32'h3fc3eb53,// invsqrt(0.5165) = 1.3915 +32'h3fdd36c7,32'h3f3ed684,32'h3f46a094, 32'h3f38fef8,32'h3f4c7820, 32'h3f2f4264,32'h3f5634b4,// invsqrt(1.7282) = 0.7607 +32'h3fe9d9a3,32'h3f399c40,32'h3f412fb1, 32'h3f33edad,32'h3f46de45, 32'h3f2a7560,32'h3f505692,// invsqrt(1.8270) = 0.7398 +32'h3e76c0eb,32'h3fff898c,32'h4004fbd4, 32'h3ff7b6f9,32'h4008e51e, 32'h3feaad57,32'h400f69ee,// invsqrt(0.2410) = 2.0371 +32'h3e6b5645,32'h4002d4c2,32'h40082bcf, 32'h3ffda6ef,32'h400c2d18, 32'h3ff04d4e,32'h4012d9e9,// invsqrt(0.2298) = 2.0860 +32'h3f776601,32'h3f7f343c,32'h3f84cf6e, 32'h3f776445,32'h3f88b76a, 32'h3f6a5efe,32'h3f8f3a0d,// invsqrt(0.9664) = 1.0172 +32'h3f59214e,32'h3f8834b8,32'h3f8dc3ee, 32'h3f84094f,32'h3f91ef57, 32'h3f7a2c95,32'h3f98e25b,// invsqrt(0.8482) = 1.0858 +32'h3efa1364,32'h3fb37ce9,32'h3fbad060, 32'h3fadfe4f,32'h3fc04ef9, 32'h3fa4d5fa,32'h3fc9774e,// invsqrt(0.4884) = 1.4309 +32'h40dabf40,32'h3ebfe938,32'h3ec7be7e, 32'h3eba0943,32'h3ecd9e73, 32'h3eb03eac,32'h3ed7690b,// invsqrt(6.8358) = 0.3825 +32'h3faaa0d0,32'h3f594aff,32'h3f62297d, 32'h3f52a421,32'h3f68d05b, 32'h3f478e05,32'h3f73e677,// invsqrt(1.3330) = 0.8661 +32'h41068802,32'h3ead0a06,32'h3eb41a1c, 32'h3ea7bdf7,32'h3eb9662b, 32'h3e9ee9dc,32'h3ec23a46,// invsqrt(8.4082) = 0.3449 +32'h403588ae,32'h3f14f66b,32'h3f1b0aed, 32'h3f10670a,32'h3f1f9a4e, 32'h3f08cd68,32'h3f2733f0,// invsqrt(2.8365) = 0.5938 +32'h3eabf400,32'h3fd87446,32'h3fe149ff, 32'h3fd1d3fa,32'h3fe7ea4a, 32'h3fc6c8d2,32'h3ff2f572,// invsqrt(0.3358) = 1.7256 +32'h3f830002,32'h3f77fd9c,32'h3f810e6e, 32'h3f70662c,32'h3f84da26, 32'h3f63bf1c,32'h3f8b2dae,// invsqrt(1.0234) = 0.9885 +32'h40528eb7,32'h3f0a50c1,32'h3f0ff603, 32'h3f0614d0,32'h3f1431f4, 32'h3efe0c7d,32'h3f1b4085,// invsqrt(3.2900) = 0.5513 +32'h3f1d1ca3,32'h3fa01f48,32'h3fa6a866, 32'h3f9b3873,32'h3fab8f3b, 32'h3f930d0e,32'h3fb3baa0,// invsqrt(0.6137) = 1.2765 +32'h4066c2b4,32'h3f041f3d,32'h3f0983c8, 32'h3f0013d6,32'h3f0d8f30, 32'h3ef2ac52,32'h3f144cdd,// invsqrt(3.6056) = 0.5266 +32'h402c5bed,32'h3f18e039,32'h3f1f1d9f, 32'h3f14322d,32'h3f23cbab, 32'h3f0c656e,32'h3f2b986a,// invsqrt(2.6931) = 0.6094 +32'h3f9cc6be,32'h3f62b06d,32'h3f6bf119, 32'h3f5bbfec,32'h3f72e19a, 32'h3f502f16,32'h3f7e7270,// invsqrt(1.2248) = 0.9036 +32'h3f6d59eb,32'h3f824657,32'h3f879794, 32'h3f7c92d2,32'h3f8b9481, 32'h3f6f47b9,32'h3f923a0e,// invsqrt(0.9272) = 1.0385 +32'h40b9be8e,32'h3ed04376,32'h3ed8c39a, 32'h3ec9e35b,32'h3edf23b5, 32'h3ebf432e,32'h3ee9c3e2,// invsqrt(5.8045) = 0.4151 +32'h3ffb0511,32'h3f33266d,32'h3f3a765c, 32'h3f2daa79,32'h3f3ff24f, 32'h3f24868d,32'h3f49163b,// invsqrt(1.9611) = 0.7141 +32'h3ff024a1,32'h3f37298a,32'h3f3ea366, 32'h3f318e25,32'h3f443ecb, 32'h3f2835d2,32'h3f4d971e,// invsqrt(1.8761) = 0.7301 +32'h3ecb3fbb,32'h3fc717e9,32'h3fcf383c, 32'h3fc0ffac,32'h3fd5507a, 32'h3fb6d744,32'h3fdf78e2,// invsqrt(0.3970) = 1.5872 +32'h3f443caa,32'h3f8f45ff,32'h3f951f0e, 32'h3f8ae332,32'h3f9981da, 32'h3f8393df,32'h3fa0d12d,// invsqrt(0.7666) = 1.1422 +32'h4108e069,32'h3eab8cdf,32'h3eb28d65, 32'h3ea64c7a,32'h3eb7cdca, 32'h3e9d8bd2,32'h3ec08e72,// invsqrt(8.5548) = 0.3419 +32'h3f00bdd9,32'h3fb0e324,32'h3fb81b6f, 32'h3fab78ed,32'h3fbd85a7, 32'h3fa2728f,32'h3fc68c05,// invsqrt(0.5029) = 1.4101 +32'h3f2bd393,32'h3f991cd5,32'h3f9f5cb3, 32'h3f946ced,32'h3fa40c9b, 32'h3f8c9d17,32'h3fabdc71,// invsqrt(0.6712) = 1.2206 +32'h3f5cc728,32'h3f871374,32'h3f8c96dc, 32'h3f82f0e6,32'h3f90b96a, 32'h3f781948,32'h3f979dac,// invsqrt(0.8624) = 1.0768 +32'h3faccd21,32'h3f57ec1d,32'h3f60bc48, 32'h3f514ffd,32'h3f675869, 32'h3f464bc8,32'h3f725c9f,// invsqrt(1.3500) = 0.8607 +32'h3f61276c,32'h3f85c1c4,32'h3f8b3764, 32'h3f81a98d,32'h3f8f4f9b, 32'h3f75ad0a,32'h3f9622a3,// invsqrt(0.8795) = 1.0663 +32'h4002a384,32'h3f2f9923,32'h3f36c3f6, 32'h3f2a3906,32'h3f3c2414, 32'h3f21437f,32'h3f45199b,// invsqrt(2.0412) = 0.6999 +32'h3d3b05da,32'h4092c2a5,32'h4098c024, 32'h408e4486,32'h409d3e44, 32'h4086c7a8,32'h40a4bb22,// invsqrt(0.0457) = 4.6799 +32'h3f3a8213,32'h3f92f675,32'h3f98f611, 32'h3f8e76c0,32'h3f9d75c6, 32'h3f86f73c,32'h3fa4f54a,// invsqrt(0.7285) = 1.1716 +32'h3fe73bae,32'h3f3aa862,32'h3f4246c4, 32'h3f34f198,32'h3f47fd8e, 32'h3f2b6b9e,32'h3f518388,// invsqrt(1.8065) = 0.7440 +32'h3bbb161b,32'h414f83e6,32'h4157fc38, 32'h414929a8,32'h415e5676, 32'h413e9341,32'h4168ecdd,// invsqrt(0.0057) = 13.2344 +32'h3f84d668,32'h3f7644fe,32'h3f802921, 32'h3f6ebb0b,32'h3f83ee1a, 32'h3f622a76,32'h3f8a3665,// invsqrt(1.0378) = 0.9816 +32'h3fbab369,32'h3f4fbab8,32'h3f583548, 32'h3f495ecd,32'h3f5e9133, 32'h3f3ec59a,32'h3f692a66,// invsqrt(1.4586) = 0.8280 +32'h3f3a4507,32'h3f930e88,32'h3f990f20, 32'h3f8e8e16,32'h3f9d8f92, 32'h3f870d58,32'h3fa51050,// invsqrt(0.7276) = 1.1723 +32'h40dd4a2c,32'h3ebece27,32'h3ec697df, 32'h3eb8f6dc,32'h3ecc6f2a, 32'h3eaf3ab6,32'h3ed62b50,// invsqrt(6.9153) = 0.3803 +32'h3f9b764b,32'h3f63a536,32'h3f6cefdf, 32'h3f5cad36,32'h3f73e7de, 32'h3f510fe3,32'h3f7f8531,// invsqrt(1.2145) = 0.9074 +32'h3f96b382,32'h3f673696,32'h3f70a687, 32'h3f6022a0,32'h3f77ba7c, 32'h3f5456b3,32'h3f81c334,// invsqrt(1.1774) = 0.9216 +32'h40a031e2,32'h3ee041e4,32'h3ee96926, 32'h3ed96472,32'h3ef04698, 32'h3ecdf35e,32'h3efbb7ac,// invsqrt(5.0061) = 0.4469 +32'h40557bf8,32'h3f095d33,32'h3f0ef883, 32'h3f0528b6,32'h3f132d00, 32'h3efc4d24,32'h3f1a2f24,// invsqrt(3.3357) = 0.5475 +32'h3fa022a5,32'h3f604c8f,32'h3f697441, 32'h3f596ec9,32'h3f705207, 32'h3f4dfd2a,32'h3f7bc3a6,// invsqrt(1.2511) = 0.8940 +32'h3f572f67,32'h3f88d1f1,32'h3f8e6793, 32'h3f84a1b8,32'h3f9297cc, 32'h3f7b4d5d,32'h3f9992d5,// invsqrt(0.8406) = 1.0907 +32'h412c5200,32'h3e98e4a0,32'h3e9f2234, 32'h3e943672,32'h3ea3d062, 32'h3e8c6979,32'h3eab9d5b,// invsqrt(10.7700) = 0.3047 +32'h3f98c240,32'h3f65a699,32'h3f6f0637, 32'h3f5e9ee3,32'h3f760ded, 32'h3f52e75e,32'h3f80e2b9,// invsqrt(1.1934) = 0.9154 +32'h3f91ad72,32'h3f6b2a87,32'h3f74c3c5, 32'h3f63f797,32'h3f7bf6b5, 32'h3f57f809,32'h3f83fb22,// invsqrt(1.1381) = 0.9374 +32'h3ed82228,32'h3fc11162,32'h3fc8f2be, 32'h3fbb285c,32'h3fcedbc4, 32'h3fb14ea8,32'h3fd8b578,// invsqrt(0.4221) = 1.5391 +32'h3da65fbf,32'h405c0db2,32'h40650908, 32'h40555132,32'h406bc588, 32'h404a1707,32'h4076ffb3,// invsqrt(0.0812) = 3.5085 +32'h3f0ef187,32'h3fa7decc,32'h3faeb8df, 32'h3fa2bb3e,32'h3fb3dc6e, 32'h3f9a2aa7,32'h3fbc6d05,// invsqrt(0.5584) = 1.3383 +32'h4005258e,32'h3f2defc1,32'h3f350937, 32'h3f289ca9,32'h3f3a5c4f, 32'h3f1fbcd6,32'h3f433c22,// invsqrt(2.0804) = 0.6933 +32'h3d08243a,32'h40ac0346,32'h40b308a2, 32'h40a6bf41,32'h40b84ca7, 32'h409df88f,32'h40c11359,// invsqrt(0.0332) = 5.4851 +32'h3d72b668,32'h4080d3f1,32'h40861610, 32'h4079c4b5,32'h408a07a7, 32'h406c9f68,32'h40909a4e,// invsqrt(0.0593) = 4.1080 +32'h3e9c5a4a,32'h3fe2feff,32'h3fec42df, 32'h3fdc0c16,32'h3ff335c8, 32'h3fd0773e,32'h3ffecaa1,// invsqrt(0.3054) = 1.8096 +32'h3fa86f50,32'h3f5ab403,32'h3f63a13d, 32'h3f540218,32'h3f6a5328, 32'h3f48d990,32'h3f757bb0,// invsqrt(1.3159) = 0.8717 +32'h3f3fab80,32'h3f90f866,32'h3f96e330, 32'h3f8c884e,32'h3f9b5348, 32'h3f8522d0,32'h3fa2b8c6,// invsqrt(0.7487) = 1.1557 +32'h41aafb61,32'h3e59116b,32'h3e61ed8f, 32'h3e526c50,32'h3e6892aa, 32'h3e475924,32'h3e73a5d6,// invsqrt(21.3727) = 0.2163 +32'h401e42b6,32'h3f1f8a3f,32'h3f260d47, 32'h3f1aa7f9,32'h3f2aef8d, 32'h3f128430,32'h3f331357,// invsqrt(2.4728) = 0.6359 +32'h3e149302,32'h4024a896,32'h402b611a, 32'h401f9e34,32'h40306b7c, 32'h4017378f,32'h4038d221,// invsqrt(0.1451) = 2.6253 +32'h3de6f73f,32'h403ac407,32'h40426389, 32'h40350c64,32'h40481b2c, 32'h402b8501,32'h4051a28f,// invsqrt(0.1128) = 2.9778 +32'h3ecab6b8,32'h3fc75b26,32'h3fcf7e38, 32'h3fc140d9,32'h3fd59885, 32'h3fb71504,32'h3fdfc45a,// invsqrt(0.3959) = 1.5893 +32'h40148900,32'h3f24ae22,32'h3f2b66e0, 32'h3f1fa394,32'h3f30716e, 32'h3f173ca7,32'h3f38d85b,// invsqrt(2.3209) = 0.6564 +32'h3fd785b3,32'h3f415769,32'h3f493ba1, 32'h3f3b6c3e,32'h3f4f26cc, 32'h3f318ef8,32'h3f590412,// invsqrt(1.6838) = 0.7707 +32'h3dc0a3d3,32'h404c808c,32'h4054d963, 32'h40463dec,32'h405b1c04, 32'h403bcedf,32'h40658b11,// invsqrt(0.0941) = 3.2606 +32'h3f468720,32'h3f8e71c3,32'h3f944229, 32'h3f8a1576,32'h3f989e76, 32'h3f82d0f7,32'h3f9fe2f5,// invsqrt(0.7755) = 1.1356 +32'h4037becb,32'h3f141040,32'h3f1a1b5c, 32'h3f0f87ea,32'h3f1ea3b2, 32'h3f07fa06,32'h3f263196,// invsqrt(2.8710) = 0.5902 +32'h3ed13873,32'h3fc43b49,32'h3fcc3db5, 32'h3fbe3979,32'h3fd23f85, 32'h3fb43672,32'h3fdc428c,// invsqrt(0.4086) = 1.5643 +32'h3fcb5ca3,32'h3f4709c3,32'h3f4f2981, 32'h3f40f1f4,32'h3f554150, 32'h3f36ca45,32'h3f5f68ff,// invsqrt(1.5888) = 0.7934 +32'h404ed498,32'h3f0b8e5c,32'h3f114094, 32'h3f0748b2,32'h3f15863e, 32'h3f0029ec,32'h3f1ca504,// invsqrt(3.2317) = 0.5563 +32'h3facf5ed,32'h3f57d2a5,32'h3f60a1c5, 32'h3f51374c,32'h3f673d1e, 32'h3f463463,32'h3f724007,// invsqrt(1.3513) = 0.8603 +32'h3f83c383,32'h3f77455d,32'h3f80ae8c, 32'h3f6fb392,32'h3f847772, 32'h3f6315e8,32'h3f8ac647,// invsqrt(1.0294) = 0.9856 +32'h40889c0a,32'h3ef2d884,32'h3efcc202, 32'h3eeb6966,32'h3f021890, 32'h3edf0589,32'h3f084a7e,// invsqrt(4.2690) = 0.4840 +32'h3f9b6186,32'h3f63b46c,32'h3f6cffb4, 32'h3f5cbbf6,32'h3f73f82a, 32'h3f511ddb,32'h3f7f9645,// invsqrt(1.2139) = 0.9076 +32'h3e517a20,32'h400aabf4,32'h401054ee, 32'h40066d38,32'h401493aa, 32'h3ffeb3ff,32'h401ba6e3,// invsqrt(0.2046) = 2.2110 +32'h412c1e82,32'h3e98fb7d,32'h3e9f39ff, 32'h3e944c9b,32'h3ea3e8e1, 32'h3e8c7e78,32'h3eabb704,// invsqrt(10.7574) = 0.3049 +32'h3f6f9a7d,32'h3f81a939,32'h3f86f40d, 32'h3f7b6236,32'h3f8aec2b, 32'h3f6e2725,32'h3f9189b3,// invsqrt(0.9360) = 1.0336 +32'h3f93b13b,32'h3f698e7b,32'h3f7316e9, 32'h3f626829,32'h3f7a3d3b, 32'h3f567da0,32'h3f8313e2,// invsqrt(1.1538) = 0.9309 +32'h4010b61f,32'h3f26d77a,32'h3f2da6ce, 32'h3f21bbfc,32'h3f32c24c, 32'h3f1938d4,32'h3f3b4574,// invsqrt(2.2611) = 0.6650 +32'h3ec6a675,32'h3fc9627c,32'h3fd19ac0, 32'h3fc33849,32'h3fd7c4f3, 32'h3fb8f1f5,32'h3fe20b47,// invsqrt(0.3880) = 1.6054 +32'h3fc0819e,32'h3f4c92b7,32'h3f54ec4b, 32'h3f464f87,32'h3f5b2f7b, 32'h3f3bdf8e,32'h3f659f74,// invsqrt(1.5040) = 0.8154 +32'h3f0ce0e4,32'h3fa9189d,32'h3fafff7f, 32'h3fa3eb74,32'h3fb52ca8, 32'h3f9b4ad9,32'h3fbdcd43,// invsqrt(0.5503) = 1.3480 +32'h3f8b3eec,32'h3f708934,32'h3f7a5a8e, 32'h3f692c2f,32'h3f80dbc9, 32'h3f5ce67e,32'h3f86fea2,// invsqrt(1.0879) = 0.9588 +32'h3f5b71d6,32'h3f877c58,32'h3f8d0408, 32'h3f835694,32'h3f9129cc, 32'h3f78d9f0,32'h3f981368,// invsqrt(0.8572) = 1.0801 +32'h3d4b7126,32'h408cb6a7,32'h409274f7, 32'h408867eb,32'h4096c3b3, 32'h40813a07,32'h409df197,// invsqrt(0.0497) = 4.4870 +32'h3ec8cde5,32'h3fc84d3a,32'h3fd07a2c, 32'h3fc22b84,32'h3fd69be2, 32'h3fb7f354,32'h3fe0d412,// invsqrt(0.3922) = 1.5968 +32'h3efdd9b8,32'h3fb22601,32'h3fb96b79, 32'h3facb1e7,32'h3fbedf93, 32'h3fa39b10,32'h3fc7f66a,// invsqrt(0.4958) = 1.4202 +32'h3c20758e,32'h411e7178,32'h4124e90a, 32'h411997cb,32'h4129c2b7, 32'h41118254,32'h4131d82e,// invsqrt(0.0098) = 10.1048 +32'h3f5ca2a9,32'h3f871ea0,32'h3f8ca27c, 32'h3f82fbba,32'h3f90c562, 32'h3f782dcc,32'h3f97aa36,// invsqrt(0.8619) = 1.0772 +32'h3f177039,32'h3fa31812,32'h3fa9c03d, 32'h3f9e19f3,32'h3faebe5d, 32'h3f95c7be,32'h3fb71092,// invsqrt(0.5916) = 1.3002 +32'h3f92289e,32'h3f6ac75b,32'h3f745c8d, 32'h3f639775,32'h3f7b8c73, 32'h3f579cf5,32'h3f83c379,// invsqrt(1.1419) = 0.9358 +32'h3f0c8cf7,32'h3fa94b12,32'h3fb03403, 32'h3fa41c5d,32'h3fb562b7, 32'h3f9b792f,32'h3fbe05e5,// invsqrt(0.5490) = 1.3496 +32'h3f13ffb6,32'h3fa4fa72,32'h3fabb64c, 32'h3f9fed8d,32'h3fb0c331, 32'h3f9782bc,32'h3fb92e02,// invsqrt(0.5781) = 1.3152 +32'h3fba2c60,32'h3f500600,32'h3f5883a2, 32'h3f49a7c7,32'h3f5ee1db, 32'h3f3f0abc,32'h3f697ee6,// invsqrt(1.4545) = 0.8292 +32'h401bbcfb,32'h3f20d3aa,32'h3f276424, 32'h3f1be74f,32'h3f2c507f, 32'h3f13b2b6,32'h3f348518,// invsqrt(2.4334) = 0.6411 +32'h4216b2b1,32'h3e237e82,32'h3e2a2ada, 32'h3e1e7d3f,32'h3e2f2c1d, 32'h3e1625d0,32'h3e37838c,// invsqrt(37.6745) = 0.1629 +32'h3ec4b014,32'h3fca6309,32'h3fd2a5c5, 32'h3fc430fb,32'h3fd8d7d3, 32'h3fb9dd90,32'h3fe32b3e,// invsqrt(0.3842) = 1.6134 +32'h3ede5aa5,32'h3fbe591b,32'h3fc61e0d, 32'h3fb88566,32'h3fcbf1c2, 32'h3faecf38,32'h3fd5a7f0,// invsqrt(0.4343) = 1.5174 +32'h3cd08837,32'h40c48e23,32'h40cc93f0, 32'h40be89c9,32'h40d29849, 32'h40b48288,32'h40dc9f8a,// invsqrt(0.0255) = 6.2677 +32'h3ff87066,32'h3f341404,32'h3f3b6da6, 32'h3f2e90ca,32'h3f40f0e0, 32'h3f2560c0,32'h3f4a20ea,// invsqrt(1.9409) = 0.7178 +32'h3eec7c36,32'h3fb892c8,32'h3fc01b63, 32'h3fb2ec55,32'h3fc5c1d7, 32'h3fa98194,32'h3fcf2c98,// invsqrt(0.4619) = 1.4714 +32'h3f352d97,32'h3f951bd9,32'h3f9b31e1, 32'h3f908b52,32'h3f9fc268, 32'h3f88efc7,32'h3fa75df3,// invsqrt(0.7077) = 1.1887 +32'h3e458b02,32'h400ecc8c,32'h4014a0a6, 32'h400a6d78,32'h4018ffba, 32'h40032456,32'h402048dc,// invsqrt(0.1929) = 2.2768 +32'h445f6249,32'h3d06492a,32'h3d0bc450, 32'h3d022ccd,32'h3d0fe0ad, 32'h3cf6a5bb,32'h3d16ba9d,// invsqrt(893.5357) = 0.0335 +32'h3fb37d4d,32'h3f53dc7c,32'h3f5c8238, 32'h3f4d602f,32'h3f62fe85, 32'h3f429104,32'h3f6dcdb0,// invsqrt(1.4023) = 0.8445 +32'h3fa31663,32'h3f5e427d,32'h3f6754e0, 32'h3f5774b2,32'h3f6e22aa, 32'h3f4c1db6,32'h3f7979a6,// invsqrt(1.2741) = 0.8859 +32'h3eead490,32'h3fb938fb,32'h3fc0c85f, 32'h3fb38d71,32'h3fc673e9, 32'h3faa1a35,32'h3fcfe725,// invsqrt(0.4587) = 1.4766 +32'h40356f62,32'h3f1500cd,32'h3f1b15bb, 32'h3f10711a,32'h3f1fa56e, 32'h3f08d6f0,32'h3f273f98,// invsqrt(2.8349) = 0.5939 +32'h3f8e6db1,32'h3f6dd551,32'h3f778a6f, 32'h3f668d7b,32'h3f7ed245, 32'h3f5a6b16,32'h3f857a55,// invsqrt(1.1127) = 0.9480 +32'h3e4e286d,32'h400bc896,32'h40117d2e, 32'h40078124,32'h4015c4a0, 32'h40005f65,32'h401ce65f,// invsqrt(0.2013) = 2.2287 +32'h3f92e72b,32'h3f6a2ee5,32'h3f73bddf, 32'h3f6303aa,32'h3f7ae91a, 32'h3f5710f2,32'h3f836de9,// invsqrt(1.1477) = 0.9334 +32'h3fae1cc3,32'h3f571b9b,32'h3f5fe343, 32'h3f5085dd,32'h3f667901, 32'h3f458c4a,32'h3f717294,// invsqrt(1.3603) = 0.8574 +32'h3fabdf5f,32'h3f588143,32'h3f615784, 32'h3f51e091,32'h3f67f835, 32'h3f46d4c0,32'h3f730407,// invsqrt(1.3428) = 0.8630 +32'h3f76f95b,32'h3f7f6c58,32'h3f84eca0, 32'h3f779aa8,32'h3f88d578, 32'h3f6a9284,32'h3f8f598a,// invsqrt(0.9647) = 1.0181 +32'h3ecd635c,32'h3fc60dcb,32'h3fce2341, 32'h3fbffdb2,32'h3fd4335a, 32'h3fb5e2df,32'h3fde4e2d,// invsqrt(0.4011) = 1.5789 +32'h3f91eed0,32'h3f6af5d6,32'h3f748cee, 32'h3f63c484,32'h3f7bbe40, 32'h3f57c7a5,32'h3f83dd90,// invsqrt(1.1401) = 0.9365 +32'h3f7fcca9,32'h3f7afa74,32'h3f829c76, 32'h3f734b9a,32'h3f8673e3, 32'h3f667d85,32'h3f8cdaee,// invsqrt(0.9992) = 1.0004 +32'h3ff87752,32'h3f341181,32'h3f3b6b09, 32'h3f2e8e5b,32'h3f40ee2f, 32'h3f255e71,32'h3f4a1e19,// invsqrt(1.9411) = 0.7177 +32'h3f6ec116,32'h3f81e434,32'h3f873170, 32'h3f7bd48f,32'h3f8b2b5c, 32'h3f6e937a,32'h3f91cbe7,// invsqrt(0.9326) = 1.0355 +32'h406f6fd6,32'h3f01b4c5,32'h3f070011, 32'h3efb7898,32'h3f0af88a, 32'h3eee3c5a,32'h3f1196a9,// invsqrt(3.7412) = 0.5170 +32'h4021a6c4,32'h3f1ddb9e,32'h3f244d12, 32'h3f190687,32'h3f292229, 32'h3f10f8b6,32'h3f312ffa,// invsqrt(2.5258) = 0.6292 +32'h3f96b723,32'h3f6733cd,32'h3f70a3a1, 32'h3f601fee,32'h3f77b780, 32'h3f545425,32'h3f81c1a5,// invsqrt(1.1775) = 0.9216 +32'h40427bf1,32'h3f0feae8,32'h3f15cab3, 32'h3f0b8310,32'h3f1a328c, 32'h3f042b53,32'h3f218a49,// invsqrt(3.0388) = 0.5737 +32'h4021a4ae,32'h3f1ddca3,32'h3f244e21, 32'h3f190784,32'h3f292340, 32'h3f10f9a5,32'h3f31311f,// invsqrt(2.5257) = 0.6292 +32'h3e24499c,32'h401c9617,32'h4022fa41, 32'h4017caf7,32'h4027c561, 32'h400fcdc1,32'h402fc297,// invsqrt(0.1604) = 2.4966 +32'h420d4e08,32'h3e28d743,32'h3e2fbb79, 32'h3e23ac19,32'h3e34e6a3, 32'h3e1b0ed5,32'h3e3d83e7,// invsqrt(35.3262) = 0.1682 +32'h3ef7a660,32'h3fb45d68,32'h3fbbba08, 32'h3faed7ef,32'h3fc13f81, 32'h3fa5a426,32'h3fca734a,// invsqrt(0.4837) = 1.4379 +32'h40227090,32'h3f1d7972,32'h3f23e6e4, 32'h3f18a75c,32'h3f28b8fa, 32'h3f109e8d,32'h3f30c1c9,// invsqrt(2.5381) = 0.6277 +32'h3fca8889,32'h3f4771e0,32'h3f4f95de, 32'h3f4156e1,32'h3f55b0dd, 32'h3f3729e2,32'h3f5fdddc,// invsqrt(1.5823) = 0.7950 +32'h3f08e0c9,32'h3fab8ca3,32'h3fb28d27, 32'h3fa64c40,32'h3fb7cd8a, 32'h3f9d8b9b,32'h3fc08e2f,// invsqrt(0.5347) = 1.3676 +32'h3e28eb98,32'h401a6c93,32'h4020ba26, 32'h4015b264,32'h40257454, 32'h400dd16c,32'h402d554c,// invsqrt(0.1650) = 2.4621 +32'h3f8aa82c,32'h3f710bd2,32'h3f7ae282, 32'h3f69aace,32'h3f8121c3, 32'h3f5d5e73,32'h3f8747f1,// invsqrt(1.0833) = 0.9608 +32'h3e6d19ac,32'h400257fc,32'h4007a9f1, 32'h3ffcb507,32'h400ba769, 32'h3fef6821,32'h40124ddb,// invsqrt(0.2315) = 2.0782 +32'h40ebf359,32'h3eb8c849,32'h3ec05312, 32'h3eb32031,32'h3ec5fb29, 32'h3ea9b2b5,32'h3ecf68a5,// invsqrt(7.3735) = 0.3683 +32'h3eb908f0,32'h3fd0a993,32'h3fd92de2, 32'h3fca4657,32'h3fdf911d, 32'h3fbfa0f4,32'h3fea3680,// invsqrt(0.3614) = 1.6634 +32'h3f60d99b,32'h3f85d8e8,32'h3f8b4f79, 32'h3f81bffa,32'h3f8f6866, 32'h3f75d78a,32'h3f963c9b,// invsqrt(0.8783) = 1.0670 +32'h3e1a8b9e,32'h4021723f,32'h40280931, 32'h401c8108,32'h402cfa68, 32'h40144459,32'h40353717,// invsqrt(0.1509) = 2.5741 +32'h3e5390e5,32'h4009fc42,32'h400f9e11, 32'h4005c2e8,32'h4013d76c, 32'h3ffd714c,32'h401ae1ae,// invsqrt(0.2066) = 2.2000 +32'h3fd55555,32'h3f4254b0,32'h3f4a4340, 32'h3f3c61c5,32'h3f50362b, 32'h3f327792,32'h3f5a205e,// invsqrt(1.6667) = 0.7746 +32'h3fe94e2f,32'h3f39d3b1,32'h3f416965, 32'h3f34236a,32'h3f4719ac, 32'h3f2aa84a,32'h3f5094cc,// invsqrt(1.8227) = 0.7407 +32'h3f92f4a4,32'h3f6a2428,32'h3f73b2b2, 32'h3f62f941,32'h3f7add99, 32'h3f570715,32'h3f8367e2,// invsqrt(1.1481) = 0.9333 +32'h407681ca,32'h3effaa42,32'h3f050cd9, 32'h3ef7d6ae,32'h3f08f6a3, 32'h3eeacb61,32'h3f0f7c4a,// invsqrt(3.8517) = 0.5095 +32'h3ee76fac,32'h3fba9369,32'h3fc230f0, 32'h3fb4dd44,32'h3fc7e716, 32'h3fab585c,32'h3fd16bfe,// invsqrt(0.4520) = 1.4874 +32'h400a6789,32'h3f2a99cd,32'h3f319067, 32'h3f2560d9,32'h3f36c95b, 32'h3f1cac97,32'h3f3f7d9d,// invsqrt(2.1626) = 0.6800 +32'h3e3b4430,32'h4012aa36,32'h4018a6b6, 32'h400e2cd6,32'h401d2416, 32'h4006b137,32'h40249fb5,// invsqrt(0.1829) = 2.3384 +32'h3db4dbd4,32'h40530ec9,32'h405bac1f, 32'h404c98c8,32'h40622220, 32'h4041d41c,32'h406ce6cc,// invsqrt(0.0883) = 3.3651 +32'h3ee25a76,32'h3fbca8b5,32'h3fc45c01, 32'h3fb6e23d,32'h3fca2279, 32'h3fad421f,32'h3fd3c297,// invsqrt(0.4421) = 1.5040 +32'h3fb56d68,32'h3f52ba0b,32'h3f5b53ec, 32'h3f4c46a2,32'h3f61c754, 32'h3f418648,32'h3f6c87ae,// invsqrt(1.4174) = 0.8400 +32'h3ede8f28,32'h3fbe42a5,32'h3fc606ab, 32'h3fb86fa0,32'h3fcbd9b0, 32'h3faeba97,32'h3fd58eb9,// invsqrt(0.4347) = 1.5167 +32'h3f209a11,32'h3f9e5f75,32'h3fa4d64a, 32'h3f998654,32'h3fa9af6a, 32'h3f9171c9,32'h3fb1c3f5,// invsqrt(0.6274) = 1.2625 +32'h4016f8ad,32'h3f235898,32'h3f2a0365, 32'h3f1e587f,32'h3f2f037f, 32'h3f1602ff,32'h3f3758ff,// invsqrt(2.3589) = 0.6511 +32'h3d3cb31f,32'h40921b57,32'h40981202, 32'h408da258,32'h409c8b02, 32'h40862e02,32'h40a3ff58,// invsqrt(0.0461) = 4.6590 +32'h41a3328e,32'h3e5e2f4e,32'h3e6740e8, 32'h3e57621a,32'h3e6e0e1c, 32'h3e4c0c18,32'h3e79641e,// invsqrt(20.3997) = 0.2214 +32'h3f986c31,32'h3f65e765,32'h3f6f49a7, 32'h3f5eddb2,32'h3f76535a, 32'h3f5322df,32'h3f810716,// invsqrt(1.1908) = 0.9164 +32'h3f03194a,32'h3faf4a32,32'h3fb671cb, 32'h3fa9ec7e,32'h3fbbcf7e, 32'h3fa0fafe,32'h3fc4c0fe,// invsqrt(0.5121) = 1.3974 +32'h3f01683a,32'h3fb06e8c,32'h3fb7a214, 32'h3fab07e6,32'h3fbd08ba, 32'h3fa2077b,32'h3fc60925,// invsqrt(0.5055) = 1.4065 +32'h3f1a3e3e,32'h3fa19ab8,32'h3fa83352, 32'h3f9ca845,32'h3fad25c5, 32'h3f946984,32'h3fb56486,// invsqrt(0.6025) = 1.2883 +32'h41f16368,32'h3e36b070,32'h3e3e255b, 32'h3e3118c1,32'h3e43bd0b, 32'h3e27c69c,32'h3e4d0f30,// invsqrt(30.1735) = 0.1820 +32'h3f8a5345,32'h3f7155c1,32'h3f7b2f75, 32'h3f69f27a,32'h3f81495e, 32'h3f5da258,32'h3f87716f,// invsqrt(1.0807) = 0.9620 +32'h3f20d81d,32'h3f9e40e6,32'h3fa4b67c, 32'h3f9968b5,32'h3fa98ead, 32'h3f9155b9,32'h3fb1a1a9,// invsqrt(0.6283) = 1.2616 +32'h3f21d49e,32'h3f9dc53f,32'h3fa435c9, 32'h3f98f0d7,32'h3fa90a31, 32'h3f90e42a,32'h3fb116de,// invsqrt(0.6322) = 1.2577 +32'h3f1caae6,32'h3fa0595d,32'h3fa6e4d9, 32'h3f9b70c0,32'h3fabcd76, 32'h3f934265,32'h3fb3fbd1,// invsqrt(0.6120) = 1.2783 +32'h414c6db7,32'h3e8c5fa0,32'h3e921a62, 32'h3e88138e,32'h3e966674, 32'h3e80ea1a,32'h3e9d8fe8,// invsqrt(12.7768) = 0.2798 +32'h3f700ec7,32'h3f8189ce,32'h3f86d358, 32'h3f7b254a,32'h3f8aca81, 32'h3f6ded6f,32'h3f91666e,// invsqrt(0.9377) = 1.0327 +32'h3dcc3888,32'h40469e7d,32'h404eb9db, 32'h404089f7,32'h4054ce61, 32'h403667c1,32'h405ef097,// invsqrt(0.0997) = 3.1668 +32'h3f23a279,32'h3f9ce5fa,32'h3fa34d68, 32'h3f981868,32'h3fa81afa, 32'h3f90171f,32'h3fb01c43,// invsqrt(0.6392) = 1.2508 +32'h3f7273d2,32'h3f80e5a1,32'h3f862879, 32'h3f79e6ff,32'h3f8a1a9a, 32'h3f6cbfe4,32'h3f90ae28,// invsqrt(0.9471) = 1.0276 +32'h3f42455b,32'h3f8fff1f,32'h3f95dfbd, 32'h3f8b96a8,32'h3f9a4834, 32'h3f843de3,32'h3fa1a0f9,// invsqrt(0.7589) = 1.1479 +32'h4114f198,32'h3ea47445,32'h3eab2aa6, 32'h3e9f6b7d,32'h3eb0336f, 32'h3e970784,32'h3eb89768,// invsqrt(9.3090) = 0.3278 +32'h3fc416c1,32'h3f4ab21a,32'h3f52f810, 32'h3f447da1,32'h3f592c89, 32'h3f3a262c,32'h3f6383fe,// invsqrt(1.5319) = 0.8079 +32'h3edb8913,32'h3fbf90ed,32'h3fc76299, 32'h3fb9b3ac,32'h3fcd3fda, 32'h3fafed96,32'h3fd705f0,// invsqrt(0.4288) = 1.5272 +32'h3f4b6eb8,32'h3f8cb77e,32'h3f9275d7, 32'h3f8868bc,32'h3f96c49a, 32'h3f813acd,32'h3f9df289,// invsqrt(0.7947) = 1.1218 +32'h3ea6e36d,32'h3fdbb6d1,32'h3fe4ae9b, 32'h3fd4fcfa,32'h3feb6872, 32'h3fc9c73d,32'h3ff69e2f,// invsqrt(0.3260) = 1.7515 +32'h3f25430b,32'h3f9c1fbf,32'h3fa27f15, 32'h3f97583e,32'h3fa74696, 32'h3f8f6113,32'h3faf3dc1,// invsqrt(0.6456) = 1.2446 +32'h3fa99c1f,32'h3f59f1bd,32'h3f62d709, 32'h3f5345c4,32'h3f698302, 32'h3f482726,32'h3f74a1a0,// invsqrt(1.3251) = 0.8687 +32'h3febb0a1,32'h3f38e26e,32'h3f406e49, 32'h3f33398b,32'h3f46172d, 32'h3f29cab9,32'h3f4f85ff,// invsqrt(1.8413) = 0.7369 +32'h3e86c6c9,32'h3ff47dd9,32'h3ffe7889, 32'h3fed01d5,32'h4002fa47, 32'h3fe08879,32'h400936f5,// invsqrt(0.2632) = 1.9491 +32'h3febf104,32'h3f38c932,32'h3f405406, 32'h3f332114,32'h3f45fc24, 32'h3f29b38c,32'h3f4f69ac,// invsqrt(1.8433) = 0.7366 +32'h400a4623,32'h3f2aae66,32'h3f31a5d8, 32'h3f2574d1,32'h3f36df6d, 32'h3f1cbf82,32'h3f3f94bc,// invsqrt(2.1605) = 0.6803 +32'h3ff46035,32'h3f3591b0,32'h3f3cfae6, 32'h3f3002c7,32'h3f4289cf, 32'h3f26bf44,32'h3f4bcd53,// invsqrt(1.9092) = 0.7237 +32'h3f9e9cc8,32'h3f615f8f,32'h3f6a927b, 32'h3f5a795e,32'h3f7178ac, 32'h3f4ef9b8,32'h3f7cf852,// invsqrt(1.2392) = 0.8983 +32'h3eef605b,32'h3fb77492,32'h3fbef17d, 32'h3fb1d6e0,32'h3fc48f2e, 32'h3fa87aba,32'h3fcdeb55,// invsqrt(0.4675) = 1.4625 +32'h401484e0,32'h3f24b06b,32'h3f2b6941, 32'h3f1fa5cb,32'h3f3073e1, 32'h3f173ec1,32'h3f38daeb,// invsqrt(2.3206) = 0.6564 +32'h40a098c0,32'h3edffa06,32'h3ee91e5a, 32'h3ed91ec7,32'h3eeff999, 32'h3ecdb15e,32'h3efb6702,// invsqrt(5.0186) = 0.4464 +32'h3ef644ed,32'h3fb4dea8,32'h3fbc4090, 32'h3faf553b,32'h3fc1c9fd, 32'h3fa61ad9,32'h3fcb045f,// invsqrt(0.4810) = 1.4419 +32'h3e3420ea,32'h40158ae3,32'h401ba575, 32'h4010f6f7,32'h40203961, 32'h400955c1,32'h4027da97,// invsqrt(0.1759) = 2.3843 +32'h3f515bca,32'h3f8ab5ff,32'h3f905f63, 32'h3f8676f5,32'h3f949e6d, 32'h3f7ec672,32'h3f9bb229,// invsqrt(0.8178) = 1.1058 +32'h3f1789f7,32'h3fa30a38,32'h3fa9b1d1, 32'h3f9e0c84,32'h3faeaf84, 32'h3f95bb04,32'h3fb70104,// invsqrt(0.5919) = 1.2997 +32'h3dcf787b,32'h40450eb1,32'h404d19bd, 32'h403f0667,32'h40532207, 32'h4034f898,32'h405d2fd6,// invsqrt(0.1013) = 3.1419 +32'h3f38a21d,32'h3f93b4fe,32'h3f99bc61, 32'h3f8f2f73,32'h3f9e41eb, 32'h3f87a637,32'h3fa5cb27,// invsqrt(0.7212) = 1.1775 +32'h3e2ff417,32'h40174e6c,32'h401d7b6b, 32'h4012acac,32'h40221d2a, 32'h400af46d,32'h4029d569,// invsqrt(0.1718) = 2.4124 +32'h3e09ffe4,32'h402ad9d2,32'h4031d309, 32'h40259ee8,32'h40370df2, 32'h401ce762,32'h403fc578,// invsqrt(0.1348) = 2.7240 +32'h41fdf942,32'h3e321af1,32'h3e395ff5, 32'h3e2ca72d,32'h3e3ed3b9, 32'h3e2390e8,32'h3e47e9ff,// invsqrt(31.7467) = 0.1775 +32'h3e49782f,32'h400d6691,32'h40132c0f, 32'h40091272,32'h4017802e, 32'h4001db95,32'h401eb70b,// invsqrt(0.1967) = 2.2545 +32'h3e9d08c7,32'h3fe280bf,32'h3febbf78, 32'h3fdb91b3,32'h3ff2ae83, 32'h3fd0034c,32'h3ffe3ceb,// invsqrt(0.3067) = 1.8057 +32'h3d0a92ea,32'h40aa7f17,32'h40b1749b, 32'h40a546f5,32'h40b6acbd, 32'h409c9410,32'h40bf5fa2,// invsqrt(0.0338) = 5.4367 +32'h40c60704,32'h3ec9b37f,32'h3ed1ef11, 32'h3ec386d1,32'h3ed81bbf, 32'h3eb93c5a,32'h3ee26636,// invsqrt(6.1884) = 0.4020 +32'h3e4df637,32'h400bd99f,32'h40118ee9, 32'h400791a7,32'h4015d6e1, 32'h40006f0a,32'h401cf97e,// invsqrt(0.2011) = 2.2298 +32'h41195d42,32'h3ea21116,32'h3ea8ae84, 32'h3e9d1b03,32'h3eada497, 32'h3e94d638,32'h3eb5e962,// invsqrt(9.5853) = 0.3230 +32'h3e364fb4,32'h4014a506,32'h401ab635, 32'h40101822,32'h401f4318, 32'h400882a7,32'h4026d893,// invsqrt(0.1780) = 2.3700 +32'h40c982b6,32'h3ec7f348,32'h3ed01c8e, 32'h3ec1d452,32'h3ed63b84, 32'h3eb7a0ba,32'h3ee06f1c,// invsqrt(6.2972) = 0.3985 +32'h408706a9,32'h3ef443fe,32'h3efe3c52, 32'h3eecc9c0,32'h3f02db48, 32'h3ee05357,32'h3f09167c,// invsqrt(4.2196) = 0.4868 +32'h3ed25bd3,32'h3fc3b332,32'h3fcbb010, 32'h3fbdb58c,32'h3fd1adb6, 32'h3fb3b977,32'h3fdba9cb,// invsqrt(0.4109) = 1.5601 +32'h40b83d1f,32'h3ed11cde,32'h3ed9a5e2, 32'h3ecab61b,32'h3ee00ca5, 32'h3ec00ad6,32'h3eeab7ea,// invsqrt(5.7575) = 0.4168 +32'h3f5ef1d1,32'h3f866b05,32'h3f8be78d, 32'h3f824d9f,32'h3f9004f3, 32'h3f76e3ea,32'h3f96e09d,// invsqrt(0.8709) = 1.0716 +32'h3f7f0401,32'h3f7b5d1e,32'h3f82cfce, 32'h3f73ab3e,32'h3f86a8bd, 32'h3f66d820,32'h3f8d124c,// invsqrt(0.9962) = 1.0019 +32'h3ee384d6,32'h3fbc2cd7,32'h3fc3db15, 32'h3fb66a2a,32'h3fc99dc2, 32'h3facd05d,32'h3fd3378f,// invsqrt(0.4444) = 1.5001 +32'h3fe7f9e9,32'h3f3a5bc9,32'h3f41f70b, 32'h3f34a758,32'h3f47ab7c, 32'h3f2b2546,32'h3f512d8e,// invsqrt(1.8123) = 0.7428 +32'h3f37950c,32'h3f942114,32'h3f9a2ce1, 32'h3f8f983b,32'h3f9eb5bb, 32'h3f88097c,32'h3fa6447a,// invsqrt(0.7171) = 1.1809 +32'h3efe8693,32'h3fb1e978,32'h3fb92c78, 32'h3fac7738,32'h3fbe9eb8, 32'h3fa36379,32'h3fc7b277,// invsqrt(0.4971) = 1.4183 +32'h3c9e5324,32'h40e193f3,32'h40eac902, 32'h40daac27,32'h40f1b0cd, 32'h40cf29d4,32'h40fd3320,// invsqrt(0.0193) = 7.1932 +32'h3f9153c5,32'h3f6b730a,32'h3f750f3e, 32'h3f643de2,32'h3f7c4466, 32'h3f583aa0,32'h3f8423d4,// invsqrt(1.1354) = 0.9385 +32'h3d59f894,32'h4087f165,32'h408d7ddc, 32'h4083c80b,32'h4091a735, 32'h4079b0ed,32'h409896ca,// invsqrt(0.0532) = 4.3349 +32'h3f5eedf2,32'h3f866c2f,32'h3f8be8c3, 32'h3f824ec0,32'h3f900632, 32'h3f76e60d,32'h3f96e1ec,// invsqrt(0.8708) = 1.0716 +32'h3f281e91,32'h3f9acaa0,32'h3fa11c0a, 32'h3f960d91,32'h3fa5d919, 32'h3f8e27cc,32'h3fadbede,// invsqrt(0.6567) = 1.2340 +32'h3fb607cf,32'h3f526099,32'h3f5af6d3, 32'h3f4befed,32'h3f61677f, 32'h3f413424,32'h3f6c2348,// invsqrt(1.4221) = 0.8386 +32'h414ab8cb,32'h3e8cf694,32'h3e92b780, 32'h3e88a5e3,32'h3e970831, 32'h3e8174bc,32'h3e9e3958,// invsqrt(12.6701) = 0.2809 +32'h3f92735b,32'h3f6a8b6b,32'h3f741e2b, 32'h3f635d5a,32'h3f7b4c3c, 32'h3f5765ea,32'h3f83a1d6,// invsqrt(1.1441) = 0.9349 +32'h3fcafd3a,32'h3f473884,32'h3f4f5a2b, 32'h3f411f46,32'h3f557368, 32'h3f36f535,32'h3f5f9d79,// invsqrt(1.5859) = 0.7941 +32'h3f30fd05,32'h3f96dd03,32'h3f9d0561, 32'h3f923ebc,32'h3fa1a3a8, 32'h3f8a8c47,32'h3fa9561d,// invsqrt(0.6914) = 1.2027 +32'h3fcc2f1e,32'h3f46a312,32'h3f4ebe9f, 32'h3f408e67,32'h3f54d349, 32'h3f366bf6,32'h3f5ef5ba,// invsqrt(1.5952) = 0.7918 +32'h3d4aca06,32'h408cf097,32'h4092b145, 32'h4088a015,32'h409701c7, 32'h40816f3d,32'h409e329f,// invsqrt(0.0495) = 4.4943 +32'h3e427cf7,32'h400fea87,32'h4015ca4e, 32'h400b82b2,32'h401a3224, 32'h40042afa,32'h402189dc,// invsqrt(0.1899) = 2.2946 +32'h3faf16ad,32'h3f5681e0,32'h3f5f4342, 32'h3f4ff0d7,32'h3f65d44b, 32'h3f44ff1c,32'h3f70c606,// invsqrt(1.3679) = 0.8550 +32'h402ffc56,32'h3f174ae0,32'h3f1d77ba, 32'h3f12a93c,32'h3f22195e, 32'h3f0af12c,32'h3f29d16e,// invsqrt(2.7498) = 0.6030 +32'h3f3fca94,32'h3f90eca6,32'h3f96d6f6, 32'h3f8c7cea,32'h3f9b46b2, 32'h3f851806,32'h3fa2ab96,// invsqrt(0.7492) = 1.1553 +32'h3ec94260,32'h3fc8133b,32'h3fd03dcf, 32'h3fc1f34b,32'h3fd65dbf, 32'h3fb7be11,32'h3fe092f9,// invsqrt(0.3931) = 1.5950 +32'h40831d76,32'h3ef7e1c0,32'h3f00ffee, 32'h3ef04b2a,32'h3f04cb39, 32'h3ee3a586,32'h3f0b1e0b,// invsqrt(4.0973) = 0.4940 +32'h40af5a32,32'h3ed6588f,32'h3edf1842, 32'h3ecfc8ca,32'h3ee5a808, 32'h3ec4d92b,32'h3ef097a7,// invsqrt(5.4798) = 0.4272 +32'h3f6961df,32'h3f8360b9,32'h3f88bd7d, 32'h3f7eb64d,32'h3f8cc310, 32'h3f714e64,32'h3f937704,// invsqrt(0.9116) = 1.0473 +32'h4427719a,32'h3d1b1a7e,32'h3d216f2a, 32'h3d165afd,32'h3d262eab, 32'h3d0e7125,32'h3d2e1883,// invsqrt(669.7750) = 0.0386 +32'h416c0a49,32'h3e82a2d5,32'h3e87f7d8, 32'h3e7d4623,32'h3e8bf79a, 32'h3e6ff19b,32'h3e92a1df,// invsqrt(14.7525) = 0.2604 +32'h40672b18,32'h3f040165,32'h3f0964b7, 32'h3effedce,32'h3f0d6f35, 32'h3ef27580,32'h3f142b5c,// invsqrt(3.6120) = 0.5262 +32'h4033a655,32'h3f15bde0,32'h3f1bda86, 32'h3f112864,32'h3f207002, 32'h3f098494,32'h3f2813d2,// invsqrt(2.8070) = 0.5969 +32'h4144006a,32'h3e8f5c02,32'h3e9535f8, 32'h3e8af88a,32'h3e999970, 32'h3e83a817,32'h3ea0e9e3,// invsqrt(12.2501) = 0.2857 +32'h3dfa3f0c,32'h40336d40,32'h403ac014, 32'h402def22,32'h40403e32, 32'h4024c799,32'h404965bb,// invsqrt(0.1222) = 2.8608 +32'h40521ff6,32'h3f0a7530,32'h3f101bee, 32'h3f063821,32'h3f1458fd, 32'h3efe4f68,32'h3f1b696a,// invsqrt(3.2832) = 0.5519 +32'h3ed84f13,32'h3fc0fd55,32'h3fc8dde0, 32'h3fbb14ec,32'h3fcec648, 32'h3fb13c3e,32'h3fd89ef6,// invsqrt(0.4225) = 1.5385 +32'h3f977e10,32'h3f669bcf,32'h3f70056f, 32'h3f5f8c97,32'h3f7714a7, 32'h3f53c88f,32'h3f816c57,// invsqrt(1.1835) = 0.9192 +32'h4203a666,32'h3e2eec27,32'h3e360fea, 32'h3e299155,32'h3e3b6abd, 32'h3e20a4a2,32'h3e445770,// invsqrt(32.9125) = 0.1743 +32'h3f639c9a,32'h3f850865,32'h3f8a7673, 32'h3f80f5da,32'h3f8e88fe, 32'h3f74588f,32'h3f955290,// invsqrt(0.8891) = 1.0605 +32'h3f896341,32'h3f722833,32'h3f7c0a7f, 32'h3f6abe7b,32'h3f81ba1c, 32'h3f5e639d,32'h3f87e78b,// invsqrt(1.0733) = 0.9652 +32'h3f898d9c,32'h3f7202e8,32'h3f7be3ae, 32'h3f6a9a54,32'h3f81a621, 32'h3f5e415d,32'h3f87d29c,// invsqrt(1.0746) = 0.9646 +32'h40f85260,32'h3eb41ee6,32'h3ebb78fa, 32'h3eae9b57,32'h3ec0fc89, 32'h3ea56abe,32'h3eca2d22,// invsqrt(7.7601) = 0.3590 +32'h40036d22,32'h3f2f123f,32'h3f36378f, 32'h3f29b642,32'h3f3b938c, 32'h3f20c79d,32'h3f448231,// invsqrt(2.0535) = 0.6978 +32'h4113a325,32'h3ea52e22,32'h3eabec19, 32'h3ea01fa9,32'h3eb0fa93, 32'h3e97b235,32'h3eb96807,// invsqrt(9.2273) = 0.3292 +32'h3fe9ee1d,32'h3f399421,32'h3f41273c, 32'h3f33e5cc,32'h3f46d590, 32'h3f2a6de9,32'h3f504d73,// invsqrt(1.8276) = 0.7397 +32'h3e7fe6de,32'h3ffaed99,32'h400295c5, 32'h3ff33f24,32'h40066d00, 32'h3fe671b7,32'h400cd3b7,// invsqrt(0.2499) = 2.0004 +32'h3f38a373,32'h3f93b475,32'h3f99bbd3, 32'h3f8f2eef,32'h3f9e4159, 32'h3f87a5ba,32'h3fa5ca8e,// invsqrt(0.7212) = 1.1775 +32'h3ed4f7eb,32'h3fc27f4a,32'h3fca6f96, 32'h3fbc8b11,32'h3fd063cf, 32'h3fb29eb2,32'h3fda502e,// invsqrt(0.4160) = 1.5505 +32'h3fc0fca9,32'h3f4c5175,32'h3f54a860, 32'h3f461046,32'h3f5ae990, 32'h3f3ba3a0,32'h3f655636,// invsqrt(1.5077) = 0.8144 +32'h41e50b21,32'h3e3b8c3f,32'h3e4333ee, 32'h3e35ce7c,32'h3e48f1b2, 32'h3e2c3ce2,32'h3e52834d,// invsqrt(28.6304) = 0.1869 +32'h3f5509c3,32'h3f898200,32'h3f8f1ed0, 32'h3f854c63,32'h3f93546d, 32'h3f7c90bb,32'h3f9a5872,// invsqrt(0.8322) = 1.0962 +32'h3dc1a831,32'h404bf6e5,32'h40544a1d, 32'h4045b87b,32'h405a8887, 32'h403b5074,32'h4064f08e,// invsqrt(0.0946) = 3.2520 +32'h4082e184,32'h3ef81a7e,32'h3f011d75, 32'h3ef0822b,32'h3f04e99e, 32'h3ee3d9a2,32'h3f0b3de3,// invsqrt(4.0900) = 0.4945 +32'h3f201bf7,32'h3f9e9dc6,32'h3fa51727, 32'h3f99c2be,32'h3fa9f230, 32'h3f91ab05,32'h3fb209e9,// invsqrt(0.6254) = 1.2645 +32'h3f8bb70f,32'h3f7021b3,32'h3f79eed5, 32'h3f68c7da,32'h3f80a457, 32'h3f5c8770,32'h3f86c48c,// invsqrt(1.0915) = 0.9572 +32'h40138cf1,32'h3f253a8f,32'h3f2bf908, 32'h3f202bb5,32'h3f3107e3, 32'h3f17bd9e,32'h3f3975fa,// invsqrt(2.3055) = 0.6586 +32'h408863dd,32'h3ef30a82,32'h3efcf60a, 32'h3eeb99dc,32'h3f023358, 32'h3edf3372,32'h3f08668d,// invsqrt(4.2622) = 0.4844 +32'h4117b554,32'h3ea2f2e9,32'h3ea9998f, 32'h3e9df5ec,32'h3eae968c, 32'h3e95a59c,32'h3eb6e6dc,// invsqrt(9.4818) = 0.3248 +32'h3c720a97,32'h410101a3,32'h4106459f, 32'h40fa1d4c,32'h410a389c, 32'h40ecf355,32'h4110cd98,// invsqrt(0.0148) = 8.2274 +32'h3e27d705,32'h401aeb9a,32'h40213e5d, 32'h40162d89,32'h4025fc6f, 32'h400e4616,32'h402de3e2,// invsqrt(0.1639) = 2.4700 +32'h3eed31a9,32'h3fb84c22,32'h3fbfd1da, 32'h3fb2a7d8,32'h3fc57624, 32'h3fa940b1,32'h3fcedd4b,// invsqrt(0.4633) = 1.4692 +32'h3fe9337d,32'h3f39de53,32'h3f417476, 32'h3f342dba,32'h3f472510, 32'h3f2ab20e,32'h3f50a0bc,// invsqrt(1.8219) = 0.7409 +32'h3f31111a,32'h3f96d475,32'h3f9cfc79, 32'h3f923671,32'h3fa19a7d, 32'h3f8a846b,32'h3fa94c83,// invsqrt(0.6917) = 1.2024 +32'h3feebd07,32'h3f37b348,32'h3f3f32c3, 32'h3f3213ab,32'h3f44d25f, 32'h3f28b451,32'h3f4e31b9,// invsqrt(1.8651) = 0.7322 +32'h3fcc9318,32'h3f467282,32'h3f4e8c14, 32'h3f405f54,32'h3f549f42, 32'h3f363f5d,32'h3f5ebf39,// invsqrt(1.5982) = 0.7910 +32'h3e7a03d2,32'h3ffddd79,32'h40041d0d, 32'h3ff61800,32'h4007ffca, 32'h3fe92436,32'h400e79af,// invsqrt(0.2442) = 2.0238 +32'h3f8b08a7,32'h3f70b821,32'h3f7a8b67, 32'h3f6959ad,32'h3f80f4ed, 32'h3f5d1197,32'h3f8718f9,// invsqrt(1.0862) = 0.9595 +32'h3f957893,32'h3f6829ab,32'h3f71a389, 32'h3f610e46,32'h3f78beee, 32'h3f5535f1,32'h3f824ba2,// invsqrt(1.1677) = 0.9254 +32'h40a691fb,32'h3edbec81,32'h3ee4e67c, 32'h3ed53105,32'h3eeba1f9, 32'h3ec9f88c,32'h3ef6da72,// invsqrt(5.2053) = 0.4383 +32'h3f1404ce,32'h3fa4f79b,32'h3fabb359, 32'h3f9feace,32'h3fb0c026, 32'h3f978021,32'h3fb92ad3,// invsqrt(0.5782) = 1.3151 +32'h3f047b58,32'h3fae5f5a,32'h3fb57d5d, 32'h3fa908d6,32'h3fbad3e0, 32'h3fa02352,32'h3fc3b964,// invsqrt(0.5175) = 1.3901 +32'h3fd33748,32'h3f434d6d,32'h3f4b4623, 32'h3f3d52e4,32'h3f5140ac, 32'h3f335c01,32'h3f5b378f,// invsqrt(1.6501) = 0.7785 +32'h3ffc1280,32'h3f32c694,32'h3f3a129a, 32'h3f2d4d8f,32'h3f3f8b9f, 32'h3f242e88,32'h3f48aaa6,// invsqrt(1.9693) = 0.7126 +32'h40818e37,32'h3ef95e8d,32'h3f01c61a, 32'h3ef1bc4f,32'h3f059739, 32'h3ee5033e,32'h3f0bf3c2,// invsqrt(4.0486) = 0.4970 +32'h3f1e6715,32'h3f9f77ed,32'h3fa5fa35, 32'h3f9a9637,32'h3faadbeb, 32'h3f92735c,32'h3fb2fec6,// invsqrt(0.6188) = 1.2713 +32'h3f0a44fd,32'h3faaaf1c,32'h3fb1a695, 32'h3fa57580,32'h3fb6e030, 32'h3f9cc029,32'h3fbf9587,// invsqrt(0.5401) = 1.3607 +32'h3fb0da5f,32'h3f556f41,32'h3f5e256d, 32'h3f4ee69f,32'h3f64ae0f, 32'h3f4402e8,32'h3f6f91c6,// invsqrt(1.3817) = 0.8507 +32'h3e34fb50,32'h4015308d,32'h401b476f, 32'h40109f64,32'h401fd898, 32'h400902cb,32'h40277531,// invsqrt(0.1767) = 2.3787 +32'h3f4612a9,32'h3f8e9b9e,32'h3f946db9, 32'h3f8a3e09,32'h3f98cb4d, 32'h3f82f767,32'h3fa011ef,// invsqrt(0.7737) = 1.1369 +32'h3f1c7da3,32'h3fa0708c,32'h3fa6fcfa, 32'h3f9b8739,32'h3fabe64d, 32'h3f9357b0,32'h3fb415d7,// invsqrt(0.6113) = 1.2790 +32'h3eb893e3,32'h3fd0ebb2,32'h3fd972b4, 32'h3fca8670,32'h3fdfd7f6, 32'h3fbfddae,32'h3fea80b8,// invsqrt(0.3605) = 1.6655 +32'h3f9be26d,32'h3f635633,32'h3f6c9da3, 32'h3f5c609f,32'h3f739337, 32'h3f50c754,32'h3f7f2c83,// invsqrt(1.2178) = 0.9062 +32'h3f3e437b,32'h3f91814d,32'h3f9771af, 32'h3f8d0d04,32'h3f9be5f8, 32'h3f85a08b,32'h3fa35271,// invsqrt(0.7432) = 1.1600 +32'h3f780c75,32'h3f7ede8d,32'h3f84a2d6, 32'h3f771134,32'h3f888982, 32'h3f6a104c,32'h3f8f09f6,// invsqrt(0.9689) = 1.0159 +32'h3fbd69f9,32'h3f4e3c7c,32'h3f56a772, 32'h3f47ec44,32'h3f5cf7aa, 32'h3f3d6692,32'h3f677d5d,// invsqrt(1.4798) = 0.8221 +32'h3f61e227,32'h3f858a70,32'h3f8afdcd, 32'h3f8173e9,32'h3f8f1453, 32'h3f754769,32'h3f95e487,// invsqrt(0.8824) = 1.0646 +32'h3e96d925,32'h3fe719bc,32'h3ff08880, 32'h3fe006a9,32'h3ff79b93, 32'h3fd43c35,32'h4001b304,// invsqrt(0.2946) = 1.8423 +32'h3f20ed8a,32'h3f9e365c,32'h3fa4ab85, 32'h3f995e7f,32'h3fa98363, 32'h3f914c0c,32'h3fb195d6,// invsqrt(0.6286) = 1.2613 +32'h3e903342,32'h3fec5e1f,32'h3ff603ec, 32'h3fe521c5,32'h3ffd4045, 32'h3fd91284,32'h4004a7c3,// invsqrt(0.2816) = 1.8843 +32'h3e1c0aab,32'h4020ab9c,32'h40273a74, 32'h401bc07b,32'h402c2595, 32'h40138dee,32'h40345822,// invsqrt(0.1524) = 2.5617 +32'h3fbc24da,32'h3f4eee61,32'h3f576099, 32'h3f4898b7,32'h3f5db643, 32'h3f3e09f0,32'h3f68450a,// invsqrt(1.4699) = 0.8248 +32'h3e1bbbe1,32'h4020d43b,32'h402764bb, 32'h401be7db,32'h402c511b, 32'h4013b33c,32'h403485bb,// invsqrt(0.1521) = 2.5642 +32'h3f52d8a6,32'h3f8a387f,32'h3f8fdcc3, 32'h3f85fd4c,32'h3f9417f6, 32'h3f7ddfef,32'h3f9b254b,// invsqrt(0.8236) = 1.1019 +32'h3f612b5a,32'h3f85c09a,32'h3f8b362d, 32'h3f81a86b,32'h3f8f4e5b, 32'h3f75aae5,32'h3f962153,// invsqrt(0.8796) = 1.0663 +32'h3f8a2fe0,32'h3f7174a7,32'h3f7b4f9f, 32'h3f6a106e,32'h3f8159ec, 32'h3f5dbeb9,32'h3f8782c6,// invsqrt(1.0796) = 0.9624 +32'h3fca778f,32'h3f477a3c,32'h3f4f9e92, 32'h3f415efb,32'h3f55b9d3, 32'h3f373190,32'h3f5fe73e,// invsqrt(1.5818) = 0.7951 +32'h3fb282ff,32'h3f5470d0,32'h3f5d1c9a, 32'h3f4deff9,32'h3f639d71, 32'h3f43193c,32'h3f6e742e,// invsqrt(1.3946) = 0.8468 +32'h3d9b8a0e,32'h406396bf,32'h406ce0d1, 32'h405c9f31,32'h4073d85f, 32'h4051029a,32'h407f74f6,// invsqrt(0.0759) = 3.6286 +32'h3e0e83dd,32'h40281f56,32'h402efc0c, 32'h4022f9cf,32'h40342193, 32'h401a65ec,32'h403cb576,// invsqrt(0.1392) = 2.6805 +32'h3f4c870a,32'h3f8c56ef,32'h3f921157, 32'h3f880b21,32'h3f965d25, 32'h3f80e220,32'h3f9d8626,// invsqrt(0.7989) = 1.1188 +32'h405da7d4,32'h3f06ceee,32'h3f0c4f8a, 32'h3f02ae79,32'h3f106fff, 32'h3ef79b6c,32'h3f1750c2,// invsqrt(3.4634) = 0.5373 +32'h3f94bf89,32'h3f68b9e5,32'h3f7239a5, 32'h3f619a15,32'h3f795975, 32'h3f55ba64,32'h3f829c93,// invsqrt(1.1621) = 0.9276 +32'h3fc0da38,32'h3f4c63b3,32'h3f54bb5d, 32'h3f4621f4,32'h3f5afd1c, 32'h3f3bb461,32'h3f656aaf,// invsqrt(1.5067) = 0.8147 +32'h3f94de12,32'h3f68a206,32'h3f7220cc, 32'h3f6182f1,32'h3f793fe1, 32'h3f55a478,32'h3f828f2d,// invsqrt(1.1630) = 0.9273 +32'h3f9f5b56,32'h3f60d8a7,32'h3f6a0611, 32'h3f59f697,32'h3f70e821, 32'h3f4e7dd3,32'h3f7c60e5,// invsqrt(1.2450) = 0.8962 +32'h3d4cbe78,32'h408c43ee,32'h4091fd90, 32'h4087f8b5,32'h409648c9, 32'h4080d0ac,32'h409d70d2,// invsqrt(0.0500) = 4.4727 +32'h3f871562,32'h3f7436ae,32'h3f7e2e76, 32'h3f6cbcd8,32'h3f82d426, 32'h3f60471d,32'h3f890f04,// invsqrt(1.0553) = 0.9734 +32'h3ef468ab,32'h3fb58e8b,32'h3fbcf7a1, 32'h3fafffbb,32'h3fc28671, 32'h3fa6bc61,32'h3fcbc9cb,// invsqrt(0.4774) = 1.4474 +32'h4016685d,32'h3f23a6e3,32'h3f2a54e1, 32'h3f1ea464,32'h3f2f5760, 32'h3f164ae5,32'h3f37b0df,// invsqrt(2.3501) = 0.6523 +32'h3fea698a,32'h3f39633f,32'h3f40f45b, 32'h3f33b669,32'h3f46a131, 32'h3f2a4105,32'h3f501695,// invsqrt(1.8313) = 0.7389 +32'h40cee3d4,32'h3ec5556f,32'h3ecd635f, 32'h3ebf4afb,32'h3ed36dd3, 32'h3eb53990,32'h3edd7f3e,// invsqrt(6.4653) = 0.3933 +32'h3e8ae9fd,32'h3ff0d2b1,32'h3ffaa70c, 32'h3fe9736d,32'h40010328, 32'h3fdd29fc,32'h400727e1,// invsqrt(0.2713) = 1.9198 +32'h3ea32680,32'h3fde3783,32'h3fe74973, 32'h3fd76a0e,32'h3fee16e8, 32'h3fcc13a2,32'h3ff96d54,// invsqrt(0.3187) = 1.7715 +32'h3eac70a3,32'h3fd825fe,32'h3fe0f886, 32'h3fd18818,32'h3fe7966c, 32'h3fc680ef,32'h3ff29d95,// invsqrt(0.3368) = 1.7231 +32'h3ebe56db,32'h3fcdbbff,32'h3fd621b5, 32'h3fc76fb6,32'h3fdc6dfe, 32'h3fbcf091,32'h3fe6ed23,// invsqrt(0.3718) = 1.6401 +32'h3fc6a6db,32'h3f496249,32'h3f519a8b, 32'h3f433818,32'h3f57c4bc, 32'h3f38f1c6,32'h3f620b0e,// invsqrt(1.5520) = 0.8027 +32'h3f83aa68,32'h3f775cef,32'h3f80bad0, 32'h3f6fca6b,32'h3f848413, 32'h3f632b8e,32'h3f8ad381,// invsqrt(1.0286) = 0.9860 +32'h3e6b22fb,32'h4002e306,32'h40083aa8, 32'h3ffdc298,32'h400c3c62, 32'h3ff06783,32'h4012e9ec,// invsqrt(0.2296) = 2.0868 +32'h3fb23ba8,32'h3f549b50,32'h3f5d48d6, 32'h3f4e192c,32'h3f63cafa, 32'h3f434044,32'h3f6ea3e2,// invsqrt(1.3924) = 0.8474 +32'h40114ce8,32'h3f2680d2,32'h3f2d4c9c, 32'h3f2167fb,32'h3f326573, 32'h3f18e93e,32'h3f3ae430,// invsqrt(2.2703) = 0.6637 +32'h3e249055,32'h401c746d,32'h4022d738, 32'h4017aa55,32'h4027a151, 32'h400faed8,32'h402f9cce,// invsqrt(0.1607) = 2.4945 +32'h3ec14da8,32'h3fcc26a3,32'h3fd47bce, 32'h3fc5e6c2,32'h3fdabbae, 32'h3fbb7c4c,32'h3fe52624,// invsqrt(0.3775) = 1.6275 +32'h3f9645ce,32'h3f678aec,32'h3f70fe4e, 32'h3f607462,32'h3f7814d8, 32'h3f54a427,32'h3f81f28a,// invsqrt(1.1740) = 0.9229 +32'h3e445f94,32'h400f3941,32'h401511cc, 32'h400ad6da,32'h40197434, 32'h4003882d,32'h4020c2e1,// invsqrt(0.1918) = 2.2835 +32'h3d36fd57,32'h40945e6f,32'h409a6cbd, 32'h408fd3b5,32'h409ef777, 32'h408841d4,32'h40a68958,// invsqrt(0.0447) = 4.7312 +32'h3f6a2e5e,32'h3f832750,32'h3f8881bc, 32'h3f7e46ff,32'h3f8c858d, 32'h3f70e4f1,32'h3f933693,// invsqrt(0.9148) = 1.0455 +32'h3fdb32e0,32'h3f3fb694,32'h3f4789ca, 32'h3f39d82d,32'h3f4d6831, 32'h3f30102a,32'h3f573034,// invsqrt(1.7125) = 0.7642 +32'h3f9749e3,32'h3f66c390,32'h3f702ecf, 32'h3f5fb320,32'h3f773f3e, 32'h3f53ed11,32'h3f8182a7,// invsqrt(1.1819) = 0.9198 +32'h3ef7718e,32'h3fb470a7,32'h3fbbce11, 32'h3faeea97,32'h3fc15421, 32'h3fa5b5d3,32'h3fca88e5,// invsqrt(0.4833) = 1.4385 +32'h3fcd16d3,32'h3f4632bc,32'h3f4e49b4, 32'h3f402182,32'h3f545aee, 32'h3f3604cc,32'h3f5e77a4,// invsqrt(1.6023) = 0.7900 +32'h3f8384fe,32'h3f77801c,32'h3f80cd1e, 32'h3f6fec83,32'h3f8496ea, 32'h3f634bdb,32'h3f8ae73f,// invsqrt(1.0275) = 0.9865 +32'h3fd4487f,32'h3f42cf96,32'h3f4ac32a, 32'h3f3cd8e8,32'h3f50b9d8, 32'h3f32e870,32'h3f5aaa50,// invsqrt(1.6585) = 0.7765 +32'h3fa02169,32'h3f604d6c,32'h3f697528, 32'h3f596fa0,32'h3f7052f4, 32'h3f4dfdf6,32'h3f7bc49e,// invsqrt(1.2510) = 0.8941 +32'h400a8f84,32'h3f2a812e,32'h3f3176c8, 32'h3f2548fb,32'h3f36aefb, 32'h3f1c95fc,32'h3f3f61fb,// invsqrt(2.1650) = 0.6796 +32'h3e97c25d,32'h3fe667e5,32'h3fefcf66, 32'h3fdf5a43,32'h3ff6dd07, 32'h3fd398e1,32'h40014f34,// invsqrt(0.2964) = 1.8368 +32'h3f699957,32'h3f83511f,32'h3f88ad3f, 32'h3f7e980c,32'h3f8cb258, 32'h3f7131bb,32'h3f936580,// invsqrt(0.9125) = 1.0469 +32'h3eea784c,32'h3fb95d69,32'h3fc0ee49, 32'h3fb3b0c1,32'h3fc69af1, 32'h3faa3baa,32'h3fd01008,// invsqrt(0.4579) = 1.4777 +32'h3faf5128,32'h3f565e16,32'h3f5f1e02, 32'h3f4fce25,32'h3f65adf3, 32'h3f44de3e,32'h3f709dda,// invsqrt(1.3697) = 0.8545 +32'h3fd14bde,32'h3f44322e,32'h3f4c343b, 32'h3f3e30a6,32'h3f5235c4, 32'h3f342e16,32'h3f5c3854,// invsqrt(1.6351) = 0.7820 +32'h3f2c2ee3,32'h3f98f436,32'h3f9f326c, 32'h3f94458d,32'h3fa3e115, 32'h3f8c77c9,32'h3fabaed9,// invsqrt(0.6726) = 1.2193 +32'h3e3bfb37,32'h401262be,32'h40185c53, 32'h400de78f,32'h401cd783, 32'h40066f95,32'h40244f7d,// invsqrt(0.1836) = 2.3340 +32'h3e90e0a5,32'h3febd084,32'h3ff5708a, 32'h3fe49880,32'h3ffca88e, 32'h3fd8907a,32'h4004584a,// invsqrt(0.2830) = 1.8799 +32'h4013a16e,32'h3f252f18,32'h3f2bed18, 32'h3f202097,32'h3f30fb99, 32'h3f17b316,32'h3f39691a,// invsqrt(2.3067) = 0.6584 +32'h401baab5,32'h3f20dd1a,32'h3f276df6, 32'h3f1bf074,32'h3f2c5a9c, 32'h3f13bb61,32'h3f348faf,// invsqrt(2.4323) = 0.6412 +32'h3f0bffe9,32'h3fa9a045,32'h3fb08cb1, 32'h3fa46ef5,32'h3fb5be01, 32'h3f9bc76f,32'h3fbe6587,// invsqrt(0.5469) = 1.3522 +32'h3f3006fd,32'h3f97464c,32'h3f9d72f6, 32'h3f92a4cc,32'h3fa21476, 32'h3f8aecf7,32'h3fa9cc4b,// invsqrt(0.6876) = 1.2060 +32'h3f1e20cb,32'h3f9f9b5a,32'h3fa61f14, 32'h3f9ab88e,32'h3fab01e0, 32'h3f9293e5,32'h3fb32689,// invsqrt(0.6177) = 1.2724 +32'h403f041d,32'h3f1137dd,32'h3f17253e, 32'h3f0cc5d3,32'h3f1b9747, 32'h3f055d18,32'h3f230002,// invsqrt(2.9846) = 0.5788 +32'h3fadac44,32'h3f57613b,32'h3f602bbb, 32'h3f50c95b,32'h3f66c39b, 32'h3f45cc3c,32'h3f71c0bb,// invsqrt(1.3568) = 0.8585 +32'h3f9715b2,32'h3f66eb68,32'h3f705848, 32'h3f5fd9c0,32'h3f7769f0, 32'h3f5411a9,32'h3f819904,// invsqrt(1.1803) = 0.9204 +32'h40c4d3f9,32'h3eca5094,32'h3ed29290, 32'h3ec41f18,32'h3ed8c40c, 32'h3eb9cc9d,32'h3ee31687,// invsqrt(6.1509) = 0.4032 +32'h3fd4b96c,32'h3f429bda,32'h3f4a8d50, 32'h3f3ca6c1,32'h3f508269, 32'h3f32b8ec,32'h3f5a703e,// invsqrt(1.6619) = 0.7757 +32'h3f02f3f5,32'h3faf632c,32'h3fb68bca, 32'h3faa04b5,32'h3fbbea41, 32'h3fa111ef,32'h3fc4dd07,// invsqrt(0.5115) = 1.3982 +32'h3ca03db6,32'h40e0399d,32'h40e96089, 32'h40d95c6c,32'h40f03dba, 32'h40cdebc4,32'h40fbae62,// invsqrt(0.0196) = 7.1500 +32'h406e7e69,32'h3f01f65b,32'h3f074455, 32'h3efbf7c1,32'h3f0b3ed0, 32'h3eeeb4d2,32'h3f11e047,// invsqrt(3.7265) = 0.5180 +32'h3f758f47,32'h3f801431,32'h3f854e7d, 32'h3f7850f2,32'h3f893a35, 32'h3f6b3f36,32'h3f8fc313,// invsqrt(0.9592) = 1.0210 +32'h41590342,32'h3e883e25,32'h3e8dcdbf, 32'h3e841273,32'h3e91f971, 32'h3e7a3de7,32'h3e98ecf1,// invsqrt(13.5633) = 0.2715 +32'h3f58e379,32'h3f884821,32'h3f8dd822, 32'h3f841c1f,32'h3f920423, 32'h3f7a503b,32'h3f98f824,// invsqrt(0.8472) = 1.0864 +32'h3f79c59d,32'h3f7dfd14,32'h3f842d80, 32'h3f7636a3,32'h3f8810b8, 32'h3f69413c,32'h3f8e8b6c,// invsqrt(0.9757) = 1.0124 +32'h3ecb62ae,32'h3fc706ce,32'h3fcf266d, 32'h3fc0ef15,32'h3fd53e25, 32'h3fb6c78d,32'h3fdf65ad,// invsqrt(0.3972) = 1.5866 +32'h3f434ba1,32'h3f8f9e4d,32'h3f957af8, 32'h3f8b38ce,32'h3f99e078, 32'h3f83e4f9,32'h3fa1344d,// invsqrt(0.7629) = 1.1449 +32'h3f8dd687,32'h3f6e53ec,32'h3f780e34, 32'h3f670836,32'h3f7f59ea, 32'h3f5adf5b,32'h3f85c162,// invsqrt(1.1081) = 0.9500 +32'h3f6c91aa,32'h3f827d6e,32'h3f87d0eb, 32'h3f7cfda2,32'h3f8bcf89, 32'h3f6faceb,32'h3f9277e5,// invsqrt(0.9241) = 1.0403 +32'h3eba1ec3,32'h3fd00d9c,32'h3fd88b8e, 32'h3fc9af27,32'h3fdeea03, 32'h3fbf11b9,32'h3fe98771,// invsqrt(0.3635) = 1.6586 +32'h40ef5c0f,32'h3eb77637,32'h3ebef335, 32'h3eb1d87a,32'h3ec490f2, 32'h3ea87c3d,32'h3ecded2f,// invsqrt(7.4800) = 0.3656 +32'h3edc9059,32'h3fbf1e76,32'h3fc6eb76, 32'h3fb944b6,32'h3fccc536, 32'h3faf8477,32'h3fd68575,// invsqrt(0.4308) = 1.5236 +32'h3f5e881d,32'h3f868aed,32'h3f8c08c3, 32'h3f826c8d,32'h3f902723, 32'h3f771e85,32'h3f97046e,// invsqrt(0.8693) = 1.0726 +32'h3eda0ad7,32'h3fc0388d,32'h3fc81110, 32'h3fba562a,32'h3fcdf372, 32'h3fb08786,32'h3fd7c216,// invsqrt(0.4259) = 1.5324 +32'h41403f93,32'h3e90c087,32'h3e96a909, 32'h3e8c5224,32'h3e9b176c, 32'h3e84ef81,32'h3ea27a0f,// invsqrt(12.0155) = 0.2885 +32'h3e0ca49b,32'h40293cd7,32'h40302533, 32'h40240e92,32'h40355378, 32'h401b6c1e,32'h403df5ec,// invsqrt(0.1373) = 2.6983 +32'h3f7f37cc,32'h3f7b439b,32'h3f82c287, 32'h3f739283,32'h3f869b12, 32'h3f66c0b2,32'h3f8d03fb,// invsqrt(0.9969) = 1.0015 +32'h3ec14ee6,32'h3fcc25fb,32'h3fd47b1f, 32'h3fc5e620,32'h3fdabafa, 32'h3fbb7bb2,32'h3fe52568,// invsqrt(0.3776) = 1.6275 +32'h3f1b96df,32'h3fa0e75b,32'h3fa778a3, 32'h3f9bfa65,32'h3fac6599, 32'h3f93c4cc,32'h3fb49b32,// invsqrt(0.6078) = 1.2827 +32'h3e44a873,32'h400f1eb6,32'h4014f62b, 32'h400abd1e,32'h401957c2, 32'h40036fcb,32'h4020a515,// invsqrt(0.1920) = 2.2819 +32'h3ee880a5,32'h3fba25c2,32'h3fc1bed0, 32'h3fb472f9,32'h3fc77199, 32'h3faaf3a8,32'h3fd0f0ea,// invsqrt(0.4541) = 1.4840 +32'h409b32aa,32'h3ee3d6ca,32'h3eed2379, 32'h3edcdd46,32'h3ef41cfc, 32'h3ed13d6a,32'h3effbcd8,// invsqrt(4.8499) = 0.4541 +32'h3f776f28,32'h3f7f2f83,32'h3f84ccf8, 32'h3f775fb1,32'h3f88b4e2, 32'h3f6a5aa7,32'h3f8f3766,// invsqrt(0.9665) = 1.0172 +32'h4071ae9e,32'h3f011a2d,32'h3f065f29, 32'h3efa4cde,32'h3f0a52e7, 32'h3eed2067,32'h3f10e922,// invsqrt(3.7763) = 0.5146 +32'h40d888f8,32'h3ec0e386,32'h3ec8c304, 32'h3ebafbe8,32'h3eceaaa2, 32'h3eb1248b,32'h3ed881ff,// invsqrt(6.7667) = 0.3844 +32'h3f98296f,32'h3f6619ce,32'h3f6f7e20, 32'h3f5f0e91,32'h3f76895d, 32'h3f53512b,32'h3f812361,// invsqrt(1.1888) = 0.9172 +32'h3fe14119,32'h3f3d1e64,32'h3f44d67c, 32'h3f375451,32'h3f4aa08f, 32'h3f2dae32,32'h3f5446ae,// invsqrt(1.7598) = 0.7538 +32'h3f90d664,32'h3f6bd8dd,32'h3f757939, 32'h3f64a097,32'h3f7cb17f, 32'h3f589824,32'h3f845cf9,// invsqrt(1.1315) = 0.9401 +32'h409eac61,32'h3ee1547b,32'h3eea86f3, 32'h3eda6ea1,32'h3ef16ccd, 32'h3eceef8b,32'h3efcebe3,// invsqrt(4.9585) = 0.4491 +32'h40427989,32'h3f0febcc,32'h3f15cba0, 32'h3f0b83ed,32'h3f1a337f, 32'h3f042c24,32'h3f218b48,// invsqrt(3.0387) = 0.5737 +32'h4019260d,32'h3f222e49,32'h3f28cce9, 32'h3f1d3751,32'h3f2dc3e1, 32'h3f14f10a,32'h3f360a28,// invsqrt(2.3929) = 0.6464 +32'h3ef905bf,32'h3fb3ddfc,32'h3fbb3569, 32'h3fae5c69,32'h3fc0b6fb, 32'h3fa52f20,32'h3fc9e444,// invsqrt(0.4864) = 1.4339 +32'h3f380fc3,32'h3f93efab,32'h3f99f973, 32'h3f8f6855,32'h3f9e80c9, 32'h3f87dc1a,32'h3fa60d04,// invsqrt(0.7190) = 1.1793 +32'h40b7e181,32'h3ed150ef,32'h3ed9dc13, 32'h3ecae894,32'h3ee0446e, 32'h3ec03aa7,32'h3eeaf25b,// invsqrt(5.7463) = 0.4172 +32'h3f6938da,32'h3f836c46,32'h3f88c982, 32'h3f7eccb1,32'h3f8ccf6f, 32'h3f71639a,32'h3f9383fb,// invsqrt(0.9110) = 1.0477 +32'h3e9ae7cc,32'h3fe40dd2,32'h3fed5cc0, 32'h3fdd129f,32'h3ff457f3, 32'h3fd16ff5,32'h3ffffa9d,// invsqrt(0.3025) = 1.8180 +32'h409f5140,32'h3ee0dfc5,32'h3eea0d79, 32'h3ed9fd7d,32'h3ef0efc1, 32'h3ece845c,32'h3efc68e2,// invsqrt(4.9787) = 0.4482 +32'h40a6d1ee,32'h3edbc256,32'h3ee4ba98, 32'h3ed50824,32'h3eeb74ca, 32'h3ec9d1d2,32'h3ef6ab1d,// invsqrt(5.2131) = 0.4380 +32'h3fd146b1,32'h3f44349b,32'h3f4c36c1, 32'h3f3e32ff,32'h3f52385d, 32'h3f343050,32'h3f5c3b0c,// invsqrt(1.6350) = 0.7821 +32'h3f086551,32'h3fabda36,32'h3fb2dde5, 32'h3fa69774,32'h3fb820a8, 32'h3f9dd2d9,32'h3fc0e543,// invsqrt(0.5328) = 1.3700 +32'h401ef379,32'h3f1f3171,32'h3f25b0d9, 32'h3f1a51e3,32'h3f2a9067, 32'h3f1232a1,32'h3f32afa9,// invsqrt(2.4836) = 0.6345 +32'h3f9ed911,32'h3f6134c7,32'h3f6a65f3, 32'h3f5a4fe5,32'h3f714ad5, 32'h3f4ed26d,32'h3f7cc84d,// invsqrt(1.2410) = 0.8977 +32'h3ed541f7,32'h3fc25d83,32'h3fca4c6f, 32'h3fbc6a53,32'h3fd03f9f, 32'h3fb27fad,32'h3fda2a45,// invsqrt(0.4165) = 1.5495 +32'h40c03e77,32'h3eccb66f,32'h3ed51178, 32'h3ec67227,32'h3edb55bf, 32'h3ebc005b,32'h3ee5c78b,// invsqrt(6.0076) = 0.4080 +32'h3cb5e136,32'h40d276ea,32'h40db0e0e, 32'h40cc058f,32'h40e17f69, 32'h40c148a3,32'h40ec3c55,// invsqrt(0.0222) = 6.7112 +32'h3e903105,32'h3fec5ff5,32'h3ff605d5, 32'h3fe5238d,32'h3ffd423d, 32'h3fd91434,32'h4004a8cb,// invsqrt(0.2816) = 1.8844 +32'h3f4c8163,32'h3f8c58df,32'h3f92135b, 32'h3f880d02,32'h3f965f38, 32'h3f80e3e7,32'h3f9d8853,// invsqrt(0.7988) = 1.1188 +32'h3dd554f9,32'h404254da,32'h404a436b, 32'h403c61ee,32'h40503658, 32'h403277b9,32'h405a208d,// invsqrt(0.1042) = 3.0984 +32'h3fcd0cd3,32'h3f463791,32'h3f4e4ebc, 32'h3f402632,32'h3f54601c, 32'h3f36093d,32'h3f5e7d11,// invsqrt(1.6020) = 0.7901 +32'h406ff752,32'h3f019023,32'h3f06d9f0, 32'h3efb3191,32'h3f0ad149, 32'h3eedf910,32'h3f116d8a,// invsqrt(3.7495) = 0.5164 +32'h3fe2950a,32'h3f3c9050,32'h3f44429c, 32'h3f36ca96,32'h3f4a0856, 32'h3f2d2bb7,32'h3f53a735,// invsqrt(1.7702) = 0.7516 +32'h3d0c641d,32'h40a963b1,32'h40b04da3, 32'h40a4343b,32'h40b57d19, 32'h409b8fcc,32'h40be2188,// invsqrt(0.0343) = 5.4014 +32'h401914e5,32'h3f223760,32'h3f28d65e, 32'h3f1d4021,32'h3f2dcd9d, 32'h3f14f962,32'h3f36145c,// invsqrt(2.3919) = 0.6466 +32'h3f080a0a,32'h3fac13d4,32'h3fb319dc, 32'h3fa6cf4d,32'h3fb85e63, 32'h3f9e07c2,32'h3fc125ee,// invsqrt(0.5314) = 1.3718 +32'h3eed41b0,32'h3fb845e8,32'h3fbfcb60, 32'h3fb2a1cf,32'h3fc56f79, 32'h3fa93afa,32'h3fced64e,// invsqrt(0.4634) = 1.4690 +32'h3bcb2adb,32'h41472224,32'h414f42e1, 32'h41410995,32'h41555b6f, 32'h4136e0a8,32'h415f845c,// invsqrt(0.0062) = 12.6998 +32'h4104d9b3,32'h3eae2162,32'h3eb53cde, 32'h3ea8ccc5,32'h3eba917b, 32'h3e9fea6a,32'h3ec373d6,// invsqrt(8.3031) = 0.3470 +32'h40c405ae,32'h3ecabaed,32'h3ed30140, 32'h3ec4862f,32'h3ed935ff, 32'h3eba2e48,32'h3ee38de6,// invsqrt(6.1257) = 0.4040 +32'h3f0fef67,32'h3fa74a7f,32'h3fae1e84, 32'h3fa22b7b,32'h3fb33d87, 32'h3f99a274,32'h3fbbc68e,// invsqrt(0.5622) = 1.3336 +32'h4045a07e,32'h3f0ec4c9,32'h3f149893, 32'h3f0a65f2,32'h3f18f76a, 32'h3f031d36,32'h3f204026,// invsqrt(3.0879) = 0.5691 +32'h403ad276,32'h3f12d6d3,32'h3f18d525, 32'h3f0e5816,32'h3f1d53e2, 32'h3f06da30,32'h3f24d1c8,// invsqrt(2.9191) = 0.5853 +32'h3f3f96ca,32'h3f91003b,32'h3f96eb58, 32'h3f8c8fe6,32'h3f9b5bae, 32'h3f852a03,32'h3fa2c191,// invsqrt(0.7484) = 1.1559 +32'h3c29a33f,32'h411a18e5,32'h4120630d, 32'h41156146,32'h41251aac, 32'h410d8493,32'h412cf75f,// invsqrt(0.0104) = 9.8276 +32'h3ebbd7f9,32'h3fcf18b5,32'h3fd78ca7, 32'h3fc8c1bf,32'h3fdde39d, 32'h3fbe30d0,32'h3fe8748c,// invsqrt(0.3669) = 1.6510 +32'h3fab644a,32'h3f58cef2,32'h3f61a860, 32'h3f522be1,32'h3f684b71, 32'h3f471c18,32'h3f735b3a,// invsqrt(1.3390) = 0.8642 +32'h3f761a1b,32'h3f7fe018,32'h3f8528de, 32'h3f780ade,32'h3f89137b, 32'h3f6afcd3,32'h3f8f9a81,// invsqrt(0.9613) = 1.0199 +32'h3ec958e7,32'h3fc80809,32'h3fd03229, 32'h3fc1e871,32'h3fd651c1, 32'h3fb7b3ca,32'h3fe08668,// invsqrt(0.3933) = 1.5946 +32'h3f3a7ca4,32'h3f92f899,32'h3f98f84b, 32'h3f8e78d3,32'h3f9d7811, 32'h3f86f933,32'h3fa4f7b1,// invsqrt(0.7285) = 1.1716 +32'h3f81086b,32'h3f79dfb5,32'h3f820951, 32'h3f723983,32'h3f85dc6a, 32'h3f6579db,32'h3f8c3c3f,// invsqrt(1.0081) = 0.9960 +32'h4026ae3e,32'h3f1b7548,32'h3f21cdaa, 32'h3f16b300,32'h3f268ff2, 32'h3f0ec486,32'h3f2e7e6c,// invsqrt(2.6044) = 0.6197 +32'h40a5a400,32'h3edc8a45,32'h3ee58ab1, 32'h3ed5c9f5,32'h3eec4b01, 32'h3eca896f,32'h3ef78b87,// invsqrt(5.1763) = 0.4395 +32'h3f30d219,32'h3f96ef51,32'h3f9d186f, 32'h3f92507b,32'h3fa1b745, 32'h3f8a9d16,32'h3fa96aaa,// invsqrt(0.6907) = 1.2032 +32'h3f8788ee,32'h3f73ce7e,32'h3f7dc206, 32'h3f6c57d8,32'h3f829c56, 32'h3f5fe76f,32'h3f88d48b,// invsqrt(1.0589) = 0.9718 +32'h3d40284e,32'h4090c94a,32'h4096b228, 32'h408c5aa3,32'h409b20cf, 32'h4084f78d,32'h40a283e5,// invsqrt(0.0469) = 4.6169 +32'h3e69e928,32'h40033ab6,32'h400895ec, 32'h3ffe6c9a,32'h400c9a55, 32'h3ff10892,32'h40134c59,// invsqrt(0.2284) = 2.0923 +32'h3f03cb94,32'h3faed379,32'h3fb5f63b, 32'h3fa97969,32'h3fbb504b, 32'h3fa08df7,32'h3fc43bbd,// invsqrt(0.5148) = 1.3937 +32'h3ff7a14f,32'h3f345f40,32'h3f3bbbf4, 32'h3f2ed9b9,32'h3f41417b, 32'h3f25a5d7,32'h3f4a755d,// invsqrt(1.9346) = 0.7190 +32'h3ed5c295,32'h3fc22301,32'h3fca0f89, 32'h3fbc319b,32'h3fd000ef, 32'h3fb249f1,32'h3fd9e899,// invsqrt(0.4175) = 1.5476 +32'h3f11e998,32'h3fa62754,32'h3facef76, 32'h3fa1113a,32'h3fb20590, 32'h3f98970e,32'h3fba7fbc,// invsqrt(0.5700) = 1.3246 +32'h3f0ba021,32'h3fa9da69,32'h3fb0c934, 32'h3fa4a751,32'h3fb5fc4d, 32'h3f9bfcd4,32'h3fbea6ca,// invsqrt(0.5454) = 1.3541 +32'h3f3c5e2d,32'h3f923c45,32'h3f983448, 32'h3f8dc243,32'h3f9cae4b, 32'h3f864c40,32'h3fa4244e,// invsqrt(0.7358) = 1.1658 +32'h41831a0f,32'h3e77e4f7,32'h3e81019b, 32'h3e704e48,32'h3e84ccf2, 32'h3e63a87a,32'h3e8b1fd9,// invsqrt(16.3877) = 0.2470 +32'h3d95703d,32'h40683024,32'h4071aa45, 32'h4061148c,32'h4078c5de, 32'h40553be3,32'h40824f44,// invsqrt(0.0730) = 3.7020 +32'h3ef3be8a,32'h3fb5cddd,32'h3fbd3987, 32'h3fb03d1c,32'h3fc2ca48, 32'h3fa6f687,32'h3fcc10dd,// invsqrt(0.4761) = 1.4493 +32'h406a9030,32'h3f030bf4,32'h3f086542, 32'h3efe11f3,32'h3f0c683c, 32'h3ef0b2b1,32'h3f1317de,// invsqrt(3.6651) = 0.5223 +32'h403eee82,32'h3f114014,32'h3f172dcc, 32'h3f0ccdca,32'h3f1ba016, 32'h3f0564a5,32'h3f23093b,// invsqrt(2.9833) = 0.5790 +32'h3f4c1959,32'h3f8c7ca0,32'h3f923892, 32'h3f882fab,32'h3f968587, 32'h3f8104bd,32'h3f9db075,// invsqrt(0.7973) = 1.1200 +32'h3f7570ad,32'h3f801c2d,32'h3f8556cb, 32'h3f78606c,32'h3f8942c2, 32'h3f6b4ddf,32'h3f8fcc08,// invsqrt(0.9588) = 1.0213 +32'h3ec120e7,32'h3fcc3e49,32'h3fd4946b, 32'h3fc5fdaf,32'h3fdad505, 32'h3fbb9204,32'h3fe540b0,// invsqrt(0.3772) = 1.6282 +32'h40bf7dbf,32'h3ecd1d58,32'h3ed57c95, 32'h3ec6d5ea,32'h3edbc402, 32'h3ebc5edd,32'h3ee63b0f,// invsqrt(5.9841) = 0.4088 +32'h3f4d749a,32'h3f8c05b5,32'h3f91bccc, 32'h3f87bc63,32'h3f96061d, 32'h3f809786,32'h3f9d2afa,// invsqrt(0.8026) = 1.1162 +32'h3f68d127,32'h3f838988,32'h3f88e7f6, 32'h3f7f056b,32'h3f8ceec9, 32'h3f719958,32'h3f93a4d2,// invsqrt(0.9094) = 1.0486 +32'h3e386552,32'h4013cd55,32'h4019d5b7, 32'h400f470c,32'h401e5c00, 32'h4007bc92,32'h4025e67a,// invsqrt(0.1801) = 2.3565 +32'h3edd4753,32'h3fbecf61,32'h3fc69927, 32'h3fb8f80d,32'h3fcc707b, 32'h3faf3bd7,32'h3fd62cb1,// invsqrt(0.4322) = 1.5211 +32'h3e9c7545,32'h3fe2eb6b,32'h3fec2e7f, 32'h3fdbf91c,32'h3ff320ce, 32'h3fd06543,32'h3ffeb4a7,// invsqrt(0.3056) = 1.8090 +32'h41cd7e31,32'h3e4600dd,32'h3e4e15cb, 32'h3e3ff129,32'h3e54257f, 32'h3e35d6ff,32'h3e5e3fa9,// invsqrt(25.6866) = 0.1973 +32'h3f28de8c,32'h3f9a728a,32'h3fa0c05c, 32'h3f95b82d,32'h3fa57ab9, 32'h3f8dd6e8,32'h3fad5bfe,// invsqrt(0.6596) = 1.2312 +32'h3ee7e864,32'h3fba62d3,32'h3fc1fe5f, 32'h3fb4ae2b,32'h3fc7b307, 32'h3fab2bbd,32'h3fd13575,// invsqrt(0.4529) = 1.4859 +32'h400ec976,32'h3f27f658,32'h3f2ed160, 32'h3f22d211,32'h3f33f5a7, 32'h3f1a4046,32'h3f3c8772,// invsqrt(2.2310) = 0.6695 +32'h3eff52f3,32'h3fb1a236,32'h3fb8e24d, 32'h3fac3224,32'h3fbe525e, 32'h3fa32207,32'h3fc7627b,// invsqrt(0.4987) = 1.4161 +32'h3e967bd6,32'h3fe76157,32'h3ff0d307, 32'h3fe04c13,32'h3ff7e84b, 32'h3fd47df7,32'h4001db33,// invsqrt(0.2939) = 1.8445 +32'h3ed65c72,32'h3fc1dd48,32'h3fc9c6f8, 32'h3fbbee05,32'h3fcfb63b, 32'h3fb209ea,32'h3fd99a56,// invsqrt(0.4187) = 1.5455 +32'h4241bd68,32'h3e10319c,32'h3e161449, 32'h3e0bc799,32'h3e1a7e4b, 32'h3e046c40,32'h3e21d9a4,// invsqrt(48.4350) = 0.1437 +32'h3f6319f5,32'h3f852ea4,32'h3f8a9e42, 32'h3f811aed,32'h3f8eb1f9, 32'h3f749ecf,32'h3f957d7e,// invsqrt(0.8871) = 1.0617 +32'h3dae2b38,32'h405712ae,32'h405fd9f8, 32'h40507d35,32'h40666f71, 32'h40458418,32'h4071688f,// invsqrt(0.0850) = 3.4291 +32'h3f846f55,32'h3f76a4c2,32'h3f805af7, 32'h3f6f17e0,32'h3f842168, 32'h3f628269,32'h3f8a6c24,// invsqrt(1.0346) = 0.9831 +32'h3d3a2a7b,32'h40931904,32'h40991a09, 32'h408e983f,32'h409d9acd, 32'h408716f9,32'h40a51c13,// invsqrt(0.0455) = 4.6906 +32'h41922e23,32'h3e6ac2ec,32'h3e7457f0, 32'h3e639328,32'h3e7b87b4, 32'h3e5798e3,32'h3e83c0fc,// invsqrt(18.2725) = 0.2339 +32'h3e1c8dd6,32'h4020683f,32'h4026f456, 32'h401b7f2d,32'h402bdd67, 32'h4013500f,32'h40340c85,// invsqrt(0.1529) = 2.5575 +32'h3f838e39,32'h3f77776d,32'h3f80c899, 32'h3f6fe419,32'h3f849244, 32'h3f6343e2,32'h3f8ae25f,// invsqrt(1.0278) = 0.9864 +32'h3fb53c70,32'h3f52d681,32'h3f5b718b, 32'h3f4c6239,32'h3f61e5d3, 32'h3f41a06c,32'h3f6ca7a0,// invsqrt(1.4159) = 0.8404 +32'h401e9aee,32'h3f1f5dda,32'h3f25df12, 32'h3f1a7cf0,32'h3f2abffc, 32'h3f125b6a,32'h3f32e182,// invsqrt(2.4782) = 0.6352 +32'h416e3288,32'h3e820b0d,32'h3e8759df, 32'h3e7c1fe0,32'h3e8b54fc, 32'h3e6edad4,32'h3e91f782,// invsqrt(14.8873) = 0.2592 +32'h3f4b9ca4,32'h3f8ca79f,32'h3f926552, 32'h3f885959,32'h3f96b399, 32'h3f812c3a,32'h3f9de0b8,// invsqrt(0.7954) = 1.1213 +32'h3f84b336,32'h3f7665a5,32'h3f803a1f, 32'h3f6edab2,32'h3f83ff98, 32'h3f624872,32'h3f8a48b8,// invsqrt(1.0367) = 0.9821 +32'h3f2fd186,32'h3f975d4b,32'h3f9d8ae5, 32'h3f92bb17,32'h3fa22d19, 32'h3f8b0216,32'h3fa9e61a,// invsqrt(0.6868) = 1.2067 +32'h3e676e90,32'h4003ee26,32'h400950af, 32'h3fffc87d,32'h400d5a96, 32'h3ff25225,32'h401415c1,// invsqrt(0.2260) = 2.1035 +32'h3f390bea,32'h3f938abe,32'h3f999068, 32'h3f8f067f,32'h3f9e14a7, 32'h3f877f6b,32'h3fa59bbb,// invsqrt(0.7228) = 1.1762 +32'h3f38fd98,32'h3f939074,32'h3f999659, 32'h3f8f0c07,32'h3f9e1ac5, 32'h3f8784a9,32'h3fa5a223,// invsqrt(0.7226) = 1.1764 +32'h3f302441,32'h3f9739bb,32'h3f9d65e1, 32'h3f92989d,32'h3fa206ff, 32'h3f8ae16d,32'h3fa9be2f,// invsqrt(0.6881) = 1.2056 +32'h40617be7,32'h3f05a8b3,32'h3f0b1d4d, 32'h3f019140,32'h3f0f34c0, 32'h3ef57f00,32'h3f160680,// invsqrt(3.5232) = 0.5328 +32'h40c221a5,32'h3ecbb70d,32'h3ed407ab, 32'h3ec57a97,32'h3eda4421, 32'h3ebb15d3,32'h3ee4a8e5,// invsqrt(6.0666) = 0.4060 +32'h3fc10023,32'h3f4c4f9e,32'h3f54a676, 32'h3f460e7d,32'h3f5ae797, 32'h3f3ba1ef,32'h3f655425,// invsqrt(1.5078) = 0.8144 +32'h3f142cff,32'h3fa4e13a,32'h3fab9c0e, 32'h3f9fd51c,32'h3fb0a82c, 32'h3f976b94,32'h3fb911b4,// invsqrt(0.5788) = 1.3144 +32'h3f8c83de,32'h3f6f7273,32'h3f79386d, 32'h3f681df7,32'h3f804674, 32'h3f5be67e,32'h3f866231,// invsqrt(1.0978) = 0.9544 +32'h41716b9b,32'h3e812c16,32'h3e8671ce, 32'h3e7a6f99,32'h3e8a6618, 32'h3e6d414d,32'h3e90fd3d,// invsqrt(15.0888) = 0.2574 +32'h3fa8a03f,32'h3f5a9446,32'h3f638034, 32'h3f53e354,32'h3f6a3126, 32'h3f48bc6a,32'h3f755810,// invsqrt(1.3174) = 0.8712 +32'h3f384db6,32'h3f93d6cc,32'h3f99df90, 32'h3f8f5038,32'h3f9e6624, 32'h3f87c543,32'h3fa5f119,// invsqrt(0.7199) = 1.1786 +32'h3fef2174,32'h3f378cb1,32'h3f3f0a99, 32'h3f31ee43,32'h3f44a907, 32'h3f2890e1,32'h3f4e0669,// invsqrt(1.8682) = 0.7316 +32'h40934d90,32'h3ee9dd72,32'h3ef36918, 32'h3ee2b4b5,32'h3efa91d5, 32'h3ed6c624,32'h3f034033,// invsqrt(4.6032) = 0.4661 +32'h40726afd,32'h3f00e7fa,32'h3f062aea, 32'h3ef9eb8c,32'h3f0a1d1e, 32'h3eecc434,32'h3f10b0ca,// invsqrt(3.7878) = 0.5138 +32'h3fda7738,32'h3f4008d9,32'h3f47df69, 32'h3f3a27ec,32'h3f4dc056, 32'h3f305bb7,32'h3f578c8b,// invsqrt(1.7068) = 0.7654 +32'h3f0a7c60,32'h3faa8cf6,32'h3fb1830b, 32'h3fa55467,32'h3fb6bb9b, 32'h3f9ca0ce,32'h3fbf6f34,// invsqrt(0.5410) = 1.3596 +32'h3e4fbcb1,32'h400b4050,32'h4010ef58, 32'h4006fd09,32'h4015329f, 32'h3fffc47e,32'h401c4d69,// invsqrt(0.2029) = 2.2202 +32'h3fbc4146,32'h3f4edec1,32'h3f575056, 32'h3f488992,32'h3f5da586, 32'h3f3dfb98,32'h3f683380,// invsqrt(1.4707) = 0.8246 +32'h401b1afd,32'h3f212790,32'h3f27bb76, 32'h3f1c38a3,32'h3f2caa63, 32'h3f13ffc3,32'h3f34e343,// invsqrt(2.4235) = 0.6424 +32'h3fd3d8f3,32'h3f4302d9,32'h3f4af884, 32'h3f3d0a99,32'h3f50f0c5, 32'h3f331784,32'h3f5ae3da,// invsqrt(1.6551) = 0.7773 +32'h3f99aed3,32'h3f64f593,32'h3f6e4df7, 32'h3f5df348,32'h3f755042, 32'h3f5244cb,32'h3f807f60,// invsqrt(1.2006) = 0.9126 +32'h3f83a7a5,32'h3f775f87,32'h3f80bc2a, 32'h3f6fccee,32'h3f848576, 32'h3f632def,32'h3f8ad4f6,// invsqrt(1.0286) = 0.9860 +32'h3e3c24cf,32'h4012528f,32'h40184b7b, 32'h400dd7de,32'h401cc62c, 32'h400660b8,32'h40243d52,// invsqrt(0.1837) = 2.3329 +32'h3ec294d2,32'h3fcb7aba,32'h3fd3c8e0, 32'h3fc5401c,32'h3fda037e, 32'h3fbade6c,32'h3fe4652e,// invsqrt(0.3800) = 1.6221 +32'h3da486ec,32'h405d4903,32'h40665138, 32'h405682dc,32'h406d1760, 32'h404b389b,32'h407861a1,// invsqrt(0.0803) = 3.5281 +32'h400c2be3,32'h3f2985a7,32'h3f3070fd, 32'h3f245528,32'h3f35a17c, 32'h3f1baefd,32'h3f3e47a7,// invsqrt(2.1902) = 0.6757 +32'h3faad0ae,32'h3f592c8b,32'h3f6209cb, 32'h3f52869c,32'h3f68afba, 32'h3f47720d,32'h3f73c449,// invsqrt(1.3345) = 0.8656 +32'h3f470c4f,32'h3f8e4213,32'h3f941087, 32'h3f89e73c,32'h3f986b5e, 32'h3f82a52c,32'h3f9fad6e,// invsqrt(0.7775) = 1.1341 +32'h400e4352,32'h3f284575,32'h3f2f23b9, 32'h3f231ec3,32'h3f344a6b, 32'h3f1a88ee,32'h3f3ce040,// invsqrt(2.2229) = 0.6707 +32'h4016a874,32'h3f238410,32'h3f2a30a2, 32'h3f1e82a1,32'h3f2f3211, 32'h3f162aea,32'h3f3789c8,// invsqrt(2.3540) = 0.6518 +32'h3d641b46,32'h4084e370,32'h408a4ffc, 32'h4080d206,32'h408e6166, 32'h407414ae,32'h40952915,// invsqrt(0.0557) = 4.2375 +32'h402f4fcc,32'h3f179541,32'h3f1dc525, 32'h3f12f157,32'h3f22690f, 32'h3f0b357b,32'h3f2a24eb,// invsqrt(2.7392) = 0.6042 +32'h3e458e44,32'h400ecb5f,32'h40149f6d, 32'h400a6c54,32'h4018fe78, 32'h40032342,32'h4020478a,// invsqrt(0.1929) = 2.2767 +32'h405825dd,32'h3f0883da,32'h3f0e164c, 32'h3f045605,32'h3f124421, 32'h3efabdef,32'h3f193b2e,// invsqrt(3.3773) = 0.5441 +32'h3efc23a4,32'h3fb2c081,32'h3fba0c47, 32'h3fad47ac,32'h3fbf851c, 32'h3fa428f4,32'h3fc8a3d4,// invsqrt(0.4925) = 1.4250 +32'h3e8698ac,32'h3ff4a7b7,32'h3ffea41d, 32'h3fed2a6b,32'h400310b4, 32'h3fe0aeec,32'h40094e74,// invsqrt(0.2629) = 1.9504 +32'h3ed67037,32'h3fc1d458,32'h3fc9bdaa, 32'h3fbbe55b,32'h3fcfaca7, 32'h3fb201b4,32'h3fd9904e,// invsqrt(0.4188) = 1.5452 +32'h401054d5,32'h3f270fac,32'h3f2de14b, 32'h3f21f276,32'h3f32fe82, 32'h3f196c70,32'h3f3b8488,// invsqrt(2.2552) = 0.6659 +32'h402ef928,32'h3f17bac4,32'h3f1dec30, 32'h3f1315b4,32'h3f229140, 32'h3f0b57ee,32'h3f2a4f06,// invsqrt(2.7340) = 0.6048 +32'h3ef5bcfd,32'h3fb510a8,32'h3fbc749a, 32'h3faf85b3,32'h3fc1ff8f, 32'h3fa648c4,32'h3fcb3c7e,// invsqrt(0.4800) = 1.4434 +32'h3fd34ff0,32'h3f434208,32'h3f4b3a46, 32'h3f3d47d8,32'h3f513476, 32'h3f33518a,32'h3f5b2ac5,// invsqrt(1.6509) = 0.7783 +32'h3f5c00f3,32'h3f87503f,32'h3f8cd623, 32'h3f832bd5,32'h3f90fa8d, 32'h3f7888f2,32'h3f97e1e9,// invsqrt(0.8594) = 1.0787 +32'h3f04ddde,32'h3fae1ea7,32'h3fb53a07, 32'h3fa8ca1f,32'h3fba8e8f, 32'h3f9fe7e8,32'h3fc370c6,// invsqrt(0.5190) = 1.3881 +32'h3edcb840,32'h3fbf0d2f,32'h3fc6d97b, 32'h3fb933f7,32'h3fccb2b3, 32'h3faf7499,32'h3fd67211,// invsqrt(0.4311) = 1.5231 +32'h3e845578,32'h3ff6bcdb,32'h40006782, 32'h3fef2f3d,32'h40042e51, 32'h3fe2988a,32'h400a79aa,// invsqrt(0.2585) = 1.9670 +32'h40a667ec,32'h3edc084a,32'h3ee50368, 32'h3ed54bf5,32'h3eebbfbd, 32'h3eca1210,32'h3ef6f9a2,// invsqrt(5.2002) = 0.4385 +32'h406b4b1a,32'h3f02d7dd,32'h3f082f0a, 32'h3efdacf4,32'h3f0c306c, 32'h3ef05302,32'h3f12dd65,// invsqrt(3.6765) = 0.5215 +32'h3fa051d5,32'h3f602b8a,32'h3f6951e4, 32'h3f594ec7,32'h3f702ea7, 32'h3f4dded8,32'h3f7b9e96,// invsqrt(1.2525) = 0.8935 +32'h3ecec663,32'h3fc5637b,32'h3fcd71fd, 32'h3fbf5899,32'h3fd37cdf, 32'h3fb54676,32'h3fdd8f02,// invsqrt(0.4039) = 1.5736 +32'h3e80a058,32'h3ffa44b8,32'h40023de2, 32'h3ff29b6e,32'h40061287, 32'h3fe5d69e,32'h400c74ef,// invsqrt(0.2512) = 1.9951 +32'h3fb7eaed,32'h3f514b93,32'h3f59d67f, 32'h3f4ae362,32'h3f603eb0, 32'h3f4035bb,32'h3f6aec57,// invsqrt(1.4369) = 0.8342 +32'h3e87a608,32'h3ff3b456,32'h3ffda6cc, 32'h3fec3e7d,32'h40028e53, 32'h3fdfcf69,32'h4008c5dd,// invsqrt(0.2649) = 1.9428 +32'h3f891f61,32'h3f72641b,32'h3f7c48d8, 32'h3f6af88d,32'h3f81da33, 32'h3f5e9aa0,32'h3f880929,// invsqrt(1.0713) = 0.9662 +32'h3f4a23de,32'h3f8d2a78,32'h3f92ed82, 32'h3f88d830,32'h3f973fca, 32'h3f81a464,32'h3f9e7396,// invsqrt(0.7896) = 1.1254 +32'h3f63caea,32'h3f84fadf,32'h3f8a6860, 32'h3f80e8bd,32'h3f8e7a81, 32'h3f743fb8,32'h3f954362,// invsqrt(0.8898) = 1.0601 +32'h3eaa8bc6,32'h3fd95866,32'h3fe23770, 32'h3fd2b11f,32'h3fe8deb7, 32'h3fc79a54,32'h3ff3f583,// invsqrt(0.3331) = 1.7327 +32'h3ec11b34,32'h3fcc414c,32'h3fd4978e, 32'h3fc6009b,32'h3fdad83f, 32'h3fbb94c8,32'h3fe54412,// invsqrt(0.3772) = 1.6283 +32'h3ea181a6,32'h3fdf584e,32'h3fe87608, 32'h3fd88202,32'h3fef4c54, 32'h3fcd1cda,32'h3ffab17c,// invsqrt(0.3154) = 1.7805 +32'h3fd4fad0,32'h3f427df8,32'h3f4a6e36, 32'h3f3c89c9,32'h3f506265, 32'h3f329d7b,32'h3f5a4eb3,// invsqrt(1.6639) = 0.7752 +32'h3b5e7112,32'h418691e5,32'h418c1003, 32'h4182734e,32'h41902e9a, 32'h41772b51,32'h41970c40,// invsqrt(0.0034) = 17.1645 +32'h3eef8f44,32'h3fb7629a,32'h3fbedeca, 32'h3fb1c576,32'h3fc47bee, 32'h3fa86a3a,32'h3fcdd72a,// invsqrt(0.4679) = 1.4619 +32'h3ff08016,32'h3f3706b3,32'h3f3e7f23, 32'h3f316c5f,32'h3f441977, 32'h3f2815d4,32'h3f4d7003,// invsqrt(1.8789) = 0.7295 +32'h3f94a202,32'h3f68d102,32'h3f7251b4, 32'h3f61b07d,32'h3f797239, 32'h3f55cf9f,32'h3f82a98c,// invsqrt(1.1612) = 0.9280 +32'h40fc57f3,32'h3eb2adf9,32'h3eb9f8fd, 32'h3ead35b5,32'h3ebf7141, 32'h3ea417ef,32'h3ec88f07,// invsqrt(7.8857) = 0.3561 +32'h3f251a47,32'h3f9c3304,32'h3fa29323, 32'h3f976aec,32'h3fa75b3a, 32'h3f8f72c4,32'h3faf5362,// invsqrt(0.6449) = 1.2452 +32'h3f27d424,32'h3f9aecee,32'h3fa13fbf, 32'h3f962ed3,32'h3fa5fddb, 32'h3f8e474e,32'h3fade560,// invsqrt(0.6556) = 1.2351 +32'h405e97ca,32'h3f068631,32'h3f0c03d5, 32'h3f0267f6,32'h3f102210, 32'h3ef715d2,32'h3f16ff1d,// invsqrt(3.4780) = 0.5362 +32'h3d53367b,32'h408a19c8,32'h408fbcca, 32'h4085df85,32'h4093f70d, 32'h407da783,32'h409b02d0,// invsqrt(0.0516) = 4.4037 +32'h3e31bab1,32'h40168c6d,32'h401cb181, 32'h4011f09e,32'h40214d50, 32'h400a4245,32'h4028fba9,// invsqrt(0.1736) = 2.4003 +32'h4036f2a9,32'h3f1462c3,32'h3f1a713e, 32'h3f0fd7e7,32'h3f1efc1b, 32'h3f0845ce,32'h3f268e34,// invsqrt(2.8586) = 0.5915 +32'h40848121,32'h3ef69431,32'h3f005258, 32'h3eef07d2,32'h3f041888, 32'h3ee27332,32'h3f0a62d8,// invsqrt(4.1408) = 0.4914 +32'h403990e1,32'h3f1355d8,32'h3f19595a, 32'h3f0ed338,32'h3f1ddbfa, 32'h3f074ed6,32'h3f25605c,// invsqrt(2.8995) = 0.5873 +32'h3f559417,32'h3f895571,32'h3f8ef070, 32'h3f852131,32'h3f9324af, 32'h3f7c3ee3,32'h3f9a266e,// invsqrt(0.8343) = 1.0948 +32'h3eca750d,32'h3fc77b78,32'h3fcf9fdb, 32'h3fc1602e,32'h3fd5bb26, 32'h3fb732b3,32'h3fdfe8a1,// invsqrt(0.3954) = 1.5903 +32'h3f6f0919,32'h3f81d0a2,32'h3f871d12, 32'h3f7bae9e,32'h3f8b1665, 32'h3f6e6f88,32'h3f91b5f0,// invsqrt(0.9337) = 1.0349 +32'h3f3f84b4,32'h3f910714,32'h3f96f278, 32'h3f8c9689,32'h3f9b6303, 32'h3f85304c,32'h3fa2c940,// invsqrt(0.7481) = 1.1562 +32'h3ff7c62a,32'h3f3451d6,32'h3f3badfe, 32'h3f2eccb8,32'h3f41331c, 32'h3f259986,32'h3f4a664e,// invsqrt(1.9357) = 0.7187 +32'h3f22f8d6,32'h3f9d378d,32'h3fa3a24f, 32'h3f98677c,32'h3fa87260, 32'h3f906209,32'h3fb077d3,// invsqrt(0.6366) = 1.2533 +32'h3f575b64,32'h3f88c3f7,32'h3f8e5907, 32'h3f84942c,32'h3f9288d2, 32'h3f7b33b1,32'h3f998325,// invsqrt(0.8412) = 1.0903 +32'h3e8880ed,32'h3ff2f0a1,32'h3ffcdb1b, 32'h3feb80c6,32'h4002257b, 32'h3fdf1bae,32'h40085807,// invsqrt(0.2666) = 1.9367 +32'h40171554,32'h3f23491a,32'h3f29f345, 32'h3f1e497a,32'h3f2ef2e6, 32'h3f15f4c5,32'h3f37479b,// invsqrt(2.3607) = 0.6509 +32'h4160ebc5,32'h3e85d380,32'h3e8b49d8, 32'h3e81babd,32'h3e8f629b, 32'h3e75cd9c,32'h3e96368a,// invsqrt(14.0576) = 0.2667 +32'h3f028fc2,32'h3fafa66c,32'h3fb6d1ca, 32'h3faa45e6,32'h3fbc3250, 32'h3fa14fb2,32'h3fc52884,// invsqrt(0.5100) = 1.4003 +32'h4039aa4b,32'h3f134bc2,32'h3f194eda, 32'h3f0ec970,32'h3f1dd12c, 32'h3f074593,32'h3f255509,// invsqrt(2.9010) = 0.5871 +32'h3ff55f8d,32'h3f35331e,32'h3f3c9878, 32'h3f2fa71a,32'h3f42247c, 32'h3f26686a,32'h3f4b632c,// invsqrt(1.9170) = 0.7223 +32'h3febb61c,32'h3f38e048,32'h3f406c0c, 32'h3f333775,32'h3f4614df, 32'h3f29c8bf,32'h3f4f8395,// invsqrt(1.8415) = 0.7369 +32'h405f4f80,32'h3f064ed0,32'h3f0bca31, 32'h3f023246,32'h3f0fe6ba, 32'h3ef6b01a,32'h3f16c0f3,// invsqrt(3.4892) = 0.5353 +32'h3de497ec,32'h403bbb7c,32'h40436518, 32'h4035fc46,32'h4049244e, 32'h402c6843,32'h4052b851,// invsqrt(0.1116) = 2.9932 +32'h3f55e740,32'h3f893abc,32'h3f8ed4a4, 32'h3f85074e,32'h3f930812, 32'h3f7c0dd6,32'h3f9a0875,// invsqrt(0.8356) = 1.0940 +32'h3eb03e9f,32'h3fd5cd7b,32'h3fde8780, 32'h3fcf41f7,32'h3fe51303, 32'h3fc45970,32'h3feffb8a,// invsqrt(0.3442) = 1.7044 +32'h3e39f6ae,32'h40132d7f,32'h40192f5b, 32'h400eac1b,32'h401db0bf, 32'h400729c8,32'h40253312,// invsqrt(0.1816) = 2.3466 +32'h40e4818d,32'h3ebbc4ac,32'h3ec36ea9, 32'h3eb6052f,32'h3ec92e27, 32'h3eac70b4,32'h3ed2c2a3,// invsqrt(7.1408) = 0.3742 +32'h3f2ddfe5,32'h3f98354b,32'h3f9e6bb7, 32'h3f938c7b,32'h3fa31487, 32'h3f8bc874,32'h3faad88e,// invsqrt(0.6792) = 1.2134 +32'h3fb6a63c,32'h3f520548,32'h3f5a97c8, 32'h3f4b9768,32'h3f6105a8, 32'h3f40e047,32'h3f6bbcc9,// invsqrt(1.4269) = 0.8371 +32'h3fc2e21d,32'h3f4b525c,32'h3f539edd, 32'h3f4518fb,32'h3f59d83d, 32'h3f3ab959,32'h3f6437df,// invsqrt(1.5225) = 0.8104 +32'h4030874f,32'h3f170f47,32'h3f1d39b3, 32'h3f126f77,32'h3f21d983, 32'h3f0aba70,32'h3f298e8a,// invsqrt(2.7583) = 0.6021 +32'h3f31b67f,32'h3f968e34,32'h3f9cb35b, 32'h3f91f258,32'h3fa14f38, 32'h3f8a43e7,32'h3fa8fda9,// invsqrt(0.6942) = 1.2002 +32'h3e06a4d1,32'h402cf782,32'h403406d6, 32'h4027ac03,32'h40395255, 32'h401ed8db,32'h4042257d,// invsqrt(0.1315) = 2.7578 +32'h42b671f7,32'h3dd2235c,32'h3ddab716, 32'h3dcbb490,32'h3de125e2, 32'h3dc0fbe6,32'h3debde8c,// invsqrt(91.2226) = 0.1047 +32'h408a481c,32'h3ef15f7e,32'h3efb3998, 32'h3ee9fbea,32'h3f014e96, 32'h3eddab4a,32'h3f0776e6,// invsqrt(4.3213) = 0.4811 +32'h3e16eb09,32'h40235ffa,32'h402a0b14, 32'h401e5fa7,32'h402f0b67, 32'h401609c6,32'h40376148,// invsqrt(0.1474) = 2.6048 +32'h3fe04963,32'h3f3d86b6,32'h3f454312, 32'h3f37b972,32'h3f4b1056, 32'h3f2e0e00,32'h3f54bbc8,// invsqrt(1.7522) = 0.7554 +32'h3e360664,32'h4014c2f2,32'h401ad55a, 32'h40103524,32'h401f6328, 32'h40089e22,32'h4026fa2a,// invsqrt(0.1778) = 2.3718 +32'h3f8adf51,32'h3f70dbf2,32'h3f7ab0ae, 32'h3f697c66,32'h3f81081d, 32'h3f5d327b,32'h3f872d12,// invsqrt(1.0849) = 0.9601 +32'h3fdbe532,32'h3f3f68c9,32'h3f4738d1, 32'h3f398cc3,32'h3f4d14d7, 32'h3f2fc8b8,32'h3f56d8e2,// invsqrt(1.7179) = 0.7630 +32'h40258015,32'h3f1c02f2,32'h3f22611b, 32'h3f173c52,32'h3f2727ba, 32'h3f0f469f,32'h3f2f1d6d,// invsqrt(2.5859) = 0.6219 +32'h3f24f866,32'h3f9c430d,32'h3fa2a3d4, 32'h3f977a77,32'h3fa76c69, 32'h3f8f817e,32'h3faf6562,// invsqrt(0.6444) = 1.2457 +32'h409e93b9,32'h3ee165ff,32'h3eea992d, 32'h3eda7f9b,32'h3ef17f91, 32'h3eceffa1,32'h3efcff8b,// invsqrt(4.9555) = 0.4492 +32'h3f8b9a89,32'h3f703a3a,32'h3f7a085c, 32'h3f68dfa1,32'h3f80b17b, 32'h3f5c9df7,32'h3f86d250,// invsqrt(1.0907) = 0.9575 +32'h3ec51d69,32'h3fca2ae1,32'h3fd26b52, 32'h3fc3fa8b,32'h3fd89ba7, 32'h3fb9a9fd,32'h3fe2ec35,// invsqrt(0.3850) = 1.6117 +32'h3f0e20a4,32'h3fa859fb,32'h3faf3915, 32'h3fa332a8,32'h3fb46068, 32'h3f9a9bc7,32'h3fbcf749,// invsqrt(0.5552) = 1.3421 +32'h3da9e436,32'h4059c37b,32'h4062a6e3, 32'h405318ed,32'h40695171, 32'h4047fcaa,32'h40746db4,// invsqrt(0.0830) = 3.4720 +32'h3f83cb3e,32'h3f773e1d,32'h3f80aac6, 32'h3f6fac89,32'h3f84738f, 32'h3f630f3f,32'h3f8ac235,// invsqrt(1.0296) = 0.9855 +32'h3eb2d713,32'h3fd43eda,32'h3fdce89a, 32'h3fcdbf8a,32'h3fe367ea, 32'h3fc2eb5b,32'h3fee3c19,// invsqrt(0.3493) = 1.6920 +32'h3df9a855,32'h4033a361,32'h403af86a, 32'h402e239a,32'h40407830, 32'h4024f94e,32'h4049a27c,// invsqrt(0.1219) = 2.8641 +32'h41d2648f,32'h3e43af22,32'h3e4babd6, 32'h3e3db19c,32'h3e51a95c, 32'h3e33b5bc,32'h3e5ba53c,// invsqrt(26.2991) = 0.1950 +32'h40642126,32'h3f04e1ba,32'h3f0a4e34, 32'h3f00d05e,32'h3f0e5f90, 32'h3ef41189,32'h3f152729,// invsqrt(3.5645) = 0.5297 +32'h3f35b891,32'h3f94e2c9,32'h3f9af67d, 32'h3f905401,32'h3f9f8545, 32'h3f88bb60,32'h3fa71de6,// invsqrt(0.7098) = 1.1869 +32'h435310d8,32'h3d8a2617,32'h3d8fc99b, 32'h3d85eb75,32'h3d94043d, 32'h3d7dbe20,32'h3d9b10a2,// invsqrt(211.0658) = 0.0688 +32'h3d4596dc,32'h408ec844,32'h40949c32, 32'h408a6952,32'h4098fb24, 32'h40832068,32'h40a0440e,// invsqrt(0.0482) = 4.5530 +32'h3e99e7ac,32'h3fe4cb46,32'h3fee21f0, 32'h3fddca46,32'h3ff522f0, 32'h3fd21df2,32'h400067a2,// invsqrt(0.3006) = 1.8239 +32'h3fcb8399,32'h3f46f6b4,32'h3f4f15ac, 32'h3f40df7a,32'h3f552ce6, 32'h3f36b8c5,32'h3f5f539b,// invsqrt(1.5900) = 0.7931 +32'h3f880c59,32'h3f7358a1,32'h3f7d4759, 32'h3f6be597,32'h3f825d32, 32'h3f5f7b30,32'h3f889265,// invsqrt(1.0629) = 0.9700 +32'h3f8862aa,32'h3f730b93,32'h3f7cf727, 32'h3f6b9ae5,32'h3f8233ea, 32'h3f5f346d,32'h3f886726,// invsqrt(1.0655) = 0.9688 +32'h40ca0aec,32'h3ec7afd6,32'h3ecfd65c, 32'h3ec192f1,32'h3ed5f341, 32'h3eb762ca,32'h3ee02368,// invsqrt(6.3138) = 0.3980 +32'h3eb97dff,32'h3fd067b1,32'h3fd8e950, 32'h3fca067b,32'h3fdf4a87, 32'h3fbf6474,32'h3fe9ec8e,// invsqrt(0.3623) = 1.6614 +32'h3c5abb4e,32'h4107b4d4,32'h410d3ed2, 32'h41038d55,32'h41116651, 32'h40f941af,32'h411852ce,// invsqrt(0.0134) = 8.6547 +32'h3f379262,32'h3f942227,32'h3f9a2dff, 32'h3f8f9945,32'h3f9eb6e1, 32'h3f880a78,32'h3fa645ae,// invsqrt(0.7171) = 1.1809 +32'h3f884400,32'h3f7326ea,32'h3f7d139b, 32'h3f6bb566,32'h3f824290, 32'h3f5f4d89,32'h3f88767e,// invsqrt(1.0646) = 0.9692 +32'h3f96c9bc,32'h3f67258b,32'h3f7094ca, 32'h3f60121b,32'h3f77a839, 32'h3f54470c,32'h3f81b9a4,// invsqrt(1.1780) = 0.9213 +32'h403a6067,32'h3f1303bb,32'h3f1903e1, 32'h3f0e839d,32'h3f1d83ff, 32'h3f07036d,32'h3f25042f,// invsqrt(2.9121) = 0.5860 +32'h3e973188,32'h3fe6d625,32'h3ff04227, 32'h3fdfc524,32'h3ff75328, 32'h3fd3fe22,32'h40018d15,// invsqrt(0.2953) = 1.8402 +32'h3eef7964,32'h3fb76afa,32'h3fbee782, 32'h3fb1cd94,32'h3fc484e8, 32'h3fa871eb,32'h3fcde091,// invsqrt(0.4677) = 1.4622 +32'h3fcb4187,32'h3f471708,32'h3f4f3752, 32'h3f40fed1,32'h3f554f89, 32'h3f36d675,32'h3f5f77e5,// invsqrt(1.5879) = 0.7936 +32'h3d1a5c0f,32'h40a18b1c,32'h40a82312, 32'h409c9923,32'h40ad150b, 32'h40945b2e,32'h40b55300,// invsqrt(0.0377) = 5.1513 +32'h3f81ac92,32'h3f79415b,32'h3f81b6e9, 32'h3f71a003,32'h3f858796, 32'h3f64e86e,32'h3f8be360,// invsqrt(1.0131) = 0.9935 +32'h402fcdbf,32'h3f175eeb,32'h3f1d8c97, 32'h3f12bcab,32'h3f222ed7, 32'h3f0b0394,32'h3f29e7ee,// invsqrt(2.7469) = 0.6034 +32'h40581031,32'h3f088ab2,32'h3f0e1d6b, 32'h3f045ca8,32'h3f124b76, 32'h3efaca81,32'h3f1942dd,// invsqrt(3.3760) = 0.5443 +32'h4060fa67,32'h3f05cf26,32'h3f0b4551, 32'h3f01b685,32'h3f0f5df1, 32'h3ef5c59d,32'h3f1631a7,// invsqrt(3.5153) = 0.5334 +32'h3fc46e8c,32'h3f4a84c9,32'h3f52c8e6, 32'h3f4451b3,32'h3f58fbfb, 32'h3f39fc8e,32'h3f635120,// invsqrt(1.5346) = 0.8072 +32'h3f0a7ad2,32'h3faa8dec,32'h3fb1840a, 32'h3fa55555,32'h3fb6bca1, 32'h3f9ca1af,32'h3fbf7047,// invsqrt(0.5409) = 1.3596 +32'h3f6dec02,32'h3f821e51,32'h3f876dec, 32'h3f7c453b,32'h3f8b69a1, 32'h3f6efe38,32'h3f920d22,// invsqrt(0.9294) = 1.0373 +32'h40327f3c,32'h3f163974,32'h3f1c5b26, 32'h3f11a030,32'h3f20f46a, 32'h3f09f612,32'h3f289e88,// invsqrt(2.7890) = 0.5988 +32'h3fa7cb20,32'h3f5b1eea,32'h3f641080, 32'h3f5469b9,32'h3f6ac5b1, 32'h3f493bbc,32'h3f75f3ae,// invsqrt(1.3109) = 0.8734 +32'h3ffe6d99,32'h3f31f234,32'h3f39358e, 32'h3f2c7faf,32'h3f3ea813, 32'h3f236b7e,32'h3f47bc44,// invsqrt(1.9877) = 0.7093 +32'h3e15c9d6,32'h4023fd65,32'h402aaeec, 32'h401ef841,32'h402fb411, 32'h40169a58,32'h403811fa,// invsqrt(0.1463) = 2.6146 +32'h3dcc14cb,32'h4046afe1,32'h404ecbf5, 32'h40409ad2,32'h4054e104, 32'h403677ba,32'h405f041c,// invsqrt(0.0996) = 3.1678 +32'h3f68db3c,32'h3f8386af,32'h3f88e4ff, 32'h3f7effe5,32'h3f8cebbb, 32'h3f71941d,32'h3f93a1a0,// invsqrt(0.9096) = 1.0485 +32'h3f92c0a6,32'h3f6a4d9f,32'h3f73ddd9, 32'h3f632173,32'h3f7b0a05, 32'h3f572d29,32'h3f837f27,// invsqrt(1.1465) = 0.9339 +32'h3f4119c5,32'h3f906ea7,32'h3f9653d3, 32'h3f8c02c7,32'h3f9abfb3, 32'h3f84a450,32'h3fa21e2a,// invsqrt(0.7543) = 1.1514 +32'h3ff7d0f7,32'h3f344de8,32'h3f3ba9e6, 32'h3f2ec8e8,32'h3f412ee6, 32'h3f2595ea,32'h3f4a61e5,// invsqrt(1.9361) = 0.7187 +32'h40d8a099,32'h3ec0d901,32'h3ec8b811, 32'h3ebaf1b5,32'h3ece9f5d, 32'h3eb11ae2,32'h3ed87630,// invsqrt(6.7696) = 0.3843 +32'h4044c2c5,32'h3f0f1523,32'h3f14ec35, 32'h3f0ab3d7,32'h3f194d81, 32'h3f036701,32'h3f209a57,// invsqrt(3.0744) = 0.5703 +32'h3f8b68a5,32'h3f706533,32'h3f7a3515, 32'h3f690949,32'h3f80c880, 32'h3f5cc56d,32'h3f86ea6d,// invsqrt(1.0891) = 0.9582 +32'h3eace107,32'h3fd7dfb0,32'h3fe0af59, 32'h3fd143f1,32'h3fe74b17, 32'h3fc6405d,32'h3ff24eab,// invsqrt(0.3377) = 1.7209 +32'h3e022b1a,32'h402fea49,32'h4037186b, 32'h402a87af,32'h403c7b05, 32'h40218e04,32'h404574b0,// invsqrt(0.1271) = 2.8048 +32'h3f24fdc8,32'h3f9c4080,32'h3fa2a12c, 32'h3f9777fe,32'h3fa769ae, 32'h3f8f7f27,32'h3faf6285,// invsqrt(0.6445) = 1.2456 +32'h406e8c02,32'h3f01f2a7,32'h3f074079, 32'h3efbf092,32'h3f0b3ad7, 32'h3eeeae03,32'h3f11dc1e,// invsqrt(3.7273) = 0.5180 +32'h3f913546,32'h3f6b8bc2,32'h3f7528f9, 32'h3f6455d9,32'h3f7c5ee3, 32'h3f585155,32'h3f8431b4,// invsqrt(1.1344) = 0.9389 +32'h412fc6f9,32'h3e9761d6,32'h3e9d8fa0, 32'h3e92bf7e,32'h3ea231f8, 32'h3e8b0642,32'h3ea9eb34,// invsqrt(10.9861) = 0.3017 +32'h3f49bfb9,32'h3f8d4d7d,32'h3f9311f5, 32'h3f88fa23,32'h3f97654f, 32'h3f81c48d,32'h3f9e9ae5,// invsqrt(0.7881) = 1.1265 +32'h3f2b2701,32'h3f9969f2,32'h3f9facf7, 32'h3f94b7af,32'h3fa45f3b, 32'h3f8ce3e9,32'h3fac3301,// invsqrt(0.6686) = 1.2230 +32'h3ebbe792,32'h3fcf101c,32'h3fd783b4, 32'h3fc8b969,32'h3fddda67, 32'h3fbe28ea,32'h3fe86ae6,// invsqrt(0.3670) = 1.6507 +32'h3f834f5d,32'h3f77b2a1,32'h3f80e769, 32'h3f701d7e,32'h3f84b1fb, 32'h3f637a41,32'h3f8b039a,// invsqrt(1.0259) = 0.9873 +32'h3f44dfaa,32'h3f8f0aa3,32'h3f94e146, 32'h3f8aa9a8,32'h3f994240, 32'h3f835d5c,32'h3fa08e8c,// invsqrt(0.7690) = 1.1403 +32'h3e8bdde8,32'h3ff00058,32'h3ff9cc1d, 32'h3fe8a785,32'h40009279, 32'h3fdc68cf,32'h4006b1d4,// invsqrt(0.2732) = 1.9133 +32'h3ff9174f,32'h3f33d7a4,32'h3f3b2ed0, 32'h3f2e5644,32'h3f40b030, 32'h3f25294e,32'h3f49dd26,// invsqrt(1.9460) = 0.7168 +32'h403c48f3,32'h3f124483,32'h3f183cdc, 32'h3f0dca41,32'h3f1cb71f, 32'h3f0653d2,32'h3f242d8e,// invsqrt(2.9420) = 0.5830 +32'h3f31f0ec,32'h3f96757b,32'h3f9c999f, 32'h3f91da60,32'h3fa134ba, 32'h3f8a2d32,32'h3fa8e1e8,// invsqrt(0.6951) = 1.1994 +32'h3ee6ef9d,32'h3fbac71d,32'h3fc266c0, 32'h3fb50f62,32'h3fc81e7a, 32'h3fab87d6,32'h3fd1a606,// invsqrt(0.4510) = 1.4890 +32'h405364d9,32'h3f0a0aa2,32'h3f0fad06, 32'h3f05d0d6,32'h3f13e6d2, 32'h3efd8bb1,32'h3f1af1d0,// invsqrt(3.3030) = 0.5502 +32'h3fb59893,32'h3f52a0fe,32'h3f5b39d9, 32'h3f4c2e59,32'h3f61ac7d, 32'h3f416f47,32'h3f6c6b8f,// invsqrt(1.4187) = 0.8396 +32'h3f11ea3d,32'h3fa626f6,32'h3facef14, 32'h3fa110de,32'h3fb2052c, 32'h3f9896b8,32'h3fba7f52,// invsqrt(0.5700) = 1.3246 +32'h421de325,32'h3e1fba80,32'h3e263f80, 32'h3e1ad6c0,32'h3e2b2340, 32'h3e12b080,32'h3e334980,// invsqrt(39.4718) = 0.1592 +32'h3f01b41b,32'h3fb03ae9,32'h3fb76c55, 32'h3faad5d7,32'h3fbcd167, 32'h3fa1d80f,32'h3fc5cf2f,// invsqrt(0.5067) = 1.4049 +32'h409e73ed,32'h3ee17c9b,32'h3eeab0b7, 32'h3eda9587,32'h3ef197cb, 32'h3ecf1465,32'h3efd18ed,// invsqrt(4.9517) = 0.4494 +32'h40cad49f,32'h3ec74c74,32'h3ecf6eec, 32'h3ec1329a,32'h3ed588c6, 32'h3eb70785,32'h3edfb3db,// invsqrt(6.3385) = 0.3972 +32'h3f37a93b,32'h3f9418f0,32'h3f9a2468, 32'h3f8f9057,32'h3f9ead01, 32'h3f880201,32'h3fa63b57,// invsqrt(0.7174) = 1.1806 +32'h3e187d54,32'h402287ea,32'h40292a32, 32'h401d8e34,32'h402e23e8, 32'h40154359,32'h40366ec3,// invsqrt(0.1489) = 2.5914 +32'h3e16036f,32'h4023dde7,32'h402a8e25, 32'h401ed9b9,32'h402f9253, 32'h40167d6c,32'h4037eea0,// invsqrt(0.1465) = 2.6127 +32'h40e0df09,32'h3ebd479c,32'h3ec50163, 32'h3eb77c45,32'h3ecaccb9, 32'h3eadd40c,32'h3ed474f2,// invsqrt(7.0272) = 0.3772 +32'h40cc5c49,32'h3ec68d1d,32'h3ecea7c5, 32'h3ec0791f,32'h3ed4bbc3, 32'h3eb657cc,32'h3ededd16,// invsqrt(6.3863) = 0.3957 +32'h3f4b90bf,32'h3f8cabbb,32'h3f926999, 32'h3f885d55,32'h3f96b7ff, 32'h3f812fff,32'h3f9de555,// invsqrt(0.7952) = 1.1214 +32'h3f396585,32'h3f936712,32'h3f996b46, 32'h3f8ee3ea,32'h3f9dee6e, 32'h3f875ea8,32'h3fa573b0,// invsqrt(0.7242) = 1.1751 +32'h3d23e6e4,32'h409cc538,32'h40a32b4f, 32'h4097f8a6,32'h40a7f7e0, 32'h408ff909,32'h40aff77d,// invsqrt(0.0400) = 4.9991 +32'h3f2af3b9,32'h3f9980f3,32'h3f9fc4e9, 32'h3f94cdfc,32'h3fa477e0, 32'h3f8cf909,32'h3fac4cd3,// invsqrt(0.6678) = 1.2237 +32'h3f817eaa,32'h3f796d86,32'h3f81cde5, 32'h3f71cad3,32'h3f859f3f, 32'h3f6510fe,32'h3f8bfc29,// invsqrt(1.0117) = 0.9942 +32'h436614d6,32'h3d845120,32'h3d89b7b3, 32'h3d804431,32'h3d8dc4a1, 32'h3d7307f0,32'h3d9484da,// invsqrt(230.0814) = 0.0659 +32'h3ff5b43e,32'h3f3513e1,32'h3f3c77f5, 32'h3f2f88d2,32'h3f420304, 32'h3f264bba,32'h3f4b401c,// invsqrt(1.9196) = 0.7218 +32'h3e7d8828,32'h3ffc1924,32'h400331a8, 32'h3ff46184,32'h40070d78, 32'h3fe784ce,32'h400d7bd3,// invsqrt(0.2476) = 2.0097 +32'h41040f63,32'h3eaea691,32'h3eb5c77d, 32'h3ea94de0,32'h3ebb202e, 32'h3ea064ba,32'h3ec40955,// invsqrt(8.2538) = 0.3481 +32'h40869ef2,32'h3ef4a204,32'h3efe9e2e, 32'h3eed24e5,32'h3f030da7, 32'h3ee0a9b0,32'h3f094b41,// invsqrt(4.2069) = 0.4875 +32'h3fd78b6f,32'h3f4154d6,32'h3f4938f4, 32'h3f3b69c0,32'h3f4f240a, 32'h3f318c9b,32'h3f59012f,// invsqrt(1.6839) = 0.7706 +32'h3fc5bc80,32'h3f49d97c,32'h3f52169c, 32'h3f43aba5,32'h3f584473, 32'h3f395f3e,32'h3f6290da,// invsqrt(1.5448) = 0.8046 +32'h3fc83548,32'h3f489983,32'h3f50c993, 32'h3f427577,32'h3f56ed9f, 32'h3f383964,32'h3f6129b3,// invsqrt(1.5641) = 0.7996 +32'h3ee6044c,32'h3fbb268f,32'h3fc2ca17, 32'h3fb56be8,32'h3fc884be, 32'h3fabdf7e,32'h3fd21128,// invsqrt(0.4493) = 1.4920 +32'h3e6c971c,32'h40027bee,32'h4007cf5a, 32'h3ffcfab8,32'h400bcdec, 32'h3fefaa27,32'h40127634,// invsqrt(0.2310) = 2.0804 +32'h4325b693,32'h3d9be949,32'h3da24666, 32'h3d972373,32'h3da70c3b, 32'h3d8f2f0e,32'h3daf00a0,// invsqrt(165.7132) = 0.0777 +32'h404fdc91,32'h3f0b35a3,32'h3f10e43b, 32'h3f06f2b0,32'h3f15272e, 32'h3effb0e1,32'h3f1c416d,// invsqrt(3.2478) = 0.5549 +32'h3f42a218,32'h3f8fdccd,32'h3f95bc04, 32'h3f8b7563,32'h3f9a236d, 32'h3f841e5e,32'h3fa17a72,// invsqrt(0.7603) = 1.1469 +32'h403b328f,32'h3f12b11e,32'h3f18ade6, 32'h3f0e3388,32'h3f1d2b7c, 32'h3f06b78f,32'h3f24a775,// invsqrt(2.9250) = 0.5847 +32'h3faa166b,32'h3f59a354,32'h3f62856d, 32'h3f52f9c3,32'h3f692eff, 32'h3f47df24,32'h3f74499e,// invsqrt(1.3288) = 0.8675 +32'h3f8356d1,32'h3f77ab9a,32'h3f80e3c1, 32'h3f7016ad,32'h3f84ae37, 32'h3f6373cd,32'h3f8affa8,// invsqrt(1.0261) = 0.9872 +32'h40f6d9a4,32'h3eb4a824,32'h3ebc07d2, 32'h3eaf2062,32'h3ec18f94, 32'h3ea5e8c8,32'h3ecac72e,// invsqrt(7.7141) = 0.3600 +32'h3ed6c5d4,32'h3fc1adb2,32'h3fc99570, 32'h3fbbbfe3,32'h3fcf833f, 32'h3fb1de36,32'h3fd964ec,// invsqrt(0.4195) = 1.5440 +32'h3f2c03be,32'h3f990764,32'h3f9f4662, 32'h3f945824,32'h3fa3f5a2, 32'h3f8c8966,32'h3fabc460,// invsqrt(0.6719) = 1.2199 +32'h409b234b,32'h3ee3e213,32'h3eed2f39, 32'h3edce837,32'h3ef42915, 32'h3ed147c9,32'h3effc983,// invsqrt(4.8481) = 0.4542 +32'h3faa340a,32'h3f599063,32'h3f6271b6, 32'h3f52e766,32'h3f691ab4, 32'h3f47cdbf,32'h3f74345b,// invsqrt(1.3297) = 0.8672 +32'h40938123,32'h3ee9b48c,32'h3ef33e87, 32'h3ee28d0f,32'h3efa6603, 32'h3ed6a095,32'h3f03293f,// invsqrt(4.6095) = 0.4658 +32'h3f8128bd,32'h3f79c070,32'h3f81f90b, 32'h3f721b33,32'h3f85cbaa, 32'h3f655d23,32'h3f8c2ab2,// invsqrt(1.0091) = 0.9955 +32'h3ebb83d1,32'h3fcf4728,32'h3fd7bd00, 32'h3fc8eec6,32'h3fde1562, 32'h3fbe5b78,32'h3fe8a8b0,// invsqrt(0.3662) = 1.6524 +32'h4025abfc,32'h3f1bee44,32'h3f224b96, 32'h3f172848,32'h3f271192, 32'h3f0f33a2,32'h3f2f0638,// invsqrt(2.5886) = 0.6215 +32'h3f16bfd6,32'h3fa37761,32'h3faa236f, 32'h3f9e7656,32'h3faf247a, 32'h3f961f44,32'h3fb77b8c,// invsqrt(0.5889) = 1.3031 +32'h3f82dee6,32'h3f781cf9,32'h3f811ec0, 32'h3f708494,32'h3f84eaf3, 32'h3f63dbea,32'h3f8b3f48,// invsqrt(1.0224) = 0.9890 +32'h3f98c71f,32'h3f65a2f0,32'h3f6f0268, 32'h3f5e9b57,32'h3f760a01, 32'h3f52e401,32'h3f80e0ab,// invsqrt(1.1936) = 0.9153 +32'h400aa3ce,32'h3f2a74b4,32'h3f3169cc, 32'h3f253ce3,32'h3f36a19d, 32'h3f1c8a86,32'h3f3f53fa,// invsqrt(2.1662) = 0.6794 +32'h3f889e07,32'h3f72d6c0,32'h3f7cc02b, 32'h3f6b67af,32'h3f82179d, 32'h3f5f03e9,32'h3f884980,// invsqrt(1.0673) = 0.9679 +32'h3e25716e,32'h401c09da,32'h4022684c, 32'h40174305,32'h40272f21, 32'h400f4cf8,32'h402f252e,// invsqrt(0.1616) = 2.4879 +32'h3f27a2e4,32'h3f9b03af,32'h3fa1576d, 32'h3f9644e0,32'h3fa6163c, 32'h3f8e5c33,32'h3fadfee9,// invsqrt(0.6548) = 1.2358 +32'h402db880,32'h3f18468c,32'h3f1e7dac, 32'h3f139d34,32'h3f232704, 32'h3f0bd84c,32'h3f2aebec,// invsqrt(2.7144) = 0.6070 +32'h3f60d043,32'h3f85dbb0,32'h3f8b525e, 32'h3f81c2ad,32'h3f8f6b61, 32'h3f75dca6,32'h3f963fbb,// invsqrt(0.8782) = 1.0671 +32'h40f0a4fe,32'h3eb6f8a9,32'h3ebe7087, 32'h3eb15ec4,32'h3ec40a6c, 32'h3ea808ef,32'h3ecd6041,// invsqrt(7.5201) = 0.3647 +32'h3f67d634,32'h3f83d0a5,32'h3f8931fb, 32'h3f7f8f4b,32'h3f8d3afa, 32'h3f721bf6,32'h3f93f4a5,// invsqrt(0.9056) = 1.0508 +32'h40a0bd71,32'h3edfe074,32'h3ee903bc, 32'h3ed905fd,32'h3eefde33, 32'h3ecd99e2,32'h3efb4a4e,// invsqrt(5.0231) = 0.4462 +32'h3fffbfb7,32'h3f317c6c,32'h3f38baf8, 32'h3f2c0d83,32'h3f3e29e1, 32'h3f22ff53,32'h3f473811,// invsqrt(1.9980) = 0.7075 +32'h3f863308,32'h3f75044c,32'h3f7f0478, 32'h3f6d842a,32'h3f83424d, 32'h3f6103f2,32'h3f898269,// invsqrt(1.0484) = 0.9766 +32'h3edf9ed2,32'h3fbdcef0,32'h3fc58e3e, 32'h3fb7ff76,32'h3fcb5db8, 32'h3fae5055,32'h3fd50cd9,// invsqrt(0.4368) = 1.5131 +32'h3f74d46a,32'h3f804508,32'h3f858152, 32'h3f78afa2,32'h3f896e89, 32'h3f6b98eb,32'h3f8ff9e5,// invsqrt(0.9564) = 1.0226 +32'h400ebfad,32'h3f27fc1a,32'h3f2ed75e, 32'h3f22d7a6,32'h3f33fbd2, 32'h3f1a4590,32'h3f3c8de8,// invsqrt(2.2304) = 0.6696 +32'h40067c06,32'h3f2d11bc,32'h3f342222, 32'h3f27c570,32'h3f396e6e, 32'h3f1ef0f1,32'h3f4242ed,// invsqrt(2.1013) = 0.6898 +32'h3fd80418,32'h3f411ed0,32'h3f4900ba, 32'h3f3b3562,32'h3f4eea28, 32'h3f315afe,32'h3f58c48c,// invsqrt(1.6876) = 0.7698 +32'h3f137ac5,32'h3fa544bd,32'h3fac03a0, 32'h3fa03592,32'h3fb112ca, 32'h3f97c6f6,32'h3fb98166,// invsqrt(0.5761) = 1.3175 +32'h40866ee6,32'h3ef4cdb7,32'h3efecbaa, 32'h3eed4f42,32'h3f032510, 32'h3ee0d1d2,32'h3f0963c8,// invsqrt(4.2010) = 0.4879 +32'h3f54df38,32'h3f898fbd,32'h3f8f2d1d, 32'h3f8559b4,32'h3f936326, 32'h3f7ca9f7,32'h3f9a67de,// invsqrt(0.8315) = 1.0966 +32'h3fa248a9,32'h3f5ecf31,32'h3f67e753, 32'h3f57fd18,32'h3f6eb96c, 32'h3f4c9eef,32'h3f7a1795,// invsqrt(1.2678) = 0.8881 +32'h3ec78465,32'h3fc8f25b,32'h3fd1260b, 32'h3fc2cb97,32'h3fd74ccf, 32'h3fb88afb,32'h3fe18d6b,// invsqrt(0.3897) = 1.6019 +32'h409a3d48,32'h3ee48bbf,32'h3eeddfd1, 32'h3edd8cb1,32'h3ef4dedf, 32'h3ed1e39a,32'h3f0043fb,// invsqrt(4.8200) = 0.4555 +32'h3d783cd8,32'h407ec5b4,32'h408495e8, 32'h4076f91f,32'h40887c33, 32'h4069f97b,32'h408efc04,// invsqrt(0.0606) = 4.0621 +32'h40485311,32'h3f0dcdde,32'h3f139794, 32'h3f097696,32'h3f17eedc, 32'h3f023a73,32'h3f1f2aff,// invsqrt(3.1301) = 0.5652 +32'h3ee5103a,32'h3fbb8a29,32'h3fc331c3, 32'h3fb5cc76,32'h3fc8ef76, 32'h3fac3af7,32'h3fd280f5,// invsqrt(0.4474) = 1.4951 +32'h4035038d,32'h3f152d28,32'h3f1b43e6, 32'h3f109c1a,32'h3f1fd4f4, 32'h3f08ffad,32'h3f277161,// invsqrt(2.8283) = 0.5946 +32'h400e78ed,32'h3f2825ca,32'h3f2f02c2, 32'h3f23000f,32'h3f34287d, 32'h3f1a6bd9,32'h3f3cbcb3,// invsqrt(2.2261) = 0.6702 +32'h3ffac137,32'h3f333ea8,32'h3f3a8f94, 32'h3f2dc1f6,32'h3f400c46, 32'h3f249cce,32'h3f49316e,// invsqrt(1.9590) = 0.7145 +32'h3fc8f8c6,32'h3f4837da,32'h3f5063ee, 32'h3f4216cc,32'h3f5684fc, 32'h3f37dfb4,32'h3f60bc14,// invsqrt(1.5701) = 0.7981 +32'h3f9d4bbb,32'h3f625085,32'h3f6b8d47, 32'h3f5b62f4,32'h3f727ad8, 32'h3f4fd702,32'h3f7e06ca,// invsqrt(1.2289) = 0.9021 +32'h3f9bff69,32'h3f634114,32'h3f6c87a7, 32'h3f5c4c25,32'h3f737c95, 32'h3f50b3ed,32'h3f7f14cd,// invsqrt(1.2187) = 0.9058 +32'h3ebdf20f,32'h3fcdf28e,32'h3fd65a7e, 32'h3fc7a499,32'h3fdca873, 32'h3fbd22ac,32'h3fe72a60,// invsqrt(0.3710) = 1.6418 +32'h3f835bec,32'h3f77a6ca,32'h3f80e13f, 32'h3f701202,32'h3f84aba3, 32'h3f636f60,32'h3f8afcf4,// invsqrt(1.0262) = 0.9871 +32'h4031fb3a,32'h3f167120,32'h3f1c9516, 32'h3f11d626,32'h3f213010, 32'h3f0a2932,32'h3f28dd04,// invsqrt(2.7810) = 0.5997 +32'h3f82e2b8,32'h3f78195a,32'h3f811cde, 32'h3f708111,32'h3f84e903, 32'h3f63d897,32'h3f8b3d40,// invsqrt(1.0225) = 0.9889 +32'h3f8e209a,32'h3f6e15c8,32'h3f77cd88, 32'h3f66cbf9,32'h3f7f1757, 32'h3f5aa64a,32'h3f859e83,// invsqrt(1.1104) = 0.9490 +32'h3fffc662,32'h3f317a1c,32'h3f38b890, 32'h3f2c0b45,32'h3f3e2767, 32'h3f22fd34,32'h3f473578,// invsqrt(1.9982) = 0.7074 +32'h3f73f42a,32'h3f807fef,32'h3f85bea0, 32'h3f7921d6,32'h3f89ada5, 32'h3f6c051b,32'h3f903c02,// invsqrt(0.9529) = 1.0244 +32'h3e5e0289,32'h4006b361,32'h400c32dd, 32'h400293c4,32'h4010527a, 32'h3ff768d1,32'h401731d5,// invsqrt(0.2168) = 2.1477 +32'h3fb29e96,32'h3f546067,32'h3f5d0b86, 32'h3f4de011,32'h3f638bdd, 32'h3f430a2b,32'h3f6e61c3,// invsqrt(1.3955) = 0.8465 +32'h3fab74bd,32'h3f58c48b,32'h3f619d8c, 32'h3f5221cb,32'h3f68404d, 32'h3f47128b,32'h3f734f8d,// invsqrt(1.3395) = 0.8640 +32'h4029e2b3,32'h3f19fc1b,32'h3f204517, 32'h3f15455e,32'h3f24fbd4, 32'h3f0d6a23,32'h3f2cd70f,// invsqrt(2.6545) = 0.6138 +32'h3fb1dc7d,32'h3f54d42a,32'h3f5d8402, 32'h3f4e5048,32'h3f6407e4, 32'h3f43747a,32'h3f6ee3b2,// invsqrt(1.3895) = 0.8483 +32'h3e165f88,32'h4023abb1,32'h402a59e2, 32'h401ea90c,32'h402f5c86, 32'h40164f4e,32'h4037b644,// invsqrt(0.1468) = 2.6095 +32'h3fdd73cb,32'h3f3ebc38,32'h3f468535, 32'h3f38e57a,32'h3f4c5bf2, 32'h3f2f2a3d,32'h3f56172f,// invsqrt(1.7301) = 0.7603 +32'h41def333,32'h3e3e17f0,32'h3e45da39, 32'h3e38463a,32'h3e4babf0, 32'h3e2e9360,32'h3e555eca,// invsqrt(27.8687) = 0.1894 +32'h3da8f545,32'h405a5d3f,32'h406346ee, 32'h4053adfc,32'h4069f632, 32'h404889e2,32'h40751a4d,// invsqrt(0.0825) = 3.4816 +32'h405156b6,32'h3f0ab7ae,32'h3f106122, 32'h3f067896,32'h3f14a03a, 32'h3efec988,32'h3f1bb40c,// invsqrt(3.2709) = 0.5529 +32'h3db20603,32'h4054bb56,32'h405d6a2a, 32'h404e3836,32'h4063ed4a, 32'h40435dad,32'h406ec7d3,// invsqrt(0.0869) = 3.3918 +32'h3f84dfd2,32'h3f763c45,32'h3f802497, 32'h3f6eb296,32'h3f83e96e, 32'h3f622273,32'h3f8a317f,// invsqrt(1.0381) = 0.9815 +32'h3ef70db9,32'h3fb49518,32'h3fbbf3ff, 32'h3faf0deb,32'h3fc17b2d, 32'h3fa5d74b,32'h3fcab1cd,// invsqrt(0.4825) = 1.4396 +32'h41c1bd05,32'h3e4bebee,32'h3e543eb4, 32'h3e45adda,32'h3e5a7cc8, 32'h3e3b4662,32'h3e64e440,// invsqrt(24.2173) = 0.2032 +32'h40021d07,32'h3f2ff3cc,32'h3f372252, 32'h3f2a90e8,32'h3f3c8536, 32'h3f2196c1,32'h3f457f5d,// invsqrt(2.0330) = 0.7013 +32'h3fa77559,32'h3f5b5701,32'h3f644ae2, 32'h3f54a019,32'h3f6b01cb, 32'h3f496f40,32'h3f7632a4,// invsqrt(1.3083) = 0.8743 +32'h40d394c8,32'h3ec32241,32'h3ecb1933, 32'h3ebd290a,32'h3ed1126a, 32'h3eb3345b,32'h3edb0719,// invsqrt(6.6119) = 0.3889 +32'h3e8556f0,32'h3ff5ce30,32'h3fffd69a, 32'h3fee47e0,32'h4003ae75, 32'h3fe1bd5b,32'h4009f3b8,// invsqrt(0.2604) = 1.9595 +32'h40006e4a,32'h3f3119e6,32'h3f38546c, 32'h3f2bae01,32'h3f3dc051, 32'h3f22a4d8,32'h3f46c97a,// invsqrt(2.0067) = 0.7059 +32'h3f356f10,32'h3f9500ef,32'h3f9b15df, 32'h3f90713b,32'h3f9fa593, 32'h3f88d710,32'h3fa73fbe,// invsqrt(0.7087) = 1.1878 +32'h40856d51,32'h3ef5b992,32'h3effc124, 32'h3eee33e3,32'h3f03a369, 32'h3ee1aa6c,32'h3f09e825,// invsqrt(4.1696) = 0.4897 +32'h3daeca7c,32'h4056b09b,32'h405f73e5, 32'h40501e23,32'h4066065d, 32'h40452a06,32'h4070fa7a,// invsqrt(0.0853) = 3.4230 +32'h3fbaab0d,32'h3f4fbf5f,32'h3f583a1f, 32'h3f49634f,32'h3f5e962f, 32'h3f3ec9df,32'h3f692f9f,// invsqrt(1.4583) = 0.8281 +32'h3f86575b,32'h3f74e32a,32'h3f7ee1fc, 32'h3f6d640c,32'h3f83308d, 32'h3f60e584,32'h3f896fd1,// invsqrt(1.0495) = 0.9761 +32'h400ed4eb,32'h3f27ef9b,32'h3f2eca5d, 32'h3f22cb89,32'h3f33ee6f, 32'h3f1a3a16,32'h3f3c7fe2,// invsqrt(2.2317) = 0.6694 +32'h3f15fa6c,32'h3fa3e2d3,32'h3faa9345, 32'h3f9ede7f,32'h3faf9799, 32'h3f9681f1,32'h3fb7f427,// invsqrt(0.5859) = 1.3065 +32'h40955555,32'h3ee8450e,32'h3ef1c00a, 32'h3ee128d2,32'h3ef8dc46, 32'h3ed54f18,32'h3f025b00,// invsqrt(4.6667) = 0.4629 +32'h3e605b24,32'h4005fe9b,32'h400b76b7, 32'h4001e487,32'h400f90cb, 32'h3ff61cca,32'h401666ed,// invsqrt(0.2191) = 2.1364 +32'h3f0e34de,32'h3fa84e02,32'h3faf2c9e, 32'h3fa3270c,32'h3fb45394, 32'h3f9a90c8,32'h3fbce9d8,// invsqrt(0.5555) = 1.3417 +32'h3e1e05cb,32'h401fa8fc,32'h40262d46, 32'h401ac5c6,32'h402b107c, 32'h4012a06a,32'h403335d8,// invsqrt(0.1543) = 2.5456 +32'h3d07aa00,32'h40ac50b2,32'h40b35936, 32'h40a70a4e,32'h40b89f9a, 32'h409e3fa8,32'h40c16a40,// invsqrt(0.0331) = 5.4947 +32'h40573732,32'h3f08cf77,32'h3f0e64ff, 32'h3f049f52,32'h3f129524, 32'h3efb48d1,32'h3f19900e,// invsqrt(3.3627) = 0.5453 +32'h3f481810,32'h3f8de2c5,32'h3f93ad55, 32'h3f898ad9,32'h3f980541, 32'h3f824da5,32'h3f9f4275,// invsqrt(0.7816) = 1.1311 +32'h3ef3a019,32'h3fb5d938,32'h3fbd455a, 32'h3fb0481f,32'h3fc2d673, 32'h3fa700f5,32'h3fcc1d9d,// invsqrt(0.4758) = 1.4497 +32'h3f453616,32'h3f8eeb48,32'h3f94c0a4, 32'h3f8a8b43,32'h3f9920a9, 32'h3f834091,32'h3fa06b5b,// invsqrt(0.7704) = 1.1393 +32'h3f334ad1,32'h3f95e412,32'h3f9c0248, 32'h3f914d6b,32'h3fa098ef, 32'h3f89a7a8,32'h3fa83eb2,// invsqrt(0.7004) = 1.1949 +32'h40bc9d38,32'h3eceac4f,32'h3ed71bd5, 32'h3ec858ab,32'h3edd6f79, 32'h3ebdcd43,32'h3ee7fae1,// invsqrt(5.8942) = 0.4119 +32'h3bd13967,32'h41443ad6,32'h414c3d3d, 32'h413e390a,32'h41523f0a, 32'h41343609,32'h415c420b,// invsqrt(0.0064) = 12.5147 +32'h3f921f58,32'h3f6acece,32'h3f74644e, 32'h3f639ead,32'h3f7b946f, 32'h3f57a3cd,32'h3f83c7a8,// invsqrt(1.1416) = 0.9359 +32'h3f24b367,32'h3f9c63c4,32'h3fa2c5e1, 32'h3f979a2f,32'h3fa78f77, 32'h3f8f9f8b,32'h3faf8a1b,// invsqrt(0.6434) = 1.2467 +32'h3f941468,32'h3f694038,32'h3f72c574, 32'h3f621c4b,32'h3f79e961, 32'h3f5635c1,32'h3f82e7f6,// invsqrt(1.1569) = 0.9297 +32'h3eba5011,32'h3fcff213,32'h3fd86ee4, 32'h3fc99475,32'h3fdecc81, 32'h3fbef86f,32'h3fe96887,// invsqrt(0.3639) = 1.6577 +32'h40ddf6b1,32'h3ebe83f2,32'h3ec64aa3, 32'h3eb8aeed,32'h3ecc1fa7, 32'h3eaef68f,32'h3ed5d805,// invsqrt(6.9364) = 0.3797 +32'h3d39950c,32'h40935431,32'h409957a1, 32'h408ed19d,32'h409dda35, 32'h40874d52,32'h40a55e80,// invsqrt(0.0453) = 4.6980 +32'h3f19fc61,32'h3fa1bd44,32'h3fa85746, 32'h3f9cc9c2,32'h3fad4ac8, 32'h3f94893e,32'h3fb58b4c,// invsqrt(0.6015) = 1.2894 +32'h3fa28261,32'h3f5ea79c,32'h3f67be20, 32'h3f57d6b9,32'h3f6e8f03, 32'h3f4c7a95,32'h3f79eb27,// invsqrt(1.2696) = 0.8875 +32'h3f7d69e3,32'h3f7c2832,32'h3f83397d, 32'h3f74701b,32'h3f871588, 32'h3f6792a1,32'h3f8d8446,// invsqrt(0.9899) = 1.0051 +32'h3de38618,32'h403c2c52,32'h4043da8a, 32'h403669a8,32'h40499d34, 32'h402ccfe3,32'h405336f9,// invsqrt(0.1111) = 3.0002 +32'h3ed5d129,32'h3fc21c63,32'h3fca08a5, 32'h3fbc2b31,32'h3fcff9d7, 32'h3fb243dd,32'h3fd9e12b,// invsqrt(0.4176) = 1.5474 +32'h3ee7e7e0,32'h3fba6308,32'h3fc1fe96, 32'h3fb4ae5e,32'h3fc7b340, 32'h3fab2bee,32'h3fd135b1,// invsqrt(0.4529) = 1.4859 +32'h40a9529b,32'h3eda2108,32'h3ee30842, 32'h3ed3739d,32'h3ee9b5ad, 32'h3ec85294,32'h3ef4d6b6,// invsqrt(5.2913) = 0.4347 +32'h3daaec74,32'h40591ae5,32'h4061f76c, 32'h40527581,32'h40689cd1, 32'h404761d8,32'h4073b07a,// invsqrt(0.0835) = 3.4615 +32'h3deb4d88,32'h40390959,32'h404096ca, 32'h40335f43,32'h404640df, 32'h4029ee76,32'h404fb1ac,// invsqrt(0.1149) = 2.9502 +32'h40ae2639,32'h3ed715c3,32'h3edfdd2f, 32'h3ed08033,32'h3ee672bf, 32'h3ec586ed,32'h3ef16c05,// invsqrt(5.4422) = 0.4287 +32'h3f40e99d,32'h3f9080ad,32'h3f966695, 32'h3f8c143f,32'h3f9ad303, 32'h3f84b4de,32'h3fa23264,// invsqrt(0.7536) = 1.1520 +32'h3f6bd04d,32'h3f82b2e3,32'h3f88088f, 32'h3f7d6546,32'h3f8c08cf, 32'h3f700f1a,32'h3f92b3e5,// invsqrt(0.9211) = 1.0419 +32'h40d47d9b,32'h3ec2b73c,32'h3ecaa9d1, 32'h3ebcc14d,32'h3ed09fc1, 32'h3eb2d213,32'h3eda8efb,// invsqrt(6.6403) = 0.3881 +32'h3f5dd9f5,32'h3f86bfb2,32'h3f8c3faf, 32'h3f829fb5,32'h3f905fad, 32'h3f777f72,32'h3f973fa9,// invsqrt(0.8666) = 1.0742 +32'h4067fde1,32'h3f03c55f,32'h3f09263f, 32'h3eff7970,32'h3f0d2ee6, 32'h3ef20741,32'h3f13e7fd,// invsqrt(3.6249) = 0.5252 +32'h3f7e0fb2,32'h3f7bd5dc,32'h3f830ea4, 32'h3f74204b,32'h3f86e96d, 32'h3f674703,32'h3f8d5610,// invsqrt(0.9924) = 1.0038 +32'h3fc4b497,32'h3f4a60b7,32'h3f52a35b, 32'h3f442ebc,32'h3f58d556, 32'h3f39db6e,32'h3f6328a4,// invsqrt(1.5368) = 0.8067 +32'h3e77c0df,32'h3fff056a,32'h4004b710, 32'h3ff736e2,32'h40089e55, 32'h3fea33ff,32'h400f1fc6,// invsqrt(0.2419) = 2.0330 +32'h3faa35ec,32'h3f598f2f,32'h3f627075, 32'h3f52e63b,32'h3f691969, 32'h3f47cca3,32'h3f743301,// invsqrt(1.3298) = 0.8672 +32'h3e9fd723,32'h3fe08183,32'h3fe9ab5f, 32'h3fd9a21f,32'h3ff08ac3, 32'h3fce2dcc,32'h3ffbff16,// invsqrt(0.3122) = 1.7897 +32'h3fdfb4d6,32'h3f3dc599,32'h3f458485, 32'h3f37f668,32'h3f4b53b6, 32'h3f2e47c1,32'h3f55025d,// invsqrt(1.7477) = 0.7564 +32'h3e3d12fa,32'h4011f649,32'h4017eb71, 32'h400d7e6c,32'h401c634e, 32'h40060bfa,32'h4023d5c0,// invsqrt(0.1846) = 2.3272 +32'h3f541c74,32'h3f89ced6,32'h3f8f6eca, 32'h3f8596df,32'h3f93a6c1, 32'h3f7d1ddd,32'h3f9aaeb2,// invsqrt(0.8286) = 1.0986 +32'h3fa02651,32'h3f6049fd,32'h3f697194, 32'h3f596c4b,32'h3f704f45, 32'h3f4dface,32'h3f7bc0c2,// invsqrt(1.2512) = 0.8940 +32'h3f507f8c,32'h3f8aff2f,32'h3f90ab8f, 32'h3f86bde7,32'h3f94ecd7, 32'h3f7f4cde,32'h3f9c044f,// invsqrt(0.8144) = 1.1081 +32'h4076f20d,32'h3eff701f,32'h3f04ee98, 32'h3ef79e53,32'h3f08d77f, 32'h3eea95fe,32'h3f0f5ba9,// invsqrt(3.8585) = 0.5091 +32'h3d0820df,32'h40ac0565,32'h40b30ad7, 32'h40a6c150,32'h40b84eec, 32'h409dfa81,32'h40c115bb,// invsqrt(0.0332) = 5.4854 +32'h3f5290ea,32'h3f8a5008,32'h3f8ff542, 32'h3f86141d,32'h3f94312d, 32'h3f7e0b29,32'h3f9b3fb6,// invsqrt(0.8225) = 1.1026 +32'h3fd5e02c,32'h3f421593,32'h3f4a018e, 32'h3f3c2496,32'h3f4ff28a, 32'h3f323d9b,32'h3f59d985,// invsqrt(1.6709) = 0.7736 +32'h3eddeb5e,32'h3fbe88ce,32'h3fc64fb2, 32'h3fb8b3a3,32'h3fcc24dd, 32'h3faefb06,32'h3fd5dd7a,// invsqrt(0.4334) = 1.5189 +32'h3e01366d,32'h40309089,32'h4037c574, 32'h402b28d8,32'h403d2d24, 32'h402226b1,32'h40462f4b,// invsqrt(0.1262) = 2.8151 +32'h42c7b9ba,32'h3dc8d785,32'h3dd10a1d, 32'h3dc2b193,32'h3dd7300f, 32'h3db87256,32'h3de16f4c,// invsqrt(99.8627) = 0.1001 +32'h3eb9b3b6,32'h3fd0498b,32'h3fd8c9ef, 32'h3fc9e940,32'h3fdf2a3a, 32'h3fbf48c4,32'h3fe9cab6,// invsqrt(0.3627) = 1.6605 +32'h3f1564ec,32'h3fa434bf,32'h3faae888, 32'h3f9f2de8,32'h3fafef5e, 32'h3f96cd2c,32'h3fb8501a,// invsqrt(0.5836) = 1.3090 +32'h40892078,32'h3ef26324,32'h3efc47d8, 32'h3eeaf79e,32'h3f01d9af, 32'h3ede99be,32'h3f08089f,// invsqrt(4.2852) = 0.4831 +32'h3efe3405,32'h3fb2065a,32'h3fb94a87, 32'h3fac9337,32'h3fbebda9, 32'h3fa37dfe,32'h3fc7d2e2,// invsqrt(0.4965) = 1.4192 +32'h3f86615c,32'h3f74da0c,32'h3f7ed880, 32'h3f6d5b36,32'h3f832bab, 32'h3f60dd25,32'h3f896ab3,// invsqrt(1.0498) = 0.9760 +32'h3f646288,32'h3f84ceb3,32'h3f8a3a67, 32'h3f80bdec,32'h3f8e4b2e, 32'h3f73ee97,32'h3f9511ce,// invsqrt(0.8921) = 1.0587 +32'h3e6b01b8,32'h4002ec49,32'h4008444b, 32'h3ffdd48d,32'h400c464e, 32'h3ff07885,32'h4012f451,// invsqrt(0.2295) = 2.0874 +32'h3f803f5f,32'h3f7aa344,32'h3f826f16, 32'h3f72f715,32'h3f86452d, 32'h3f662d72,32'h3f8ca9ff,// invsqrt(1.0019) = 0.9990 +32'h3e246c1d,32'h401c85a8,32'h4022e926, 32'h4017bb08,32'h4027b3c6, 32'h400fbeaa,32'h402fb024,// invsqrt(0.1606) = 2.4956 +32'h3e97593a,32'h3fe6b7de,32'h3ff022a3, 32'h3fdfa7ca,32'h3ff732b6, 32'h3fd3e253,32'h40017c16,// invsqrt(0.2956) = 1.8393 +32'h405f50d1,32'h3f064e6a,32'h3f0bc9c8, 32'h3f0231e5,32'h3f0fe64d, 32'h3ef6af60,32'h3f16c082,// invsqrt(3.4893) = 0.5353 +32'h3fb859ae,32'h3f510cab,32'h3f599505, 32'h3f4aa667,32'h3f5ffb49, 32'h3f3ffbf6,32'h3f6aa5ba,// invsqrt(1.4402) = 0.8333 +32'h3edc8dfc,32'h3fbf1f7d,32'h3fc6ec87, 32'h3fb945b5,32'h3fccc64f, 32'h3faf8568,32'h3fd6869c,// invsqrt(0.4308) = 1.5236 +32'h3f919255,32'h3f6b406c,32'h3f74da90, 32'h3f640cd1,32'h3f7c0e2b, 32'h3f580c25,32'h3f84076c,// invsqrt(1.1373) = 0.9377 +32'h3ef9d308,32'h3fb39406,32'h3fbae86e, 32'h3fae14b7,32'h3fc067bd, 32'h3fa4eb34,32'h3fc99140,// invsqrt(0.4879) = 1.4316 +32'h402232a1,32'h3f1d9780,32'h3f24062c, 32'h3f18c47e,32'h3f28d92e, 32'h3f10ba27,32'h3f30e385,// invsqrt(2.5343) = 0.6282 +32'h4086a07c,32'h3ef4a09e,32'h3efe9cba, 32'h3eed238a,32'h3f030ce7, 32'h3ee0a868,32'h3f094a78,// invsqrt(4.2071) = 0.4875 +32'h40e9b8ce,32'h3eb9a949,32'h3ec13d42, 32'h3eb3fa4f,32'h3ec6ec3d, 32'h3eaa8159,32'h3ed06533,// invsqrt(7.3038) = 0.3700 +32'h4073c165,32'h3f008d50,32'h3f05cc8d, 32'h3ef93bc6,32'h3f09bbfb, 32'h3eec1dae,32'h3f104b07,// invsqrt(3.8087) = 0.5124 +32'h3ec78982,32'h3fc8efc8,32'h3fd1235e, 32'h3fc2c918,32'h3fd74a0e, 32'h3fb8889e,32'h3fe18a88,// invsqrt(0.3897) = 1.6019 +32'h4065dccc,32'h3f046140,32'h3f09c87c, 32'h3f0053d3,32'h3f0dd5e9, 32'h3ef32590,32'h3f1496f4,// invsqrt(3.5916) = 0.5277 +32'h3f5e3664,32'h3f86a3a9,32'h3f8c2281, 32'h3f828487,32'h3f9041a3, 32'h3f774bf3,32'h3f972031,// invsqrt(0.8680) = 1.0733 +32'h3fbab28f,32'h3f4fbb32,32'h3f5835c6, 32'h3f495f43,32'h3f5e91b5, 32'h3f3ec609,32'h3f692aef,// invsqrt(1.4586) = 0.8280 +32'h3f4e6759,32'h3f8bb346,32'h3f916700, 32'h3f876c7b,32'h3f95adcb, 32'h3f804bd3,32'h3f9cce73,// invsqrt(0.8063) = 1.1137 +32'h3f71694a,32'h3f812cb5,32'h3f867273, 32'h3f7a70cc,32'h3f8a66c2, 32'h3f6d4271,32'h3f90fdf0,// invsqrt(0.9430) = 1.0298 +32'h3ea5e1da,32'h3fdc6123,32'h3fe55fe1, 32'h3fd5a215,32'h3fec1eef, 32'h3fca63a8,32'h3ff75d5c,// invsqrt(0.3240) = 1.7569 +32'h3f2674f4,32'h3f9b9007,32'h3fa1e97f, 32'h3f96ccec,32'h3fa6ac9a, 32'h3f8edd16,32'h3fae9c70,// invsqrt(0.6502) = 1.2401 +32'h404de5dc,32'h3f0bdf2d,32'h3f1194b1, 32'h3f079709,32'h3f15dcd5, 32'h3f007424,32'h3f1cffba,// invsqrt(3.2172) = 0.5575 +32'h40bb90af,32'h3ecf400c,32'h3ed7b59a, 32'h3ec8e7e2,32'h3ede0dc4, 32'h3ebe54f1,32'h3ee8a0b5,// invsqrt(5.8614) = 0.4130 +32'h3f90ff9b,32'h3f6bb755,32'h3f755654, 32'h3f648017,32'h3f7c8d93, 32'h3f587959,32'h3f844a28,// invsqrt(1.1328) = 0.9396 +32'h3f2c97ed,32'h3f98c5a4,32'h3f9f01f4, 32'h3f941868,32'h3fa3af30, 32'h3f8c4d04,32'h3fab7a94,// invsqrt(0.6742) = 1.2179 +32'h3f54fde1,32'h3f8985d6,32'h3f8f22cf, 32'h3f85501b,32'h3f935889, 32'h3f7c97c7,32'h3f9a5cc1,// invsqrt(0.8320) = 1.0963 +32'h3fc4dd27,32'h3f4a4bdc,32'h3f528da7, 32'h3f441a85,32'h3f58beff, 32'h3f39c848,32'h3f63113c,// invsqrt(1.5380) = 0.8063 +32'h4125afe9,32'h3e9bec6b,32'h3ea249a9, 32'h3e97267d,32'h3ea70f97, 32'h3e8f31ef,32'h3eaf0425,// invsqrt(10.3554) = 0.3108 +32'h3fdaa89f,32'h3f3ff326,32'h3f47c8d4, 32'h3f3a12e4,32'h3f4da916, 32'h3f3047ca,32'h3f577430,// invsqrt(1.7083) = 0.7651 +32'h3fcae4b8,32'h3f47448c,32'h3f4f66b1, 32'h3f412af0,32'h3f55804c, 32'h3f370041,32'h3f5faafb,// invsqrt(1.5851) = 0.7943 +32'h3f9d39ca,32'h3f625d6e,32'h3f6b9ab6, 32'h3f5b6f78,32'h3f7288ac, 32'h3f4fe2dd,32'h3f7e1547,// invsqrt(1.2283) = 0.9023 +32'h3f20f0d3,32'h3f9e34bf,32'h3fa4a9d7, 32'h3f995cee,32'h3fa981a8, 32'h3f914a90,32'h3fb19406,// invsqrt(0.6287) = 1.2612 +32'h3ea73722,32'h3fdb7fcb,32'h3fe47556, 32'h3fd4c7a3,32'h3feb2d7f, 32'h3fc994b6,32'h3ff6606c,// invsqrt(0.3266) = 1.7498 +32'h3eb563f8,32'h3fd2bf86,32'h3fdb59a0, 32'h3fcc4bf2,32'h3fe1cd34, 32'h3fc18b51,32'h3fec8dd5,// invsqrt(0.3543) = 1.6801 +32'h3e03bb58,32'h402ede3f,32'h40360170, 32'h402983d9,32'h403b5bd5, 32'h402097db,32'h404447d3,// invsqrt(0.1286) = 2.7881 +32'h40b20e95,32'h3ed4b637,32'h3edd64d6, 32'h3ece3340,32'h3ee3e7ce, 32'h3ec358fa,32'h3eeec215,// invsqrt(5.5643) = 0.4239 +32'h3ebf983c,32'h3fcd0f2a,32'h3fd56dd2, 32'h3fc6c82b,32'h3fdbb4d1, 32'h3fbc51d8,32'h3fe62b24,// invsqrt(0.3742) = 1.6347 +32'h3fe158a9,32'h3f3d1480,32'h3f44cc32, 32'h3f374abb,32'h3f4a95f7, 32'h3f2da51d,32'h3f543b95,// invsqrt(1.7605) = 0.7537 +32'h40989cad,32'h3ee5c2dd,32'h3eef23a3, 32'h3edeba4a,32'h3ef62c36, 32'h3ed30153,32'h3f00f296,// invsqrt(4.7691) = 0.4579 +32'h3fddbbb5,32'h3f3e9d47,32'h3f466501, 32'h3f38c77c,32'h3f4c3acc, 32'h3f2f0dd4,32'h3f55f474,// invsqrt(1.7323) = 0.7598 +32'h3eb59090,32'h3fd2a5a3,32'h3fdb3eaf, 32'h3fcc32da,32'h3fe1b178, 32'h3fc1738b,32'h3fec70c7,// invsqrt(0.3546) = 1.6793 +32'h3f27e95f,32'h3f9ae323,32'h3fa1358d, 32'h3f962554,32'h3fa5f35c, 32'h3f8e3e4f,32'h3fadda61,// invsqrt(0.6559) = 1.2348 +32'h4022807d,32'h3f1d71ba,32'h3f23dedc, 32'h3f189fe1,32'h3f28b0b5, 32'h3f109777,32'h3f30b91f,// invsqrt(2.5391) = 0.6276 +32'h3f5cc4da,32'h3f871429,32'h3f8c9799, 32'h3f82f196,32'h3f90ba2c, 32'h3f781a95,32'h3f979e78,// invsqrt(0.8624) = 1.0768 +32'h3d8294a7,32'h40786378,32'h40814370, 32'h4070c8ea,32'h408510b7, 32'h40641ca8,32'h408b66d8,// invsqrt(0.0638) = 3.9603 +32'h3f409088,32'h3f90a216,32'h3f96895a, 32'h3f8c34a2,32'h3f9af6ce, 32'h3f84d38c,32'h3fa257e4,// invsqrt(0.7522) = 1.1530 +32'h41f67089,32'h3e34cea6,32'h3e3c2fe7, 32'h3e2f45b7,32'h3e41b8d7, 32'h3e260c26,32'h3e4af268,// invsqrt(30.8049) = 0.1802 +32'h40c5dc50,32'h3ec9c942,32'h3ed205b7, 32'h3ec39be9,32'h3ed8330f, 32'h3eb95056,32'h3ee27ea2,// invsqrt(6.1831) = 0.4022 +32'h40530539,32'h3f0a29e5,32'h3f0fcd91, 32'h3f05ef25,32'h3f140851, 32'h3efdc51d,32'h3f1b14e7,// invsqrt(3.2972) = 0.5507 +32'h3f707f14,32'h3f816b8c,32'h3f86b3da, 32'h3f7aeaa1,32'h3f8aaa16, 32'h3f6db5dc,32'h3f914478,// invsqrt(0.9394) = 1.0317 +32'h3fae174a,32'h3f571efd,32'h3f5fe6c9, 32'h3f508924,32'h3f667ca2, 32'h3f458f66,32'h3f717660,// invsqrt(1.3601) = 0.8575 +32'h3d9fee79,32'h40607122,32'h40699a52, 32'h4059923e,32'h40707936, 32'h404e1ec1,32'h407becb3,// invsqrt(0.0781) = 3.5785 +32'h40377e65,32'h3f142a39,32'h3f1a3665, 32'h3f0fa118,32'h3f1ebf86, 32'h3f0811e1,32'h3f264ebd,// invsqrt(2.8671) = 0.5906 +32'h405a554e,32'h3f07d483,32'h3f0d5fcd, 32'h3f03ac0c,32'h3f118844, 32'h3ef97be2,32'h3f18765f,// invsqrt(3.4115) = 0.5414 +32'h3eef7414,32'h3fb76d03,32'h3fbee9a0, 32'h3fb1cf8e,32'h3fc48716, 32'h3fa873ca,32'h3fcde2da,// invsqrt(0.4677) = 1.4623 +32'h406922df,32'h3f037278,32'h3f08cff6, 32'h3efed8b5,32'h3f0cd613, 32'h3ef16efd,32'h3f138af0,// invsqrt(3.6428) = 0.5239 +32'h41d23586,32'h3e43c506,32'h3e4bc29e, 32'h3e3dc6d4,32'h3e51c0d0, 32'h3e33c9d7,32'h3e5bbdcd,// invsqrt(26.2761) = 0.1951 +32'h3f0cfd25,32'h3fa907aa,32'h3fafeddb, 32'h3fa3db06,32'h3fb51a80, 32'h3f9b3b49,32'h3fbdba3d,// invsqrt(0.5507) = 1.3475 +32'h3edd426c,32'h3fbed17e,32'h3fc69b5a, 32'h3fb8fa1a,32'h3fcc72be, 32'h3faf3dc8,32'h3fd62f10,// invsqrt(0.4321) = 1.5212 +32'h3f7c5853,32'h3f7cb0bb,32'h3f83808b, 32'h3f74f476,32'h3f875ead, 32'h3f681004,32'h3f8dd0e6,// invsqrt(0.9857) = 1.0072 +32'h3ea134bc,32'h3fdf8d8f,32'h3fe8ad75, 32'h3fd8b5a2,32'h3fef8562, 32'h3fcd4dc2,32'h3ffaed42,// invsqrt(0.3149) = 1.7822 +32'h3ef23c39,32'h3fb65e9c,32'h3fbdd02f, 32'h3fb0c96d,32'h3fc3655d, 32'h3fa77b74,32'h3fccb356,// invsqrt(0.4731) = 1.4538 +32'h40b00e2f,32'h3ed5eae2,32'h3edea61a, 32'h3ecf5e78,32'h3ee53284, 32'h3ec47471,32'h3ef01c8b,// invsqrt(5.5017) = 0.4263 +32'h3f241d85,32'h3f9cab1e,32'h3fa31024, 32'h3f97df59,32'h3fa7dbe9, 32'h3f8fe111,32'h3fafda31,// invsqrt(0.6411) = 1.2490 +32'h3f729147,32'h3f80ddcd,32'h3f862053, 32'h3f79d7d2,32'h3f8a1237, 32'h3f6cb183,32'h3f90a55e,// invsqrt(0.9475) = 1.0273 +32'h409fc7d4,32'h3ee08c44,32'h3ee9b690, 32'h3ed9ac8b,32'h3ef09649, 32'h3ece37ac,32'h3efc0b28,// invsqrt(4.9931) = 0.4475 +32'h40c8d574,32'h3ec84975,32'h3ed07640, 32'h3ec227dc,32'h3ed697d8, 32'h3eb7efde,32'h3ee0cfd6,// invsqrt(6.2761) = 0.3992 +32'h3ed65309,32'h3fc1e18a,32'h3fc9cb65, 32'h3fbbf224,32'h3fcfbaca, 32'h3fb20dd2,32'h3fd99f1d,// invsqrt(0.4186) = 1.5456 +32'h3f66a588,32'h3f842798,32'h3f898c7a, 32'h3f801bef,32'h3f8d9823, 32'h3f72bbaa,32'h3f94563d,// invsqrt(0.9010) = 1.0535 +32'h3e0afc5d,32'h402a3e5d,32'h4031313d, 32'h40250836,32'h40366764, 32'h401c589f,32'h403f16fb,// invsqrt(0.1357) = 2.7143 +32'h401f46ae,32'h3f1f07d6,32'h3f25858c, 32'h3f1a298f,32'h3f2a63d3, 32'h3f120c6c,32'h3f3280f6,// invsqrt(2.4887) = 0.6339 +32'h3e48b3c2,32'h400dabb2,32'h40137402, 32'h40095576,32'h4017ca3e, 32'h40021b11,32'h401f04a3,// invsqrt(0.1960) = 2.2588 +32'h3df852df,32'h40341eb8,32'h403b78ca, 32'h402e9b2b,32'h4040fc57, 32'h40256a94,32'h404a2cee,// invsqrt(0.1213) = 2.8718 +32'h3fb5838d,32'h3f52ad30,32'h3f5b468a, 32'h3f4c3a2c,32'h3f61b98e, 32'h3f417a7a,32'h3f6c7940,// invsqrt(1.4181) = 0.8398 +32'h3f94d341,32'h3f68aa7a,32'h3f722999, 32'h3f618b22,32'h3f7948f0, 32'h3f55ac3b,32'h3f8293eb,// invsqrt(1.1627) = 0.9274 +32'h3f1c7aaa,32'h3fa07212,32'h3fa6fe90, 32'h3f9b88b3,32'h3fabe7ef, 32'h3f935916,32'h3fb4178c,// invsqrt(0.6112) = 1.2791 +32'h3efdf2ba,32'h3fb21d3b,32'h3fb96257, 32'h3faca965,32'h3fbed62d, 32'h3fa39302,32'h3fc7ec90,// invsqrt(0.4960) = 1.4199 +32'h3d2bb65d,32'h409929da,32'h409f6a41, 32'h4094798d,32'h40a41a8f, 32'h408ca90d,32'h40abeb0f,// invsqrt(0.0419) = 4.8840 +32'h3d7882f3,32'h407ea1c2,32'h40848333, 32'h4076d646,32'h408868f1, 32'h4069d878,32'h408ee7d8,// invsqrt(0.0607) = 4.0598 +32'h400719c5,32'h3f2cac94,32'h3f33b8d8, 32'h3f276360,32'h3f39020c, 32'h3f1e940a,32'h3f41d162,// invsqrt(2.1109) = 0.6883 +32'h4038f576,32'h3f1393b2,32'h3f1999ba, 32'h3f0f0f2d,32'h3f1e1e3f, 32'h3f0787a4,32'h3f25a5c8,// invsqrt(2.8900) = 0.5882 +32'h3f2f477f,32'h3f9798d8,32'h3f9dc8e0, 32'h3f92f4d1,32'h3fa26ce7, 32'h3f8b38c6,32'h3faa28f2,// invsqrt(0.6847) = 1.2085 +32'h3f3ab2dc,32'h3f92e340,32'h3f98e214, 32'h3f8e6422,32'h3f9d6132, 32'h3f86e599,32'h3fa4dfbb,// invsqrt(0.7293) = 1.1710 +32'h3f3cd4d0,32'h3f920e4e,32'h3f980470, 32'h3f8d95b4,32'h3f9c7d0a, 32'h3f862209,32'h3fa3f0b5,// invsqrt(0.7376) = 1.1643 +32'h3f002ffe,32'h3fb144e9,32'h3fb88131, 32'h3fabd7b3,32'h3fbdee67, 32'h3fa2cc58,32'h3fc6f9c2,// invsqrt(0.5007) = 1.4132 +32'h402a2e04,32'h3f19da04,32'h3f20219c, 32'h3f152452,32'h3f24d74e, 32'h3f0d4ad5,32'h3f2cb0cb,// invsqrt(2.6591) = 0.6132 +32'h4027cd70,32'h3f1af007,32'h3f2142f7, 32'h3f1631d2,32'h3f26012c, 32'h3f0e4a26,32'h3f2de8d8,// invsqrt(2.6219) = 0.6176 +32'h4150b3f0,32'h3e8aedbc,32'h3e909966, 32'h3e86acfd,32'h3e94da25, 32'h3e7f2cd2,32'h3e9bf0b9,// invsqrt(13.0439) = 0.2769 +32'h4212e722,32'h3e2597b4,32'h3e2c59fa, 32'h3e2085ff,32'h3e316baf, 32'h3e181328,32'h3e39de86,// invsqrt(36.7257) = 0.1650 +32'h3f2b0ea2,32'h3f9974e0,32'h3f9fb856, 32'h3f94c246,32'h3fa46af0, 32'h3f8cedf2,32'h3fac3f44,// invsqrt(0.6682) = 1.2233 +32'h40428000,32'h3f0fe968,32'h3f15c923, 32'h3f0b819b,32'h3f1a30ef, 32'h3f0429f1,32'h3f218899,// invsqrt(3.0391) = 0.5736 +32'h405e0fac,32'h3f06af65,32'h3f0c2eb7, 32'h3f028fe7,32'h3f104e35, 32'h3ef76180,32'h3f172d5c,// invsqrt(3.4697) = 0.5369 +32'h3f6ad14e,32'h3f82f9c7,32'h3f885257, 32'h3f7deeb6,32'h3f8c54c3, 32'h3f70914e,32'h3f930377,// invsqrt(0.9173) = 1.0441 +32'h3f40a0fb,32'h3f909be9,32'h3f9682ed, 32'h3f8c2ea6,32'h3f9af030, 32'h3f84cde0,32'h3fa250f6,// invsqrt(0.7525) = 1.1528 +32'h3eed2d57,32'h3fb84dd0,32'h3fbfd399, 32'h3fb2a978,32'h3fc577f0, 32'h3fa9423c,32'h3fcedf2c,// invsqrt(0.4632) = 1.4693 +32'h40541924,32'h3f09cfea,32'h3f0f6fe9, 32'h3f0597ea,32'h3f13a7e8, 32'h3efd1fd7,32'h3f1aafe7,// invsqrt(3.3140) = 0.5493 +32'h4048e416,32'h3f0d9aa6,32'h3f136244, 32'h3f0944ef,32'h3f17b7fb, 32'h3f020b69,32'h3f1ef181,// invsqrt(3.1389) = 0.5644 +32'h3fd1ba67,32'h3f43fe74,32'h3f4bfe64, 32'h3f3dfe80,32'h3f51fe58, 32'h3f33fe94,32'h3f5bfe44,// invsqrt(1.6385) = 0.7812 +32'h3f4a6060,32'h3f8d155c,32'h3f92d78a, 32'h3f88c3ba,32'h3f97292c, 32'h3f819101,32'h3f9e5be5,// invsqrt(0.7905) = 1.1247 +32'h401049dd,32'h3f271606,32'h3f2de7e6, 32'h3f21f89d,32'h3f33054f, 32'h3f197244,32'h3f3b8ba8,// invsqrt(2.2545) = 0.6660 +32'h3e89880f,32'h3ff207ca,32'h3ffbe8c2, 32'h3fea9f0f,32'h4001a8be, 32'h3fde45d9,32'h4007d55a,// invsqrt(0.2686) = 1.9295 +32'h3f537091,32'h3f8a06ce,32'h3f8fa90b, 32'h3f85cd21,32'h3f93e2b9, 32'h3f7d84ab,32'h3f9aed85,// invsqrt(0.8259) = 1.1003 +32'h3f0b6c45,32'h3fa9f9fd,32'h3fb0ea11, 32'h3fa4c5ed,32'h3fb61e21, 32'h3f9c19d3,32'h3fbeca3b,// invsqrt(0.5446) = 1.3550 +32'h3f29efaa,32'h3f99f63b,32'h3fa03ef9, 32'h3f953fac,32'h3fa4f588, 32'h3f8d64be,32'h3facd076,// invsqrt(0.6638) = 1.2274 +32'h3e3d49c3,32'h4011e128,32'h4017d573, 32'h400d69f0,32'h401c4cac, 32'h4005f893,32'h4023be09,// invsqrt(0.1849) = 2.3259 +32'h3fda37df,32'h3f4024b7,32'h3f47fc6a, 32'h3f3a42ef,32'h3f4dde31, 32'h3f30754e,32'h3f57abd2,// invsqrt(1.7048) = 0.7659 +32'h3c21bf72,32'h411dcf92,32'h41244088, 32'h4118fad9,32'h41291541, 32'h4110eda5,32'h41312275,// invsqrt(0.0099) = 10.0645 +32'h3ec81160,32'h3fc8ab82,32'h3fd0dc4e, 32'h3fc286e9,32'h3fd700e7, 32'h3fb849ea,32'h3fe13de6,// invsqrt(0.3908) = 1.5997 +32'h3d5b2a79,32'h40879265,32'h408d1afb, 32'h40836bf4,32'h4091416c, 32'h40790270,32'h40982c28,// invsqrt(0.0535) = 4.3231 +32'h3eb9a52e,32'h3fd051b2,32'h3fd8d26a, 32'h3fc9f127,32'h3fdf32f5, 32'h3fbf5040,32'h3fe9d3dc,// invsqrt(0.3626) = 1.6607 +32'h3ce0d9f6,32'h40bd49be,32'h40c5039c, 32'h40b77e58,32'h40cacf02, 32'h40add602,32'h40d47758,// invsqrt(0.0274) = 6.0360 +32'h3febdb7d,32'h3f38d1a1,32'h3f405ccd, 32'h3f332941,32'h3f46052d, 32'h3f29bb4b,32'h3f4f7323,// invsqrt(1.8426) = 0.7367 +32'h3f1f2dab,32'h3f9f1454,32'h3fa5928c, 32'h3f9a35aa,32'h3faa7136, 32'h3f9217e5,32'h3fb28efb,// invsqrt(0.6218) = 1.2682 +32'h3f94009b,32'h3f694fd2,32'h3f72d5b0, 32'h3f622b6b,32'h3f79fa17, 32'h3f564414,32'h3f82f0b7,// invsqrt(1.1563) = 0.9300 +32'h3e3e25e4,32'h40118c9f,32'h40177d77, 32'h400d17fe,32'h401bf218, 32'h4005aaf0,32'h40235f26,// invsqrt(0.1857) = 2.3206 +32'h3f89eae7,32'h3f71b100,32'h3f7b8e6e, 32'h3f6a4aee,32'h3f817a40, 32'h3f5df625,32'h3f87a4a5,// invsqrt(1.0775) = 0.9634 +32'h3d2e27be,32'h409815e2,32'h409e4b06, 32'h40936e08,32'h40a2f2e0, 32'h408bab9c,32'h40aab54c,// invsqrt(0.0425) = 4.8497 +32'h3ef39421,32'h3fb5ddb0,32'h3fbd4a00, 32'h3fb04c74,32'h3fc2db3c, 32'h3fa7050f,32'h3fcc22a1,// invsqrt(0.4757) = 1.4498 +32'h3f37df5e,32'h3f940322,32'h3f9a0db5, 32'h3f8f7b32,32'h3f9e95a4, 32'h3f87edfa,32'h3fa622dc,// invsqrt(0.7183) = 1.1799 +32'h3e743b13,32'h40006d46,32'h4005ab34, 32'h3ff8fda7,32'h400999a6, 32'h3febe2d4,32'h40102710,// invsqrt(0.2385) = 2.0476 +32'h40046309,32'h3f2e6f5b,32'h3f358e06, 32'h3f29185b,32'h3f3ae507, 32'h3f203206,32'h3f43cb5c,// invsqrt(2.0685) = 0.6953 +32'h3fed1313,32'h3f385805,32'h3f3fde39, 32'h3f32b35d,32'h3f4582e1, 32'h3f294b9c,32'h3f4eeaa2,// invsqrt(1.8521) = 0.7348 +32'h3fcd3be1,32'h3f4620d7,32'h3f4e3715, 32'h3f40102a,32'h3f5447c2, 32'h3f35f45d,32'h3f5e638f,// invsqrt(1.6034) = 0.7897 +32'h3ffc8b6f,32'h3f329bc1,32'h3f39e608, 32'h3f2d240d,32'h3f3f5dbd, 32'h3f240734,32'h3f487a96,// invsqrt(1.9730) = 0.7119 +32'h4004f758,32'h3f2e0df8,32'h3f3528aa, 32'h3f28b9f3,32'h3f3a7caf, 32'h3f1fd896,32'h3f435e0c,// invsqrt(2.0776) = 0.6938 +32'h3e35e65a,32'h4014d00b,32'h401ae2fb, 32'h401041d6,32'h401f7130, 32'h4008aa29,32'h402708dd,// invsqrt(0.1776) = 2.3727 +32'h3b94bbf4,32'h4168bcb3,32'h41723c90, 32'h41619ccc,32'h41795c76, 32'h4155bcf7,32'h41829e25,// invsqrt(0.0045) = 14.8429 +32'h3f337c93,32'h3f95cf4a,32'h3f9beca6, 32'h3f913945,32'h3fa082ab, 32'h3f899492,32'h3fa8275e,// invsqrt(0.7011) = 1.1943 +32'h3f796477,32'h3f7e2e88,32'h3f84473c, 32'h3f766693,32'h3f882b36, 32'h3f696ea6,32'h3f8ea72d,// invsqrt(0.9742) = 1.0132 +32'h3f06918e,32'h3fad03e3,32'h3fb413b8, 32'h3fa7b803,32'h3fb95f97, 32'h3f9ee438,32'h3fc23362,// invsqrt(0.5257) = 1.3793 +32'h4044671c,32'h3f0f3682,32'h3f150ef0, 32'h3f0ad430,32'h3f197142, 32'h3f0385a6,32'h3f20bfcc,// invsqrt(3.0688) = 0.5708 +32'h3f77566a,32'h3f7f3c46,32'h3f84d39d, 32'h3f776c10,32'h3f88bbb8, 32'h3f6a6660,32'h3f8f3e90,// invsqrt(0.9662) = 1.0174 +32'h3f07bd64,32'h3fac4463,32'h3fb34c67, 32'h3fa6fe60,32'h3fb8926a, 32'h3f9e345b,32'h3fc15c6f,// invsqrt(0.5302) = 1.3733 +32'h4032d99c,32'h3f16137b,32'h3f1c339f, 32'h3f117b60,32'h3f20cbba, 32'h3f09d332,32'h3f2873e8,// invsqrt(2.7945) = 0.5982 +32'h3e832fd3,32'h3ff7d066,32'h4000f6e7, 32'h3ff03a59,32'h4004c1ee, 32'h3fe39597,32'h400b144e,// invsqrt(0.2562) = 1.9756 +32'h3f1ac4e7,32'h3fa1545b,32'h3fa7ea15, 32'h3f9c640f,32'h3facda61, 32'h3f9428e6,32'h3fb5158a,// invsqrt(0.6046) = 1.2861 +32'h3fce8232,32'h3f458410,32'h3f4d93e6, 32'h3f3f782e,32'h3f539fc8, 32'h3f356462,32'h3f5db394,// invsqrt(1.6133) = 0.7873 +32'h3fb0818c,32'h3f55a4ee,32'h3f5e5d4c, 32'h3f4f1aa8,32'h3f64e792, 32'h3f443434,32'h3f6fce06,// invsqrt(1.3790) = 0.8516 +32'h3f785c10,32'h3f7eb5b1,32'h3f848d93, 32'h3f76e99a,32'h3f88739f, 32'h3f69eac8,32'h3f8ef308,// invsqrt(0.9702) = 1.0153 +32'h3f7b0d24,32'h3f7d5730,32'h3f83d72c, 32'h3f7595d4,32'h3f87b7da, 32'h3f68a8e4,32'h3f8e2e52,// invsqrt(0.9807) = 1.0098 +32'h3e597288,32'h40081b45,32'h400da971, 32'h4003f0a3,32'h4011d413, 32'h3ff9fdd7,32'h4018c5ca,// invsqrt(0.2124) = 2.1701 +32'h401b2978,32'h3f21200a,32'h3f27b3a2, 32'h3f1c3158,32'h3f2ca254, 32'h3f13f8da,32'h3f34dad2,// invsqrt(2.4244) = 0.6422 +32'h3e98c09d,32'h3fe5a7d4,32'h3fef077f, 32'h3fdea015,32'h3ff60f3f, 32'h3fd2e87f,32'h4000e36a,// invsqrt(0.2983) = 1.8308 +32'h3f250723,32'h3f9c3c12,32'h3fa29c90, 32'h3f9773b3,32'h3fa764ef, 32'h3f8f7b16,32'h3faf5d8c,// invsqrt(0.6446) = 1.2455 +32'h3f009766,32'h3fb0fd94,32'h3fb836f3, 32'h3fab928d,32'h3fbda1fb, 32'h3fa28ad7,32'h3fc6a9b1,// invsqrt(0.5023) = 1.4110 +32'h3ff9616f,32'h3f33bce8,32'h3f3b12fc, 32'h3f2e3c59,32'h3f40938b, 32'h3f2510c0,32'h3f49bf24,// invsqrt(1.9483) = 0.7164 +32'h3e94aa95,32'h3fe8ca4b,32'h3ff24ab7, 32'h3fe1a9fb,32'h3ff96b07, 32'h3fd5c974,32'h4002a5c7,// invsqrt(0.2904) = 1.8558 +32'h3f96f95b,32'h3f670113,32'h3f706ed5, 32'h3f5feec1,32'h3f778127, 32'h3f54258f,32'h3f81a52d,// invsqrt(1.1795) = 0.9208 +32'h3f323cf4,32'h3f965560,32'h3f9c7834, 32'h3f91bb40,32'h3fa11254, 32'h3f8a0fb6,32'h3fa8bdde,// invsqrt(0.6962) = 1.1984 +32'h3eca1a2e,32'h3fc7a84c,32'h3fcfce84, 32'h3fc18ba3,32'h3fd5eb2d, 32'h3fb75bde,32'h3fe01af2,// invsqrt(0.3947) = 1.5917 +32'h4074466c,32'h3f006a4b,32'h3f05a819, 32'h3ef8f7df,32'h3f099674, 32'h3eebdd5a,32'h3f1023b7,// invsqrt(3.8168) = 0.5119 +32'h414dc388,32'h3e8bead7,32'h3e91a0d5, 32'h3e87a258,32'h3e95e954, 32'h3e807eda,32'h3e9d0cd2,// invsqrt(12.8602) = 0.2789 +32'h3f5fdf3f,32'h3f8623aa,32'h3f8b9d48, 32'h3f820873,32'h3f8fb87f, 32'h3f7660da,32'h3f969085,// invsqrt(0.8745) = 1.0694 +32'h3fb065a0,32'h3f55b5d6,32'h3f5e6ee4, 32'h3f4f2b0c,32'h3f64f9ae, 32'h3f4443ba,32'h3f6fe100,// invsqrt(1.3781) = 0.8518 +32'h3f2faf19,32'h3f976c1e,32'h3f9d9a54, 32'h3f92c976,32'h3fa23cfc, 32'h3f8b0fb3,32'h3fa9f6bf,// invsqrt(0.6863) = 1.2071 +32'h3f374b18,32'h3f943ef3,32'h3f9a4bf8, 32'h3f8fb530,32'h3f9ed5bc, 32'h3f8824ea,32'h3fa66602,// invsqrt(0.7160) = 1.1818 +32'h401c654c,32'h3f207d08,32'h3f2709f8, 32'h3f1b9353,32'h3f2bf3ad, 32'h3f136326,32'h3f3423da,// invsqrt(2.4437) = 0.6397 +32'h425a25e3,32'h3e07e346,32'h3e0d6f2a, 32'h3e03ba5c,32'h3e119814, 32'h3df996fe,32'h3e1886f1,// invsqrt(54.5370) = 0.1354 +32'h3f89904a,32'h3f72008d,32'h3f7be139, 32'h3f6a980b,32'h3f81a4de, 32'h3f5e3f33,32'h3f87d14a,// invsqrt(1.0747) = 0.9646 +32'h3de79baf,32'h403a81ae,32'h40421e7c, 32'h4034cc14,32'h4047d416, 32'h402b4813,32'h40515817,// invsqrt(0.1131) = 2.9736 +32'h406ba31a,32'h3f02bf6c,32'h3f08159a, 32'h3efd7d92,32'h3f0c163d, 32'h3ef0261f,32'h3f12c1f6,// invsqrt(3.6818) = 0.5212 +32'h3ff31566,32'h3f360d12,32'h3f3d7b52, 32'h3f307a63,32'h3f430e01, 32'h3f273093,32'h3f4c57d1,// invsqrt(1.8991) = 0.7256 +32'h4093c250,32'h3ee980fb,32'h3ef308db, 32'h3ee25b12,32'h3efa2ec4, 32'h3ed6713a,32'h3f030c4e,// invsqrt(4.6175) = 0.4654 +32'h3f1ff177,32'h3f9eb2d8,32'h3fa52d15, 32'h3f99d72a,32'h3faa08c2, 32'h3f91be5d,32'h3fb2218f,// invsqrt(0.6248) = 1.2651 +32'h3f8e5837,32'h3f6de741,32'h3f779d1a, 32'h3f669edf,32'h3f7ee57d, 32'h3f5a7b90,32'h3f858466,// invsqrt(1.1121) = 0.9483 +32'h3f9a9c45,32'h3f64457f,32'h3f6d96b3, 32'h3f5d4898,32'h3f74939a, 32'h3f51a316,32'h3f801c8e,// invsqrt(1.2079) = 0.9099 +32'h3f829bcf,32'h3f785caa,32'h3f813fe5, 32'h3f70c251,32'h3f850d12, 32'h3f641667,32'h3f8b6306,// invsqrt(1.0204) = 0.9900 +32'h40164500,32'h3f23ba23,32'h3f2a68eb, 32'h3f1eb70d,32'h3f2f6c01, 32'h3f165c93,32'h3f37c67b,// invsqrt(2.3480) = 0.6526 +32'h40966281,32'h3ee774d3,32'h3ef0e74e, 32'h3ee05ef6,32'h3ef7fd2a, 32'h3ed48fdb,32'h3f01e622,// invsqrt(4.6995) = 0.4613 +32'h3f85df87,32'h3f7550aa,32'h3f7f53f5, 32'h3f6dce32,32'h3f836b37, 32'h3f614a15,32'h3f89ad46,// invsqrt(1.0459) = 0.9778 +32'h3c8b8e6e,32'h40f044a5,32'h40fa1334, 32'h40e8e9bb,32'h4100b710, 32'h40dca788,32'h4106d829,// invsqrt(0.0170) = 7.6616 +32'h3d885a74,32'h407312e4,32'h407cfec4, 32'h406ba1fd,32'h408237d6, 32'h405f3b25,32'h40886b41,// invsqrt(0.0666) = 3.8755 +32'h3fd31c6c,32'h3f4359d9,32'h3f4b5311, 32'h3f3d5eef,32'h3f514dfb, 32'h3f336769,32'h3f5b4581,// invsqrt(1.6493) = 0.7787 +32'h40269f5e,32'h3f1b7c39,32'h3f21d4e2, 32'h3f16b9b9,32'h3f269761, 32'h3f0ecae5,32'h3f2e8635,// invsqrt(2.6035) = 0.6198 +32'h3faff3d2,32'h3f55fae8,32'h3f5eb6c8, 32'h3f4f6e00,32'h3f6543b0, 32'h3f448329,32'h3f702e87,// invsqrt(1.3746) = 0.8529 +32'h40905f56,32'h3eec3a07,32'h3ef5de5b, 32'h3ee4fec8,32'h3efd199a, 32'h3ed8f15f,32'h3f049381,// invsqrt(4.5116) = 0.4708 +32'h3f8fa186,32'h3f6cd5eb,32'h3f76809b, 32'h3f6595e6,32'h3f7dc0a0, 32'h3f598089,32'h3f84eafe,// invsqrt(1.1221) = 0.9440 +32'h414ca504,32'h3e8c4ca7,32'h3e9206a3, 32'h3e88012a,32'h3e965220, 32'h3e80d8ae,32'h3e9d7a9c,// invsqrt(12.7903) = 0.2796 +32'h3f98925a,32'h3f65caa3,32'h3f6f2bb9, 32'h3f5ec1d2,32'h3f76348a, 32'h3f530876,32'h3f80f6f3,// invsqrt(1.1920) = 0.9159 +32'h4007cd03,32'h3f2c3a7a,32'h3f334216, 32'h3f26f4c4,32'h3f3887cc, 32'h3f1e2b41,32'h3f41514f,// invsqrt(2.1219) = 0.6865 +32'h3f59e907,32'h3f87f63e,32'h3f8d82e8, 32'h3f83ccbf,32'h3f91ac67, 32'h3f79b9d5,32'h3f989c3b,// invsqrt(0.8512) = 1.0839 +32'h3f80f862,32'h3f79ef3d,32'h3f821166, 32'h3f724892,32'h3f85e4bc, 32'h3f65881e,32'h3f8c44f6,// invsqrt(1.0076) = 0.9962 +32'h3f807238,32'h3f7a71a3,32'h3f825543, 32'h3f72c6f9,32'h3f862a97, 32'h3f65ffdf,32'h3f8c8e25,// invsqrt(1.0035) = 0.9983 +32'h400e8746,32'h3f281d53,32'h3f2ef9f3, 32'h3f22f7db,32'h3f341f6b, 32'h3f1a6413,32'h3f3cb333,// invsqrt(2.2270) = 0.6701 +32'h3ea98990,32'h3fd9fdaa,32'h3fe2e372, 32'h3fd35154,32'h3fe98fc8, 32'h3fc83219,32'h3ff4af03,// invsqrt(0.3311) = 1.7378 +32'h40dece8d,32'h3ebe2792,32'h3ec5ea7e, 32'h3eb85561,32'h3ecbbcaf, 32'h3eaea1ba,32'h3ed57056,// invsqrt(6.9627) = 0.3790 +32'h413d9709,32'h3e91c36b,32'h3e97b67f, 32'h3e8d4d1c,32'h3e9c2cce, 32'h3e85dd43,32'h3ea39ca7,// invsqrt(11.8494) = 0.2905 +32'h3fdb0c58,32'h3f3fc770,32'h3f479b55, 32'h3f39e884,32'h3f4d7a40, 32'h3f301fa5,32'h3f57431f,// invsqrt(1.7113) = 0.7644 +32'h40b40f5d,32'h3ed3867c,32'h3edc28b6, 32'h3ecd0cd1,32'h3ee2a261, 32'h3ec2420a,32'h3eed6d28,// invsqrt(5.6269) = 0.4216 +32'h408b45d1,32'h3ef08340,32'h3efa545c, 32'h3ee9266a,32'h3f00d899, 32'h3edce106,32'h3f06fb4b,// invsqrt(4.3523) = 0.4793 +32'h3f8dfdd6,32'h3f6e32ec,32'h3f77ebdc, 32'h3f66e839,32'h3f7f368f, 32'h3f5ac10d,32'h3f85aedd,// invsqrt(1.1093) = 0.9495 +32'h3ff7114f,32'h3f3493c9,32'h3f3bf2a2, 32'h3f2f0ca6,32'h3f4179c4, 32'h3f25d616,32'h3f4ab054,// invsqrt(1.9302) = 0.7198 +32'h3f9e90bd,32'h3f61681e,32'h3f6a9b64, 32'h3f5a81ab,32'h3f7181d7, 32'h3f4f0194,32'h3f7d01ee,// invsqrt(1.2388) = 0.8985 +32'h40d3d54e,32'h3ec30487,32'h3ecafa43, 32'h3ebd0c3a,32'h3ed0f290, 32'h3eb3190e,32'h3edae5bc,// invsqrt(6.6198) = 0.3887 +32'h3f8a29a5,32'h3f717a19,32'h3f7b5549, 32'h3f6a15b5,32'h3f815cd7, 32'h3f5dc3b9,32'h3f8785d5,// invsqrt(1.0794) = 0.9625 +32'h3f0a9b58,32'h3faa79e8,32'h3fb16f36, 32'h3fa541ee,32'h3fb6a730, 32'h3f9c8f4e,32'h3fbf59d1,// invsqrt(0.5414) = 1.3590 +32'h3fc1e0e7,32'h3f4bd90e,32'h3f542b0e, 32'h3f459b8d,32'h3f5a688f, 32'h3f3b350d,32'h3f64cf0f,// invsqrt(1.5147) = 0.8125 +32'h3dbee992,32'h404d6ce2,32'h4055cf5e, 32'h40472305,32'h405c193b, 32'h403ca7ea,32'h40669456,// invsqrt(0.0932) = 3.2753 +32'h4023a9a2,32'h3f1ce28c,32'h3f2349d6, 32'h3f181515,32'h3f28174d, 32'h3f1013f9,32'h3f301869,// invsqrt(2.5572) = 0.6253 +32'h3fdeb66c,32'h3f3e31df,32'h3f45f536, 32'h3f385f5d,32'h3f4bc7b7, 32'h3f2eab2f,32'h3f557be5,// invsqrt(1.7399) = 0.7581 +32'h3e7e3aef,32'h3ffbc071,32'h4003037e, 32'h3ff40b86,32'h4006ddf3, 32'h3fe73357,32'h400d4a0a,// invsqrt(0.2483) = 2.0069 +32'h4006d4d3,32'h3f2cd8b4,32'h3f33e6c6, 32'h3f278e27,32'h3f393153, 32'h3f1ebc90,32'h3f4202ea,// invsqrt(2.1067) = 0.6890 +32'h3d9122e1,32'h406b9aaf,32'h40753881, 32'h40646450,32'h407c6ee0, 32'h40585f09,32'h40843a14,// invsqrt(0.0709) = 3.7564 +32'h3e9436df,32'h3fe92518,32'h3ff2a938, 32'h3fe20200,32'h3ff9cc50, 32'h3fd61cd7,32'h4002d8bc,// invsqrt(0.2895) = 1.8586 +32'h420ea955,32'h3e280941,32'h3e2ee50f, 32'h3e22e466,32'h3e3409ea, 32'h3e1a51a4,32'h3e3c9cac,// invsqrt(35.6654) = 0.1674 +32'h40818dfe,32'h3ef95ec4,32'h3f01c637, 32'h3ef1bc85,32'h3f059757, 32'h3ee50370,32'h3f0bf3e1,// invsqrt(4.0486) = 0.4970 +32'h3f89ac40,32'h3f71e7f8,32'h3f7bc7a4, 32'h3f6a8037,32'h3f8197b3, 32'h3f5e28a0,32'h3f87c37e,// invsqrt(1.0756) = 0.9642 +32'h3f1f1f7b,32'h3f9f1b6c,32'h3fa599ed, 32'h3f9a3c8a,32'h3faa78ce, 32'h3f921e68,32'h3fb296f0,// invsqrt(0.6216) = 1.2684 +32'h3f7087d0,32'h3f816932,32'h3f86b168, 32'h3f7ae612,32'h3f8aa791, 32'h3f6db18b,32'h3f9141d5,// invsqrt(0.9396) = 1.0317 +32'h3f877dbc,32'h3f73d891,32'h3f7dcc82, 32'h3f6c619c,32'h3f82a1bb, 32'h3f5ff0ae,32'h3f88da32,// invsqrt(1.0585) = 0.9720 +32'h3f200136,32'h3f9eab09,32'h3fa524f4, 32'h3f99cf98,32'h3faa0064, 32'h3f91b731,32'h3fb218cb,// invsqrt(0.6250) = 1.2649 +32'h3e4649f1,32'h400e87bb,32'h40145907, 32'h400a2ac3,32'h4018b5ff, 32'h4002e524,32'h401ffb9e,// invsqrt(0.1936) = 2.2725 +32'h3cafe74b,32'h40d60287,32'h40debeb6, 32'h40cf7563,32'h40e54bd9, 32'h40c48a28,32'h40f03714,// invsqrt(0.0215) = 6.8243 +32'h41022ef5,32'h3eafe7ae,32'h3eb715b6, 32'h3eaa8529,32'h3ebc783b, 32'h3ea18ba0,32'h3ec571c4,// invsqrt(8.1365) = 0.3506 +32'h3ebe4a2b,32'h3fcdc2da,32'h3fd628d9, 32'h3fc7765c,32'h3fdc7558, 32'h3fbcf6de,32'h3fe6f4d6,// invsqrt(0.3717) = 1.6403 +32'h3fda3f2e,32'h3f40217f,32'h3f47f911, 32'h3f3a3fd1,32'h3f4ddabf, 32'h3f30725a,32'h3f57a836,// invsqrt(1.7051) = 0.7658 +32'h3df210b0,32'h40366f01,32'h403de140, 32'h4030d953,32'h404376ef, 32'h40278a84,32'h404cc5be,// invsqrt(0.1182) = 2.9087 +32'h3ef3bd4f,32'h3fb5ce52,32'h3fbd3a02, 32'h3fb03d8e,32'h3fc2cac6, 32'h3fa6f6f3,32'h3fcc1161,// invsqrt(0.4761) = 1.4493 +32'h3faa56c4,32'h3f597a35,32'h3f625a9f, 32'h3f52d1e5,32'h3f6902ef, 32'h3f47b95f,32'h3f741b75,// invsqrt(1.3308) = 0.8669 +32'h3f8c27fa,32'h3f6fc0e5,32'h3f798a13, 32'h3f686a03,32'h3f80707b, 32'h3f5c2e89,32'h3f868e37,// invsqrt(1.0950) = 0.9557 +32'h3ef372ff,32'h3fb5ea10,32'h3fbd56e2, 32'h3fb05873,32'h3fc2e87f, 32'h3fa7106d,32'h3fcc3085,// invsqrt(0.4755) = 1.4502 +32'h4004c5c0,32'h3f2e2e77,32'h3f354a7b, 32'h3f28d973,32'h3f3a9f7f, 32'h3f1ff66d,32'h3f438285,// invsqrt(2.0746) = 0.6943 +32'h40ff32bc,32'h3eb1ad6b,32'h3eb8edf7, 32'h3eac3d02,32'h3ebe5e60, 32'h3ea32c52,32'h3ec76f10,// invsqrt(7.9749) = 0.3541 +32'h3e007b46,32'h403110f2,32'h40384b1c, 32'h402ba553,32'h403db6bb, 32'h40229ca0,32'h4046bf6e,// invsqrt(0.1255) = 2.8231 +32'h3fb7d3d1,32'h3f5158ba,32'h3f59e430, 32'h3f4af022,32'h3f604cc8, 32'h3f4041d0,32'h3f6afb1a,// invsqrt(1.4362) = 0.8344 +32'h3f6127e2,32'h3f85c1a1,32'h3f8b373f, 32'h3f81a96a,32'h3f8f4f76, 32'h3f75acca,32'h3f96227b,// invsqrt(0.8795) = 1.0663 +32'h3f1dc822,32'h3f9fc82b,32'h3fa64dba, 32'h3f9ae400,32'h3fab31e6, 32'h3f92bd0e,32'h3fb358d8,// invsqrt(0.6163) = 1.2738 +32'h3f09ac68,32'h3fab0d97,32'h3fb208eb, 32'h3fa5d117,32'h3fb7456b, 32'h3f9d16ee,32'h3fbfff94,// invsqrt(0.5378) = 1.3636 +32'h3ed035fc,32'h3fc4b4ef,32'h3fccbc52, 32'h3fbeaf66,32'h3fd2c1dc, 32'h3fb4a62a,32'h3fdccb18,// invsqrt(0.4067) = 1.5681 +32'h3f4adde7,32'h3f8ce9af,32'h3f92aa15, 32'h3f889964,32'h3f96fa60, 32'h3f8168e5,32'h3f9e2adf,// invsqrt(0.7924) = 1.1233 +32'h3dd49283,32'h4042ada9,32'h404a9fd9, 32'h403cb804,32'h4050957e, 32'h4032c947,32'h405a843b,// invsqrt(0.1038) = 3.1039 +32'h41b22408,32'h3e54a969,32'h3e5d5782, 32'h3e4e26d6,32'h3e63da14, 32'h3e434d36,32'h3e6eb3b4,// invsqrt(22.2676) = 0.2119 +32'h3c852294,32'h40f5fe81,32'h41000472, 32'h40ee76b7,32'h4103c858, 32'h40e1e9bb,32'h410a0ed6,// invsqrt(0.0163) = 7.8442 +32'h3ed575df,32'h3fc245e0,32'h3fca33d4, 32'h3fbc5369,32'h3fd0264b, 32'h3fb269f7,32'h3fda0fbd,// invsqrt(0.4169) = 1.5487 +32'h41045a08,32'h3eae754a,32'h3eb59433, 32'h3ea91e1c,32'h3ebaeb62, 32'h3ea03779,32'h3ec3d205,// invsqrt(8.2720) = 0.3477 +32'h40405816,32'h3f10b74d,32'h3f169f6f, 32'h3f0c4933,32'h3f1b0d89, 32'h3f04e708,32'h3f226fb4,// invsqrt(3.0054) = 0.5768 +32'h3f5740d7,32'h3f88cc66,32'h3f8e61ce, 32'h3f849c59,32'h3f9291db, 32'h3f7b432f,32'h3f998c9d,// invsqrt(0.8408) = 1.0905 +32'h435a7777,32'h3d87c9e4,32'h3d8d54bf, 32'h3d83a1c1,32'h3d917ce3, 32'h3d796860,32'h3d986a74,// invsqrt(218.4667) = 0.0677 +32'h3f2ec6e8,32'h3f97d092,32'h3f9e02e2, 32'h3f932ad7,32'h3fa2a89d, 32'h3f8b6bf4,32'h3faa6780,// invsqrt(0.6827) = 1.2103 +32'h3f9f4ef4,32'h3f60e164,32'h3f6a0f2a, 32'h3f59ff10,32'h3f70f17e, 32'h3f4e85da,32'h3f7c6ab5,// invsqrt(1.2446) = 0.8964 +32'h3f44423c,32'h3f8f43f6,32'h3f951cf0, 32'h3f8ae13a,32'h3f997fac, 32'h3f839201,32'h3fa0cee5,// invsqrt(0.7666) = 1.1421 +32'h3dddcea8,32'h403e9523,32'h40465c87, 32'h4038bf97,32'h404c3213, 32'h402f0659,32'h4055eb51,// invsqrt(0.1083) = 3.0386 +32'h3d64875e,32'h4084c3ff,32'h408a2f43, 32'h4080b38c,32'h408e3fb6, 32'h4073daef,32'h409505cb,// invsqrt(0.0558) = 4.2336 +32'h3f6c9dfe,32'h3f827a08,32'h3f87cd62, 32'h3f7cf70b,32'h3f8bcbe4, 32'h3f6fa6ac,32'h3f927414,// invsqrt(0.9243) = 1.0402 +32'h4008c942,32'h3f2b9b63,32'h3f329c81, 32'h3f265a8c,32'h3f37dd58, 32'h3f1d9927,32'h3f409ebd,// invsqrt(2.1373) = 0.6840 +32'h420725b8,32'h3e2ca4f2,32'h3e33b0e6, 32'h3e275bfa,32'h3e38f9de, 32'h3e1e8d08,32'h3e41c8d0,// invsqrt(33.7868) = 0.1720 +32'h3dbc550f,32'h404ed3e3,32'h40574507, 32'h40487f09,32'h405d99e1, 32'h403df19c,32'h4068274e,// invsqrt(0.0920) = 3.2976 +32'h3e98b127,32'h3fe5b375,32'h3fef1399, 32'h3fdeab5a,32'h3ff61bb4, 32'h3fd2f32d,32'h4000e9f1,// invsqrt(0.2982) = 1.8312 +32'h3e30b006,32'h4016fdde,32'h401d2794, 32'h40125e96,32'h4021c6dc, 32'h400aaa73,32'h40297aff,// invsqrt(0.1725) = 2.4074 +32'h3d607348,32'h4085f767,32'h408b6f37, 32'h4081dd8b,32'h408f8913, 32'h40760f8e,32'h40965ed7,// invsqrt(0.0548) = 4.2719 +32'h3e10590e,32'h40270d3b,32'h402ddec0, 32'h4021f017,32'h4032fbe3, 32'h40196a30,32'h403b81ca,// invsqrt(0.1410) = 2.6635 +32'h3e95c65e,32'h3fe7ed58,32'h3ff164bf, 32'h3fe0d3cb,32'h3ff87e4d, 32'h3fd4fe8b,32'h400229c7,// invsqrt(0.2925) = 1.8489 +32'h3f9f4b9d,32'h3f60e3c0,32'h3f6a119e, 32'h3f5a0159,32'h3f70f405, 32'h3f4e8804,32'h3f7c6d5a,// invsqrt(1.2445) = 0.8964 +32'h402dd5ed,32'h3f1839a8,32'h3f1e7042, 32'h3f1390b6,32'h3f231934, 32'h3f0bcc76,32'h3f2add74,// invsqrt(2.7162) = 0.6068 +32'h3f3e97ee,32'h3f91610e,32'h3f97501e, 32'h3f8cedc2,32'h3f9bc36a, 32'h3f8582ed,32'h3fa32e3f,// invsqrt(0.7445) = 1.1590 +32'h401dc8a9,32'h3f1fc7e7,32'h3f264d73, 32'h3f1ae3be,32'h3f2b319c, 32'h3f12bccf,32'h3f33588b,// invsqrt(2.4654) = 0.6369 +32'h3f854ef4,32'h3f75d58c,32'h3f7fde44, 32'h3f6e4f03,32'h3f83b267, 32'h3f61c41e,32'h3f89f7d9,// invsqrt(1.0415) = 0.9799 +32'h3f7dd341,32'h3f7bf3d6,32'h3f831e3d, 32'h3f743d59,32'h3f86f97b, 32'h3f67628a,32'h3f8d66e3,// invsqrt(0.9915) = 1.0043 +32'h4009aff2,32'h3f2b0b64,32'h3f3206a2, 32'h3f25cef6,32'h3f374310, 32'h3f1d14e9,32'h3f3ffd1d,// invsqrt(2.1514) = 0.6818 +32'h3f9f5d7f,32'h3f60d721,32'h3f6a047b, 32'h3f59f51d,32'h3f70e67f, 32'h3f4e7c6d,32'h3f7c5f2f,// invsqrt(1.2450) = 0.8962 +32'h405617dd,32'h3f092b27,32'h3f0ec46c, 32'h3f04f832,32'h3f12f760, 32'h3efbf137,32'h3f19f6f7,// invsqrt(3.3452) = 0.5467 +32'h3eb84a49,32'h3fd11566,32'h3fd99e1c, 32'h3fcaaede,32'h3fe004a4, 32'h3fc003fa,32'h3feaaf88,// invsqrt(0.3599) = 1.6668 +32'h3f63ec74,32'h3f84f115,32'h3f8a5e30, 32'h3f80df41,32'h3f8e7005, 32'h3f742dbf,32'h3f953866,// invsqrt(0.8903) = 1.0598 +32'h3f894206,32'h3f724582,32'h3f7c28ff, 32'h3f6adae3,32'h3f81c9ce, 32'h3f5e7e86,32'h3f87f7fd,// invsqrt(1.0723) = 0.9657 +32'h3f925d0d,32'h3f6a9d49,32'h3f7430c5, 32'h3f636ead,32'h3f7b5f61, 32'h3f577653,32'h3f83abdd,// invsqrt(1.1435) = 0.9352 +32'h3fbf82bb,32'h3f4d1aad,32'h3f5579ce, 32'h3f46d354,32'h3f5bc126, 32'h3f3c5c6a,32'h3f663810,// invsqrt(1.4962) = 0.8175 +32'h3f81e81e,32'h3f790834,32'h3f81992b, 32'h3f71689b,32'h3f8568f7, 32'h3f64b3f1,32'h3f8bc34c,// invsqrt(1.0149) = 0.9926 +32'h3f230827,32'h3f9d302b,32'h3fa39a9f, 32'h3f986053,32'h3fa86a77, 32'h3f905b41,32'h3fb06f89,// invsqrt(0.6368) = 1.2531 +32'h3f3d882d,32'h3f91c921,32'h3f97bc71, 32'h3f8d52a5,32'h3f9c32ed, 32'h3f85e282,32'h3fa3a310,// invsqrt(0.7404) = 1.1622 +32'h3f8c869a,32'h3f6f701e,32'h3f793600, 32'h3f681bb5,32'h3f804535, 32'h3f5be45a,32'h3f8660e2,// invsqrt(1.0979) = 0.9544 +32'h3ddc61d5,32'h403f32a1,32'h40470073, 32'h40395843,32'h404cdad1, 32'h402f96fc,32'h40569c18,// invsqrt(0.1076) = 3.0484 +32'h3f8c13c8,32'h3f6fd22c,32'h3f799c0f, 32'h3f687ac3,32'h3f8079bd, 32'h3f5c3e68,32'h3f8697ea,// invsqrt(1.0944) = 0.9559 +32'h3fd58790,32'h3f423dd4,32'h3f4a2b74, 32'h3f3c4b9c,32'h3f501dac, 32'h3f326294,32'h3f5a06b4,// invsqrt(1.6682) = 0.7742 +32'h3f18b3b0,32'h3fa26af9,32'h3fa90c13, 32'h3f9d7226,32'h3fae04e6, 32'h3f9528c5,32'h3fb64e47,// invsqrt(0.5965) = 1.2948 +32'h3f9cc076,32'h3f62b4f8,32'h3f6bf5d4, 32'h3f5bc454,32'h3f72e678, 32'h3f503342,32'h3f7e778a,// invsqrt(1.2246) = 0.9036 +32'h40657c8a,32'h3f047d00,32'h3f09e55e, 32'h3f006eb9,32'h3f0df3a5, 32'h3ef35888,32'h3f14b61a,// invsqrt(3.5857) = 0.5281 +32'h40975e2b,32'h3ee6b41a,32'h3ef01eb8, 32'h3edfa424,32'h3ef72eae, 32'h3ed3dede,32'h3f0179fa,// invsqrt(4.7302) = 0.4598 +32'h3e7685b6,32'h3fffa83a,32'h40050bcb, 32'h3ff7d4b6,32'h4008f58d, 32'h3feac984,32'h400f7b26,// invsqrt(0.2407) = 2.0381 +32'h3f0ffe6b,32'h3fa741c6,32'h3fae1570, 32'h3fa22306,32'h3fb33430, 32'h3f999a72,32'h3fbbbcc4,// invsqrt(0.5625) = 1.3334 +32'h3f968646,32'h3f675951,32'h3f70caad, 32'h3f60444c,32'h3f77dfb2, 32'h3f547699,32'h3f81d6b3,// invsqrt(1.1760) = 0.9221 +32'h40321034,32'h3f166843,32'h3f1c8bdd, 32'h3f11cd8f,32'h3f212691, 32'h3f0a210e,32'h3f28d312,// invsqrt(2.7822) = 0.5995 +32'h3eb0513a,32'h3fd5c233,32'h3fde7bc2, 32'h3fcf3707,32'h3fe506ed, 32'h3fc44f14,32'h3fefeee0,// invsqrt(0.3444) = 1.7041 +32'h3f9dbe95,32'h3f61fe12,32'h3f6b3776, 32'h3f5b1307,32'h3f722281, 32'h3f4f8b4a,32'h3f7daa3e,// invsqrt(1.2324) = 0.9008 +32'h410b2e33,32'h3eaa1fe0,32'h3eb11180, 32'h3ea4eaa7,32'h3eb646b9, 32'h3e9c3c9e,32'h3ebef4c2,// invsqrt(8.6988) = 0.3391 +32'h403e87f9,32'h3f116724,32'h3f175674, 32'h3f0cf3a8,32'h3f1bc9f0, 32'h3f058884,32'h3f233514,// invsqrt(2.9770) = 0.5796 +32'h3fe7480c,32'h3f3aa364,32'h3f424192, 32'h3f34ecc2,32'h3f47f834, 32'h3f2b6708,32'h3f517dee,// invsqrt(1.8069) = 0.7439 +32'h3dfd8b25,32'h40324199,32'h40398832, 32'h402ccca7,32'h403efd25, 32'h4023b469,32'h40481563,// invsqrt(0.1238) = 2.8421 +32'h3f375555,32'h3f943ad0,32'h3f9a47a9, 32'h3f8fb12c,32'h3f9ed14c, 32'h3f88211c,32'h3fa6615c,// invsqrt(0.7161) = 1.1817 +32'h3ed82ae7,32'h3fc10d7a,32'h3fc8eeae, 32'h3fbb2493,32'h3fced795, 32'h3fb14b12,32'h3fd8b116,// invsqrt(0.4222) = 1.5390 +32'h3f332d1a,32'h3f95f07f,32'h3f9c0f36, 32'h3f915976,32'h3fa0a640, 32'h3f89b312,32'h3fa84ca4,// invsqrt(0.6999) = 1.1953 +32'h3f057811,32'h3fadb9f5,32'h3fb4d139, 32'h3fa86883,32'h3fba22ab, 32'h3f9f8b6e,32'h3fc2ffc0,// invsqrt(0.5214) = 1.3849 +32'h3f88203b,32'h3f7346da,32'h3f7d34d9, 32'h3f6bd45c,32'h3f8253ac, 32'h3f5f6ade,32'h3f88886b,// invsqrt(1.0635) = 0.9697 +32'h3ff8539e,32'h3f341e73,32'h3f3b7882, 32'h3f2e9ae7,32'h3f40fc0d, 32'h3f256a54,32'h3f4a2ca0,// invsqrt(1.9401) = 0.7179 +32'h3e42f3bc,32'h400fbeaa,32'h40159ca6, 32'h400b582c,32'h401a0324, 32'h400402b1,32'h4021589f,// invsqrt(0.1904) = 2.2918 +32'h4105f0c3,32'h3ead6b9d,32'h3eb47fae, 32'h3ea81c91,32'h3eb9cebb, 32'h3e9f437c,32'h3ec2a7d0,// invsqrt(8.3713) = 0.3456 +32'h3d9dadca,32'h40620a1b,32'h406b43fd, 32'h405b1eb2,32'h40722f66, 32'h404f9658,32'h407db7c0,// invsqrt(0.0770) = 3.6039 +32'h3ee4370d,32'h3fbbe350,32'h3fc38e8c, 32'h3fb622e2,32'h3fc94efa, 32'h3fac8cd6,32'h3fd2e506,// invsqrt(0.4457) = 1.4978 +32'h4094a58c,32'h3ee8ce3c,32'h3ef24ed1, 32'h3ee1adcd,32'h3ef96f41, 32'h3ed5cd13,32'h3f02a7fd,// invsqrt(4.6452) = 0.4640 +32'h3f76c44f,32'h3f7f87cb,32'h3f84fae9, 32'h3f77b544,32'h3f88e42c, 32'h3f6aabb9,32'h3f8f68f1,// invsqrt(0.9639) = 1.0185 +32'h404e93e4,32'h3f0ba435,32'h3f115751, 32'h3f075de0,32'h3f159da6, 32'h3f003dfc,32'h3f1cbd8a,// invsqrt(3.2278) = 0.5566 +32'h41541374,32'h3e89d1c3,32'h3e8f71d5, 32'h3e8599b5,32'h3e93a9e3, 32'h3e7d233c,32'h3e9ab1fa,// invsqrt(13.2547) = 0.2747 +32'h406d7968,32'h3f023db3,32'h3f078e96, 32'h3efc8213,32'h3f0b8b40, 32'h3eef37dc,32'h3f12305c,// invsqrt(3.7105) = 0.5191 +32'h3f4c3fc6,32'h3f8c6f68,32'h3f922ad0, 32'h3f8822db,32'h3f96775d, 32'h3f80f899,32'h3f9da19f,// invsqrt(0.7978) = 1.1195 +32'h3fc8ae87,32'h3f485ce0,32'h3f508a76, 32'h3f423aaf,32'h3f56aca7, 32'h3f3801b4,32'h3f60e5a3,// invsqrt(1.5678) = 0.7986 +32'h40d3ed12,32'h3ec2f997,32'h3ecaeee1, 32'h3ebd019f,32'h3ed0e6d9, 32'h3eb30f03,32'h3edad975,// invsqrt(6.6227) = 0.3886 +32'h4065eca8,32'h3f045caf,32'h3f09c3bb, 32'h3f004f66,32'h3f0dd104, 32'h3ef31d2c,32'h3f1491d4,// invsqrt(3.5926) = 0.5276 +32'h3fb1a027,32'h3f54f84c,32'h3f5da99e, 32'h3f4e734f,32'h3f642e9b, 32'h3f4395a9,32'h3f6f0c41,// invsqrt(1.3877) = 0.8489 +32'h3d816b35,32'h40798045,32'h4081d7a7, 32'h4071dcff,32'h4085a94a, 32'h40652235,32'h408c06af,// invsqrt(0.0632) = 3.9780 +32'h3f269697,32'h3f9b8051,32'h3fa1d925, 32'h3f96bdb2,32'h3fa69bc4, 32'h3f8ecea8,32'h3fae8ace,// invsqrt(0.6507) = 1.2396 +32'h3ed2ae33,32'h3fc38cec,32'h3fcb883a, 32'h3fbd9072,32'h3fd184b4, 32'h3fb39651,32'h3fdb7ed5,// invsqrt(0.4115) = 1.5589 +32'h3e179d14,32'h4022fff0,32'h4029a71f, 32'h401e028e,32'h402ea482, 32'h4015b194,32'h4036f57c,// invsqrt(0.1481) = 2.5988 +32'h417a8eaa,32'h3e7d9719,32'h3e83f86e, 32'h3e75d3c7,32'h3e87da16, 32'h3e68e394,32'h3e8e5230,// invsqrt(15.6598) = 0.2527 +32'h3f58a4ca,32'h3f885bd7,32'h3f8deca6, 32'h3f842f3b,32'h3f921941, 32'h3f7a7470,32'h3f990e44,// invsqrt(0.8463) = 1.0870 +32'h408d4bbe,32'h3eeec8db,32'h3ef887e9, 32'h3ee77990,32'h3effd734, 32'h3edb4abf,32'h3f060303,// invsqrt(4.4155) = 0.4759 +32'h3dc45c66,32'h404a8e24,32'h4052d2a3, 32'h40445ac5,32'h40590603, 32'h403a0527,32'h40635ba1,// invsqrt(0.0959) = 3.2295 +32'h401884db,32'h3f2283e7,32'h3f292605, 32'h3f1d8a50,32'h3f2e1f9c, 32'h3f153faa,32'h3f366a42,// invsqrt(2.3831) = 0.6478 +32'h3d19f7c6,32'h40a1bfaf,32'h40a859cb, 32'h409ccc1a,32'h40ad4d60, 32'h40948b77,32'h40b58e03,// invsqrt(0.0376) = 5.1578 +32'h3f70b7ed,32'h3f815c43,32'h3f86a3f2, 32'h3f7accfe,32'h3f8a99b5, 32'h3f6d99c8,32'h3f913350,// invsqrt(0.9403) = 1.0313 +32'h3f87b204,32'h3f73a993,32'h3f7d9b99, 32'h3f6c340e,32'h3f82888f, 32'h3f5fc587,32'h3f88bfd2,// invsqrt(1.0601) = 0.9712 +32'h401f759b,32'h3f1ef06e,32'h3f256d2e, 32'h3f1a12dd,32'h3f2a4abf, 32'h3f11f6ed,32'h3f3266af,// invsqrt(2.4916) = 0.6335 +32'h3e01550f,32'h40307b9e,32'h4037afaf, 32'h402b1492,32'h403d16bc, 32'h4022137d,32'h404617d1,// invsqrt(0.1263) = 2.8138 +32'h3fc23007,32'h3f4baf82,32'h3f53ffd0, 32'h3f457347,32'h3f5a3c0b, 32'h3f3b0ee5,32'h3f64a06d,// invsqrt(1.5171) = 0.8119 +32'h3fb5bc72,32'h3f528c33,32'h3f5b2435, 32'h3f4c1a31,32'h3f619637, 32'h3f415c2f,32'h3f6c5439,// invsqrt(1.4198) = 0.8392 +32'h3f863fd5,32'h3f74f89d,32'h3f7ef850, 32'h3f6d78d7,32'h3f833c0b, 32'h3f60f938,32'h3f897bdb,// invsqrt(1.0488) = 0.9764 +32'h3f7bf1d1,32'h3f7ce41d,32'h3f839b49, 32'h3f752647,32'h3f877a35, 32'h3f683f36,32'h3f8dedbd,// invsqrt(0.9842) = 1.0080 +32'h3f87c9e2,32'h3f739428,32'h3f7d854e, 32'h3f6c1f4b,32'h3f827d15, 32'h3f5fb1dc,32'h3f88b3cd,// invsqrt(1.0608) = 0.9709 +32'h3f6985da,32'h3f83569a,32'h3f88b2f4, 32'h3f7ea2ad,32'h3f8cb837, 32'h3f713bcd,32'h3f936ba8,// invsqrt(0.9122) = 1.0470 +32'h3ed3c433,32'h3fc30c67,32'h3fcb0275, 32'h3fbd13dc,32'h3fd0fb00, 32'h3fb32049,32'h3fdaee93,// invsqrt(0.4136) = 1.5549 +32'h3f0f9a61,32'h3fa77bfe,32'h3fae5208, 32'h3fa25b76,32'h3fb37290, 32'h3f99cfe9,32'h3fbbfe1d,// invsqrt(0.5609) = 1.3352 +32'h4128aac2,32'h3e9a8a3e,32'h3ea0d908, 32'h3e95cf28,32'h3ea5941e, 32'h3e8decac,32'h3ead769a,// invsqrt(10.5417) = 0.3080 +32'h403f9a42,32'h3f10feeb,32'h3f16e9fa, 32'h3f0c8ea0,32'h3f1b5a46, 32'h3f0528ce,32'h3f22c018,// invsqrt(2.9938) = 0.5779 +32'h402cf7af,32'h3f189b54,32'h3f1ed5ea, 32'h3f13ef64,32'h3f2381da, 32'h3f0c2629,32'h3f2b4b15,// invsqrt(2.7026) = 0.6083 +32'h3f9d9b2e,32'h3f621773,32'h3f6b51e0, 32'h3f5b2ba1,32'h3f723db1, 32'h3f4fa298,32'h3f7dc6ba,// invsqrt(1.2313) = 0.9012 +32'h42fa131b,32'h3db37d03,32'h3dbad07b, 32'h3dadfe69,32'h3dc04f15, 32'h3da4d612,32'h3dc9776c,// invsqrt(125.0373) = 0.0894 +32'h3fe269a0,32'h3f3ca263,32'h3f44556d, 32'h3f36dc1c,32'h3f4a1bb4, 32'h3f2d3c51,32'h3f53bb7f,// invsqrt(1.7688) = 0.7519 +32'h3f58e317,32'h3f884840,32'h3f8dd842, 32'h3f841c3e,32'h3f920444, 32'h3f7a5075,32'h3f98f848,// invsqrt(0.8472) = 1.0864 +32'h3f20ffab,32'h3f9e2d74,32'h3fa4a23f, 32'h3f9955db,32'h3fa979d7, 32'h3f9143dd,32'h3fb18bd5,// invsqrt(0.6289) = 1.2610 +32'h3fd4b055,32'h3f42a003,32'h3f4a91a5, 32'h3f3caac9,32'h3f5086df, 32'h3f32bcbf,32'h3f5a74e9,// invsqrt(1.6616) = 0.7758 +32'h401def58,32'h3f1fb455,32'h3f263914, 32'h3f1ad0c5,32'h3f2b1ca3, 32'h3f12aad5,32'h3f334293,// invsqrt(2.4677) = 0.6366 +32'h4022b464,32'h3f1d589b,32'h3f23c4b7, 32'h3f188787,32'h3f2895cb, 32'h3f108065,32'h3f309ced,// invsqrt(2.5423) = 0.6272 +32'h3fbbe16b,32'h3f4f1380,32'h3f57873c, 32'h3f48bcb3,32'h3f5dde09, 32'h3f3e2c08,32'h3f686eb4,// invsqrt(1.4678) = 0.8254 +32'h4092b17e,32'h3eea59b9,32'h3ef3ea73, 32'h3ee32d2e,32'h3efb16fe, 32'h3ed73847,32'h3f0385f2,// invsqrt(4.5842) = 0.4671 +32'h404eef74,32'h3f0b854d,32'h3f113727, 32'h3f073fea,32'h3f157c8a, 32'h3f00219b,32'h3f1c9ad9,// invsqrt(3.2334) = 0.5561 +32'h3f7cd5fc,32'h3f7c71e8,32'h3f835fda, 32'h3f74b790,32'h3f873d06, 32'h3f67d653,32'h3f8dada4,// invsqrt(0.9876) = 1.0062 +32'h3f435d22,32'h3f8f97de,32'h3f957446, 32'h3f8b3291,32'h3f99d993, 32'h3f83df10,32'h3fa12d14,// invsqrt(0.7631) = 1.1447 +32'h3f41662c,32'h3f90521d,32'h3f96361f, 32'h3f8be71c,32'h3f9aa120, 32'h3f848a1b,32'h3fa1fe21,// invsqrt(0.7555) = 1.1505 +32'h3ff25c0c,32'h3f3652a2,32'h3f3dc3b8, 32'h3f30bdd1,32'h3f435889, 32'h3f277075,32'h3f4ca5e5,// invsqrt(1.8934) = 0.7267 +32'h3e631a0e,32'h40052e9c,32'h400a9e3a, 32'h40011ae6,32'h400eb1f0, 32'h3ff49ec1,32'h40157d76,// invsqrt(0.2218) = 2.1234 +32'h3fad0b6c,32'h3f57c53d,32'h3f6093d1, 32'h3f512a4d,32'h3f672ec1, 32'h3f462813,32'h3f7230fb,// invsqrt(1.3519) = 0.8601 +32'h3ec65853,32'h3fc98a23,32'h3fd1c405, 32'h3fc35eb9,32'h3fd7ef6f, 32'h3fb9165f,32'h3fe237c9,// invsqrt(0.3874) = 1.6067 +32'h3eef3cea,32'h3fb78228,32'h3fbeffa2, 32'h3fb1e40d,32'h3fc49dbd, 32'h3fa88734,32'h3fcdfa96,// invsqrt(0.4673) = 1.4629 +32'h3f2262f4,32'h3f9d800b,32'h3fa3edc3, 32'h3f98adc2,32'h3fa8c00c, 32'h3f90a49d,32'h3fb0c931,// invsqrt(0.6343) = 1.2556 +32'h3e4478cc,32'h400f3010,32'h4015083a, 32'h400acdf0,32'h40196a5a, 32'h40037fbb,32'h4020b88f,// invsqrt(0.1919) = 2.2830 +32'h3f0816dc,32'h3fac0bb9,32'h3fb3116d, 32'h3fa6c772,32'h3fb855b4, 32'h3f9e0051,32'h3fc11cd5,// invsqrt(0.5316) = 1.3715 +32'h400dd259,32'h3f28886d,32'h3f2f696d, 32'h3f235fae,32'h3f34922c, 32'h3f1ac66f,32'h3f3d2b6b,// invsqrt(2.2160) = 0.6718 +32'h3f5cdc7e,32'h3f870cee,32'h3f8c9012, 32'h3f82ea93,32'h3f90b26d, 32'h3f780d4d,32'h3f97965a,// invsqrt(0.8627) = 1.0766 +32'h423ca66e,32'h3e122041,32'h3e18171f, 32'h3e0da71a,32'h3e1c9046, 32'h3e063285,32'h3e2404db,// invsqrt(47.1625) = 0.1456 +32'h3ff386cc,32'h3f35e2aa,32'h3f3d4f2f, 32'h3f305148,32'h3f42e092, 32'h3f2709a2,32'h3f4c2838,// invsqrt(1.9026) = 0.7250 +32'h3fbdc2bc,32'h3f4e0c3a,32'h3f567538, 32'h3f47bd7d,32'h3f5cc3f5, 32'h3f3d3a40,32'h3f674732,// invsqrt(1.4825) = 0.8213 +32'h3e841ba0,32'h3ff6f2d9,32'h4000839b, 32'h3fef6393,32'h40044b3d, 32'h3fe2ca20,32'h400a97f7,// invsqrt(0.2580) = 1.9687 +32'h3ec29c93,32'h3fcb76ac,32'h3fd3c4a8, 32'h3fc53c2e,32'h3fd9ff26, 32'h3fbadab3,32'h3fe460a1,// invsqrt(0.3801) = 1.6220 +32'h3fb5f6ad,32'h3f526a80,32'h3f5b0122, 32'h3f4bf987,32'h3f61721b, 32'h3f413d3c,32'h3f6c2e66,// invsqrt(1.4216) = 0.8387 +32'h3f19fb2e,32'h3fa1bde5,32'h3fa857ef, 32'h3f9cca5e,32'h3fad4b76, 32'h3f9489d3,32'h3fb58c01,// invsqrt(0.6015) = 1.2894 +32'h3facc5ea,32'h3f57f09f,32'h3f60c0f9, 32'h3f51545b,32'h3f675d3d, 32'h3f464feb,32'h3f7261ad,// invsqrt(1.3498) = 0.8607 +32'h3ff093f5,32'h3f36ff24,32'h3f3e7744, 32'h3f31650b,32'h3f44115d, 32'h3f280ee2,32'h3f4d6786,// invsqrt(1.8795) = 0.7294 +32'h40f5245e,32'h3eb548fc,32'h3ebcaf3b, 32'h3eafbc4e,32'h3ec23bea, 32'h3ea67c80,32'h3ecb7bb8,// invsqrt(7.6607) = 0.3613 +32'h40f88ad1,32'h3eb40a71,32'h3ebb63af, 32'h3eae8782,32'h3ec0e69e, 32'h3ea557f5,32'h3eca162b,// invsqrt(7.7669) = 0.3588 +32'h3eb44aca,32'h3fd3639d,32'h3fdc046a, 32'h3fcceb04,32'h3fe27d04, 32'h3fc22204,32'h3fed4604,// invsqrt(0.3521) = 1.6852 +32'h40aa41d5,32'h3ed98793,32'h3ee26889, 32'h3ed2deda,32'h3ee91142, 32'h3ec7c5a6,32'h3ef42a76,// invsqrt(5.3205) = 0.4335 +32'h4009c2da,32'h3f2affa7,32'h3f31fa6a, 32'h3f25c395,32'h3f37367d, 32'h3f1d0a22,32'h3f3feff0,// invsqrt(2.1525) = 0.6816 +32'h3f89986d,32'h3f71f965,32'h3f7bd9c7, 32'h3f6a911b,32'h3f81a108, 32'h3f5e38a1,32'h3f87cd46,// invsqrt(1.0750) = 0.9645 +32'h3d9ae49e,32'h40641029,32'h406d5f30, 32'h405d14e4,32'h40745a76, 32'h4051721c,32'h407ffd3e,// invsqrt(0.0756) = 3.6362 +32'h402c5d22,32'h3f18dfb0,32'h3f1f1d10, 32'h3f1431a8,32'h3f23cb18, 32'h3f0c64f0,32'h3f2b97d0,// invsqrt(2.6932) = 0.6094 +32'h3dc308fa,32'h404b3e19,32'h405389c7, 32'h40450557,32'h4059c289, 32'h403aa6be,32'h40642122,// invsqrt(0.0952) = 3.2405 +32'h40c43f90,32'h3eca9d05,32'h3ed2e21f, 32'h3ec46931,32'h3ed915f3, 32'h3eba12d0,32'h3ee36c54,// invsqrt(6.1328) = 0.4038 +32'h3faa7441,32'h3f596764,32'h3f62470a, 32'h3f52bfa7,32'h3f68eec7, 32'h3f47a818,32'h3f740656,// invsqrt(1.3317) = 0.8666 +32'h40148370,32'h3f24b137,32'h3f2b6a15, 32'h3f1fa691,32'h3f3074bb, 32'h3f173f7c,32'h3f38dbd0,// invsqrt(2.3205) = 0.6565 +32'h411d176e,32'h3ea021f0,32'h3ea6ab28, 32'h3e9b3b05,32'h3eab9213, 32'h3e930f7e,32'h3eb3bd9a,// invsqrt(9.8182) = 0.3191 +32'h3f42aae9,32'h3f8fd98b,32'h3f95b8a0, 32'h3f8b723a,32'h3f9a1ff0, 32'h3f841b60,32'h3fa176ca,// invsqrt(0.7604) = 1.1468 +32'h3ec1e7b5,32'h3fcbd57a,32'h3fd42756, 32'h3fc59816,32'h3fda64ba, 32'h3fbb31c4,32'h3fe4cb0c,// invsqrt(0.3787) = 1.6250 +32'h3fa3cc95,32'h3f5dc6be,32'h3f66d414, 32'h3f56fcbd,32'h3f6d9e15, 32'h3f4bac12,32'h3f78eec0,// invsqrt(1.2797) = 0.8840 +32'h3efd24f9,32'h3fb2658f,32'h3fb9ad9f, 32'h3facef83,32'h3fbf23ab, 32'h3fa3d56e,32'h3fc83dc0,// invsqrt(0.4944) = 1.4222 +32'h408c7b28,32'h3eef79df,32'h3ef94027, 32'h3ee82529,32'h3f004a6e, 32'h3edbed50,32'h3f06665b,// invsqrt(4.3900) = 0.4773 +32'h3e76d235,32'h3fff8099,32'h4004f72b, 32'h3ff7ae4c,32'h4008e052, 32'h3feaa51f,32'h400f64e8,// invsqrt(0.2410) = 2.0368 +32'h3fb8acb1,32'h3f50dda9,32'h3f596419, 32'h3f4a78d6,32'h3f5fc8ec, 32'h3f3fd0ca,32'h3f6a70f8,// invsqrt(1.4428) = 0.8325 +32'h3ec904da,32'h3fc831d6,32'h3fd05dab, 32'h3fc210f7,32'h3fd67e8b, 32'h3fb7da2e,32'h3fe0b554,// invsqrt(0.3926) = 1.5959 +32'h3efac6a3,32'h3fb33cb8,32'h3fba8d90, 32'h3fadc015,32'h3fc00a33, 32'h3fa49b07,32'h3fc92f41,// invsqrt(0.4898) = 1.4289 +32'h403a6cfe,32'h3f12fec4,32'h3f18feb7, 32'h3f0e7ecd,32'h3f1d7ead, 32'h3f06fedd,32'h3f24fe9d,// invsqrt(2.9129) = 0.5859 +32'h3fbb2368,32'h3f4f7c86,32'h3f57f48c, 32'h3f492282,32'h3f5e4e90, 32'h3f3e8c7b,32'h3f68e497,// invsqrt(1.4620) = 0.8270 +32'h3f158bb4,32'h3fa41f73,32'h3faad25d, 32'h3f9f1943,32'h3fafd88d, 32'h3f96b99e,32'h3fb83832,// invsqrt(0.5842) = 1.3084 +32'h3f9ad8cd,32'h3f6418dd,32'h3f6d683f, 32'h3f5d1d54,32'h3f7463c8, 32'h3f517a19,32'h3f800381,// invsqrt(1.2097) = 0.9092 +32'h3f7a2bd5,32'h3f7dc92b,32'h3f84127c, 32'h3f760451,32'h3f87f4e9, 32'h3f691190,32'h3f8e6e4a,// invsqrt(0.9772) = 1.0116 +32'h4136520c,32'h3e94a411,32'h3e9ab537, 32'h3e901735,32'h3e9f4213, 32'h3e8881c7,32'h3ea6d781,// invsqrt(11.3950) = 0.2962 +32'h3f80d460,32'h3f7a1229,32'h3f822393, 32'h3f726a6b,32'h3f85f771, 32'h3f65a830,32'h3f8c588f,// invsqrt(1.0065) = 0.9968 +32'h3f32fbed,32'h3f960517,32'h3f9c24a5, 32'h3f916d6c,32'h3fa0bc50, 32'h3f89c5fb,32'h3fa863c1,// invsqrt(0.6992) = 1.1959 +32'h406e73dc,32'h3f01f93b,32'h3f074753, 32'h3efbfd54,32'h3f0b41e4, 32'h3eeeba19,32'h3f11e381,// invsqrt(3.7258) = 0.5181 +32'h3f077416,32'h3fac72f9,32'h3fb37ce4, 32'h3fa72b89,32'h3fb8c455, 32'h3f9e5f24,32'h3fc190ba,// invsqrt(0.5291) = 1.3748 +32'h3f1341bc,32'h3fa564bb,32'h3fac24ed, 32'h3fa05496,32'h3fb13512, 32'h3f97e458,32'h3fb9a550,// invsqrt(0.5752) = 1.3185 +32'h3f1d82e0,32'h3f9feb48,32'h3fa67246, 32'h3f9b060a,32'h3fab5784, 32'h3f92dd4d,32'h3fb38041,// invsqrt(0.6153) = 1.2749 +32'h3e1255ef,32'h4025e9c7,32'h402caf67, 32'h4020d58f,32'h4031c39f, 32'h40185e88,32'h403a3aa6,// invsqrt(0.1429) = 2.6453 +32'h3f117966,32'h3fa6675a,32'h3fad321a, 32'h3fa14f4a,32'h3fb24a2a, 32'h3f98d1db,32'h3fbac799,// invsqrt(0.5683) = 1.3266 +32'h3f834a72,32'h3f77b745,32'h3f80e9d3, 32'h3f7021fc,32'h3f84b477, 32'h3f637e83,32'h3f8b0634,// invsqrt(1.0257) = 0.9874 +32'h400d6dfa,32'h3f28c430,32'h3f2fa7a0, 32'h3f23999c,32'h3f34d234, 32'h3f1afd51,32'h3f3d6e7f,// invsqrt(2.2098) = 0.6727 +32'h41d10611,32'h3e4452ee,32'h3e4c5650, 32'h3e3e5064,32'h3e5258da, 32'h3e344c29,32'h3e5c5d15,// invsqrt(26.1280) = 0.1956 +32'h3f59bab0,32'h3f8804b5,32'h3f8d91f6, 32'h3f83dac5,32'h3f91bbe7, 32'h3f79d468,32'h3f98ac78,// invsqrt(0.8505) = 1.0843 +32'h3ff27bd5,32'h3f3646af,32'h3f3db748, 32'h3f30b23b,32'h3f434bbb, 32'h3f27657c,32'h3f4c987b,// invsqrt(1.8944) = 0.7265 +32'h3fc0346a,32'h3f4cbbc9,32'h3f55170b, 32'h3f467758,32'h3f5b5b7c, 32'h3f3c0546,32'h3f65cd8e,// invsqrt(1.5016) = 0.8161 +32'h3fb59a60,32'h3f529ff2,32'h3f5b38c2, 32'h3f4c2d56,32'h3f61ab5e, 32'h3f416e51,32'h3f6c6a63,// invsqrt(1.4188) = 0.8395 +32'h3f511c7a,32'h3f8acafd,32'h3f90753c, 32'h3f868b4f,32'h3f94b4eb, 32'h3f7eed01,32'h3f9bc9ba,// invsqrt(0.8168) = 1.1064 +32'h3ea5287e,32'h3fdcdcac,32'h3fe5e074, 32'h3fd619d6,32'h3feca34a, 32'h3fcad51b,32'h3ff7e805,// invsqrt(0.3226) = 1.7607 +32'h3f0150c9,32'h3fb07e89,32'h3fb7b2b9, 32'h3fab1766,32'h3fbd19dc, 32'h3fa2162a,32'h3fc61b18,// invsqrt(0.5051) = 1.4070 +32'h3fa8597a,32'h3f5ac232,32'h3f63b000, 32'h3f540fd8,32'h3f6a625a, 32'h3f48e696,32'h3f758b9c,// invsqrt(1.3152) = 0.8720 +32'h3f7d6013,32'h3f7c2d14,32'h3f833c08, 32'h3f7474d8,32'h3f871826, 32'h3f67971d,32'h3f8d8704,// invsqrt(0.9897) = 1.0052 +32'h3d39c4a1,32'h40934151,32'h409943fb, 32'h408ebf51,32'h409dc5fb, 32'h40873bfc,32'h40a54950,// invsqrt(0.0454) = 4.6956 +32'h40d600b2,32'h3ec206d2,32'h3ec9f234, 32'h3ebc1649,32'h3ecfe2bd, 32'h3eb23010,32'h3ed9c8f7,// invsqrt(6.6876) = 0.3867 +32'h4091962b,32'h3eeb3d53,32'h3ef4d757, 32'h3ee409d1,32'h3efc0ad9, 32'h3ed8094c,32'h3f0405af,// invsqrt(4.5496) = 0.4688 +32'h417038ec,32'h3e817e70,32'h3e86c785, 32'h3e7b0f43,32'h3e8abe54, 32'h3e6dd891,32'h3e9159ae,// invsqrt(15.0139) = 0.2581 +32'h4087e3db,32'h3ef37ce0,32'h3efd6d12, 32'h3eec08b9,32'h3f02709c, 32'h3edf9c7a,32'h3f08a6bc,// invsqrt(4.2466) = 0.4853 +32'h3f57d47a,32'h3f889d95,32'h3f8e3113, 32'h3f846ef6,32'h3f925fb2, 32'h3f7aed31,32'h3f995810,// invsqrt(0.8431) = 1.0891 +32'h4018f8c6,32'h3f224648,32'h3f28e5e2, 32'h3f1d4e94,32'h3f2ddd96, 32'h3f150713,32'h3f362517,// invsqrt(2.3902) = 0.6468 +32'h3e4a2dcb,32'h400d2701,32'h4012e9e7, 32'h4008d4d5,32'h40173c13, 32'h4001a135,32'h401e6fb3,// invsqrt(0.1974) = 2.2505 +32'h3f9fbd8c,32'h3f60937e,32'h3f69be16, 32'h3f59b38d,32'h3f709e07, 32'h3f4e3e4f,32'h3f7c1345,// invsqrt(1.2480) = 0.8952 +32'h3f7678e1,32'h3f7faee1,32'h3f850f41, 32'h3f77db29,32'h3f88f91d, 32'h3f6acfa0,32'h3f8f7ee2,// invsqrt(0.9628) = 1.0191 +32'h3ed2f6b7,32'h3fc36b4e,32'h3fcb653c, 32'h3fbd6fdb,32'h3fd160af, 32'h3fb37771,32'h3fdb5919,// invsqrt(0.4120) = 1.5579 +32'h40387082,32'h3f13c8d9,32'h3f19d10c, 32'h3f0f42b4,32'h3f1e5732, 32'h3f07b874,32'h3f25e172,// invsqrt(2.8819) = 0.5891 +32'h4124b76e,32'h3e9c61db,32'h3ea2c3e3, 32'h3e979854,32'h3ea78d6a, 32'h3e8f9dc9,32'h3eaf87f5,// invsqrt(10.2948) = 0.3117 +32'h3e0580f9,32'h402db42a,32'h4034cb30, 32'h402862e4,32'h403a1c76, 32'h401f861c,32'h4042f93e,// invsqrt(0.1304) = 2.7695 +32'h3ed949d6,32'h3fc08dd8,32'h3fc869d7, 32'h3fbaa8da,32'h3fce4ed6, 32'h3fb0d5dc,32'h3fd821d4,// invsqrt(0.4244) = 1.5350 +32'h3e1bb5a2,32'h4020d775,32'h40276817, 32'h401beafc,32'h402c5490, 32'h4013b632,32'h4034895a,// invsqrt(0.1521) = 2.5644 +32'h3ee35f7d,32'h3fbc3c4b,32'h3fc3eb29, 32'h3fb67924,32'h3fc9ae50, 32'h3facde8e,32'h3fd348e6,// invsqrt(0.4441) = 1.5006 +32'h3fda3b69,32'h3f402328,32'h3f47facb, 32'h3f3a416d,32'h3f4ddc85, 32'h3f3073e0,32'h3f57aa12,// invsqrt(1.7049) = 0.7659 +32'h4144f256,32'h3e8f03db,32'h3e94da37, 32'h3e8aa315,32'h3e993afd, 32'h3e835722,32'h3ea086f0,// invsqrt(12.3092) = 0.2850 +32'h4014de52,32'h3f247eea,32'h3f2b35ba, 32'h3f1f75ce,32'h3f303ed6, 32'h3f17114a,32'h3f38a35a,// invsqrt(2.3261) = 0.6557 +32'h3f65586a,32'h3f84876f,32'h3f89f03a, 32'h3f8078d6,32'h3f8dfed2, 32'h3f736bb1,32'h3f94c1d0,// invsqrt(0.8959) = 1.0565 +32'h3f6c1ac9,32'h3f829e44,32'h3f87f318, 32'h3f7d3d4b,32'h3f8bf2b7, 32'h3f6fe939,32'h3f929cbf,// invsqrt(0.9223) = 1.0413 +32'h3ea8ad11,32'h3fda8bf7,32'h3fe3778f, 32'h3fd3db46,32'h3fea2840, 32'h3fc8b4c9,32'h3ff54ebd,// invsqrt(0.3294) = 1.7422 +32'h40715baa,32'h3f01305a,32'h3f06763e, 32'h3efa77dd,32'h3f0a6aa9, 32'h3eed4922,32'h3f110207,// invsqrt(3.7712) = 0.5149 +32'h3f94aea4,32'h3f68c71e,32'h3f724768, 32'h3f61a6e6,32'h3f7967a0, 32'h3f55c689,32'h3f82a3fe,// invsqrt(1.1616) = 0.9278 +32'h3f5b3cd0,32'h3f878cb9,32'h3f8d1515, 32'h3f836675,32'h3f913b59, 32'h3f78f806,32'h3f9825cb,// invsqrt(0.8564) = 1.0806 +32'h3f89240e,32'h3f725ff9,32'h3f7c448b, 32'h3f6af48c,32'h3f81d7fc, 32'h3f5e96d5,32'h3f8806d8,// invsqrt(1.0714) = 0.9661 +32'h403ee0f9,32'h3f11453a,32'h3f173328, 32'h3f0cd2c8,32'h3f1ba59a, 32'h3f05695f,32'h3f230f03,// invsqrt(2.9825) = 0.5790 +32'h40f8e483,32'h3eb3e9fd,32'h3ebb41e8, 32'h3eae680d,32'h3ec0c3d9, 32'h3ea53a28,32'h3ec9f1bf,// invsqrt(7.7779) = 0.3586 +32'h3c95a15c,32'h40e80a05,32'h40f18297, 32'h40e0ef97,32'h40f89d05, 32'h40d518e0,32'h410239de,// invsqrt(0.0183) = 7.3992 +32'h41263e2a,32'h3e9ba9a7,32'h3ea2042b, 32'h3e96e5c4,32'h3ea6c80e, 32'h3e8ef49e,32'h3eaeb934,// invsqrt(10.3902) = 0.3102 +32'h3fe5e976,32'h3f3b317b,32'h3f42d575, 32'h3f35767f,32'h3f489071, 32'h3f2be986,32'h3f521d6a,// invsqrt(1.7962) = 0.7461 +32'h3f6eb7c7,32'h3f81e6bd,32'h3f873413, 32'h3f7bd979,32'h3f8b2e14, 32'h3f6e9822,32'h3f91cebf,// invsqrt(0.9325) = 1.0356 +32'h3faca9b7,32'h3f580241,32'h3f60d353, 32'h3f516573,32'h3f677021, 32'h3f46601c,32'h3f727578,// invsqrt(1.3489) = 0.8610 +32'h3f1ca35f,32'h3fa05d38,32'h3fa6e8dc, 32'h3f9b747d,32'h3fabd197, 32'h3f9345ef,32'h3fb40025,// invsqrt(0.6119) = 1.2784 +32'h410711b9,32'h3eacb1b9,32'h3eb3be33, 32'h3ea7685d,32'h3eb9078f, 32'h3e9e98c4,32'h3ec1d728,// invsqrt(8.4418) = 0.3442 +32'h40861c02,32'h3ef51953,32'h3eff1a5b, 32'h3eed988c,32'h3f034d91, 32'h3ee11742,32'h3f098e36,// invsqrt(4.1909) = 0.4885 +32'h3e88d3b8,32'h3ff2a716,32'h3ffc8e8f, 32'h3feb397b,32'h4001fe15, 32'h3fded823,32'h40082ec0,// invsqrt(0.2672) = 1.9344 +32'h4054f809,32'h3f0987b9,32'h3f0f24c5, 32'h3f0551ef,32'h3f135a8f, 32'h3efc9b3e,32'h3f1a5edf,// invsqrt(3.3276) = 0.5482 +32'h3ff5773b,32'h3f352a60,32'h3f3c8f5f, 32'h3f2f9ea2,32'h3f421b1e, 32'h3f266063,32'h3f4b595d,// invsqrt(1.9177) = 0.7221 +32'h3ec05697,32'h3fcca997,32'h3fd5041b, 32'h3fc665b5,32'h3fdb47fd, 32'h3fbbf490,32'h3fe5b922,// invsqrt(0.3757) = 1.6316 +32'h3fa0bbcb,32'h3f5fe19a,32'h3f6904ee, 32'h3f59071a,32'h3f6fdf6e, 32'h3f4d9af0,32'h3f7b4b98,// invsqrt(1.2557) = 0.8924 +32'h404340cd,32'h3f0fa249,32'h3f157f1d, 32'h3f0b3caa,32'h3f19e4bc, 32'h3f03e8a1,32'h3f2138c5,// invsqrt(3.0508) = 0.5725 +32'h3f3594f5,32'h3f94f162,32'h3f9b05af, 32'h3f906228,32'h3f9f94e8, 32'h3f88c8c7,32'h3fa72e49,// invsqrt(0.7093) = 1.1874 +32'h3f3a11da,32'h3f9322bf,32'h3f99242b, 32'h3f8ea1af,32'h3f9da53b, 32'h3f871fe9,32'h3fa52701,// invsqrt(0.7268) = 1.1730 +32'h3bbcba84,32'h414e9c44,32'h41570b22, 32'h4148491d,32'h415d5e49, 32'h413dbe88,32'h4167e8df,// invsqrt(0.0058) = 13.1767 +32'h402161be,32'h3f1dfd5c,32'h3f247031, 32'h3f19273d,32'h3f294651, 32'h3f1117b3,32'h3f3155db,// invsqrt(2.5216) = 0.6297 +32'h3ee7c955,32'h3fba6f50,32'h3fc20b5d, 32'h3fb4ba45,32'h3fc7c067, 32'h3fab3734,32'h3fd14378,// invsqrt(0.4527) = 1.4862 +32'h3f7c6fca,32'h3f7ca4fc,32'h3f837a6e, 32'h3f74e913,32'h3f875862, 32'h3f68053b,32'h3f8dca4f,// invsqrt(0.9861) = 1.0070 +32'h3f886c1d,32'h3f730328,32'h3f7cee64, 32'h3f6b92bc,32'h3f822f68, 32'h3f5f2cb2,32'h3f88626d,// invsqrt(1.0658) = 0.9686 +32'h3f874f76,32'h3f74023f,32'h3f7df7e3, 32'h3f6c8a03,32'h3f82b80f, 32'h3f6016f6,32'h3f88f196,// invsqrt(1.0571) = 0.9726 +32'h41a96f7a,32'h3e5a0e72,32'h3e62f4e9, 32'h3e536198,32'h3e69a1c2, 32'h3e484182,32'h3e74c1d8,// invsqrt(21.1794) = 0.2173 +32'h3f6f92f3,32'h3f81ab44,32'h3f86f62c, 32'h3f7b662a,32'h3f8aee5b, 32'h3f6e2ae5,32'h3f918bfe,// invsqrt(0.9358) = 1.0337 +32'h3bfe2746,32'h41320ad0,32'h41394f2c, 32'h412c978b,32'h413ec271, 32'h41238218,32'h4147d7e4,// invsqrt(0.0078) = 11.3547 +32'h3fae00ca,32'h3f572ce5,32'h3f5ff541, 32'h3f50969f,32'h3f668b87, 32'h3f459c2b,32'h3f7185fb,// invsqrt(1.3594) = 0.8577 +32'h3e070d6f,32'h402cb477,32'h4033c10e, 32'h40276b05,32'h40390a7f, 32'h401e9b48,32'h4041da3c,// invsqrt(0.1319) = 2.7536 +32'h3bcdffab,32'h4145c299,32'h414dd4fd, 32'h413fb4ce,32'h4153e2c8, 32'h41359dd0,32'h415df9c6,// invsqrt(0.0063) = 12.6123 +32'h40cac715,32'h3ec7531b,32'h3ecf75d9, 32'h3ec1390e,32'h3ed58fe6, 32'h3eb70da1,32'h3edfbb53,// invsqrt(6.3368) = 0.3973 +32'h3dbe46de,32'h404dc4a3,32'h40562ab5, 32'h40477817,32'h405c7741, 32'h403cf881,32'h4066f6d7,// invsqrt(0.0929) = 3.2807 +32'h43003ac1,32'h3db13d79,32'h3db87973, 32'h3dabd07d,32'h3dbde66f, 32'h3da2c584,32'h3dc6f168,// invsqrt(128.2295) = 0.0883 +32'h3f86672b,32'h3f74d4c2,32'h3f7ed2fe, 32'h3f6d5615,32'h3f8328d6, 32'h3f60d84a,32'h3f8967bb,// invsqrt(1.0500) = 0.9759 +32'h3f6515fc,32'h3f849aa5,32'h3f8a0439, 32'h3f808b76,32'h3f8e1368, 32'h3f738efb,32'h3f94d760,// invsqrt(0.8949) = 1.0571 +32'h418ce0a1,32'h3e6f2391,32'h3e78e653, 32'h3e67d180,32'h3e801c32, 32'h3e5b9e0d,32'h3e8635ec,// invsqrt(17.6097) = 0.2383 +32'h4055e8f0,32'h3f093a31,32'h3f0ed414, 32'h3f0506c8,32'h3f13077e, 32'h3efc0cd9,32'h3f1a07da,// invsqrt(3.3423) = 0.5470 +32'h3ed64c57,32'h3fc1e491,32'h3fc9ce8d, 32'h3fbbf515,32'h3fcfbe09, 32'h3fb2109a,32'h3fd9a284,// invsqrt(0.4186) = 1.5457 +32'h3faf6e66,32'h3f564c38,32'h3f5f0b69, 32'h3f4fbcd2,32'h3f659ace, 32'h3f44cdd5,32'h3f7089cb,// invsqrt(1.3706) = 0.8542 +32'h3e958431,32'h3fe820a6,32'h3ff19a24, 32'h3fe10586,32'h3ff8b544, 32'h3fd52da8,32'h40024691,// invsqrt(0.2920) = 1.8505 +32'h3ef8aab7,32'h3fb3fee5,32'h3fbb57aa, 32'h3fae7c50,32'h3fc0da3e, 32'h3fa54d5a,32'h3fca0935,// invsqrt(0.4857) = 1.4349 +32'h4045b87e,32'h3f0ebc1e,32'h3f148f8d, 32'h3f0a5d8b,32'h3f18ee21, 32'h3f031541,32'h3f20366b,// invsqrt(3.0894) = 0.5689 +32'h3efe8d06,32'h3fb1e737,32'h3fb92a1f, 32'h3fac7509,32'h3fbe9c4d, 32'h3fa36167,32'h3fc7afef,// invsqrt(0.4972) = 1.4182 +32'h3f099b61,32'h3fab182c,32'h3fb213ef, 32'h3fa5db59,32'h3fb750c1, 32'h3f9d20a5,32'h3fc00b75,// invsqrt(0.5375) = 1.3640 +32'h3d907390,32'h406c297d,32'h4075cd24, 32'h4064eebf,32'h407d07e1, 32'h4058e22e,32'h40848a39,// invsqrt(0.0705) = 3.7653 +32'h3f3fc9fc,32'h3f90ece0,32'h3f96d732, 32'h3f8c7d22,32'h3f9b46f0, 32'h3f85183b,32'h3fa2abd7,// invsqrt(0.7492) = 1.1553 +32'h3fd02334,32'h3f44bdcf,32'h3f4cc58f, 32'h3f3eb800,32'h3f52cb5e, 32'h3f34ae51,32'h3f5cd50d,// invsqrt(1.6261) = 0.7842 +32'h3e2998d5,32'h401a1da0,32'h402067fa, 32'h401565dc,32'h40251fbe, 32'h400d88ec,32'h402cfcae,// invsqrt(0.1656) = 2.4572 +32'h3f91b0f6,32'h3f6b27b1,32'h3f74c0d2, 32'h3f63f4d7,32'h3f7bf3ab, 32'h3f57f56e,32'h3f83f98a,// invsqrt(1.1382) = 0.9373 +32'h3f498643,32'h3f8d61a1,32'h3f9326eb, 32'h3f890da9,32'h3f977ae3, 32'h3f81d70c,32'h3f9eb180,// invsqrt(0.7872) = 1.1271 +32'h3e8453ea,32'h3ff6be4e,32'h40006842, 32'h3fef30a4,32'h40042f17, 32'h3fe299de,32'h400a7a7a,// invsqrt(0.2585) = 1.9670 +32'h400b1883,32'h3f2a2d23,32'h3f311f4e, 32'h3f24f782,32'h3f3654ee, 32'h3f1c48cc,32'h3f3f03a4,// invsqrt(2.1734) = 0.6783 +32'h405c81a4,32'h3f0728bd,32'h3f0cad04, 32'h3f030589,32'h3f10d039, 32'h3ef84062,32'h3f17b591,// invsqrt(3.4454) = 0.5387 +32'h3f575638,32'h3f88c59c,32'h3f8e5abc, 32'h3f8495c3,32'h3f928a95, 32'h3f7b36b6,32'h3f9984fd,// invsqrt(0.8412) = 1.0903 +32'h401c6cbc,32'h3f207937,32'h3f2705ff, 32'h3f1b8fa0,32'h3f2bef96, 32'h3f135fa5,32'h3f341f91,// invsqrt(2.4441) = 0.6396 +32'h3ef23fa4,32'h3fb65d52,32'h3fbdced8, 32'h3fb0c82e,32'h3fc363fc, 32'h3fa77a46,32'h3fccb1e4,// invsqrt(0.4731) = 1.4538 +32'h422125d8,32'h3e1e1ab6,32'h3e248ebe, 32'h3e1943b1,32'h3e2965c3, 32'h3e1132a7,32'h3e3176cd,// invsqrt(40.2870) = 0.1575 +32'h3fba2ddd,32'h3f50052c,32'h3f5882c5, 32'h3f49a6f8,32'h3f5ee0f8, 32'h3f3f09f9,32'h3f697df7,// invsqrt(1.4545) = 0.8292 +32'h4115c936,32'h3ea3fdbd,32'h3eaaaf47, 32'h3e9ef895,32'h3eafb46f, 32'h3e969aa8,32'h3eb8125c,// invsqrt(9.3616) = 0.3268 +32'h3fbc3717,32'h3f4ee45a,32'h3f575629, 32'h3f488efe,32'h3f5dab84, 32'h3f3e00ba,32'h3f6839c8,// invsqrt(1.4704) = 0.8247 +32'h3f945810,32'h3f690b01,32'h3f728e11, 32'h3f61e8b5,32'h3f79b05d, 32'h3f5604e2,32'h3f82ca18,// invsqrt(1.1589) = 0.9289 +32'h3f34e395,32'h3f953a56,32'h3f9b519e, 32'h3f90a8e1,32'h3f9fe313, 32'h3f890bc7,32'h3fa7802d,// invsqrt(0.7066) = 1.1896 +32'h3ea513d9,32'h3fdcea7b,32'h3fe5eed3, 32'h3fd62738,32'h3fecb216, 32'h3fcae1ca,32'h3ff7f785,// invsqrt(0.3224) = 1.7611 +32'h3fe9d518,32'h3f399e0e,32'h3f413192, 32'h3f33ef6c,32'h3f46e034, 32'h3f2a7708,32'h3f505898,// invsqrt(1.8268) = 0.7399 +32'h3fdc0b51,32'h3f3f5833,32'h3f47278e, 32'h3f397caf,32'h3f4d0313, 32'h3f2fb97e,32'h3f56c644,// invsqrt(1.7191) = 0.7627 +32'h3e37d15c,32'h401408c5,32'h401a1393, 32'h400f80aa,32'h401e9bae, 32'h4007f328,32'h40262930,// invsqrt(0.1795) = 2.3602 +32'h3fa9f71d,32'h3f59b75e,32'h3f629a48, 32'h3f530d2f,32'h3f694477, 32'h3f47f18b,32'h3f74601b,// invsqrt(1.3279) = 0.8678 +32'h3fad80d0,32'h3f577c32,32'h3f6047cc, 32'h3f50e37f,32'h3f66e07f, 32'h3f45e4ff,32'h3f71deff,// invsqrt(1.3555) = 0.8589 +32'h3f239f97,32'h3f9ce75c,32'h3fa34ed8, 32'h3f9819bf,32'h3fa81c75, 32'h3f901864,32'h3fb01dd0,// invsqrt(0.6392) = 1.2508 +32'h3fb42658,32'h3f5378fe,32'h3f5c1aaa, 32'h3f4cffbd,32'h3f6293eb, 32'h3f4235a5,32'h3f6d5e03,// invsqrt(1.4074) = 0.8429 +32'h3f91c565,32'h3f6b1735,32'h3f74afaa, 32'h3f63e4dd,32'h3f7be201, 32'h3f57e64a,32'h3f83f04a,// invsqrt(1.1388) = 0.9371 +32'h40b20379,32'h3ed4bcda,32'h3edd6bbf, 32'h3ece39b0,32'h3ee3eeea, 32'h3ec35f12,32'h3eeec988,// invsqrt(5.5629) = 0.4240 +32'h3f8463a3,32'h3f76afa6,32'h3f8060a3, 32'h3f6f2270,32'h3f84273e, 32'h3f628c6a,32'h3f8a7241,// invsqrt(1.0343) = 0.9833 +32'h4032d685,32'h3f1614c7,32'h3f1c34f9, 32'h3f117ca2,32'h3f20cd1e, 32'h3f09d463,32'h3f28755d,// invsqrt(2.7943) = 0.5982 +32'h3e6be580,32'h4002ad04,32'h40080272, 32'h3ffd59e3,32'h400c0284, 32'h3ff00451,32'h4012ad4e,// invsqrt(0.2304) = 2.0835 +32'h3e801ae2,32'h3ffac6f3,32'h400281a8, 32'h3ff319ad,32'h4006584b, 32'h3fe64e38,32'h400cbe06,// invsqrt(0.2502) = 1.9992 +32'h401283da,32'h3f25cfc5,32'h3f2c9455, 32'h3f20bc59,32'h3f31a7c1, 32'h3f1846a5,32'h3f3a1d75,// invsqrt(2.2893) = 0.6609 +32'h40920386,32'h3eeae52b,32'h3ef47b95, 32'h3ee3b45b,32'h3efbac65, 32'h3ed7b856,32'h3f03d435,// invsqrt(4.5629) = 0.4681 +32'h40882284,32'h3ef344d0,32'h3efd32b9, 32'h3eebd261,32'h3f025294, 32'h3edf68fd,32'h3f088745,// invsqrt(4.2542) = 0.4848 +32'h3fc65bd4,32'h3f49885b,32'h3f51c22b, 32'h3f435d00,32'h3f57ed86, 32'h3f3914bc,32'h3f6235ca,// invsqrt(1.5497) = 0.8033 +32'h41a01f08,32'h3e604f17,32'h3e6976e3, 32'h3e59713d,32'h3e7054bd, 32'h3e4dff7d,32'h3e7bc67d,// invsqrt(20.0152) = 0.2235 +32'h3f49ba93,32'h3f8d4f4b,32'h3f9313d5, 32'h3f88fbe2,32'h3f97673e, 32'h3f81c635,32'h3f9e9ceb,// invsqrt(0.7880) = 1.1265 +32'h3f6e10a1,32'h3f82144f,32'h3f876381, 32'h3f7c31d2,32'h3f8b5ee7, 32'h3f6eebd5,32'h3f9201e6,// invsqrt(0.9299) = 1.0370 +32'h3f6ce374,32'h3f8266e5,32'h3f87b977, 32'h3f7cd1f1,32'h3f8bb764, 32'h3f6f8386,32'h3f925e99,// invsqrt(0.9253) = 1.0396 +32'h3fd081cc,32'h3f449129,32'h3f4c9716, 32'h3f3e8cb8,32'h3f529b88, 32'h3f348550,32'h3f5ca2f0,// invsqrt(1.6290) = 0.7835 +32'h40194b7a,32'h3f221a7c,32'h3f28b84c, 32'h3f1d241f,32'h3f2daea9, 32'h3f14deda,32'h3f35f3ee,// invsqrt(2.3952) = 0.6461 +32'h3fd4ed38,32'h3f42842d,32'h3f4a74ad, 32'h3f3c8fce,32'h3f50690c, 32'h3f32a32f,32'h3f5a55ab,// invsqrt(1.6635) = 0.7753 +32'h3e778a69,32'h3fff2177,32'h4004c5a9, 32'h3ff75212,32'h4008ad5b, 32'h3fea4dc0,32'h400f2f84,// invsqrt(0.2417) = 2.0339 +32'h3ff01dd4,32'h3f372c22,32'h3f3ea618, 32'h3f3190a8,32'h3f444192, 32'h3f283834,32'h3f4d9a06,// invsqrt(1.8759) = 0.7301 +32'h3fcf4d61,32'h3f45232c,32'h3f4d2f0e, 32'h3f3f1a42,32'h3f5337f8, 32'h3f350b67,32'h3f5d46d3,// invsqrt(1.6195) = 0.7858 +32'h3e0fe40f,32'h40275117,32'h402e2561, 32'h402231df,32'h40334499, 32'h4019a883,32'h403bcdf5,// invsqrt(0.1405) = 2.6677 +32'h3f4708d1,32'h3f8e4353,32'h3f9411d3, 32'h3f89e872,32'h3f986cb4, 32'h3f82a651,32'h3f9faed5,// invsqrt(0.7775) = 1.1341 +32'h4001da29,32'h3f302114,32'h3f375172, 32'h3f2abccc,32'h3f3cb5ba, 32'h3f21c056,32'h3f45b230,// invsqrt(2.0289) = 0.7020 +32'h3fadd22a,32'h3f5749bf,32'h3f601349, 32'h3f50b297,32'h3f66aa71, 32'h3f45b6aa,32'h3f71a65e,// invsqrt(1.3580) = 0.8581 +32'h4041ad56,32'h3f103797,32'h3f161a83, 32'h3f0bcd66,32'h3f1a84b4, 32'h3f0471bf,32'h3f21e05b,// invsqrt(3.0262) = 0.5748 +32'h3e6be99a,32'h4002abe1,32'h40080143, 32'h3ffd57af,32'h400c014c, 32'h3ff0023a,32'h4012ac07,// invsqrt(0.2304) = 2.0834 +32'h3f62ee14,32'h3f853b83,32'h3f8aaba7, 32'h3f812767,32'h3f8ebfc3, 32'h3f74b673,32'h3f958bf1,// invsqrt(0.8864) = 1.0621 +32'h3f83e8eb,32'h3f77224b,32'h3f809c4c, 32'h3f6f9193,32'h3f8464a9, 32'h3f62f5b3,32'h3f8ab298,// invsqrt(1.0305) = 0.9851 +32'h3ef52fdb,32'h3fb544bd,32'h3fbcaacf, 32'h3fafb82f,32'h3fc2375d, 32'h3fa67899,32'h3fcb76f3,// invsqrt(0.4789) = 1.4451 +32'h406f0fe6,32'h3f01cec9,32'h3f071b25, 32'h3efbab08,32'h3f0b146a, 32'h3eee6c23,32'h3f11b3dc,// invsqrt(3.7353) = 0.5174 +32'h3fb146d3,32'h3f552dee,32'h3f5de170, 32'h3f4ea74d,32'h3f646811, 32'h3f43c6ea,32'h3f6f4874,// invsqrt(1.3850) = 0.8497 +32'h3f9ce7cc,32'h3f62988b,32'h3f6bd83d, 32'h3f5ba8c5,32'h3f72c803, 32'h3f501927,32'h3f7e57a1,// invsqrt(1.2258) = 0.9032 +32'h3bfdf978,32'h41321ade,32'h41395fe2, 32'h412ca71b,32'h413ed3a5, 32'h412390d6,32'h4147e9ea,// invsqrt(0.0078) = 11.3587 +32'h3eaac170,32'h3fd9363c,32'h3fe213e0, 32'h3fd29000,32'h3fe8ba1c, 32'h3fc77af3,32'h3ff3cf29,// invsqrt(0.3335) = 1.7316 +32'h3ed7cd20,32'h3fc13767,32'h3fc91a51, 32'h3fbb4d37,32'h3fcf0481, 32'h3fb17193,32'h3fd8e025,// invsqrt(0.4215) = 1.5403 +32'h3e1b4987,32'h40210f68,32'h4027a252, 32'h401c2138,32'h402c9082, 32'h4013e994,32'h4034c826,// invsqrt(0.1516) = 2.5679 +32'h3f5d4b23,32'h3f86eb27,32'h3f8c6ce9, 32'h3f82c9d4,32'h3f908e3c, 32'h3f77cf42,32'h3f97706f,// invsqrt(0.8644) = 1.0756 +32'h40820943,32'h3ef8e875,32'h3f0188a5, 32'h3ef149d5,32'h3f0557f6, 32'h3ee496ca,32'h3f0bb17b,// invsqrt(4.0636) = 0.4961 +32'h4066ca78,32'h3f041d04,32'h3f098178, 32'h3f0011ae,32'h3f0d8cce, 32'h3ef2a83c,32'h3f144a5e,// invsqrt(3.6061) = 0.5266 +32'h3e6dbb67,32'h40022b9e,32'h40077bc4, 32'h3ffc5f04,32'h400b77e0, 32'h3fef16a5,32'h40121c10,// invsqrt(0.2322) = 2.0754 +32'h40478e39,32'h3f0e13bd,32'h3f13e04d, 32'h3f09ba52,32'h3f1839b8, 32'h3f027a9e,32'h3f1f796c,// invsqrt(3.1181) = 0.5663 +32'h3fca70f3,32'h3f477d7e,32'h3f4fa1f6, 32'h3f416224,32'h3f55bd50, 32'h3f37348e,32'h3f5feae6,// invsqrt(1.5816) = 0.7952 +32'h3f1b179c,32'h3fa12951,32'h3fa7bd4a, 32'h3f9c3a57,32'h3facac45, 32'h3f940160,32'h3fb4e53c,// invsqrt(0.6058) = 1.2848 +32'h3fc20e50,32'h3f4bc133,32'h3f54123a, 32'h3f45846d,32'h3f5a4eff, 32'h3f3b1f24,32'h3f64b448,// invsqrt(1.5161) = 0.8122 +32'h3fd54c8c,32'h3f4258b1,32'h3f4a4769, 32'h3f3c65a6,32'h3f503a74, 32'h3f327b3f,32'h3f5a24db,// invsqrt(1.6664) = 0.7747 +32'h3f9b094d,32'h3f63f52c,32'h3f6d4319, 32'h3f5cfabb,32'h3f743d8b, 32'h3f515953,32'h3f7fdef3,// invsqrt(1.2112) = 0.9086 +32'h3fce5e57,32'h3f459537,32'h3f4da5c2, 32'h3f3f88d0,32'h3f53b22a, 32'h3f357424,32'h3f5dc6d6,// invsqrt(1.6123) = 0.7876 +32'h3f153a8d,32'h3fa44c0d,32'h3fab00c9, 32'h3f9f447f,32'h3fb00857, 32'h3f96e294,32'h3fb86a43,// invsqrt(0.5829) = 1.3098 +32'h40099ca6,32'h3f2b1762,32'h3f32131c, 32'h3f25da95,32'h3f374fe9, 32'h3f1d1fec,32'h3f400a92,// invsqrt(2.1502) = 0.6820 +32'h3e7a286a,32'h3ffdcae7,32'h40041364, 32'h3ff60600,32'h4007f5d8, 32'h3fe91329,32'h400e6f44,// invsqrt(0.2443) = 2.0232 +32'h3fb4e466,32'h3f5309c9,32'h3f5ba6eb, 32'h3f4c93ef,32'h3f621cc5, 32'h3f41cf84,32'h3f6ce130,// invsqrt(1.4132) = 0.8412 +32'h40023b55,32'h3f2fdf52,32'h3f370d02, 32'h3f2a7d0e,32'h3f3c6f46, 32'h3f2183f3,32'h3f456861,// invsqrt(2.0349) = 0.7010 +32'h3f56cb4a,32'h3f88f1d0,32'h3f8e88be, 32'h3f84c09d,32'h3f92b9f1, 32'h3f7b87e6,32'h3f99b69b,// invsqrt(0.8390) = 1.0917 +32'h3f9ab50f,32'h3f643335,32'h3f6d83aa, 32'h3f5d36dd,32'h3f748001, 32'h3f51924a,32'h3f80124a,// invsqrt(1.2087) = 0.9096 +32'h3e70403a,32'h40017c78,32'h4006c578, 32'h3ffb0b71,32'h400abc38, 32'h3fedd4f2,32'h40115777,// invsqrt(0.2346) = 2.0645 +32'h419c4244,32'h3e631071,32'h3e6c5507, 32'h3e5c1cff,32'h3e734879, 32'h3e508743,32'h3e7ede35,// invsqrt(19.5324) = 0.2263 +32'h3fc6f7fe,32'h3f493935,32'h3f516fc9, 32'h3f431045,32'h3f5798b9, 32'h3f38cc0c,32'h3f61dcf2,// invsqrt(1.5544) = 0.8021 +32'h3f583f82,32'h3f887bc1,32'h3f8e0dde, 32'h3f844e2c,32'h3f923b74, 32'h3f7aaf10,32'h3f993218,// invsqrt(0.8447) = 1.0880 +32'h4011fd06,32'h3f261c45,32'h3f2ce3f5, 32'h3f210682,32'h3f31f9b8, 32'h3f188ce7,32'h3f3a7353,// invsqrt(2.2811) = 0.6621 +32'h3efb4f5b,32'h3fb30bf0,32'h3fba5aca, 32'h3fad90cc,32'h3fbfd5ee, 32'h3fa46e3a,32'h3fc8f880,// invsqrt(0.4908) = 1.4273 +32'h3f5ea24f,32'h3f868303,32'h3f8c0085, 32'h3f8264e1,32'h3f901ea7, 32'h3f770ffa,32'h3f96fb8b,// invsqrt(0.8697) = 1.0723 +32'h3f33082b,32'h3f95fff6,32'h3f9c1f4e, 32'h3f916874,32'h3fa0b6d0, 32'h3f89c145,32'h3fa85dff,// invsqrt(0.6993) = 1.1958 +32'h3d5ebe78,32'h40867a82,32'h408bf7ac, 32'h40825ca3,32'h4090158b, 32'h4077005d,32'h4096f200,// invsqrt(0.0544) = 4.2882 +32'h3e14fef2,32'h40246ce7,32'h402b22fb, 32'h401f6458,32'h40302b8a, 32'h401700bf,32'h40388f23,// invsqrt(0.1455) = 2.6216 +32'h3eef775d,32'h3fb76bc1,32'h3fbee851, 32'h3fb1ce55,32'h3fc485bd, 32'h3fa872a2,32'h3fcde170,// invsqrt(0.4677) = 1.4622 +32'h3d26ea26,32'h409b5960,32'h40a1b09e, 32'h409697f2,32'h40a6720c, 32'h408eaae6,32'h40ae5f18,// invsqrt(0.0408) = 4.9537 +32'h3f66e7fe,32'h3f841492,32'h3f8978ac, 32'h3f80097d,32'h3f8d83c1, 32'h3f7298b8,32'h3f9440e2,// invsqrt(0.9020) = 1.0529 +32'h3ff7d3b0,32'h3f344cea,32'h3f3ba8de, 32'h3f2ec7f2,32'h3f412dd6, 32'h3f259500,32'h3f4a60c8,// invsqrt(1.9361) = 0.7187 +32'h40447944,32'h3f0f2fe4,32'h3f15080d, 32'h3f0acdc6,32'h3f196a2c, 32'h3f037f93,32'h3f20b85f,// invsqrt(3.0699) = 0.5707 +32'h3f49af3b,32'h3f8d5344,32'h3f9317f8, 32'h3f88ffbc,32'h3f976b80, 32'h3f81c9db,32'h3f9ea161,// invsqrt(0.7878) = 1.1266 +32'h3f948b8e,32'h3f68e29a,32'h3f726403, 32'h3f61c18a,32'h3f798512, 32'h3f55dfc6,32'h3f82b36b,// invsqrt(1.1605) = 0.9283 +32'h3fb69c4a,32'h3f520b00,32'h3f5a9dbc, 32'h3f4b9cf3,32'h3f610bc9, 32'h3f40e588,32'h3f6bc334,// invsqrt(1.4266) = 0.8372 +32'h3f24103c,32'h3f9cb176,32'h3fa316be, 32'h3f97e57f,32'h3fa7e2b5, 32'h3f8fe6e4,32'h3fafe150,// invsqrt(0.6409) = 1.2491 +32'h4076c00a,32'h3eff8a00,32'h3f04fc10, 32'h3ef7b769,32'h3f08e55c, 32'h3eeaadc2,32'h3f0f6a2f,// invsqrt(3.8555) = 0.5093 +32'h3f94a6b5,32'h3f68cd54,32'h3f724ddf, 32'h3f61aceb,32'h3f796e47, 32'h3f55cc3d,32'h3f82a77b,// invsqrt(1.1613) = 0.9279 +32'h40011062,32'h3f30aa8c,32'h3f37e088, 32'h3f2b4210,32'h3f3d4904, 32'h3f223e96,32'h3f464c7e,// invsqrt(2.0166) = 0.7042 +32'h407dec94,32'h3efbe745,32'h3f0317b4, 32'h3ef4312b,32'h3f06f2c0, 32'h3ee75701,32'h3f0d5fd6,// invsqrt(3.9676) = 0.5020 +32'h3fe7709d,32'h3f3a9308,32'h3f42308a, 32'h3f34dce5,32'h3f47e6ad, 32'h3f2b5802,32'h3f516b90,// invsqrt(1.8081) = 0.7437 +32'h3eccde8c,32'h3fc64df3,32'h3fce6608, 32'h3fc03be4,32'h3fd47818, 32'h3fb61dcb,32'h3fde9631,// invsqrt(0.4001) = 1.5809 +32'h3d1b2fbd,32'h40a11cc9,32'h40a7b03f, 32'h409c2e31,32'h40ac9ed7, 32'h4093f5dd,32'h40b4d72b,// invsqrt(0.0379) = 5.1375 +32'h3fad03d2,32'h3f57c9fa,32'h3f6098c0, 32'h3f512ee5,32'h3f6733d5, 32'h3f462c6d,32'h3f72364d,// invsqrt(1.3517) = 0.8601 +32'h3f57ded5,32'h3f889a4e,32'h3f8e2daa, 32'h3f846bc9,32'h3f925c2f, 32'h3f7ae72c,32'h3f995462,// invsqrt(0.8432) = 1.0890 +32'h3e31f3aa,32'h40167452,32'h401c986a, 32'h4011d940,32'h4021337c, 32'h400a2c21,32'h4028e09b,// invsqrt(0.1738) = 2.3988 +32'h407225bc,32'h3f00fa68,32'h3f063e18, 32'h3efa0f46,32'h3f0a30dd, 32'h3eece60d,32'h3f10c57a,// invsqrt(3.7836) = 0.5141 +32'h3e7c782f,32'h3ffca0c9,32'h4003783f, 32'h3ff4e502,32'h40075623, 32'h3fe80160,32'h400dc7f4,// invsqrt(0.2466) = 2.0139 +32'h3ef2a879,32'h3fb635ea,32'h3fbda5d4, 32'h3fb0a1fa,32'h3fc339c4, 32'h3fa75616,32'h3fcc85a9,// invsqrt(0.4739) = 1.4526 +32'h3f0aa1a8,32'h3faa7606,32'h3fb16b2b, 32'h3fa53e2b,32'h3fb6a307, 32'h3f9c8bbd,32'h3fbf5575,// invsqrt(0.5415) = 1.3589 +32'h3e92f9c3,32'h3fea2014,32'h3ff3ae72, 32'h3fe2f54c,32'h3ffad93a, 32'h3fd70356,32'h40036598,// invsqrt(0.2871) = 1.8664 +32'h3fc3d793,32'h3f4ad2c9,32'h3f531a15, 32'h3f449d50,32'h3f594f8e, 32'h3f3a4431,32'h3f63a8ad,// invsqrt(1.5300) = 0.8084 +32'h3f8a1397,32'h3f718d61,32'h3f7b695b, 32'h3f6a2866,32'h3f81672b, 32'h3f5dd56e,32'h3f8790a7,// invsqrt(1.0787) = 0.9628 +32'h3f54a8d2,32'h3f89a154,32'h3f8f3f6c, 32'h3f856ac2,32'h3f9375fe, 32'h3f7cca46,32'h3f9a7b9d,// invsqrt(0.8307) = 1.0972 +32'h3ec1e948,32'h3fcbd4a6,32'h3fd42679, 32'h3fc59749,32'h3fda63d7, 32'h3fbb3102,32'h3fe4ca1e,// invsqrt(0.3787) = 1.6249 +32'h406abe21,32'h3f02ff20,32'h3f0857e8, 32'h3efdf914,32'h3f0c5a7e, 32'h3ef09b21,32'h3f130978,// invsqrt(3.6679) = 0.5221 +32'h40aa7916,32'h3ed9644f,32'h3ee243d5, 32'h3ed2bcab,32'h3ee8eb79, 32'h3ec7a543,32'h3ef402e1,// invsqrt(5.3273) = 0.4333 +32'h3f3a00cb,32'h3f93297f,32'h3f992b30, 32'h3f8ea839,32'h3f9dac75, 32'h3f87261b,32'h3fa52e93,// invsqrt(0.7266) = 1.1732 +32'h3fcf8559,32'h3f450895,32'h3f4d1361, 32'h3f3f007b,32'h3f531b7b, 32'h3f34f2fc,32'h3f5d28fb,// invsqrt(1.6213) = 0.7854 +32'h3eb2c767,32'h3fd44828,32'h3fdcf248, 32'h3fcdc88f,32'h3fe371e1, 32'h3fc2f3e6,32'h3fee468a,// invsqrt(0.3492) = 1.6923 +32'h3f7b6599,32'h3f7d2a9a,32'h3f83bff7, 32'h3f756a9a,32'h3f879ff7, 32'h3f687ff0,32'h3f8e154c,// invsqrt(0.9820) = 1.0091 +32'h3eedba98,32'h3fb81706,32'h3fbf9a94, 32'h3fb2745c,32'h3fc53d3e, 32'h3fa90fec,32'h3fcea1ae,// invsqrt(0.4643) = 1.4676 +32'h3eb0d437,32'h3fd572f8,32'h3fde294c, 32'h3fceea3a,32'h3fe4b20a, 32'h3fc40652,32'h3fef95f2,// invsqrt(0.3454) = 1.7016 +32'h4031472c,32'h3f16bd72,32'h3f1ce487, 32'h3f122024,32'h3f2181d6, 32'h3f0a6f4a,32'h3f2932b0,// invsqrt(2.7700) = 0.6008 +32'h3fbc81d6,32'h3f4ebb51,32'h3f572b73, 32'h3f486737,32'h3f5d7f8d, 32'h3f3ddb0b,32'h3f680bb9,// invsqrt(1.4727) = 0.8240 +32'h3fa5668f,32'h3f5cb337,32'h3f65b54f, 32'h3f55f1a6,32'h3f6c76e0, 32'h3f4aaf09,32'h3f77b97d,// invsqrt(1.2922) = 0.8797 +32'h3f4cea1c,32'h3f8c34fe,32'h3f91ee03, 32'h3f87ea3a,32'h3f9638c6, 32'h3f80c2f3,32'h3f9d600d,// invsqrt(0.8004) = 1.1177 +32'h3f0f031b,32'h3fa7d47b,32'h3faeae21, 32'h3fa2b13d,32'h3fb3d15f, 32'h3f9a212d,32'h3fbc616f,// invsqrt(0.5586) = 1.3379 +32'h3f59d453,32'h3f87fcb4,32'h3f8d89a2, 32'h3f83d302,32'h3f91b354, 32'h3f79c5b4,32'h3f98a37c,// invsqrt(0.8509) = 1.0841 +32'h3f42988e,32'h3f8fe053,32'h3f95bfaf, 32'h3f8b78ce,32'h3f9a2734, 32'h3f84219a,32'h3fa17e68,// invsqrt(0.7601) = 1.1470 +32'h402fef83,32'h3f175064,32'h3f1d7d78, 32'h3f12ae95,32'h3f221f47, 32'h3f0af63d,32'h3f29d79f,// invsqrt(2.7490) = 0.6031 +32'h409bcb54,32'h3ee3670d,32'h3eecaf2d, 32'h3edc70f5,32'h3ef3a545, 32'h3ed0d6cd,32'h3eff3f6d,// invsqrt(4.8686) = 0.4532 +32'h419801d1,32'h3e6637c9,32'h3e6f9d53, 32'h3e5f2ba0,32'h3e76a97c, 32'h3e536cb3,32'h3e813434,// invsqrt(19.0009) = 0.2294 +32'h3e99fc03,32'h3fe4bc29,32'h3fee1235, 32'h3fddbba0,32'h3ff512be, 32'h3fd21011,32'h40005f27,// invsqrt(0.3008) = 1.8235 +32'h3f956a4f,32'h3f6834c0,32'h3f71af10, 32'h3f611903,32'h3f78cacd, 32'h3f55401e,32'h3f8251d9,// invsqrt(1.1673) = 0.9256 +32'h3f7079dc,32'h3f816cf3,32'h3f86b551, 32'h3f7aed5a,32'h3f8aab97, 32'h3f6db870,32'h3f91460c,// invsqrt(0.9394) = 1.0318 +32'h3f82e0ba,32'h3f781b3d,32'h3f811dd9, 32'h3f7082e5,32'h3f84ea05, 32'h3f63da52,32'h3f8b3e4f,// invsqrt(1.0225) = 0.9889 +32'h3fd14aa0,32'h3f4432c3,32'h3f4c34d6, 32'h3f3e3136,32'h3f523664, 32'h3f342e9f,32'h3f5c38fb,// invsqrt(1.6351) = 0.7820 +32'h3a94d3bb,32'h41e8aa1a,32'h41f22936, 32'h41e18ac6,32'h41f9488a, 32'h41d5abe4,32'h420293b6,// invsqrt(0.0011) = 29.6766 +32'h3d179011,32'h40a306ef,32'h40a9ae67, 32'h409e0956,32'h40aeac00, 32'h4095b800,32'h40b6fd56,// invsqrt(0.0370) = 5.1986 +32'h3ead0c7f,32'h3fd7c491,32'h3fe0931f, 32'h3fd129a7,32'h3fe72e09, 32'h3fc62776,32'h3ff2303a,// invsqrt(0.3380) = 1.7201 +32'h3f1ffc50,32'h3f9ead76,32'h3fa5277b, 32'h3f99d1f3,32'h3faa02ff, 32'h3f91b96d,32'h3fb21b85,// invsqrt(0.6249) = 1.2650 +32'h3f4b5792,32'h3f8cbf81,32'h3f927e2d, 32'h3f88707f,32'h3f96cd2f, 32'h3f814228,32'h3f9dfb86,// invsqrt(0.7943) = 1.1220 +32'h3f1abf49,32'h3fa15749,32'h3fa7ed22, 32'h3f9c66e6,32'h3facdd84, 32'h3f942b96,32'h3fb518d4,// invsqrt(0.6045) = 1.2862 +32'h3c95b58d,32'h40e7fa5e,32'h40f1724d, 32'h40e0e06b,32'h40f88c41, 32'h40d50a81,32'h41023116,// invsqrt(0.0183) = 7.3973 +32'h3fd12ad4,32'h3f4441ac,32'h3f4c445b, 32'h3f3e3faa,32'h3f52465e, 32'h3f343c50,32'h3f5c49b8,// invsqrt(1.6341) = 0.7823 +32'h408eabf2,32'h3eeda168,32'h3ef75466, 32'h3ee65b28,32'h3efe9aa6, 32'h3eda3b6a,32'h3f055d32,// invsqrt(4.4585) = 0.4736 +32'h41bbd68b,32'h3e4f197e,32'h3e578d79, 32'h3e48c283,32'h3e5de475, 32'h3e3e3189,32'h3e68756f,// invsqrt(23.4798) = 0.2064 +32'h3f3ff7fe,32'h3f90db81,32'h3f96c51d, 32'h3f8c6c4b,32'h3f9b3453, 32'h3f850847,32'h3fa29857,// invsqrt(0.7499) = 1.1548 +32'h40321fb9,32'h3f1661b5,32'h3f1c850b, 32'h3f11c735,32'h3f211f8b, 32'h3f0a1b0a,32'h3f28cbb6,// invsqrt(2.7832) = 0.5994 +32'h3ef396be,32'h3fb5dcb6,32'h3fbd48fc, 32'h3fb04b81,32'h3fc2da31, 32'h3fa7042a,32'h3fcc2188,// invsqrt(0.4758) = 1.4498 +32'h3f3fc77a,32'h3f90edd2,32'h3f96d82e, 32'h3f8c7e0d,32'h3f9b47f3, 32'h3f85191a,32'h3fa2ace6,// invsqrt(0.7491) = 1.1554 +32'h3f0d6886,32'h3fa8c771,32'h3fafab03, 32'h3fa39cc4,32'h3fb4d5b0, 32'h3f9b004e,32'h3fbd7226,// invsqrt(0.5524) = 1.3455 +32'h3f6ec9aa,32'h3f81e1df,32'h3f872f03, 32'h3f7bd00a,32'h3f8b28dd, 32'h3f6e8f32,32'h3f91c949,// invsqrt(0.9328) = 1.0354 +32'h40f7af41,32'h3eb45a2c,32'h3ebbb6ac, 32'h3eaed4cd,32'h3ec13c0b, 32'h3ea5a12e,32'h3eca6faa,// invsqrt(7.7401) = 0.3594 +32'h3f61cf57,32'h3f859000,32'h3f8b0397, 32'h3f81794e,32'h3f8f1a48, 32'h3f7551a1,32'h3f95eac6,// invsqrt(0.8821) = 1.0648 +32'h3fa3c38e,32'h3f5dccdb,32'h3f66da71, 32'h3f5702aa,32'h3f6da4a2, 32'h3f4bb1af,32'h3f78f59d,// invsqrt(1.2794) = 0.8841 +32'h3f28bc6d,32'h3f9a8227,32'h3fa0d09b, 32'h3f95c74f,32'h3fa58b73, 32'h3f8de53e,32'h3fad6d84,// invsqrt(0.6591) = 1.2317 +32'h3e80110f,32'h3ffad092,32'h400286aa, 32'h3ff32300,32'h40065d73, 32'h3fe6570e,32'h400cc36c,// invsqrt(0.2501) = 1.9995 +32'h3efd4d17,32'h3fb2576e,32'h3fb99eea, 32'h3face1d0,32'h3fbf1488, 32'h3fa3c874,32'h3fc82de4,// invsqrt(0.4947) = 1.4217 +32'h400a26b5,32'h3f2ac1cf,32'h3f31ba0c, 32'h3f2587a2,32'h3f36f43a, 32'h3f1cd156,32'h3f3faa86,// invsqrt(2.1586) = 0.6806 +32'h3eae1b5d,32'h3fd71c78,32'h3fdfe42a, 32'h3fd086b3,32'h3fe679ef, 32'h3fc58d16,32'h3ff1738c,// invsqrt(0.3401) = 1.7149 +32'h40bf5c16,32'h3ecd2f61,32'h3ed58f5b, 32'h3ec6e766,32'h3edbd756, 32'h3ebc6f6e,32'h3ee64f4e,// invsqrt(5.9800) = 0.4089 +32'h420d7b66,32'h3e28bc2f,32'h3e2f9f4b, 32'h3e2391da,32'h3e34c9a0, 32'h3e1af5f7,32'h3e3d6583,// invsqrt(35.3705) = 0.1681 +32'h40277372,32'h3f1b19a3,32'h3f216e47, 32'h3f165a29,32'h3f262dc1, 32'h3f0e705c,32'h3f2e178e,// invsqrt(2.6164) = 0.6182 +32'h3e3d5500,32'h4011dcd4,32'h4017d0f2, 32'h400d65be,32'h401c4808, 32'h4005f499,32'h4023b92d,// invsqrt(0.1849) = 2.3256 +32'h406254d1,32'h3f056898,32'h3f0ada93, 32'h3f01531a,32'h3f0ef010, 32'h3ef5093f,32'h3f15be8a,// invsqrt(3.5364) = 0.5318 +32'h3f8a5099,32'h3f715815,32'h3f7b31e2, 32'h3f69f4bc,32'h3f814a9e, 32'h3f5da47c,32'h3f8772be,// invsqrt(1.0806) = 0.9620 +32'h41107208,32'h3ea6fec9,32'h3eadcfb7, 32'h3ea1e216,32'h3eb2ec6a, 32'h3e995ced,32'h3ebb7193,// invsqrt(9.0278) = 0.3328 +32'h3ec6c37e,32'h3fc953c6,32'h3fd18b70, 32'h3fc32a06,32'h3fd7b530, 32'h3fb8e472,32'h3fe1fac4,// invsqrt(0.3882) = 1.6050 +32'h406f37d7,32'h3f01c3f3,32'h3f070fdd, 32'h3efb9606,32'h3f0b08cd, 32'h3eee583b,32'h3f11a7b2,// invsqrt(3.7378) = 0.5172 +32'h40307112,32'h3f1718cc,32'h3f1d439a, 32'h3f1278b0,32'h3f21e3b6, 32'h3f0ac32e,32'h3f299938,// invsqrt(2.7569) = 0.6023 +32'h3f89babb,32'h3f71db40,32'h3f7bba68, 32'h3f6a73e3,32'h3f8190e2, 32'h3f5e1cf2,32'h3f87bc5b,// invsqrt(1.0760) = 0.9640 +32'h3ec48b32,32'h3fca7606,32'h3fd2b988, 32'h3fc44363,32'h3fd8ec2b, 32'h3fb9ef00,32'h3fe3408e,// invsqrt(0.3839) = 1.6140 +32'h3f393b8e,32'h3f9377c3,32'h3f997ca7, 32'h3f8ef419,32'h3f9e0051, 32'h3f876dfc,32'h3fa5866e,// invsqrt(0.7236) = 1.1756 +32'h3f984a2d,32'h3f660111,32'h3f6f6460, 32'h3f5ef695,32'h3f766edb, 32'h3f533a72,32'h3f81157f,// invsqrt(1.1898) = 0.9168 +32'h3f83e51a,32'h3f7725df,32'h3f809e28, 32'h3f6f950a,32'h3f846693, 32'h3f62f8fc,32'h3f8ab49a,// invsqrt(1.0304) = 0.9851 +32'h3f5d07ff,32'h3f86ffa3,32'h3f8c823b, 32'h3f82ddb0,32'h3f90a42e, 32'h3f77f4e1,32'h3f97876d,// invsqrt(0.8634) = 1.0762 +32'h3f899d1e,32'h3f71f545,32'h3f7bd57c, 32'h3f6a8d1b,32'h3f819ed2, 32'h3f5e34d6,32'h3f87caf5,// invsqrt(1.0751) = 0.9644 +32'h40416754,32'h3f1051af,32'h3f1635ab, 32'h3f0be6b1,32'h3f1aa0a9, 32'h3f0489b5,32'h3f21fda5,// invsqrt(3.0219) = 0.5753 +32'h3f874795,32'h3f74095a,32'h3f7dff48, 32'h3f6c90e7,32'h3f82bbde, 32'h3f601d7c,32'h3f88f593,// invsqrt(1.0569) = 0.9727 +32'h3f47a499,32'h3f8e0bc7,32'h3f93d803, 32'h3f89b29a,32'h3f983130, 32'h3f82734e,32'h3f9f707c,// invsqrt(0.7799) = 1.1324 +32'h41371706,32'h3e945406,32'h3e9a61e7, 32'h3e8fc99e,32'h3e9eec50, 32'h3e883845,32'h3ea67da9,// invsqrt(11.4431) = 0.2956 +32'h416e304d,32'h3e820ba9,32'h3e875a81, 32'h3e7c210e,32'h3e8b55a3, 32'h3e6edbf3,32'h3e91f831,// invsqrt(14.8868) = 0.2592 +32'h3fc807db,32'h3f48b048,32'h3f50e146, 32'h3f428b8a,32'h3f570604, 32'h3f384e4d,32'h3f614341,// invsqrt(1.5627) = 0.7999 +32'h3d9969fc,32'h406528ec,32'h406e8368, 32'h405e250e,32'h40758746, 32'h405273f3,32'h40809c31,// invsqrt(0.0749) = 3.6537 +32'h3f75df22,32'h3f7ffec6,32'h3f8538d5, 32'h3f78289c,32'h3f8923ea, 32'h3f6b18ff,32'h3f8fabb8,// invsqrt(0.9604) = 1.0204 +32'h3f90329c,32'h3f6c5ea7,32'h3f760479, 32'h3f652249,32'h3f7d40d7, 32'h3f591302,32'h3f84a80f,// invsqrt(1.1265) = 0.9422 +32'h3f1071ce,32'h3fa6feea,32'h3fadcfda, 32'h3fa1e237,32'h3fb2ec8d, 32'h3f995d0b,32'h3fbb71b9,// invsqrt(0.5642) = 1.3313 +32'h40b05846,32'h3ed5bded,32'h3ede7750, 32'h3ecf32e4,32'h3ee5025a, 32'h3ec44b29,32'h3eefea15,// invsqrt(5.5108) = 0.4260 +32'h3d52f281,32'h408a3006,32'h408fd3f2, 32'h4085f516,32'h40940ee2, 32'h407dd05f,32'h409b1bc8,// invsqrt(0.0515) = 4.4065 +32'h3f865790,32'h3f74e2f9,32'h3f7ee1ca, 32'h3f6d63dd,32'h3f833073, 32'h3f60e558,32'h3f896fb6,// invsqrt(1.0495) = 0.9761 +32'h3f6bab81,32'h3f82bd17,32'h3f88132d, 32'h3f7d790d,32'h3f8c13bd, 32'h3f7021d7,32'h3f92bf59,// invsqrt(0.9206) = 1.0422 +32'h3ff2bbac,32'h3f362eb5,32'h3f3d9e53, 32'h3f309afd,32'h3f43320b, 32'h3f274f77,32'h3f4c7d91,// invsqrt(1.8964) = 0.7262 +32'h402e1042,32'h3f182024,32'h3f1e55b2, 32'h3f1377f9,32'h3f22fddd, 32'h3f0bb507,32'h3f2ac0cf,// invsqrt(2.7197) = 0.6064 +32'h403abae8,32'h3f12e016,32'h3f18dec8, 32'h3f0e6110,32'h3f1d5dce, 32'h3f06e2b1,32'h3f24dc2d,// invsqrt(2.9177) = 0.5854 +32'h3f3f7385,32'h3f910d96,32'h3f96f93e, 32'h3f8c9cd8,32'h3f9b69fc, 32'h3f853646,32'h3fa2d08e,// invsqrt(0.7479) = 1.1564 +32'h41c4cd3e,32'h3e4a540a,32'h3e52962a, 32'h3e442272,32'h3e58c7c2, 32'h3e39cfcb,32'h3e631a69,// invsqrt(24.6002) = 0.2016 +32'h3f928c90,32'h3f6a773e,32'h3f74092c, 32'h3f6349cc,32'h3f7b369e, 32'h3f575363,32'h3f839684,// invsqrt(1.1449) = 0.9346 +32'h4004488e,32'h3f2e80d0,32'h3f35a032, 32'h3f292947,32'h3f3af7bb, 32'h3f20420e,32'h3f43def4,// invsqrt(2.0669) = 0.6956 +32'h3f4234f7,32'h3f900532,32'h3f95e610, 32'h3f8b9c8c,32'h3f9a4eb6, 32'h3f844377,32'h3fa1a7cb,// invsqrt(0.7586) = 1.1481 +32'h3f11c939,32'h3fa639c5,32'h3fad02a9, 32'h3fa1231b,32'h3fb21953, 32'h3f98a7fe,32'h3fba9470,// invsqrt(0.5695) = 1.3251 +32'h4078f6c6,32'h3efe6680,32'h3f04645d, 32'h3ef69cd6,32'h3f084933, 32'h3ee9a20e,32'h3f0ec697,// invsqrt(3.8901) = 0.5070 +32'h400b9619,32'h3f29e084,32'h3f30cf8e, 32'h3f24ad3c,32'h3f3602d6, 32'h3f1c026e,32'h3f3eada4,// invsqrt(2.1810) = 0.6771 +32'h3f9e8c9e,32'h3f616b0c,32'h3f6a9e70, 32'h3f5a8481,32'h3f7184fb, 32'h3f4f0445,32'h3f7d0537,// invsqrt(1.2387) = 0.8985 +32'h3f0086d6,32'h3fb108fb,32'h3fb842d1, 32'h3fab9d9a,32'h3fbdae32, 32'h3fa2954f,32'h3fc6b67d,// invsqrt(0.5021) = 1.4113 +32'h3ed126fa,32'h3fc4437b,32'h3fcc463d, 32'h3fbe416b,32'h3fd2484d, 32'h3fb43df9,32'h3fdc4bbf,// invsqrt(0.4085) = 1.5646 +32'h3f9c9a92,32'h3f62d063,32'h3f6c125d, 32'h3f5bdee8,32'h3f7303d8, 32'h3f504c70,32'h3f7e9650,// invsqrt(1.2235) = 0.9041 +32'h3f19d4d9,32'h3fa1d20b,32'h3fa86ce7, 32'h3f9cdde6,32'h3fad610c, 32'h3f949c53,32'h3fb5a29f,// invsqrt(0.6009) = 1.2900 +32'h3e7d692a,32'h3ffc288e,32'h400339ad, 32'h3ff47075,32'h400715ba, 32'h3fe792f5,32'h400d8479,// invsqrt(0.2475) = 2.0102 +32'h3f4b38c8,32'h3f8cca2a,32'h3f928946, 32'h3f887ad5,32'h3f96d89b, 32'h3f814bf2,32'h3f9e077e,// invsqrt(0.7938) = 1.1224 +32'h3fa68b5e,32'h3f5bf0df,32'h3f64eb07, 32'h3f553540,32'h3f6ba6a6, 32'h3f49fc8e,32'h3f76df58,// invsqrt(1.3011) = 0.8767 +32'h40ee25aa,32'h3eb7ed9f,32'h3ebf6f7c, 32'h3eb24c3a,32'h3ec510e2, 32'h3ea8e9e6,32'h3ece7336,// invsqrt(7.4421) = 0.3666 +32'h3fbd37a7,32'h3f4e57e6,32'h3f56c3fa, 32'h3f4806d7,32'h3f5d1509, 32'h3f3d7fbe,32'h3f679c22,// invsqrt(1.4783) = 0.8225 +32'h3f514c84,32'h3f8abb0f,32'h3f9064a7, 32'h3f867bdd,32'h3f94a3d9, 32'h3f7ecfbd,32'h3f9bb7d7,// invsqrt(0.8176) = 1.1060 +32'h3e8aaad0,32'h3ff10987,32'h3ffae01f, 32'h3fe9a895,32'h40012088, 32'h3fdd5c58,32'h400746a7,// invsqrt(0.2708) = 1.9215 +32'h3fa25c90,32'h3f5ec189,32'h3f67d91b, 32'h3f57efdb,32'h3f6eaac9, 32'h3f4c9263,32'h3f7a0841,// invsqrt(1.2684) = 0.8879 +32'h3e8b892f,32'h3ff0492a,32'h3ffa17e7, 32'h3fe8ee1b,32'h4000b97b, 32'h3fdcabae,32'h4006dab1,// invsqrt(0.2725) = 1.9155 +32'h3e9c9e77,32'h3fe2cd92,32'h3fec0f6e, 32'h3fdbdc2d,32'h3ff300d3, 32'h3fd049da,32'h3ffe9326,// invsqrt(0.3059) = 1.8081 +32'h3f5f7f56,32'h3f86406f,32'h3f8bbb3b, 32'h3f822457,32'h3f8fd753, 32'h3f7695b3,32'h3f96b0d1,// invsqrt(0.8730) = 1.0702 +32'h401100dd,32'h3f26ac75,32'h3f2d7a07, 32'h3f219248,32'h3f329434, 32'h3f191151,32'h3f3b152b,// invsqrt(2.2657) = 0.6644 +32'h40de13c7,32'h3ebe7778,32'h3ec63da6, 32'h3eb8a2d5,32'h3ecc1249, 32'h3eaeeb1a,32'h3ed5ca04,// invsqrt(6.9399) = 0.3796 +32'h3f6a8b3e,32'h3f830d56,32'h3f8866b2, 32'h3f7e14a1,32'h3f8c69b7, 32'h3f70b53a,32'h3f93196b,// invsqrt(0.9162) = 1.0447 +32'h3fe05a68,32'h3f3d7f86,32'h3f453b96, 32'h3f37b27a,32'h3f4b08a2, 32'h3f2e0766,32'h3f54b3b6,// invsqrt(1.7528) = 0.7553 +32'h3f2c2b55,32'h3f98f5ca,32'h3f9f3411, 32'h3f944715,32'h3fa3e2c7, 32'h3f8c793d,32'h3fabb09f,// invsqrt(0.6725) = 1.2194 +32'h3f2c48e0,32'h3f98e8ac,32'h3f9f266a, 32'h3f943a5e,32'h3fa3d4b8, 32'h3f8c6d30,32'h3faba1e6,// invsqrt(0.6730) = 1.2190 +32'h419ffa79,32'h3e6068b7,32'h3e69918f, 32'h3e598a14,32'h3e707032, 32'h3e4e1706,32'h3e7be340,// invsqrt(19.9973) = 0.2236 +32'h41bbaa31,32'h3e4f31f6,32'h3e57a6f0, 32'h3e48da3a,32'h3e5dfeac, 32'h3e3e4801,32'h3e6890e5,// invsqrt(23.4581) = 0.2065 +32'h410069cb,32'h3eb11cff,32'h3eb857a7, 32'h3eabb102,32'h3ebdc3a4, 32'h3ea2a7b1,32'h3ec6ccf5,// invsqrt(8.0258) = 0.3530 +32'h3f728372,32'h3f80e17a,32'h3f862426, 32'h3f79def2,32'h3f8a1627, 32'h3f6cb843,32'h3f90a97e,// invsqrt(0.9473) = 1.0274 +32'h3fa00118,32'h3f606412,32'h3f698cba, 32'h3f598594,32'h3f706b38, 32'h3f4e12c2,32'h3f7bde0a,// invsqrt(1.2500) = 0.8944 +32'h3f3e3425,32'h3f91872b,32'h3f9777c9, 32'h3f8d12b4,32'h3f9bec40, 32'h3f85a5ee,32'h3fa35906,// invsqrt(0.7430) = 1.1601 +32'h3f39c653,32'h3f9340a5,32'h3f994349, 32'h3f8ebeab,32'h3f9dc543, 32'h3f873b5e,32'h3fa54890,// invsqrt(0.7257) = 1.1739 +32'h3e1b8295,32'h4020f1d9,32'h4027838f, 32'h401c0491,32'h402c70d7, 32'h4013ce6f,32'h4034a6f9,// invsqrt(0.1519) = 2.5661 +32'h4092c93c,32'h3eea46c4,32'h3ef3d6b8, 32'h3ee31ace,32'h3efb02ae, 32'h3ed726de,32'h3f037b4f,// invsqrt(4.5871) = 0.4669 +32'h3f69da68,32'h3f833ed9,32'h3f889a3b, 32'h3f7e74a0,32'h3f8c9ec4, 32'h3f71102c,32'h3f9350fe,// invsqrt(0.9135) = 1.0463 +32'h3dce4a27,32'h40459ee2,32'h404dafd2, 32'h403f922f,32'h4053bc85, 32'h40357d04,32'h405dd1b0,// invsqrt(0.1007) = 3.1508 +32'h3f9572ad,32'h3f682e40,32'h3f71a84d, 32'h3f6112b6,32'h3f78c3d6, 32'h3f553a25,32'h3f824e33,// invsqrt(1.1676) = 0.9255 +32'h3ee2e696,32'h3fbc6e6b,32'h3fc41f55, 32'h3fb6a9bb,32'h3fc9e405, 32'h3fad0c96,32'h3fd3812a,// invsqrt(0.4432) = 1.5022 +32'h3f5bf562,32'h3f8753ce,32'h3f8cd9d6, 32'h3f832f48,32'h3f90fe5c, 32'h3f788f7a,32'h3f97e5e7,// invsqrt(0.8592) = 1.0788 +32'h3eda2fa5,32'h3fc02856,32'h3fc80030, 32'h3fba4673,32'h3fcde213, 32'h3fb078a3,32'h3fd7afe3,// invsqrt(0.4261) = 1.5319 +32'h3e9456de,32'h3fe90bf2,32'h3ff28f0b, 32'h3fe1e99e,32'h3ff9b15e, 32'h3fd605be,32'h4002ca9f,// invsqrt(0.2897) = 1.8578 +32'h3e11625e,32'h40267488,32'h402d3fd1, 32'h40215c10,32'h40325848, 32'h4018ddf4,32'h403ad664,// invsqrt(0.1420) = 2.6539 +32'h3f84a1ad,32'h3f7675ee,32'h3f804299, 32'h3f6eea7c,32'h3f840852, 32'h3f625768,32'h3f8a51dc,// invsqrt(1.0362) = 0.9824 +32'h3f2315cd,32'h3f9d2997,32'h3fa393c7, 32'h3f9859f3,32'h3fa8636b, 32'h3f905537,32'h3fb06827,// invsqrt(0.6371) = 1.2529 +32'h3f5d831d,32'h3f86da1a,32'h3f8c5b2a, 32'h3f82b94d,32'h3f907bf7, 32'h3f77aff0,32'h3f975d4c,// invsqrt(0.8653) = 1.0750 +32'h405bab88,32'h3f076a8c,32'h3f0cf182, 32'h3f034553,32'h3f1116bb, 32'h3ef8b940,32'h3f17ff6e,// invsqrt(3.4323) = 0.5398 +32'h3f8a6c5f,32'h3f713fde,32'h3f7b18ae, 32'h3f69dd42,32'h3f813da5, 32'h3f5d8e3f,32'h3f876526,// invsqrt(1.0814) = 0.9616 +32'h3f0c8633,32'h3fa94f25,32'h3fb03841, 32'h3fa42050,32'h3fb56716, 32'h3f9b7cee,32'h3fbe0a78,// invsqrt(0.5489) = 1.3497 +32'h3f66188b,32'h3f84500f,32'h3f89b697, 32'h3f804328,32'h3f8dc37e, 32'h3f7305fc,32'h3f9483a8,// invsqrt(0.8988) = 1.0548 +32'h3fc4cf46,32'h3f4a52fe,32'h3f529513, 32'h3f44216f,32'h3f58c6a3, 32'h3f39ced5,32'h3f63193d,// invsqrt(1.5376) = 0.8065 +32'h424a284f,32'h3e0d28eb,32'h3e12ebe5, 32'h3e08d6b0,32'h3e173e20, 32'h3e01a2f7,32'h3e1e71d9,// invsqrt(50.5394) = 0.1407 +32'h3ffab481,32'h3f334333,32'h3f3a944f, 32'h3f2dc65e,32'h3f401124, 32'h3f24a0fa,32'h3f493688,// invsqrt(1.9586) = 0.7145 +32'h406c9f6f,32'h3f0279a2,32'h3f07ccf8, 32'h3efcf646,32'h3f0bcb77, 32'h3eefa5f1,32'h3f1273a2,// invsqrt(3.6972) = 0.5201 +32'h3f444705,32'h3f8f4237,32'h3f951b1f, 32'h3f8adf89,32'h3f997dcd, 32'h3f839067,32'h3fa0ccef,// invsqrt(0.7667) = 1.1420 +32'h403adc91,32'h3f12d2db,32'h3f18d103, 32'h3f0e543d,32'h3f1d4fa1, 32'h3f06d68a,32'h3f24cd54,// invsqrt(2.9197) = 0.5852 +32'h408ff501,32'h3eec9135,32'h3ef63918, 32'h3ee5534b,32'h3efd7703, 32'h3ed94170,32'h3f04c46f,// invsqrt(4.4987) = 0.4715 +32'h4053c8de,32'h3f09ea05,32'h3f0f8b15, 32'h3f05b139,32'h3f13c3e1, 32'h3efd4fcb,32'h3f1acd35,// invsqrt(3.3091) = 0.5497 +32'h3e805d3b,32'h3ffa861c,32'h40025fea, 32'h3ff2dad2,32'h4006358f, 32'h3fe612ac,32'h400c99a2,// invsqrt(0.2507) = 1.9972 +32'h41093a2d,32'h3eab54ba,32'h3eb252f6, 32'h3ea6160d,32'h3eb791a3, 32'h3e9d5842,32'h3ec04f6e,// invsqrt(8.5767) = 0.3415 +32'h40027a9b,32'h3f2fb4a8,32'h3f36e09a, 32'h3f2a53b3,32'h3f3c418f, 32'h3f215cc4,32'h3f45387e,// invsqrt(2.0387) = 0.7004 +32'h3ee70b04,32'h3fbabc09,32'h3fc25b39, 32'h3fb504a6,32'h3fc8129c, 32'h3fab7daa,32'h3fd19998,// invsqrt(0.4513) = 1.4886 +32'h3f4ca81b,32'h3f8c4b98,32'h3f92058a, 32'h3f880023,32'h3f9650ff, 32'h3f80d7b6,32'h3f9d796c,// invsqrt(0.7994) = 1.1184 +32'h3fabf5ac,32'h3f587338,32'h3f6148e7, 32'h3f51d2f6,32'h3f67e92a, 32'h3f46c7db,32'h3f72f445,// invsqrt(1.3434) = 0.8628 +32'h3f3fbc5a,32'h3f90f207,32'h3f96dc8f, 32'h3f8c8221,32'h3f9b4c75, 32'h3f851cf7,32'h3fa2b19f,// invsqrt(0.7490) = 1.1555 +32'h401ec748,32'h3f1f4796,32'h3f25c7e6, 32'h3f1a675b,32'h3f2aa821, 32'h3f1246f8,32'h3f32c884,// invsqrt(2.4809) = 0.6349 +32'h3fb6d1d1,32'h3f51ec3e,32'h3f5a7db8, 32'h3f4b7f22,32'h3f60ead4, 32'h3f40c948,32'h3f6ba0ae,// invsqrt(1.4283) = 0.8367 +32'h41547c2b,32'h3e89afc9,32'h3e8f4e78, 32'h3e8578c5,32'h3e93857b, 32'h3e7ce4d3,32'h3e9a8bd6,// invsqrt(13.2803) = 0.2744 +32'h4009d8e2,32'h3f2af1fc,32'h3f31ec30, 32'h3f25b655,32'h3f3727d7, 32'h3f1cfd94,32'h3f3fe098,// invsqrt(2.1539) = 0.6814 +32'h3f1314a7,32'h3fa57e12,32'h3fac3f4c, 32'h3fa06d26,32'h3fb15038, 32'h3f97fb9e,32'h3fb9c1c1,// invsqrt(0.5745) = 1.3193 +32'h3f866bdd,32'h3f74d07b,32'h3f7ece8b, 32'h3f6d51f0,32'h3f83268b, 32'h3f60d45c,32'h3f896555,// invsqrt(1.0502) = 0.9758 +32'h3d4ec797,32'h408b92bf,32'h40914525, 32'h40874cf3,32'h40958af1, 32'h40802df3,32'h409ca9f1,// invsqrt(0.0505) = 4.4507 +32'h413bdc72,32'h3e926ebb,32'h3e9868cd, 32'h3e8df32d,32'h3e9ce45b, 32'h3e867a97,32'h3ea45cf1,// invsqrt(11.7413) = 0.2918 +32'h41a658d1,32'h3e5c1248,32'h3e650dcd, 32'h3e5555a3,32'h3e6bca71, 32'h3e4a1b3c,32'h3e7704d8,// invsqrt(20.7934) = 0.2193 +32'h3f32b7c5,32'h3f9621af,32'h3f9c4268, 32'h3f918925,32'h3fa0daf3, 32'h3f89e03e,32'h3fa883da,// invsqrt(0.6981) = 1.1968 +32'h3f34a72d,32'h3f955347,32'h3f9b6b93, 32'h3f90c10e,32'h3f9ffdcc, 32'h3f8922af,32'h3fa79c2b,// invsqrt(0.7057) = 1.1904 +32'h3f99c3cc,32'h3f64e5f5,32'h3f6e3db6, 32'h3f5de425,32'h3f753f87, 32'h3f523674,32'h3f80769c,// invsqrt(1.2013) = 0.9124 +32'h401d5527,32'h3f200283,32'h3f268a74, 32'h3f1b1c8f,32'h3f2b7069, 32'h3f12f2a3,32'h3f339a55,// invsqrt(2.4583) = 0.6378 +32'h40481a6b,32'h3f0de1ef,32'h3f13ac77, 32'h3f098a0a,32'h3f18045c, 32'h3f024ce1,32'h3f1f4185,// invsqrt(3.1266) = 0.5655 +32'h3f3161e4,32'h3f96b218,32'h3f9cd8b5, 32'h3f921521,32'h3fa175ab, 32'h3f8a64dc,32'h3fa925f0,// invsqrt(0.6929) = 1.2013 +32'h3fd53c4c,32'h3f426018,32'h3f4a4f1e, 32'h3f3c6cd3,32'h3f504263, 32'h3f32820c,32'h3f5a2d2b,// invsqrt(1.6659) = 0.7748 +32'h4102c14f,32'h3eaf8521,32'h3eb6af23, 32'h3eaa25a0,32'h3ebc0ea4, 32'h3ea1311f,32'h3ec50325,// invsqrt(8.1722) = 0.3498 +32'h40660d83,32'h3f04533b,32'h3f09b9e5, 32'h3f00463c,32'h3f0dc6e4, 32'h3ef30bd0,32'h3f148738,// invsqrt(3.5946) = 0.5274 +32'h3ff4a1eb,32'h3f35794c,32'h3f3ce183, 32'h3f2feb22,32'h3f426fac, 32'h3f26a8dd,32'h3f4bb1f1,// invsqrt(1.9112) = 0.7233 +32'h3ec06ac3,32'h3fcc9edd,32'h3fd4f8f1, 32'h3fc65b4f,32'h3fdb3c7f, 32'h3fbbeab6,32'h3fe5ad18,// invsqrt(0.3758) = 1.6312 +32'h3fe2b171,32'h3f3c8480,32'h3f443650, 32'h3f36bf23,32'h3f49fbad, 32'h3f2d20de,32'h3f5399f2,// invsqrt(1.7710) = 0.7514 +32'h3f9d5f13,32'h3f62429c,32'h3f6b7ecc, 32'h3f5b5578,32'h3f726bf0, 32'h3f4fca3c,32'h3f7df72c,// invsqrt(1.2295) = 0.9019 +32'h40e4698b,32'h3ebbce8a,32'h3ec378ee, 32'h3eb60ebf,32'h3ec938b9, 32'h3eac79c3,32'h3ed2cdb5,// invsqrt(7.1379) = 0.3743 +32'h3f187038,32'h3fa28ee7,32'h3fa93178, 32'h3f9d94f9,32'h3fae2b65, 32'h3f9549c4,32'h3fb6769a,// invsqrt(0.5955) = 1.2959 +32'h3f970aa7,32'h3f66f3d9,32'h3f706111, 32'h3f5fe1ef,32'h3f7772fb, 32'h3f541969,32'h3f819dc0,// invsqrt(1.1800) = 0.9206 +32'h3f72c949,32'h3f80ceef,32'h3f8610d9, 32'h3f79bafe,32'h3f8a0249, 32'h3f6c9634,32'h3f9094ae,// invsqrt(0.9484) = 1.0269 +32'h3ca8ee43,32'h40da61c7,32'h40e34ba5, 32'h40d3b260,32'h40e9fb0c, 32'h40c88e0a,32'h40f51f62,// invsqrt(0.0206) = 6.9637 +32'h406dab4e,32'h3f023006,32'h3f07805a, 32'h3efc678e,32'h3f0b7c99, 32'h3eef1ebd,32'h3f122102,// invsqrt(3.7136) = 0.5189 +32'h4119be7b,32'h3ea1ddd0,32'h3ea87926, 32'h3e9ce94e,32'h3ead6da8, 32'h3e94a722,32'h3eb5afd4,// invsqrt(9.6090) = 0.3226 +32'h3f4bb432,32'h3f8c9f7d,32'h3f925cdb, 32'h3f885177,32'h3f96aae1, 32'h3f8124c1,32'h3f9dd797,// invsqrt(0.7957) = 1.1210 +32'h3f0d4a9a,32'h3fa8d94f,32'h3fafbd9b, 32'h3fa3ae16,32'h3fb4e8d4, 32'h3f9b10b6,32'h3fbd8634,// invsqrt(0.5519) = 1.3461 +32'h4027f51d,32'h3f1addb9,32'h3f212fea, 32'h3f162013,32'h3f25ed8f, 32'h3f0e3956,32'h3f2dd44c,// invsqrt(2.6243) = 0.6173 +32'h3f5052b3,32'h3f8b0e24,32'h3f90bb21, 32'h3f86cc68,32'h3f94fcde, 32'h3f7f6859,32'h3f9c151a,// invsqrt(0.8138) = 1.1085 +32'h3fc7273c,32'h3f492156,32'h3f5156f0, 32'h3f42f921,32'h3f577f25, 32'h3f38b620,32'h3f61c227,// invsqrt(1.5559) = 0.8017 +32'h3fa38774,32'h3f5df599,32'h3f6704d9, 32'h3f572a29,32'h3f6dd049, 32'h3f4bd71a,32'h3f792358,// invsqrt(1.2776) = 0.8847 +32'h3f03aa0e,32'h3faee9b9,32'h3fb60d63, 32'h3fa98efa,32'h3fbb6822, 32'h3fa0a266,32'h3fc454b6,// invsqrt(0.5143) = 1.3944 +32'h3fb5a8e0,32'h3f52978a,32'h3f5b3002, 32'h3f4c252f,32'h3f61a25d, 32'h3f416699,32'h3f6c60f3,// invsqrt(1.4192) = 0.8394 +32'h3f062d4b,32'h3fad447b,32'h3fb456f3, 32'h3fa7f6a1,32'h3fb9a4cd, 32'h3f9f1f8b,32'h3fc27be3,// invsqrt(0.5241) = 1.3813 +32'h3e58a8c4,32'h40085a96,32'h400deb58, 32'h40042e04,32'h401217ea, 32'h3ffa7223,32'h40190cdc,// invsqrt(0.2116) = 2.1740 +32'h3eb06e10,32'h3fd5b0ba,32'h3fde6993, 32'h3fcf2618,32'h3fe4f436, 32'h3fc43f0a,32'h3fefdb45,// invsqrt(0.3446) = 1.7035 +32'h3d1b27c7,32'h40a120eb,32'h40a7b48d, 32'h409c3233,32'h40aca345, 32'h4093f9a9,32'h40b4dbcf,// invsqrt(0.0379) = 5.1380 +32'h3feec688,32'h3f37afa0,32'h3f3f2ef4, 32'h3f321020,32'h3f44ce74, 32'h3f28b0f6,32'h3f4e2d9e,// invsqrt(1.8654) = 0.7322 +32'h400e3b3a,32'h3f284a3f,32'h3f2f28b4, 32'h3f232366,32'h3f344f8c, 32'h3f1a8d53,32'h3f3ce59f,// invsqrt(2.2224) = 0.6708 +32'h3fb9749d,32'h3f506cf7,32'h3f58eecd, 32'h3f4a0b97,32'h3f5f502d, 32'h3f3f694b,32'h3f69f279,// invsqrt(1.4489) = 0.8308 +32'h3dc79d46,32'h4048e5d5,32'h40511903, 32'h4042bf73,32'h40573f65, 32'h40387f7b,32'h40617f5d,// invsqrt(0.0975) = 3.2031 +32'h3f41d25c,32'h3f9029d0,32'h3f960c2c, 32'h3f8bc00b,32'h3f9a75f1, 32'h3f846518,32'h3fa1d0e4,// invsqrt(0.7571) = 1.1493 +32'h3f3ce6ed,32'h3f92074d,32'h3f97fd27, 32'h3f8d8eea,32'h3f9c758a, 32'h3f861b9b,32'h3fa3e8d9,// invsqrt(0.7379) = 1.1641 +32'h3f2c0801,32'h3f99057e,32'h3f9f446a, 32'h3f94564e,32'h3fa3f39a, 32'h3f8c87a8,32'h3fabc240,// invsqrt(0.6720) = 1.2199 +32'h3e75b65e,32'h40000a01,32'h400543e1, 32'h3ff83d30,32'h40092f4a, 32'h3feb2c7f,32'h400fb7a3,// invsqrt(0.2400) = 2.0414 +32'h40169c85,32'h3f238a8a,32'h3f2a3760, 32'h3f1e88e9,32'h3f2f3901, 32'h3f1630dc,32'h3f37910e,// invsqrt(2.3533) = 0.6519 +32'h3f41f00b,32'h3f901ec7,32'h3f9600b0, 32'h3f8bb559,32'h3f9a6a1f, 32'h3f845af6,32'h3fa1c482,// invsqrt(0.7576) = 1.1489 +32'h3eeb06ad,32'h3fb9253b,32'h3fc0b3cf, 32'h3fb37a4b,32'h3fc65ebf, 32'h3faa0811,32'h3fcfd0f9,// invsqrt(0.4590) = 1.4760 +32'h3ecbd282,32'h3fc6d02d,32'h3fceed92, 32'h3fc0ba21,32'h3fd5039d, 32'h3fb69562,32'h3fdf285c,// invsqrt(0.3981) = 1.5849 +32'h40591700,32'h3f0837f3,32'h3f0dc74b, 32'h3f040c71,32'h3f11f2cd, 32'h3efa3285,32'h3f18e5fc,// invsqrt(3.3920) = 0.5430 +32'h3f2b7137,32'h3f9948bb,32'h3f9f8a64, 32'h3f94977b,32'h3fa43ba3, 32'h3f8cc567,32'h3fac0db7,// invsqrt(0.6697) = 1.2220 +32'h3f92ae8a,32'h3f6a5c15,32'h3f73ece7, 32'h3f632f78,32'h3f7b1984, 32'h3f573a71,32'h3f838745,// invsqrt(1.1460) = 0.9342 +32'h3e55fb29,32'h40093459,32'h400ecdff, 32'h4005011d,32'h4013013b, 32'h3ffc021c,32'h401a014a,// invsqrt(0.2090) = 2.1876 +32'h3f3efc63,32'h3f913acd,32'h3f97284d, 32'h3f8cc8ac,32'h3f9b9a6e, 32'h3f855fcc,32'h3fa3034e,// invsqrt(0.7460) = 1.1578 +32'h3ea97d68,32'h3fda057b,32'h3fe2eb95, 32'h3fd358e8,32'h3fe99828, 32'h3fc83947,32'h3ff4b7c9,// invsqrt(0.3310) = 1.7381 +32'h3f02f95d,32'h3faf5f8e,32'h3fb68806, 32'h3faa0133,32'h3fbbe661, 32'h3fa10e9c,32'h3fc4d8f8,// invsqrt(0.5116) = 1.3981 +32'h4023a456,32'h3f1ce516,32'h3f234c7a, 32'h3f18178b,32'h3f281a05, 32'h3f10164e,32'h3f301b42,// invsqrt(2.5569) = 0.6254 +32'h404074a3,32'h3f10ac91,32'h3f169443, 32'h3f0c3ecb,32'h3f1b0209, 32'h3f04dd2c,32'h3f2263a8,// invsqrt(3.0071) = 0.5767 +32'h3fb5d45e,32'h3f527e59,32'h3f5b15cb, 32'h3f4c0cc4,32'h3f618760, 32'h3f414f77,32'h3f6c44ad,// invsqrt(1.4205) = 0.8390 +32'h3eb238a9,32'h3fd49d1a,32'h3fdd4ab2, 32'h3fce1ae7,32'h3fe3cce5, 32'h3fc341e9,32'h3feea5e3,// invsqrt(0.3481) = 1.6949 +32'h3dc88295,32'h404872d4,32'h4050a150, 32'h40424ff8,32'h4056c42c, 32'h403815dd,32'h4060fe47,// invsqrt(0.0979) = 3.1959 +32'h3f82eec0,32'h3f780df3,32'h3f8116ef, 32'h3f707604,32'h3f84e2e7, 32'h3f63ce1e,32'h3f8b36da,// invsqrt(1.0229) = 0.9887 +32'h3a7b4ce6,32'h41fd370b,32'h4203c671, 32'h41f576aa,32'h4207a6a1, 32'h41e88b5d,32'h420e1c47,// invsqrt(0.0010) = 32.2978 +32'h3f7413e5,32'h3f807795,32'h3f85b5ef, 32'h3f7911a4,32'h3f89a4b2, 32'h3f6bf5c4,32'h3f9032a2,// invsqrt(0.9534) = 1.0241 +32'h3e9b48a9,32'h3fe3c6a6,32'h3fed12ad, 32'h3fdccda2,32'h3ff40bb2, 32'h3fd12e99,32'h3fffaabb,// invsqrt(0.3033) = 1.8158 +32'h3e6390bb,32'h40050bdd,32'h400a7a10, 32'h4000f937,32'h400e8cb7, 32'h3ff45ef0,32'h40155676,// invsqrt(0.2222) = 2.1213 +32'h3f1b3852,32'h3fa11855,32'h3fa7ab9d, 32'h3f9c29e0,32'h3fac9a12, 32'h3f93f1c6,32'h3fb4d22c,// invsqrt(0.6063) = 1.2842 +32'h3fb24cba,32'h3f549122,32'h3f5d3e3e, 32'h3f4e0f4e,32'h3f63c012, 32'h3f4336eb,32'h3f6e9875,// invsqrt(1.3930) = 0.8473 +32'h3fcd3ec6,32'h3f461f71,32'h3f4e35a0, 32'h3f400ecf,32'h3f544643, 32'h3f35f315,32'h3f5e61fd,// invsqrt(1.6035) = 0.7897 +32'h3fac0da7,32'h3f586422,32'h3f613934, 32'h3f51c456,32'h3f67d900, 32'h3f46ba00,32'h3f72e356,// invsqrt(1.3442) = 0.8625 +32'h401fdab8,32'h3f1ebe22,32'h3f2538d4, 32'h3f19e21b,32'h3f2a14db, 32'h3f11c8bc,32'h3f322e3b,// invsqrt(2.4977) = 0.6327 +32'h4000dbda,32'h3f30ce8b,32'h3f3805ff, 32'h3f2b64f5,32'h3f3d6f95, 32'h3f225fa4,32'h3f4674e6,// invsqrt(2.0134) = 0.7047 +32'h3f111cd8,32'h3fa69c63,32'h3fad694d, 32'h3fa182b4,32'h3fb282fc, 32'h3f99028f,32'h3fbb0321,// invsqrt(0.5668) = 1.3282 +32'h3f68f017,32'h3f8380cb,32'h3f88dede, 32'h3f7ef47b,32'h3f8ce56c, 32'h3f71894c,32'h3f939b04,// invsqrt(0.9099) = 1.0483 +32'h3f7e8ac6,32'h3f7b98f2,32'h3f82eef1, 32'h3f73e53e,32'h3f86c8cb, 32'h3f670f13,32'h3f8d33e1,// invsqrt(0.9943) = 1.0029 +32'h3fdd23f3,32'h3f3edea4,32'h3f46a908, 32'h3f3906d8,32'h3f4c80d4, 32'h3f2f49da,32'h3f563dd2,// invsqrt(1.7277) = 0.7608 +32'h401e0e5e,32'h3f1fa4a7,32'h3f2628c3, 32'h3f1ac192,32'h3f2b0bd8, 32'h3f129c70,32'h3f3330fa,// invsqrt(2.4696) = 0.6363 +32'h3d80926d,32'h407a5243,32'h408244ef, 32'h4072a88f,32'h408619c8, 32'h4065e30e,32'h408c7c89,// invsqrt(0.0628) = 3.9911 +32'h3fb0e208,32'h3f556aa2,32'h3f5e209e, 32'h3f4ee225,32'h3f64a91b, 32'h3f43feaa,32'h3f6f8c96,// invsqrt(1.3819) = 0.8507 +32'h4341e21a,32'h3d9023f6,32'h3d960614, 32'h3d8bba5e,32'h3d9a6fac, 32'h3d845fb8,32'h3da1ca52,// invsqrt(193.8832) = 0.0718 +32'h3fbee07f,32'h3f4d71c4,32'h3f55d473, 32'h3f4727c0,32'h3f5c1e76, 32'h3f3cac65,32'h3f6699d1,// invsqrt(1.4912) = 0.8189 +32'h3f8063ea,32'h3f7a7f96,32'h3f825c85, 32'h3f72d47f,32'h3f863210, 32'h3f660cae,32'h3f8c95f9,// invsqrt(1.0030) = 0.9985 +32'h3e748c55,32'h400057ee,32'h400594fd, 32'h3ff8d447,32'h400982c9, 32'h3febbba1,32'h40100f1b,// invsqrt(0.2388) = 2.0463 +32'h3e312c37,32'h4016c8ea,32'h401cf076, 32'h40122b41,32'h40218e1f, 32'h400a79d2,32'h40293f8e,// invsqrt(0.1730) = 2.4041 +32'h3f3a3463,32'h3f93151a,32'h3f9915f6, 32'h3f8e9474,32'h3f9d969c, 32'h3f871361,32'h3fa517af,// invsqrt(0.7274) = 1.1725 +32'h3f157568,32'h3fa42bb0,32'h3faadf1b, 32'h3f9f2521,32'h3fafe5ab, 32'h3f96c4dc,32'h3fb845f0,// invsqrt(0.5838) = 1.3088 +32'h3f9697c5,32'h3f674be0,32'h3f70bcb0, 32'h3f603744,32'h3f77d14c, 32'h3f546a41,32'h3f81cf28,// invsqrt(1.1765) = 0.9219 +32'h40f48d3e,32'h3eb580f7,32'h3ebce97f, 32'h3eaff292,32'h3ec277e4, 32'h3ea6afe8,32'h3ecbba8e,// invsqrt(7.6422) = 0.3617 +32'h3f1fe1b9,32'h3f9ebaa8,32'h3fa53536, 32'h3f99debd,32'h3faa1121, 32'h3f91c58a,32'h3fb22a54,// invsqrt(0.6245) = 1.2654 +32'h3f52942e,32'h3f8a4ef6,32'h3f8ff424, 32'h3f861313,32'h3f943007, 32'h3f7e0931,32'h3f9b3e82,// invsqrt(0.8226) = 1.1026 +32'h3eee77dd,32'h3fb7cdea,32'h3fbf4e7b, 32'h3fb22d7c,32'h3fc4eee8, 32'h3fa8ccc7,32'h3fce4f9d,// invsqrt(0.4658) = 1.4653 +32'h3fed9cb8,32'h3f382298,32'h3f3fa69f, 32'h3f327f94,32'h3f4549a4, 32'h3f291a8c,32'h3f4eaeac,// invsqrt(1.8563) = 0.7340 +32'h3facb61c,32'h3f57fa81,32'h3f60cb42, 32'h3f515def,32'h3f6767d3, 32'h3f4658fe,32'h3f726cc4,// invsqrt(1.3493) = 0.8609 +32'h40237090,32'h3f1cfded,32'h3f236655, 32'h3f182f9f,32'h3f2834a3, 32'h3f102d1e,32'h3f303724,// invsqrt(2.5537) = 0.6258 +32'h3f071ce6,32'h3facaa94,32'h3fb3b6c4, 32'h3fa76170,32'h3fb8ffe8, 32'h3f9e9234,32'h3fc1cf24,// invsqrt(0.5278) = 1.3765 +32'h3efc5aeb,32'h3fb2acec,32'h3fb9f7e6, 32'h3fad34b1,32'h3fbf7021, 32'h3fa416f8,32'h3fc88dda,// invsqrt(0.4929) = 1.4244 +32'h4046917b,32'h3f0e6e0c,32'h3f143e4c, 32'h3f0a11dd,32'h3f189a7b, 32'h3f02cd8e,32'h3f1fdeca,// invsqrt(3.1026) = 0.5677 +32'h3f917344,32'h3f6b598b,32'h3f74f4b5, 32'h3f64252b,32'h3f7c2915, 32'h3f582336,32'h3f841585,// invsqrt(1.1363) = 0.9381 +32'h3f157ed3,32'h3fa42685,32'h3faad9b9, 32'h3f9f201d,32'h3fafe021, 32'h3f96c01c,32'h3fb84022,// invsqrt(0.5840) = 1.3086 +32'h3f2a1204,32'h3f99e6ae,32'h3fa02eca, 32'h3f953099,32'h3fa4e4df, 32'h3f8d5676,32'h3facbf02,// invsqrt(0.6643) = 1.2269 +32'h3f31fbac,32'h3f9670ef,32'h3f9c94e4, 32'h3f91d5f8,32'h3fa12fdc, 32'h3f8a2906,32'h3fa8dcce,// invsqrt(0.6952) = 1.1993 +32'h400063b5,32'h3f312132,32'h3f385c04, 32'h3f2bb513,32'h3f3dc823, 32'h3f22ab8c,32'h3f46d1ab,// invsqrt(2.0061) = 0.7060 +32'h41159024,32'h3ea41d04,32'h3eaacfd5, 32'h3e9f16e7,32'h3eafd5f1, 32'h3e96b761,32'h3eb83577,// invsqrt(9.3477) = 0.3271 +32'h3faa82d5,32'h3f595e19,32'h3f623d5d, 32'h3f52b6a5,32'h3f68e4d1, 32'h3f479f8f,32'h3f73fbe7,// invsqrt(1.3321) = 0.8664 +32'h3f8237fb,32'h3f78bbcb,32'h3f817167, 32'h3f711e88,32'h3f854008, 32'h3f646dc4,32'h3f8b986a,// invsqrt(1.0173) = 0.9914 +32'h3f6dce2f,32'h3f82267a,32'h3f87766a, 32'h3f7c550c,32'h3f8b725e, 32'h3f6f0d34,32'h3f92164a,// invsqrt(0.9289) = 1.0376 +32'h3e067324,32'h402d1773,32'h40342815, 32'h4027cafa,32'h4039748e, 32'h401ef630,32'h40424958,// invsqrt(0.1313) = 2.7598 +32'h3f120305,32'h3fa618dc,32'h3face068, 32'h3fa10334,32'h3fb1f610, 32'h3f9889c5,32'h3fba6f7f,// invsqrt(0.5704) = 1.3241 +32'h4002e9a2,32'h3f2f6a17,32'h3f3692fd, 32'h3f2a0b6a,32'h3f3bf1aa, 32'h3f211849,32'h3f44e4cb,// invsqrt(2.0455) = 0.6992 +32'h3f8780da,32'h3f73d5c3,32'h3f7dc997, 32'h3f6c5ee4,32'h3f82a03b, 32'h3f5fee1c,32'h3f88d89f,// invsqrt(1.0586) = 0.9719 +32'h3f9c5be3,32'h3f62fdd6,32'h3f6c41aa, 32'h3f5c0af6,32'h3f73348a, 32'h3f50762d,32'h3f7ec953,// invsqrt(1.2216) = 0.9048 +32'h3fa544e5,32'h3f5cc9b1,32'h3f65ccb2, 32'h3f56076f,32'h3f6c8ef3, 32'h3f4ac3ac,32'h3f77d2b6,// invsqrt(1.2912) = 0.8801 +32'h3e552f85,32'h400975d2,32'h400f1224, 32'h40054095,32'h40134761, 32'h3ffc7a5d,32'h401a4ac7,// invsqrt(0.2082) = 2.1916 +32'h4019928d,32'h3f21f4f5,32'h3f28913d, 32'h3f1cffbe,32'h3f2d8674, 32'h3f14bc63,32'h3f35c9cf,// invsqrt(2.3996) = 0.6456 +32'h3fd92751,32'h3f409d26,32'h3f4879c4, 32'h3f3ab7af,32'h3f4e5f3b, 32'h3f30e3e9,32'h3f583301,// invsqrt(1.6965) = 0.7678 +32'h3f9bf066,32'h3f634c04,32'h3f6c9309, 32'h3f5c56bf,32'h3f73884d, 32'h3f50bdf9,32'h3f7f2113,// invsqrt(1.2183) = 0.9060 +32'h3f76d81f,32'h3f7f7d89,32'h3f84f593, 32'h3f77ab54,32'h3f88deae, 32'h3f6aa24f,32'h3f8f6330,// invsqrt(0.9642) = 1.0184 +32'h3fecf280,32'h3f3864b0,32'h3f3feb69, 32'h3f32bfa6,32'h3f459074, 32'h3f29573f,32'h3f4ef8db,// invsqrt(1.8512) = 0.7350 +32'h3f9a06f3,32'h3f64b40a,32'h3f6e09c2, 32'h3f5db3c1,32'h3f750a0b, 32'h3f52089c,32'h3f805a98,// invsqrt(1.2033) = 0.9116 +32'h3f4a916d,32'h3f8d0446,32'h3f92c5c2, 32'h3f88b32a,32'h3f9716de, 32'h3f818150,32'h3f9e48b8,// invsqrt(0.7913) = 1.1242 +32'h3dc8e393,32'h4048426a,32'h40506eec, 32'h40422109,32'h4056904d, 32'h4037e967,32'h4060c7ef,// invsqrt(0.0981) = 3.1929 +32'h3efb721d,32'h3fb2ff90,32'h3fba4de9, 32'h3fad84cc,32'h3fbfc8ac, 32'h3fa462dc,32'h3fc8ea9c,// invsqrt(0.4911) = 1.4270 +32'h3e5791a6,32'h4008b2c0,32'h400e471c, 32'h4004837c,32'h40127660, 32'h3ffb1413,32'h40196fd3,// invsqrt(0.2105) = 2.1795 +32'h3fb56df2,32'h3f52b9bb,32'h3f5b5399, 32'h3f4c4655,32'h3f61c6ff, 32'h3f4185ff,32'h3f6c8755,// invsqrt(1.4174) = 0.8399 +32'h3f96bb1f,32'h3f6730bf,32'h3f70a073, 32'h3f601cf8,32'h3f77b43a, 32'h3f545156,32'h3f81bfee,// invsqrt(1.1776) = 0.9215 +32'h3f6bb8f6,32'h3f82b95c,32'h3f880f4a, 32'h3f7d71d1,32'h3f8c0fbe, 32'h3f701afc,32'h3f92bb28,// invsqrt(0.9208) = 1.0421 +32'h3f632e33,32'h3f8528b4,32'h3f8a9815, 32'h3f81152d,32'h3f8eab9d, 32'h3f7493e9,32'h3f9576d6,// invsqrt(0.8874) = 1.0615 +32'h3faea7ce,32'h3f56c5eb,32'h3f5f8a13, 32'h3f5032cc,32'h3f661d32, 32'h3f453d99,32'h3f711265,// invsqrt(1.3645) = 0.8561 +32'h3f0f6255,32'h3fa79cb6,32'h3fae7416, 32'h3fa27b2e,32'h3fb3959e, 32'h3f99edf5,32'h3fbc22d7,// invsqrt(0.5601) = 1.3362 +32'h3f4e2a24,32'h3f8bc801,32'h3f917c93, 32'h3f878093,32'h3f95c401, 32'h3f805edc,32'h3f9ce5b8,// invsqrt(0.8053) = 1.1143 +32'h3f6a9fd6,32'h3f830795,32'h3f8860b5, 32'h3f7e097a,32'h3f8c638d, 32'h3f70aaa9,32'h3f9312f6,// invsqrt(0.9165) = 1.0446 +32'h3fa0c526,32'h3f5fdb16,32'h3f68fe27, 32'h3f5900ca,32'h3f6fd874, 32'h3f4d94f6,32'h3f7b4449,// invsqrt(1.2560) = 0.8923 +32'h3f601cf7,32'h3f861130,32'h3f8b8a0e, 32'h3f81f68a,32'h3f8fa4b4, 32'h3f763eeb,32'h3f967bc8,// invsqrt(0.8754) = 1.0688 +32'h3f979456,32'h3f668add,32'h3f6ff3cc, 32'h3f5f7c2a,32'h3f770280, 32'h3f53b900,32'h3f8162d5,// invsqrt(1.1842) = 0.9189 +32'h403ed764,32'h3f1148e0,32'h3f1736f3, 32'h3f0cd651,32'h3f1ba981, 32'h3f056cb8,32'h3f23131a,// invsqrt(2.9819) = 0.5791 +32'h402c1ef6,32'h3f18fb4a,32'h3f1f39ca, 32'h3f144c6a,32'h3f23e8aa, 32'h3f0c7e49,32'h3f2bb6cb,// invsqrt(2.6894) = 0.6098 +32'h3f81738b,32'h3f79783c,32'h3f81d378, 32'h3f71d535,32'h3f85a4fc, 32'h3f651ad4,32'h3f8c022c,// invsqrt(1.0113) = 0.9944 +32'h42bf95bc,32'h3dcd1080,32'h3dd56f37, 32'h3dc6c977,32'h3ddbb641, 32'h3dbc5313,32'h3de62ca5,// invsqrt(95.7924) = 0.1022 +32'h3df23b7d,32'h40365ee3,32'h403dd079, 32'h4030c9b2,32'h404365aa, 32'h40277bb6,32'h404cb3a6,// invsqrt(0.1183) = 2.9077 +32'h3e739d4b,32'h400096d6,32'h4005d677, 32'h3ff94e3d,32'h4009c62f, 32'h3fec2f2d,32'h401055b8,// invsqrt(0.2379) = 2.0502 +32'h3fd6d564,32'h3f41a6ae,32'h3f498e23, 32'h3f3bb917,32'h3f4f7bbb, 32'h3f31d7c5,32'h3f595d0d,// invsqrt(1.6784) = 0.7719 +32'h3e3363a1,32'h4015d9b4,32'h401bf77c, 32'h4011435d,32'h40208dd3, 32'h40099e22,32'h4028330e,// invsqrt(0.1752) = 2.3892 +32'h3fe54803,32'h3f3b7357,32'h3f431a01, 32'h3f35b657,32'h3f48d701, 32'h3f2c2601,32'h3f526757,// invsqrt(1.7913) = 0.7472 +32'h3f8e1fbb,32'h3f6e1683,32'h3f77ce4a, 32'h3f66ccaf,32'h3f7f181f, 32'h3f5aa6f6,32'h3f859eec,// invsqrt(1.1103) = 0.9490 +32'h3e57ad2b,32'h4008aa07,32'h400e3e07, 32'h40047b07,32'h40126d07, 32'h3ffb040d,32'h40196608,// invsqrt(0.2106) = 2.1790 +32'h410f8053,32'h3ea78b31,32'h3eae61db, 32'h3ea26a32,32'h3eb382da, 32'h3e99dddf,32'h3ebc0f2d,// invsqrt(8.9688) = 0.3339 +32'h3f23ca40,32'h3f9cd2ec,32'h3fa33992, 32'h3f9805ef,32'h3fa8068f, 32'h3f90059f,32'h3fb006df,// invsqrt(0.6398) = 1.2502 +32'h3f828634,32'h3f787137,32'h3f814a97, 32'h3f70d63d,32'h3f851814, 32'h3f642948,32'h3f8b6e8f,// invsqrt(1.0197) = 0.9903 +32'h3f50d1f1,32'h3f8ae3c0,32'h3f908f02, 32'h3f86a34f,32'h3f94cf73, 32'h3f7f1a7b,32'h3f9be584,// invsqrt(0.8157) = 1.1072 +32'h3fb76b1b,32'h3f519473,32'h3f5a2259, 32'h3f4b2a07,32'h3f608cc5, 32'h3f4078a9,32'h3f6b3e23,// invsqrt(1.4330) = 0.8354 +32'h3f83b690,32'h3f775185,32'h3f80b4df, 32'h3f6fbf5a,32'h3f847df5, 32'h3f632112,32'h3f8acd19,// invsqrt(1.0290) = 0.9858 +32'h408cd0f8,32'h3eef30dc,32'h3ef8f429, 32'h3ee7de63,32'h3f002352, 32'h3edbaa43,32'h3f063d62,// invsqrt(4.4005) = 0.4767 +32'h3ea80805,32'h3fdaf732,32'h3fe3e72a, 32'h3fd44338,32'h3fea9b24, 32'h3fc91743,32'h3ff5c719,// invsqrt(0.3282) = 1.7456 +32'h3fa9831c,32'h3f5a01d1,32'h3f62e7c4, 32'h3f53555a,32'h3f69943a, 32'h3f4835e9,32'h3f74b3ab,// invsqrt(1.3243) = 0.8690 +32'h3f62f772,32'h3f8538c3,32'h3f8aa8cb, 32'h3f8124bd,32'h3f8ebcd1, 32'h3f74b166,32'h3f9588db,// invsqrt(0.8866) = 1.0620 +32'h3f82f8bd,32'h3f78047e,32'h3f811203, 32'h3f706cd8,32'h3f84ddd6, 32'h3f63c56f,32'h3f8b318b,// invsqrt(1.0232) = 0.9886 +32'h400ef8f4,32'h3f27da70,32'h3f2eb456, 32'h3f22b704,32'h3f33d7c2, 32'h3f1a26a6,32'h3f3c6820,// invsqrt(2.2339) = 0.6691 +32'h3e95c727,32'h3fe7ecbd,32'h3ff1641d, 32'h3fe0d334,32'h3ff87da6, 32'h3fd4fdfc,32'h4002296f,// invsqrt(0.2925) = 1.8489 +32'h3e8d8ed1,32'h3fee9042,32'h3ff84d00, 32'h3fe742b3,32'h3fff9a8f, 32'h3fdb16c4,32'h4005e33f,// invsqrt(0.2765) = 1.9018 +32'h3f2947fe,32'h3f9a4268,32'h3fa08e42, 32'h3f958984,32'h3fa54726, 32'h3f8daab3,32'h3fad25f7,// invsqrt(0.6613) = 1.2297 +32'h3fa7dc60,32'h3f5b13a7,32'h3f6404c8, 32'h3f545ecf,32'h3f6ab9a1, 32'h3f493166,32'h3f75e70a,// invsqrt(1.3114) = 0.8732 +32'h3f9110e5,32'h3f6ba949,32'h3f7547b5, 32'h3f647279,32'h3f7c7e85, 32'h3f586c72,32'h3f844246,// invsqrt(1.1333) = 0.9393 +32'h3f3da2ce,32'h3f91bee5,32'h3f97b1c9, 32'h3f8d48b9,32'h3f9c27f5, 32'h3f85d91b,32'h3fa39793,// invsqrt(0.7408) = 1.1619 +32'h3fdda466,32'h3f3ea74d,32'h3f466f6f, 32'h3f38d133,32'h3f4c4589, 32'h3f2f1708,32'h3f55ffb4,// invsqrt(1.7316) = 0.7599 +32'h3f108b8a,32'h3fa6f00c,32'h3fadc060, 32'h3fa1d3cd,32'h3fb2dc9f, 32'h3f994f64,32'h3fbb6108,// invsqrt(0.5646) = 1.3308 +32'h3e2357c3,32'h401d09d8,32'h402372bc, 32'h40183b2d,32'h40284167, 32'h4010380f,32'h40304485,// invsqrt(0.1595) = 2.5038 +32'h3ee43b34,32'h3fbbe19a,32'h3fc38cc5, 32'h3fb6213a,32'h3fc94d26, 32'h3fac8b45,32'h3fd2e31b,// invsqrt(0.4458) = 1.4978 +32'h40a8eba5,32'h3eda6378,32'h3ee34d68, 32'h3ed3b404,32'h3ee9fcdc, 32'h3ec88f98,32'h3ef52148,// invsqrt(5.2788) = 0.4352 +32'h40ea2577,32'h3eb97e30,32'h3ec11066, 32'h3eb3d087,32'h3ec6be0f, 32'h3eaa59c4,32'h3ed034d3,// invsqrt(7.3171) = 0.3697 +32'h3ff7008a,32'h3f3499ea,32'h3f3bf904, 32'h3f2f1297,32'h3f418057, 32'h3f25dbb8,32'h3f4ab736,// invsqrt(1.9297) = 0.7199 +32'h4026a6a7,32'h3f1b78d3,32'h3f21d159, 32'h3f16b66e,32'h3f2693be, 32'h3f0ec7c7,32'h3f2e8265,// invsqrt(2.6039) = 0.6197 +32'h3f682bd0,32'h3f83b856,32'h3f8918ad, 32'h3f7f6028,32'h3f8d20ee, 32'h3f71ef4f,32'h3f93d95b,// invsqrt(0.9069) = 1.0501 +32'h3f4c6e34,32'h3f8c5f75,32'h3f921a35, 32'h3f881364,32'h3f966646, 32'h3f80e9f3,32'h3f9d8fb7,// invsqrt(0.7986) = 1.1190 +32'h3ec2b58c,32'h3fcb699f,32'h3fd3b713, 32'h3fc52f88,32'h3fd9f12a, 32'h3fbaceb6,32'h3fe451fc,// invsqrt(0.3803) = 1.6216 +32'h40a0511c,32'h3ee02c0b,32'h3ee9526a, 32'h3ed94f45,32'h3ef02f31, 32'h3ecddf4f,32'h3efb9f27,// invsqrt(5.0099) = 0.4468 +32'h3f17b8d9,32'h3fa2f105,32'h3fa99797, 32'h3f9df417,32'h3fae9485, 32'h3f95a3e0,32'h3fb6e4bc,// invsqrt(0.5927) = 1.2990 +32'h3b61b3d4,32'h41859823,32'h418b0c0f, 32'h41818131,32'h418f2301, 32'h41756093,32'h4195f3e8,// invsqrt(0.0034) = 17.0401 +32'h3c65406b,32'h41048e5e,32'h4109f772, 32'h41007f90,32'h410e0640, 32'h40f3786e,32'h4114c999,// invsqrt(0.0140) = 8.4538 +32'h3da76356,32'h405b62ce,32'h4064572a, 32'h4054ab89,32'h406b0e6f, 32'h40497a16,32'h40763fe2,// invsqrt(0.0817) = 3.4979 +32'h3f9cad1d,32'h3f62c2f7,32'h3f6c0465, 32'h3f5bd1e5,32'h3f72f577, 32'h3f50401d,32'h3f7e873f,// invsqrt(1.2240) = 0.9039 +32'h3edf2aeb,32'h3fbe0034,32'h3fc5c184, 32'h3fb82f37,32'h3fcb9281, 32'h3fae7d93,32'h3fd54425,// invsqrt(0.4359) = 1.5147 +32'h3fd9b534,32'h3f405e57,32'h3f483865, 32'h3f3a7acc,32'h3f4e1bf0, 32'h3f30aa3b,32'h3f57ec81,// invsqrt(1.7008) = 0.7668 +32'h3ec7e6b0,32'h3fc8c0ee,32'h3fd0f29a, 32'h3fc29bad,32'h3fd717db, 32'h3fb85d97,32'h3fe155f1,// invsqrt(0.3904) = 1.6004 +32'h3e0d676a,32'h4028c81b,32'h402fabb3, 32'h40239d68,32'h4034d666, 32'h401b00ea,32'h403d72e5,// invsqrt(0.1381) = 2.6910 +32'h3ec392a4,32'h3fcaf684,32'h3fd33f46, 32'h3fc4bff3,32'h3fd975d7, 32'h3fba6501,32'h3fe3d0c9,// invsqrt(0.3820) = 1.6180 +32'h3f2ee4b9,32'h3f97c3a1,32'h3f9df569, 32'h3f931e4b,32'h3fa29abf, 32'h3f8b6011,32'h3faa58f9,// invsqrt(0.6832) = 1.2099 +32'h3f22be16,32'h3f9d53ec,32'h3fa3bfd6, 32'h3f9882fc,32'h3fa890c6, 32'h3f907c17,32'h3fb097ab,// invsqrt(0.6357) = 1.2542 +32'h3f8e4783,32'h3f6df538,32'h3f77aba2, 32'h3f66ac68,32'h3f7ef472, 32'h3f5a8862,32'h3f858c3c,// invsqrt(1.1116) = 0.9485 +32'h3ea59b6a,32'h3fdc8ffc,32'h3fe590a4, 32'h3fd5cf7f,32'h3fec5121, 32'h3fca8eae,32'h3ff791f2,// invsqrt(0.3235) = 1.7583 +32'h3f7787c5,32'h3f7f22d3,32'h3f84c65e, 32'h3f775364,32'h3f88ae16, 32'h3f6a4f01,32'h3f8f3048,// invsqrt(0.9669) = 1.0170 +32'h3f64f271,32'h3f84a4ef,32'h3f8a0eef, 32'h3f809570,32'h3f8e1e6e, 32'h3f73a1e1,32'h3f94e2ed,// invsqrt(0.8943) = 1.0574 +32'h3f4bd422,32'h3f8c9478,32'h3f925163, 32'h3f8846c8,32'h3f969f14, 32'h3f811aa3,32'h3f9dcb39,// invsqrt(0.7962) = 1.1207 +32'h41a24bf8,32'h3e5eccec,32'h3e67e4f6, 32'h3e57fae5,32'h3e6eb6fd, 32'h3e4c9cd9,32'h3e7a1509,// invsqrt(20.2871) = 0.2220 +32'h3fb80eab,32'h3f513740,32'h3f59c157, 32'h3f4acfae,32'h3f6028e8, 32'h3f402310,32'h3f6ad586,// invsqrt(1.4379) = 0.8339 +32'h3eff623b,32'h3fb19ce5,32'h3fb8dcc5, 32'h3fac2cfe,32'h3fbe4cac, 32'h3fa31d26,32'h3fc75c84,// invsqrt(0.4988) = 1.4159 +32'h3f06e191,32'h3facd08a,32'h3fb3de46, 32'h3fa7863c,32'h3fb92894, 32'h3f9eb511,32'h3fc1f9bf,// invsqrt(0.5269) = 1.3777 +32'h3f95ecd2,32'h3f67cf98,32'h3f7145c8, 32'h3f60b6f4,32'h3f785e6c, 32'h3f54e338,32'h3f821914,// invsqrt(1.1713) = 0.9240 +32'h3ed1baaa,32'h3fc3fe55,32'h3fcbfe43, 32'h3fbdfe62,32'h3fd1fe36, 32'h3fb3fe78,32'h3fdbfe20,// invsqrt(0.4096) = 1.5624 +32'h3bb24382,32'h415496a1,32'h415d43f6, 32'h414e14a2,32'h4163c5f6, 32'h41433bf8,32'h416e9ea0,// invsqrt(0.0054) = 13.5579 +32'h3f377c69,32'h3f942b06,32'h3f9a373a, 32'h3f8fa1de,32'h3f9ec062, 32'h3f88129d,32'h3fa64fa3,// invsqrt(0.7167) = 1.1812 +32'h3f9b92de,32'h3f63904d,32'h3f6cda1b, 32'h3f5c98f1,32'h3f73d177, 32'h3f50fcaf,32'h3f7f6db9,// invsqrt(1.2154) = 0.9071 +32'h3ffc8129,32'h3f329f64,32'h3f39e9d0, 32'h3f2d2792,32'h3f3f61a2, 32'h3f240a8b,32'h3f487ea9,// invsqrt(1.9727) = 0.7120 +32'h3e6ebdb2,32'h4001e521,32'h40073266, 32'h3ffbd659,32'h400b2c5a, 32'h3fee952c,32'h4011ccf0,// invsqrt(0.2331) = 2.0710 +32'h3f1d6443,32'h3f9ffad5,32'h3fa68275, 32'h3f9b151d,32'h3fab682d, 32'h3f92eb94,32'h3fb391b6,// invsqrt(0.6148) = 1.2753 +32'h407844ba,32'h3efec1a9,32'h3f0493ce, 32'h3ef6f534,32'h3f087a08, 32'h3ee9f5c5,32'h3f0ef9c0,// invsqrt(3.8792) = 0.5077 +32'h3e0ca1f1,32'h40293e71,32'h403026de, 32'h4024101f,32'h4035552f, 32'h401b6d96,32'h403df7b8,// invsqrt(0.1373) = 2.6984 +32'h3e2fbf57,32'h4017651f,32'h401d930b, 32'h4012c2ae,32'h4022357c, 32'h400b0946,32'h4029eee4,// invsqrt(0.1716) = 2.4138 +32'h3e626159,32'h400564e6,32'h400ad6bc, 32'h40014f87,32'h400eec1b, 32'h3ff50278,32'h4015ba66,// invsqrt(0.2211) = 2.1268 +32'h3f0e98c7,32'h3fa81301,32'h3faeef36, 32'h3fa2eddb,32'h3fb4145d, 32'h3f9a5a99,32'h3fbca79f,// invsqrt(0.5570) = 1.3399 +32'h3f6e1f3b,32'h3f821052,32'h3f875f5a, 32'h3f7c2a17,32'h3f8b5aa1, 32'h3f6ee481,32'h3f91fd6b,// invsqrt(0.9302) = 1.0369 +32'h3d68b1a6,32'h4083926f,32'h4088f13a, 32'h407f16ac,32'h408cf852, 32'h4071a9b1,32'h4093aed0,// invsqrt(0.0568) = 4.1955 +32'h4018cae7,32'h3f225ea2,32'h3f28ff3b, 32'h3f1d6630,32'h3f2df7ae, 32'h3f151d71,32'h3f36406d,// invsqrt(2.3874) = 0.6472 +32'h405a303f,32'h3f07e00c,32'h3f0d6bce, 32'h3f03b73b,32'h3f11949f, 32'h3ef99111,32'h3f188352,// invsqrt(3.4092) = 0.5416 +32'h3e8b18a6,32'h3ff0aa49,32'h3ffa7cfe, 32'h3fe94c42,32'h4000ed83, 32'h3fdd04e0,32'h40071134,// invsqrt(0.2717) = 1.9186 +32'h3ff38392,32'h3f35e3df,32'h3f3d506f, 32'h3f305272,32'h3f42e1dc, 32'h3f270abd,32'h3f4c2991,// invsqrt(1.9025) = 0.7250 +32'h44259eab,32'h3d1bf489,32'h3d22521b, 32'h3d172e5b,32'h3d271849, 32'h3d0f3963,32'h3d2f0d41,// invsqrt(662.4792) = 0.0389 +32'h3e9291d9,32'h3fea7304,32'h3ff404c6, 32'h3fe345b3,32'h3ffb3217, 32'h3fd74f81,32'h40039424,// invsqrt(0.2863) = 1.8690 +32'h3f783fda,32'h3f7ec429,32'h3f84951b, 32'h3f76f7a1,32'h3f887b60, 32'h3f69f812,32'h3f8efb27,// invsqrt(0.9697) = 1.0155 +32'h402e8fa4,32'h3f17e899,32'h3f1e1be3, 32'h3f134221,32'h3f22c25b, 32'h3f0b8205,32'h3f2a8277,// invsqrt(2.7275) = 0.6055 +32'h3f5435a8,32'h3f89c6a7,32'h3f8f6645, 32'h3f858ef0,32'h3f939dfc, 32'h3f7d0ed4,32'h3f9aa582,// invsqrt(0.8289) = 1.0983 +32'h3f38a9d6,32'h3f93b1e7,32'h3f99b929, 32'h3f8f2c74,32'h3f9e3e9c, 32'h3f87a361,32'h3fa5c7af,// invsqrt(0.7213) = 1.1774 +32'h3f3264f8,32'h3f964483,32'h3f9c66a7, 32'h3f91aae7,32'h3fa10043, 32'h3f8a0039,32'h3fa8aaf1,// invsqrt(0.6969) = 1.1979 +32'h3f17efbc,32'h3fa2d394,32'h3fa978f2, 32'h3f9dd78c,32'h3fae74fa, 32'h3f9588d6,32'h3fb6c3b0,// invsqrt(0.5935) = 1.2980 +32'h417796f9,32'h3e7f1afe,32'h3e84c24b, 32'h3e774bcd,32'h3e88a9e4, 32'h3e6a47cf,32'h3e8f2be2,// invsqrt(15.4744) = 0.2542 +32'h3f8affad,32'h3f70bfe7,32'h3f7a937d, 32'h3f696136,32'h3f80f917, 32'h3f5d18ba,32'h3f871d55,// invsqrt(1.0859) = 0.9596 +32'h40433f3d,32'h3f0fa2dc,32'h3f157fb6, 32'h3f0b3d39,32'h3f19e559, 32'h3f03e928,32'h3f21396a,// invsqrt(3.0507) = 0.5725 +32'h3f1067a7,32'h3fa704c9,32'h3fadd5f5, 32'h3fa1e7e7,32'h3fb2f2d7, 32'h3f99626f,32'h3fbb784f,// invsqrt(0.5641) = 1.3315 +32'h3f6e0063,32'h3f8218bf,32'h3f87681f, 32'h3f7c3a6c,32'h3f8b63a8, 32'h3f6ef3fb,32'h3f9206e0,// invsqrt(0.9297) = 1.0371 +32'h3e9efe88,32'h3fe11a3d,32'h3fea4a54, 32'h3fda362b,32'h3ff12e65, 32'h3fceba0e,32'h3ffcaa82,// invsqrt(0.3105) = 1.7945 +32'h3fdfe240,32'h3f3db259,32'h3f45707b, 32'h3f37e3be,32'h3f4b3f16, 32'h3f2e3613,32'h3f54ecc1,// invsqrt(1.7491) = 0.7561 +32'h3eef89ff,32'h3fb7649f,32'h3fbee0e4, 32'h3fb1c76b,32'h3fc47e17, 32'h3fa86c14,32'h3fcdd96e,// invsqrt(0.4678) = 1.4620 +32'h3edf1a84,32'h3fbe0730,32'h3fc5c8c9, 32'h3fb835fc,32'h3fcb99fc, 32'h3fae83fc,32'h3fd54bfc,// invsqrt(0.4357) = 1.5149 +32'h3f1cf87d,32'h3fa031b7,32'h3fa6bb95, 32'h3f9b4a51,32'h3faba2fb, 32'h3f931dfc,32'h3fb3cf50,// invsqrt(0.6132) = 1.2771 +32'h3e7d4195,32'h3ffc3c42,32'h400343ee, 32'h3ff4838d,32'h40072047, 32'h3fe7a50d,32'h400d8f88,// invsqrt(0.2473) = 2.0108 +32'h3f525cf8,32'h3f8a611b,32'h3f900707, 32'h3f8624aa,32'h3f944378, 32'h3f7e2a85,32'h3f9b52e0,// invsqrt(0.8217) = 1.1032 +32'h3e448a9a,32'h400f2994,32'h4015017a, 32'h400ac7a7,32'h40196367, 32'h400379c6,32'h4020b148,// invsqrt(0.1919) = 2.2826 +32'h405e165e,32'h3f06ad5d,32'h3f0c2c9b, 32'h3f028def,32'h3f104c09, 32'h3ef75dc6,32'h3f172b15,// invsqrt(3.4701) = 0.5368 +32'h3fcdb4e8,32'h3f45e686,32'h3f4dfa62, 32'h3f3fd7a1,32'h3f540947, 32'h3f35becf,32'h3f5e2219,// invsqrt(1.6071) = 0.7888 +32'h413e2a0d,32'h3e918b08,32'h3e977bce, 32'h3e8d1672,32'h3e9bf064, 32'h3e85a97a,32'h3ea35d5c,// invsqrt(11.8853) = 0.2901 +32'h4090e878,32'h3eebca26,32'h3ef569e8, 32'h3ee49253,32'h3efca1bb, 32'h3ed88aa0,32'h3f0454b7,// invsqrt(4.5284) = 0.4699 +32'h3f360dce,32'h3f94bfea,32'h3f9ad232, 32'h3f903234,32'h3f9f5fe8, 32'h3f889b59,32'h3fa6f6c3,// invsqrt(0.7111) = 1.1858 +32'h3e54b582,32'h40099d39,32'h400f3b26, 32'h400566c6,32'h40137198, 32'h3ffcc2bb,32'h401a7700,// invsqrt(0.2077) = 2.1941 +32'h3e15ddd7,32'h4023f273,32'h402aa387, 32'h401eeda4,32'h402fa856, 32'h4016904a,32'h403805b0,// invsqrt(0.1464) = 2.6140 +32'h414f7f8f,32'h3e8b54d2,32'h3e9104b0, 32'h3e8710eb,32'h3e954897, 32'h3e7fea28,32'h3e9c646e,// invsqrt(12.9686) = 0.2777 +32'h401a372d,32'h3f219e6c,32'h3f28372c, 32'h3f1cabdb,32'h3f2d29bd, 32'h3f146ceb,32'h3f3568ad,// invsqrt(2.4096) = 0.6442 +32'h4068113e,32'h3f03bfe0,32'h3f092086, 32'h3eff6ec7,32'h3f0d2902, 32'h3ef1fd29,32'h3f13e1d2,// invsqrt(3.6261) = 0.5251 +32'h3f4db830,32'h3f8beeb2,32'h3f91a4d9, 32'h3f87a616,32'h3f95ed76, 32'h3f808265,32'h3f9d1127,// invsqrt(0.8036) = 1.1155 +32'h3f6b9b79,32'h3f82c18a,32'h3f8817ce, 32'h3f7d81ad,32'h3f8c1881, 32'h3f702a02,32'h3f92c457,// invsqrt(0.9203) = 1.0424 +32'h407838d5,32'h3efec7c3,32'h3f0496fa, 32'h3ef6fb1e,32'h3f087d4d, 32'h3ee9fb60,32'h3f0efd2c,// invsqrt(3.8785) = 0.5078 +32'h3f4fd042,32'h3f8b39c2,32'h3f90e886, 32'h3f86f6af,32'h3f952b99, 32'h3f7fb874,32'h3f9c460e,// invsqrt(0.8118) = 1.1099 +32'h3e534cec,32'h400a1272,32'h400fb528, 32'h4005d869,32'h4013ef31, 32'h3ffd9a0b,32'h401afa95,// invsqrt(0.2063) = 2.2014 +32'h3f667f96,32'h3f843278,32'h3f8997cc, 32'h3f80267a,32'h3f8da3ca, 32'h3f72cfa4,32'h3f946272,// invsqrt(0.9004) = 1.0539 +32'h3f72d683,32'h3f80cb6d,32'h3f860d33, 32'h3f79b432,32'h3f89fe87, 32'h3f6c8fc3,32'h3f9090be,// invsqrt(0.9486) = 1.0267 +32'h3fb1b094,32'h3f54ee74,32'h3f5d9f5e, 32'h3f4e69c4,32'h3f64240e, 32'h3f438c9e,32'h3f6f0134,// invsqrt(1.3882) = 0.8487 +32'h3f625852,32'h3f85678f,32'h3f8ad980, 32'h3f81521b,32'h3f8eeef5, 32'h3f75075b,32'h3f95bd62,// invsqrt(0.8842) = 1.0635 +32'h3ee7c862,32'h3fba6fb1,32'h3fc20bc3, 32'h3fb4baa4,32'h3fc7c0d0, 32'h3fab378e,32'h3fd143e6,// invsqrt(0.4527) = 1.4863 +32'h3f94a62f,32'h3f68cdbd,32'h3f724e4c, 32'h3f61ad51,32'h3f796eb7, 32'h3f55cc9d,32'h3f82a7b5,// invsqrt(1.1613) = 0.9279 +32'h3ef75b06,32'h3fb478df,32'h3fbbd69f, 32'h3faef28f,32'h3fc15cef, 32'h3fa5bd5f,32'h3fca921f,// invsqrt(0.4831) = 1.4387 +32'h3fd31040,32'h3f435f7b,32'h3f4b58ed, 32'h3f3d6465,32'h3f515403, 32'h3f336c95,32'h3f5b4bd3,// invsqrt(1.6489) = 0.7788 +32'h4049e44e,32'h3f0d40af,32'h3f1304a1, 32'h3f08edb9,32'h3f175797, 32'h3f01b8ca,32'h3f1e8c86,// invsqrt(3.1546) = 0.5630 +32'h3ea5390e,32'h3fdcd19a,32'h3fe5d4ee, 32'h3fd60f1a,32'h3fec976e, 32'h3fcacaf0,32'h3ff7db98,// invsqrt(0.3227) = 1.7604 +32'h3ff156c7,32'h3f36b538,32'h3f3e2a54, 32'h3f311d62,32'h3f43c22a, 32'h3f27caff,32'h3f4d148d,// invsqrt(1.8855) = 0.7283 +32'h40c78b57,32'h3ec8eedc,32'h3ed12268, 32'h3ec2c834,32'h3ed74910, 32'h3eb887c5,32'h3ee1897f,// invsqrt(6.2358) = 0.4005 +32'h3ffcecdb,32'h3f327958,32'h3f39c238, 32'h3f2d02b1,32'h3f3f38df, 32'h3f23e79a,32'h3f4853f6,// invsqrt(1.9760) = 0.7114 +32'h40419e5e,32'h3f103d2a,32'h3f162050, 32'h3f0bd2cd,32'h3f1a8aad, 32'h3f0476dd,32'h3f21e69d,// invsqrt(3.0253) = 0.5749 +32'h4008d79e,32'h3f2b9262,32'h3f329322, 32'h3f2651d2,32'h3f37d3b2, 32'h3f1d90e2,32'h3f4094a2,// invsqrt(2.1382) = 0.6839 +32'h3f7c4e44,32'h3f7cb5c4,32'h3f83832a, 32'h3f74f958,32'h3f876160, 32'h3f6814a4,32'h3f8dd3ba,// invsqrt(0.9856) = 1.0073 +32'h3f8358fc,32'h3f77a98f,32'h3f80e2b0, 32'h3f7014b2,32'h3f84ad1f, 32'h3f6371ec,32'h3f8afe82,// invsqrt(1.0262) = 0.9872 +32'h40e96c85,32'h3eb9c79d,32'h3ec15cd3, 32'h3eb417b5,32'h3ec70cbb, 32'h3eaa9d32,32'h3ed0873e,// invsqrt(7.2945) = 0.3703 +32'h404e57fc,32'h3f0bb879,32'h3f116c69, 32'h3f077185,32'h3f15b35d, 32'h3f005099,32'h3f1cd449,// invsqrt(3.2241) = 0.5569 +32'h3f7d1f45,32'h3f7c4d5a,32'h3f834cd3, 32'h3f749420,32'h3f872970, 32'h3f67b4c0,32'h3f8d9920,// invsqrt(0.9888) = 1.0057 +32'h3f981c28,32'h3f6623d9,32'h3f6f8893, 32'h3f5f184d,32'h3f76941f, 32'h3f535a64,32'h3f812904,// invsqrt(1.1884) = 0.9173 +32'h3fcda5dc,32'h3f45edc3,32'h3f4e01eb, 32'h3f3fdea6,32'h3f541108, 32'h3f35c575,32'h3f5e2a39,// invsqrt(1.6066) = 0.7889 +32'h3f954b68,32'h3f684cc7,32'h3f71c813, 32'h3f61304e,32'h3f78e48c, 32'h3f55562f,32'h3f825f56,// invsqrt(1.1664) = 0.9259 +32'h3f0f37cd,32'h3fa7b598,32'h3fae8dfc, 32'h3fa2934d,32'h3fb3b047, 32'h3f9a04cf,32'h3fbc3ec5,// invsqrt(0.5594) = 1.3370 +32'h3eeef4b1,32'h3fb79de1,32'h3fbf1c7d, 32'h3fb1feed,32'h3fc4bb71, 32'h3fa8a0aa,32'h3fce19b4,// invsqrt(0.4667) = 1.4638 +32'h3ed60a4b,32'h3fc20279,32'h3fc9edad, 32'h3fbc1212,32'h3fcfde14, 32'h3fb22c11,32'h3fd9c415,// invsqrt(0.4180) = 1.5466 +32'h3f290d67,32'h3f9a5d21,32'h3fa0aa13, 32'h3f95a36c,32'h3fa563c8, 32'h3f8dc33e,32'h3fad43f6,// invsqrt(0.6604) = 1.2306 +32'h3fc75e9b,32'h3f490566,32'h3f5139dd, 32'h3f42de0c,32'h3f576136, 32'h3f389c77,32'h3f61a2cb,// invsqrt(1.5576) = 0.8013 +32'h3ed3f5ba,32'h3fc2f59c,32'h3fcaeabc, 32'h3fbcfdc3,32'h3fd0e295, 32'h3fb30b5b,32'h3fdad4fd,// invsqrt(0.4140) = 1.5542 +32'h4039c728,32'h3f134051,32'h3f1942f1, 32'h3f0ebe59,32'h3f1dc4e9, 32'h3f073b11,32'h3f254831,// invsqrt(2.9028) = 0.5869 +32'h400490ce,32'h3f2e513c,32'h3f356eac, 32'h3f28fb28,32'h3f3ac4c0, 32'h3f20165c,32'h3f43a98c,// invsqrt(2.0713) = 0.6948 +32'h3f7c5292,32'h3f7cb39c,32'h3f83820b, 32'h3f74f742,32'h3f876039, 32'h3f6812ab,32'h3f8dd285,// invsqrt(0.9856) = 1.0073 +32'h3e5ec553,32'h40067870,32'h400bf584, 32'h40025aa1,32'h40101353, 32'h3ff6fc8f,32'h4016efad,// invsqrt(0.2175) = 2.1440 +32'h3fc07491,32'h3f4c99a6,32'h3f54f384, 32'h3f465641,32'h3f5b36e9, 32'h3f3be5ec,32'h3f65a73e,// invsqrt(1.5036) = 0.8155 +32'h3da3d7bb,32'h405dbf32,32'h4066cc3a, 32'h4056f56d,32'h406d95ff, 32'h404ba524,32'h4078e648,// invsqrt(0.0800) = 3.5355 +32'h3f9a60d3,32'h3f64716e,32'h3f6dc46d, 32'h3f5d732e,32'h3f74c2ac, 32'h3f51cb6f,32'h3f803536,// invsqrt(1.2061) = 0.9106 +32'h3fe86533,32'h3f3a30c0,32'h3f41ca40, 32'h3f347da0,32'h3f477d60, 32'h3f2afdc0,32'h3f50fd40,// invsqrt(1.8156) = 0.7421 +32'h3db3531c,32'h4053f567,32'h405c9c27, 32'h404d7857,32'h40631937, 32'h4042a7e6,32'h406de9a8,// invsqrt(0.0876) = 3.3794 +32'h3f309122,32'h3f970b13,32'h3f9d3553, 32'h3f926b64,32'h3fa1d502, 32'h3f8ab694,32'h3fa989d2,// invsqrt(0.6897) = 1.2041 +32'h3f40024e,32'h3f90d79d,32'h3f96c111, 32'h3f8c6886,32'h3f9b3028, 32'h3f8504b5,32'h3fa293f9,// invsqrt(0.7500) = 1.1547 +32'h401bea19,32'h3f20bc63,32'h3f274be9, 32'h3f1bd0be,32'h3f2c378e, 32'h3f139d55,32'h3f346af7,// invsqrt(2.4362) = 0.6407 +32'h3e240038,32'h401cb91c,32'h40231eb4, 32'h4017ece9,32'h4027eae7, 32'h400fedea,32'h402fe9e6,// invsqrt(0.1602) = 2.4988 +32'h3ebd7a2f,32'h3fce33a9,32'h3fd69e43, 32'h3fc7e3b6,32'h3fdcee36, 32'h3fbd5e77,32'h3fe77375,// invsqrt(0.3701) = 1.6438 +32'h3f06107b,32'h3fad5718,32'h3fb46a53, 32'h3fa808ad,32'h3fb9b8bf, 32'h3f9f30a4,32'h3fc290c8,// invsqrt(0.5237) = 1.3819 +32'h3f07e220,32'h3fac2d18,32'h3fb33428, 32'h3fa6e7cb,32'h3fb87975, 32'h3f9e1ef6,32'h3fc1424a,// invsqrt(0.5308) = 1.3726 +32'h3f4b40c4,32'h3f8cc766,32'h3f928664, 32'h3f887826,32'h3f96d5a4, 32'h3f814968,32'h3f9e0462,// invsqrt(0.7940) = 1.1223 +32'h3e5ccfed,32'h400710c6,32'h400c9412, 32'h4002ee4d,32'h4010b68b, 32'h3ff8145c,32'h40179aaa,// invsqrt(0.2156) = 2.1535 +32'h3f70adb0,32'h3f815f03,32'h3f86a6cf, 32'h3f7ad254,32'h3f8a9ca8, 32'h3f6d9ed7,32'h3f913667,// invsqrt(0.9402) = 1.0313 +32'h3f157502,32'h3fa42be8,32'h3faadf55, 32'h3f9f2557,32'h3fafe5e7, 32'h3f96c50f,32'h3fb8462f,// invsqrt(0.5838) = 1.3088 +32'h415ef0af,32'h3e866b5c,32'h3e8be7e8, 32'h3e824df4,32'h3e900550, 32'h3e76e48a,32'h3e96e0ff,// invsqrt(13.9338) = 0.2679 +32'h3f59eb57,32'h3f87f586,32'h3f8d8228, 32'h3f83cc0c,32'h3f91aba2, 32'h3f79b883,32'h3f989b6c,// invsqrt(0.8512) = 1.0839 +32'h3fcbc5ca,32'h3f46d661,32'h3f4ef407, 32'h3f40c025,32'h3f550a43, 32'h3f369b15,32'h3f5f2f53,// invsqrt(1.5920) = 0.7926 +32'h3fe9a57a,32'h3f39b0f7,32'h3f41453f, 32'h3f3401c0,32'h3f46f476, 32'h3f2a8865,32'h3f506dd1,// invsqrt(1.8254) = 0.7402 +32'h3f684b05,32'h3f83af7c,32'h3f890f77, 32'h3f7f4f01,32'h3f8d1773, 32'h3f71df0f,32'h3f93cf6d,// invsqrt(0.9074) = 1.0498 +32'h3ee1d2cf,32'h3fbce156,32'h3fc496f2, 32'h3fb71922,32'h3fca5f26, 32'h3fad7620,32'h3fd40228,// invsqrt(0.4411) = 1.5057 +32'h3f62648b,32'h3f8563f5,32'h3f8ad5c1, 32'h3f814e9d,32'h3f8eeb19, 32'h3f7500bd,32'h3f95b957,// invsqrt(0.8843) = 1.0634 +32'h3efe6384,32'h3fb1f5ba,32'h3fb9393a, 32'h3fac831a,32'h3fbeabda, 32'h3fa36ebb,32'h3fc7c039,// invsqrt(0.4969) = 1.4187 +32'h3f508d51,32'h3f8afa98,32'h3f90a6c8, 32'h3f86b974,32'h3f94e7ec, 32'h3f7f4470,32'h3f9bff28,// invsqrt(0.8147) = 1.1079 +32'h3c80dbbd,32'h40fa0b03,32'h41021fda, 32'h40f2637e,32'h4105f39d, 32'h40e5a1a0,32'h410c548c,// invsqrt(0.0157) = 7.9733 +32'h3f806cba,32'h3f7a76fe,32'h3f82580c, 32'h3f72cc2a,32'h3f862d76, 32'h3f6604ca,32'h3f8c9126,// invsqrt(1.0033) = 0.9983 +32'h3e81d65b,32'h3ff9193c,32'h4001a208, 32'h3ff1791e,32'h40057217, 32'h3fe4c395,32'h400bccdb,// invsqrt(0.2536) = 1.9858 +32'h3d6cc2c9,32'h40826fe4,32'h4087c2d4, 32'h407ce362,32'h408bc107, 32'h406f940c,32'h409268b2,// invsqrt(0.0578) = 4.1593 +32'h3f7749dd,32'h3f7f42c0,32'h3f84d6fc, 32'h3f777257,32'h3f88bf30, 32'h3f6a6c53,32'h3f8f4233,// invsqrt(0.9660) = 1.0175 +32'h3fa65d50,32'h3f5c0f4e,32'h3f650ab5, 32'h3f5552c2,32'h3f6bc742, 32'h3f4a1882,32'h3f770182,// invsqrt(1.2997) = 0.8772 +32'h40e8590b,32'h3eba359f,32'h3ec1cf51, 32'h3eb48258,32'h3ec78298, 32'h3eab0239,32'h3ed102b7,// invsqrt(7.2609) = 0.3711 +32'h3eac1799,32'h3fd85de1,32'h3fe132b1, 32'h3fd1be45,32'h3fe7d24d, 32'h3fc6b442,32'h3ff2dc50,// invsqrt(0.3361) = 1.7249 +32'h3ee6fd3d,32'h3fbac19b,32'h3fc26104, 32'h3fb50a0b,32'h3fc81893, 32'h3fab82c7,32'h3fd19fd7,// invsqrt(0.4512) = 1.4888 +32'h41442eac,32'h3e8f4b1a,32'h3e952460, 32'h3e8ae827,32'h3e998753, 32'h3e839890,32'h3ea0d6ea,// invsqrt(12.2614) = 0.2856 +32'h3f058d1c,32'h3fadac45,32'h3fb4c2f9, 32'h3fa85b3d,32'h3fba1401, 32'h3f9f7edc,32'h3fc2f062,// invsqrt(0.5217) = 1.3845 +32'h3d939b9e,32'h40699f94,32'h407328b4, 32'h406278bc,32'h407a4f8c, 32'h40568d54,32'h40831d7a,// invsqrt(0.0721) = 3.7249 +32'h3fb63225,32'h3f524826,32'h3f5add62, 32'h3f4bd83a,32'h3f614d4e, 32'h3f411db0,32'h3f6c07d8,// invsqrt(1.4234) = 0.8382 +32'h3e3b8608,32'h40129074,32'h40188be6, 32'h400e13de,32'h401d087c, 32'h4006998f,32'h402482cb,// invsqrt(0.1831) = 2.3368 +32'h404387c2,32'h3f0f8837,32'h3f1563fa, 32'h3f0b2364,32'h3f19c8cc, 32'h3f03d0af,32'h3f211b81,// invsqrt(3.0552) = 0.5721 +32'h418ca8f5,32'h3e6f52df,32'h3e79178f, 32'h3e67ff5b,32'h3e80358a, 32'h3e5bc97e,32'h3e865078,// invsqrt(17.5825) = 0.2385 +32'h3f584ba7,32'h3f8877ed,32'h3f8e09e1, 32'h3f844a75,32'h3f923759, 32'h3f7aa806,32'h3f992dcb,// invsqrt(0.8449) = 1.0879 +32'h3f5d3df0,32'h3f86ef2d,32'h3f8c7119, 32'h3f82cdbb,32'h3f90928b, 32'h3f77d6a5,32'h3f9774f3,// invsqrt(0.8642) = 1.0757 +32'h3fd2421a,32'h3f43bf2b,32'h3f4bbc85, 32'h3f3dc127,32'h3f51ba89, 32'h3f33c476,32'h3f5bb73a,// invsqrt(1.6426) = 0.7802 +32'h4008b195,32'h3f2baa3f,32'h3f32abf9, 32'h3f2668f4,32'h3f37ed44, 32'h3f1da6cc,32'h3f40af6c,// invsqrt(2.1358) = 0.6843 +32'h4084914f,32'h3ef68524,32'h3f004a83, 32'h3eeef93a,32'h3f041078, 32'h3ee26560,32'h3f0a5a65,// invsqrt(4.1427) = 0.4913 +32'h3f0f0efb,32'h3fa7cd83,32'h3faea6e1, 32'h3fa2aa7c,32'h3fb3c9e8, 32'h3f9a1ac7,32'h3fbc599d,// invsqrt(0.5588) = 1.3377 +32'h3ef14615,32'h3fb6bb8a,32'h3fbe30e8, 32'h3fb12383,32'h3fc3c8ef, 32'h3fa7d0cd,32'h3fcd1ba5,// invsqrt(0.4712) = 1.4567 +32'h3f59e961,32'h3f87f622,32'h3f8d82ca, 32'h3f83cca3,32'h3f91ac49, 32'h3f79b9a1,32'h3f989c1b,// invsqrt(0.8512) = 1.0839 +32'h42a8294a,32'h3ddae188,32'h3de3d09d, 32'h3dd42e38,32'h3dea83ec, 32'h3dc9035d,32'h3df5aec7,// invsqrt(84.0806) = 0.1091 +32'h3ef2fa9e,32'h3fb6171a,32'h3fbd85c2, 32'h3fb0841c,32'h3fc318c0, 32'h3fa739c9,32'h3fcc6313,// invsqrt(0.4746) = 1.4516 +32'h3f865a0e,32'h3f74e0b4,32'h3f7edf6c, 32'h3f6d61a9,32'h3f832f3c, 32'h3f60e342,32'h3f896e6f,// invsqrt(1.0496) = 0.9761 +32'h3ebf4e11,32'h3fcd36e6,32'h3fd5972e, 32'h3fc6eeb0,32'h3fdbdf64, 32'h3fbc7656,32'h3fe657be,// invsqrt(0.3736) = 1.6360 +32'h3fc65887,32'h3f498a08,32'h3f51c3ea, 32'h3f435ea0,32'h3f57ef52, 32'h3f391646,32'h3f6237ac,// invsqrt(1.5496) = 0.8033 +32'h408128e1,32'h3ef9c04d,32'h3f01f8f9, 32'h3ef21b12,32'h3f05cb97, 32'h3ee55d03,32'h3f0c2a9e,// invsqrt(4.0362) = 0.4978 +32'h3ecd346c,32'h3fc62471,32'h3fce3ad3, 32'h3fc013a7,32'h3fd44b9d, 32'h3fb5f7ab,32'h3fde6799,// invsqrt(0.4008) = 1.5796 +32'h3e334436,32'h4015e6d5,32'h401c0527, 32'h40115018,32'h40209be4, 32'h4009aa31,32'h402841cb,// invsqrt(0.1751) = 2.3900 +32'h3f23280c,32'h3f9d20cd,32'h3fa38aa1, 32'h3f98516e,32'h3fa85a00, 32'h3f904d25,32'h3fb05e49,// invsqrt(0.6373) = 1.2526 +32'h3f439a0a,32'h3f8f8181,32'h3f955cff, 32'h3f8b1ce3,32'h3f99c19d, 32'h3f83ca86,32'h3fa113fa,// invsqrt(0.7641) = 1.1440 +32'h3fbce8b1,32'h3f4e8302,32'h3f56f0d8, 32'h3f4830a1,32'h3f5d4339, 32'h3f3da755,32'h3f67cc85,// invsqrt(1.4759) = 0.8231 +32'h3f36c791,32'h3f947440,32'h3f9a8372, 32'h3f8fe8db,32'h3f9f0ed7, 32'h3f8855dd,32'h3fa6a1d5,// invsqrt(0.7140) = 1.1835 +32'h3f8c8904,32'h3f6f6e10,32'h3f7933dc, 32'h3f6819b6,32'h3f80441b, 32'h3f5be277,32'h3f865fba,// invsqrt(1.0979) = 0.9544 +32'h3f5ff480,32'h3f861d4d,32'h3f8b96a9, 32'h3f820248,32'h3f8fb1ae, 32'h3f76552a,32'h3f968961,// invsqrt(0.8748) = 1.0692 +32'h3ce78962,32'h40ba890d,32'h40c22627, 32'h40b4d339,32'h40c7dbfb, 32'h40ab4ed7,32'h40d1605d,// invsqrt(0.0283) = 5.9482 +32'h44aa242d,32'h3cd99a88,32'h3ce27c44, 32'h3cd2f13a,32'h3ce92592, 32'h3cc7d70f,32'h3cf43fbd,// invsqrt(1361.1305) = 0.0271 +32'h3caef2c4,32'h40d697e3,32'h40df5a2a, 32'h40d0062c,32'h40e5ebe0, 32'h40c51352,32'h40f0deba,// invsqrt(0.0214) = 6.8429 +32'h3f08db30,32'h3fab9025,32'h3fb290cd, 32'h3fa64fa6,32'h3fb7d14c, 32'h3f9d8ed3,32'h3fc0921f,// invsqrt(0.5346) = 1.3677 +32'h41029f90,32'h3eaf9bcc,32'h3eb6c6ba, 32'h3eaa3b99,32'h3ebc26ed, 32'h3ea145f0,32'h3ec51c97,// invsqrt(8.1640) = 0.3500 +32'h408e21db,32'h3eee14bc,32'h3ef7cc70, 32'h3ee6caf5,32'h3eff1637, 32'h3edaa554,32'h3f059dec,// invsqrt(4.4416) = 0.4745 +32'h3ef9170b,32'h3fb3d7bd,32'h3fbb2ee9, 32'h3fae565c,32'h3fc0b04a, 32'h3fa52964,32'h3fc9dd42,// invsqrt(0.4865) = 1.4337 +32'h3fc308d8,32'h3f4b3e2b,32'h3f5389d9, 32'h3f450568,32'h3f59c29c, 32'h3f3aa6cf,32'h3f642135,// invsqrt(1.5237) = 0.8101 +32'h3f0e2104,32'h3fa859c2,32'h3faf38da, 32'h3fa33270,32'h3fb4602c, 32'h3f9a9b93,32'h3fbcf709,// invsqrt(0.5552) = 1.3421 +32'h3c33216e,32'h4115f562,32'h411c144c, 32'h41115e33,32'h4120ab7b, 32'h4109b78e,32'h41285220,// invsqrt(0.0109) = 9.5637 +32'h3f259c85,32'h3f9bf58c,32'h3fa2532a, 32'h3f972f56,32'h3fa71960, 32'h3f8f3a52,32'h3faf0e64,// invsqrt(0.6469) = 1.2433 +32'h3f189075,32'h3fa27db9,32'h3fa91f97, 32'h3f9d8453,32'h3fae18fd, 32'h3f9539fe,32'h3fb66352,// invsqrt(0.5960) = 1.2954 +32'h3c4371fa,32'h410f9036,32'h41156c4d, 32'h410b2b24,32'h4119d15e, 32'h4103d807,32'h4121247b,// invsqrt(0.0119) = 9.1558 +32'h3d5c02ac,32'h40874fb8,32'h408cd596, 32'h40832b52,32'h4090f9fc, 32'h407887f9,32'h4097e151,// invsqrt(0.0537) = 4.3148 +32'h421f4593,32'h3e1f0864,32'h3e25861e, 32'h3e1a2a17,32'h3e2a646b, 32'h3e120cee,32'h3e328194,// invsqrt(39.8179) = 0.1585 +32'h3f8e24dd,32'h3f6e1237,32'h3f77c9d1, 32'h3f66c884,32'h3f7f1384, 32'h3f5aa304,32'h3f859c82,// invsqrt(1.1105) = 0.9489 +32'h403b86f9,32'h3f129016,32'h3f188b84, 32'h3f0e1383,32'h3f1d0817, 32'h3f069938,32'h3f248262,// invsqrt(2.9301) = 0.5842 +32'h40da7025,32'h3ec00bf5,32'h3ec7e2a7, 32'h3eba2af0,32'h3ecdc3ac, 32'h3eb05e93,32'h3ed79009,// invsqrt(6.8262) = 0.3827 +32'h406e360f,32'h3f020a16,32'h3f0758de, 32'h3efc1e01,32'h3f0b53f3, 32'h3eeed90f,32'h3f11f66d,// invsqrt(3.7220) = 0.5183 +32'h3fbfe9ef,32'h3f4ce37f,32'h3f55405f, 32'h3f469dd6,32'h3f5b8608, 32'h3f3c29be,32'h3f65fa21,// invsqrt(1.4993) = 0.8167 +32'h3ee41adc,32'h3fbbeeec,32'h3fc39aa2, 32'h3fb62e23,32'h3fc95b6b, 32'h3fac9780,32'h3fd2f20e,// invsqrt(0.4455) = 1.4982 +32'h3f2a2187,32'h3f99dfaa,32'h3fa0277c, 32'h3f9529cc,32'h3fa4dd5a, 32'h3f8d5004,32'h3facb722,// invsqrt(0.6646) = 1.2267 +32'h433441f0,32'h3d957d30,32'h3d9b9732, 32'h3d90e9af,32'h3da02ab3, 32'h3d89492c,32'h3da7cb36,// invsqrt(180.2576) = 0.0745 +32'h3f173ebf,32'h3fa332bd,32'h3fa9dbff, 32'h3f9e33cc,32'h3faedaf0, 32'h3f95e03b,32'h3fb72e81,// invsqrt(0.5908) = 1.3010 +32'h3bf7778e,32'h41346e77,32'h413bcbcb, 32'h412ee879,32'h414151c9, 32'h4125b3d1,32'h414a8671,// invsqrt(0.0076) = 11.5071 +32'h3c6ae6ae,32'h4102f3d1,32'h41084c23, 32'h40fde328,32'h410c4e60, 32'h40f0865c,32'h4112fcc6,// invsqrt(0.0143) = 8.3516 +32'h404897f2,32'h3f0db584,32'h3f137e3a, 32'h3f095efa,32'h3f17d4c4, 32'h3f022416,32'h3f1f0fa8,// invsqrt(3.1343) = 0.5648 +32'h400b3377,32'h3f2a1ca8,32'h3f310e28, 32'h3f24e789,32'h3f364347, 32'h3f1c39aa,32'h3f3ef126,// invsqrt(2.1750) = 0.6781 +32'h3f6cc36c,32'h3f826fb7,32'h3f87c2a5, 32'h3f7ce30b,32'h3f8bc0d7, 32'h3f6f93b9,32'h3f92687f,// invsqrt(0.9249) = 1.0398 +32'h3f7f6c37,32'h3f7b29d1,32'h3f82b51c, 32'h3f737984,32'h3f868d42, 32'h3f66a904,32'h3f8cf582,// invsqrt(0.9977) = 1.0011 +32'h3fdcbf42,32'h3f3f0a27,32'h3f46d652, 32'h3f393106,32'h3f4caf72, 32'h3f2f71d0,32'h3f566ea8,// invsqrt(1.7246) = 0.7615 +32'h3f8326a1,32'h3f77d916,32'h3f80fb6c, 32'h3f7042c4,32'h3f84c695, 32'h3f639d92,32'h3f8b192e,// invsqrt(1.0246) = 0.9879 +32'h3fdadabe,32'h3f3fdd2a,32'h3f47b1f2, 32'h3f39fd94,32'h3f4d9188, 32'h3f303399,32'h3f575b83,// invsqrt(1.7098) = 0.7648 +32'h3e430eb4,32'h400fb4ba,32'h4015924e, 32'h400b4e8a,32'h4019f87e, 32'h4003f990,32'h40214d78,// invsqrt(0.1905) = 2.2912 +32'h4076d7a6,32'h3eff7dc8,32'h3f04f5b4, 32'h3ef7ab90,32'h3f08ded0, 32'h3eeaa289,32'h3f0f6354,// invsqrt(3.8569) = 0.5092 +32'h3eb8b419,32'h3fd0d979,32'h3fd95fbd, 32'h3fca74c6,32'h3fdfc470, 32'h3fbfccf2,32'h3fea6c44,// invsqrt(0.3607) = 1.6649 +32'h3ec44662,32'h3fca9980,32'h3fd2de76, 32'h3fc465c8,32'h3fd9122e, 32'h3fba0f95,32'h3fe36861,// invsqrt(0.3833) = 1.6151 +32'h3ed329e5,32'h3fc3539e,32'h3fcb4c94, 32'h3fbd58e5,32'h3fd1474d, 32'h3fb361b0,32'h3fdb3e82,// invsqrt(0.4124) = 1.5571 +32'h3f85f06b,32'h3f754132,32'h3f7f43dc, 32'h3f6dbf33,32'h3f8362ed, 32'h3f613be0,32'h3f89a497,// invsqrt(1.0464) = 0.9776 +32'h40350206,32'h3f152dc9,32'h3f1b448d, 32'h3f109cb6,32'h3f1fd5a0, 32'h3f090040,32'h3f277216,// invsqrt(2.8282) = 0.5946 +32'h3f95c515,32'h3f67ee57,32'h3f7165c9, 32'h3f60d4c2,32'h3f787f5e, 32'h3f54ff75,32'h3f822a56,// invsqrt(1.1701) = 0.9245 +32'h3efcc684,32'h3fb286e1,32'h3fb9d04d, 32'h3fad0fcf,32'h3fbf475f, 32'h3fa3f408,32'h3fc86326,// invsqrt(0.4937) = 1.4232 +32'h40265b9e,32'h3f1b9bdf,32'h3f21f5d3, 32'h3f16d868,32'h3f26b94a, 32'h3f0ee7f6,32'h3f2ea9bc,// invsqrt(2.5993) = 0.6203 +32'h3f5d7416,32'h3f86dead,32'h3f8c5fed, 32'h3f82bdbc,32'h3f9080de, 32'h3f77b857,32'h3f97626e,// invsqrt(0.8651) = 1.0752 +32'h3f76ea87,32'h3f7f7403,32'h3f84f09e, 32'h3f77a218,32'h3f88d994, 32'h3f6a9990,32'h3f8f5dd8,// invsqrt(0.9645) = 1.0182 +32'h3ea91026,32'h3fda4be3,32'h3fe334dd, 32'h3fd39d28,32'h3fe9e398, 32'h3fc879f0,32'h3ff506d0,// invsqrt(0.3302) = 1.7402 +32'h402f228e,32'h3f17a8d4,32'h3f1dd984, 32'h3f130450,32'h3f227e08, 32'h3f0b4774,32'h3f2a3ae4,// invsqrt(2.7365) = 0.6045 +32'h3fc79906,32'h3f48e7f9,32'h3f511b3d, 32'h3f42c186,32'h3f5741b0, 32'h3f388172,32'h3f6181c4,// invsqrt(1.5594) = 0.8008 +32'h3fc2ec0d,32'h3f4b4d2d,32'h3f539977, 32'h3f4513f4,32'h3f59d2b0, 32'h3f3ab497,32'h3f64320d,// invsqrt(1.5228) = 0.8104 +32'h3ed3b110,32'h3fc31538,32'h3fcb0ba2, 32'h3fbd1c68,32'h3fd10472, 32'h3fb32862,32'h3fdaf878,// invsqrt(0.4135) = 1.5552 +32'h3fae4168,32'h3f5704fc,32'h3f5fcbb8, 32'h3f506fef,32'h3f6660c5, 32'h3f457784,32'h3f715930,// invsqrt(1.3614) = 0.8571 +32'h3d8b28c2,32'h40709c5b,32'h407a6e7e, 32'h40693ec0,32'h4080e60c, 32'h405cf814,32'h40870962,// invsqrt(0.0679) = 3.8363 +32'h40897241,32'h3ef21afc,32'h3efbfcbe, 32'h3eeab1ac,32'h3f01b307, 32'h3ede577a,32'h3f07e020,// invsqrt(4.2952) = 0.4825 +32'h3f46453a,32'h3f8e896d,32'h3f945acb, 32'h3f8a2c67,32'h3f98b7d1, 32'h3f82e6b3,32'h3f9ffd85,// invsqrt(0.7745) = 1.1363 +32'h3fd18780,32'h3f441641,32'h3f4c1729, 32'h3f3e1592,32'h3f5217d8, 32'h3f341470,32'h3f5c18fa,// invsqrt(1.6369) = 0.7816 +32'h40014311,32'h3f3087e6,32'h3f37bc78, 32'h3f2b2079,32'h3f3d23e5, 32'h3f221ec4,32'h3f46259a,// invsqrt(2.0197) = 0.7036 +32'h421c3b14,32'h3e2092b5,32'h3e272089, 32'h3e1ba857,32'h3e2c0ae7, 32'h3e13770f,32'h3e343c2f,// invsqrt(39.0577) = 0.1600 +32'h3f0516c2,32'h3fadf96c,32'h3fb51346, 32'h3fa8a608,32'h3fba66aa, 32'h3f9fc5b6,32'h3fc346fc,// invsqrt(0.5199) = 1.3869 +32'h3d87750d,32'h4073e061,32'h407dd4a4, 32'h406c6930,32'h4082a5eb, 32'h405ff7dc,32'h4088de95,// invsqrt(0.0661) = 3.8883 +32'h400c4dd6,32'h3f297123,32'h3f305ba2, 32'h3f244144,32'h3f358b82, 32'h3f1b9c26,32'h3f3e30a0,// invsqrt(2.1923) = 0.6754 +32'h3e9b0985,32'h3fe3f503,32'h3fed42ef, 32'h3fdcfa93,32'h3ff43d5f, 32'h3fd1592d,32'h3fffdec5,// invsqrt(0.3028) = 1.8173 +32'h40290f4c,32'h3f1a5c44,32'h3f20a92c, 32'h3f15a295,32'h3f2562db, 32'h3f0dc272,32'h3f2d42fe,// invsqrt(2.6416) = 0.6153 +32'h3e610c79,32'h4005c9c6,32'h400b3fba, 32'h4001b150,32'h400f5830, 32'h3ff5bbc0,32'h40162ba0,// invsqrt(0.2198) = 2.1331 +32'h3eb65799,32'h3fd2328d,32'h3fdac6e6, 32'h3fcbc349,32'h3fe13629, 32'h3fc109da,32'h3febef98,// invsqrt(0.3561) = 1.6757 +32'h4140f60d,32'h3e907c05,32'h3e9661bc, 32'h3e8c0fbb,32'h3e9ace05, 32'h3e84b096,32'h3ea22d2a,// invsqrt(12.0601) = 0.2880 +32'h403dfdb4,32'h3f119c03,32'h3f178d7b, 32'h3f0d26e9,32'h3f1c0295, 32'h3f05b912,32'h3f23706c,// invsqrt(2.9686) = 0.5804 +32'h3fb0980b,32'h3f559752,32'h3f5e4f22, 32'h3f4f0d77,32'h3f64d8fd, 32'h3f4427b4,32'h3f6fbec0,// invsqrt(1.3796) = 0.8514 +32'h3fcbd8f0,32'h3f46cd0a,32'h3f4eea4e, 32'h3f40b717,32'h3f550041, 32'h3f369281,32'h3f5f24d7,// invsqrt(1.5926) = 0.7924 +32'h3f6955b5,32'h3f836426,32'h3f88c10d, 32'h3f7ebcf0,32'h3f8cc6ba, 32'h3f7154ad,32'h3f937adc,// invsqrt(0.9115) = 1.0474 +32'h3def7053,32'h40376e73,32'h403eeb1f, 32'h4031d0f2,32'h404488a0, 32'h4028751b,32'h404de477,// invsqrt(0.1169) = 2.9246 +32'h40a00000,32'h3ee064d6,32'h3ee98d86, 32'h3ed98652,32'h3ef06c0a, 32'h3ece1376,32'h3efbdee6,// invsqrt(5.0000) = 0.4472 +32'h3e26e9a4,32'h401b599d,32'h4021b0dd, 32'h4016982d,32'h4026724d, 32'h400eab1d,32'h402e5f5d,// invsqrt(0.1630) = 2.4769 +32'h4004f43d,32'h3f2e1000,32'h3f352ac7, 32'h3f28bbec,32'h3f3a7edc, 32'h3f1fda74,32'h3f436054,// invsqrt(2.0774) = 0.6938 +32'h3e44de5d,32'h400f0b1c,32'h4014e1c4, 32'h400aaa1e,32'h401942c2, 32'h40035dcb,32'h40208f15,// invsqrt(0.1923) = 2.2807 +32'h40714dc9,32'h3f013411,32'h3f067a1d, 32'h3efa7f12,32'h3f0a6ea5, 32'h3eed4ff6,32'h3f110633,// invsqrt(3.7704) = 0.5150 +32'h3dc69312,32'h40496c51,32'h4051a4fb, 32'h404341d1,32'h4057cf7b, 32'h4038fafc,32'h40621650,// invsqrt(0.0970) = 3.2115 +32'h3ef029f0,32'h3fb72783,32'h3fbea14a, 32'h3fb18c2f,32'h3fc43c9f, 32'h3fa833f6,32'h3fcd94d8,// invsqrt(0.4691) = 1.4601 +32'h3f85ad2c,32'h3f757eda,32'h3f7f8408, 32'h3f6dfaf8,32'h3f8383f5, 32'h3f61747f,32'h3f89c731,// invsqrt(1.0443) = 0.9785 +32'h3f532982,32'h3f8a1e06,32'h3f8fc135, 32'h3f85e3a2,32'h3f93fb98, 32'h3f7daf4e,32'h3f9b0793,// invsqrt(0.8249) = 1.1011 +32'h3e45478b,32'h400ee4f5,32'h4014ba0f, 32'h400a8522,32'h401919e2, 32'h40033ac2,32'h40206442,// invsqrt(0.1927) = 2.2783 +32'h3fa7f1c8,32'h3f5b05b1,32'h3f63f640, 32'h3f545145,32'h3f6aaaab, 32'h3f492492,32'h3f75d75e,// invsqrt(1.3121) = 0.8730 +32'h3f5b928b,32'h3f877240,32'h3f8cf986, 32'h3f834ccb,32'h3f911efb, 32'h3f78c765,32'h3f980813,// invsqrt(0.8577) = 1.0798 +32'h4004a5a1,32'h3f2e438c,32'h3f35606e, 32'h3f28ede4,32'h3f3ab616, 32'h3f2009ca,32'h3f439a30,// invsqrt(2.0726) = 0.6946 +32'h3f52f2cd,32'h3f8a2fed,32'h3f8fd3d8, 32'h3f85f4fe,32'h3f940ec8, 32'h3f7dd032,32'h3f9b1bad,// invsqrt(0.8240) = 1.1016 +32'h3fe6c918,32'h3f3ad6b2,32'h3f4276f8, 32'h3f351e7e,32'h3f482f2c, 32'h3f2b9626,32'h3f51b784,// invsqrt(1.8030) = 0.7447 +32'h3ded747d,32'h40383231,32'h403fb6db, 32'h40328eb2,32'h40455a5a, 32'h402928df,32'h404ec02d,// invsqrt(0.1159) = 2.9368 +32'h4001a1a5,32'h3f304775,32'h3f377965, 32'h3f2ae201,32'h3f3cded9, 32'h3f21e395,32'h3f45dd45,// invsqrt(2.0255) = 0.7026 +32'h3e6c3ad1,32'h40029569,32'h4007e9e0, 32'h3ffd2c1e,32'h400be939, 32'h3fefd8f4,32'h401292ce,// invsqrt(0.2307) = 2.0820 +32'h3f73ad9d,32'h3f809288,32'h3f85d1fc, 32'h3f7945e4,32'h3f89c192, 32'h3f6c2744,32'h3f9050e2,// invsqrt(0.9519) = 1.0250 +32'h40153ccc,32'h3f244ad1,32'h3f2aff80, 32'h3f1f434c,32'h3f300704, 32'h3f16e171,32'h3f3868df,// invsqrt(2.3318) = 0.6549 +32'h3f6ed155,32'h3f81dfc9,32'h3f872cd7, 32'h3f7bcbfe,32'h3f8b26a1, 32'h3f6e8b5d,32'h3f91c6f2,// invsqrt(0.9329) = 1.0353 +32'h40d7a87b,32'h3ec147d1,32'h3ec92b67, 32'h3ebb5d21,32'h3ecf1617, 32'h3eb180a6,32'h3ed8f292,// invsqrt(6.7393) = 0.3852 +32'h3efbabf4,32'h3fb2eafd,32'h3fba387f, 32'h3fad70db,32'h3fbfb2a1, 32'h3fa44ff8,32'h3fc8d384,// invsqrt(0.4915) = 1.4263 +32'h3ea6b1b6,32'h3fdbd792,32'h3fe4d0b2, 32'h3fd51cba,32'h3feb8b8a, 32'h3fc9e552,32'h3ff6c2f2,// invsqrt(0.3256) = 1.7526 +32'h403e41aa,32'h3f1181ff,32'h3f177267, 32'h3f0d0db0,32'h3f1be6b6, 32'h3f05a12e,32'h3f235338,// invsqrt(2.9728) = 0.5800 +32'h3db5e5a5,32'h4052745a,32'h405b0b62, 32'h404c0313,32'h40617ca9, 32'h40414648,32'h406c3974,// invsqrt(0.0888) = 3.3555 +32'h3d07a502,32'h40ac53dd,32'h40b35c83, 32'h40a70d61,32'h40b8a2ff, 32'h409e4292,32'h40c16dce,// invsqrt(0.0331) = 5.4951 +32'h3e1ad235,32'h40214d6c,32'h4027e2de, 32'h401c5d56,32'h402cd2f4, 32'h40142288,32'h40350dc2,// invsqrt(0.1512) = 2.5718 +32'h41222b94,32'h3e9d9aed,32'h3ea409bd, 32'h3e98c7d1,32'h3ea8dcd9, 32'h3e90bd4c,32'h3eb0e75e,// invsqrt(10.1356) = 0.3141 +32'h3f8b727d,32'h3f705cb6,32'h3f7a2c40, 32'h3f69010e,32'h3f80c3f4, 32'h3f5cbda2,32'h3f86e5aa,// invsqrt(1.0894) = 0.9581 +32'h3f29f29b,32'h3f99f4e6,32'h3fa03d96, 32'h3f953e61,32'h3fa4f41b, 32'h3f8d6385,32'h3faccef7,// invsqrt(0.6639) = 1.2273 +32'h3ed8aba8,32'h3fc0d415,32'h3fc8b2f1, 32'h3fbaecf0,32'h3fce9a16, 32'h3fb1165c,32'h3fd870aa,// invsqrt(0.4232) = 1.5372 +32'h3f90e4f9,32'h3f6bccfe,32'h3f756cde, 32'h3f649515,32'h3f7ca4c7, 32'h3f588d3d,32'h3f845650,// invsqrt(1.1320) = 0.9399 +32'h400e7e6c,32'h3f28228c,32'h3f2eff62, 32'h3f22fceb,32'h3f342503, 32'h3f1a68de,32'h3f3cb910,// invsqrt(2.2265) = 0.6702 +32'h415126b2,32'h3e8ac799,32'h3e9071b5, 32'h3e868805,32'h3e94b149, 32'h3e7ee6c6,32'h3e9bc5eb,// invsqrt(13.0719) = 0.2766 +32'h3f89f0af,32'h3f71abef,32'h3f7b8927, 32'h3f6a4604,32'h3f817789, 32'h3f5df17d,32'h3f87a1cc,// invsqrt(1.0777) = 0.9633 +32'h3e58c354,32'h4008523b,32'h400de2a6, 32'h400425eb,32'h40120ef7, 32'h3ffa62cb,32'h4019037c,// invsqrt(0.2117) = 2.1735 +32'h3f8d45f9,32'h3f6ecdbb,32'h3f788cfd, 32'h3f677e4b,32'h3f7fdc6d, 32'h3f5b4f39,32'h3f8605bf,// invsqrt(1.1037) = 0.9519 +32'h3f1b940f,32'h3fa0e8cf,32'h3fa77a25, 32'h3f9bfbce,32'h3fac6726, 32'h3f93c621,32'h3fb49cd3,// invsqrt(0.6077) = 1.2828 +32'h3f296338,32'h3f9a3602,32'h3fa0815a, 32'h3f957d7f,32'h3fa539dd, 32'h3f8d9f50,32'h3fad180c,// invsqrt(0.6617) = 1.2294 +32'h3f801e0d,32'h3f7ac3da,32'h3f82800b, 32'h3f7316ac,32'h3f8656a2, 32'h3f664b5f,32'h3f8cbc48,// invsqrt(1.0009) = 0.9995 +32'h3f587909,32'h3f88699e,32'h3f8dfafd, 32'h3f843c96,32'h3f922804, 32'h3f7a8dbe,32'h3f991dbb,// invsqrt(0.8456) = 1.0875 +32'h402e9a19,32'h3f17e40c,32'h3f1e1726, 32'h3f133db8,32'h3f22bd7a, 32'h3f0b7dd6,32'h3f2a7d5c,// invsqrt(2.7282) = 0.6054 +32'h3f86b2b6,32'h3f749010,32'h3f7e8b7f, 32'h3f6d137e,32'h3f830409, 32'h3f609934,32'h3f89412e,// invsqrt(1.0523) = 0.9748 +32'h3f794909,32'h3f7e3c83,32'h3f844e83, 32'h3f767421,32'h3f8832b4, 32'h3f697b7d,32'h3f8eaf05,// invsqrt(0.9738) = 1.0134 +32'h3f3aee42,32'h3f92cbe8,32'h3f98c9c8, 32'h3f8e4d80,32'h3f9d4830, 32'h3f86d029,32'h3fa4c587,// invsqrt(0.7302) = 1.1703 +32'h4101bd88,32'h3eb03482,32'h3eb765ac, 32'h3eaacfa3,32'h3ebcca8b, 32'h3ea1d22e,32'h3ec5c800,// invsqrt(8.1088) = 0.3512 +32'h3f800fd2,32'h3f7ad1c8,32'h3f82874b, 32'h3f73242d,32'h3f865e19, 32'h3f66582a,32'h3f8cc41a,// invsqrt(1.0005) = 0.9998 +32'h3e4f3661,32'h400b6d6a,32'h40111e4a, 32'h400728c2,32'h401562f2, 32'h40000bab,32'h401c8009,// invsqrt(0.2024) = 2.2230 +32'h3e8fd384,32'h3fecacbe,32'h3ff655c0, 32'h3fe56dfc,32'h3ffd9482, 32'h3fd95ab9,32'h4004d3e3,// invsqrt(0.2809) = 1.8868 +32'h3fb22f4c,32'h3f54a2b0,32'h3f5d5082, 32'h3f4e2051,32'h3f63d2e1, 32'h3f43470a,32'h3f6eac28,// invsqrt(1.3921) = 0.8476 +32'h3f23e276,32'h3f9cc756,32'h3fa32d84, 32'h3f97fab4,32'h3fa7fa26, 32'h3f8ffafc,32'h3faff9de,// invsqrt(0.6402) = 1.2498 +32'h3f2ea434,32'h3f97dfa7,32'h3f9e1293, 32'h3f933975,32'h3fa2b8c5, 32'h3f8b79cd,32'h3faa786d,// invsqrt(0.6822) = 1.2107 +32'h3ff9bf22,32'h3f339b2d,32'h3f3aefe1, 32'h3f2e1ba7,32'h3f406f67, 32'h3f24f1c6,32'h3f499948,// invsqrt(1.9511) = 0.7159 +32'h419f7b3f,32'h3e60c228,32'h3e69eea6, 32'h3e59e0c8,32'h3e70d006, 32'h3e4e692a,32'h3e7c47a5,// invsqrt(19.9352) = 0.2240 +32'h3fd37ecb,32'h3f432c66,32'h3f4b23c2, 32'h3f3d32e0,32'h3f511d48, 32'h3f333dac,32'h3f5b127c,// invsqrt(1.6523) = 0.7780 +32'h3ea6a0a0,32'h3fdbe2d7,32'h3fe4dc6d, 32'h3fd527a7,32'h3feb979d, 32'h3fc9efab,32'h3ff6cf99,// invsqrt(0.3254) = 1.7529 +32'h3fec896c,32'h3f388da1,32'h3f401605, 32'h3f32e755,32'h3f45bc51, 32'h3f297cd8,32'h3f4f26cf,// invsqrt(1.8479) = 0.7356 +32'h3ff387ab,32'h3f35e257,32'h3f3d4ed8, 32'h3f3050f7,32'h3f42e039, 32'h3f270956,32'h3f4c27da,// invsqrt(1.9026) = 0.7250 +32'h3f7a812f,32'h3f7d9dec,32'h3f83fbfa, 32'h3f75da64,32'h3f87ddbe, 32'h3f68e9d8,32'h3f8e5604,// invsqrt(0.9785) = 1.0109 +32'h3f475dd6,32'h3f8e24fa,32'h3f93f23e, 32'h3f89cb07,32'h3f984c31, 32'h3f828a73,32'h3f9f8cc5,// invsqrt(0.7788) = 1.1332 +32'h3fe0b385,32'h3f3d59ef,32'h3f451476, 32'h3f378e09,32'h3f4ae05b, 32'h3f2de4e0,32'h3f548984,// invsqrt(1.7555) = 0.7547 +32'h3f8e2a69,32'h3f6e0d92,32'h3f77c4fb, 32'h3f66c402,32'h3f7f0e8a, 32'h3f5a9ebf,32'h3f8599e6,// invsqrt(1.1107) = 0.9489 +32'h3fba3a87,32'h3f4ffe19,32'h3f587b68, 32'h3f49a01d,32'h3f5ed963, 32'h3f3f037a,32'h3f697606,// invsqrt(1.4549) = 0.8291 +32'h3ed319bd,32'h3fc35b17,32'h3fcb545b, 32'h3fbd6023,32'h3fd14f4f, 32'h3fb3688d,32'h3fdb46e5,// invsqrt(0.4123) = 1.5574 +32'h3f2fabe3,32'h3f976d81,32'h3f9d9bc5, 32'h3f92cace,32'h3fa23e78, 32'h3f8b10f9,32'h3fa9f84d,// invsqrt(0.6862) = 1.2072 +32'h3f557090,32'h3f8960de,32'h3f8efc55, 32'h3f852c46,32'h3f9330ee, 32'h3f7c53e2,32'h3f9a3343,// invsqrt(0.8337) = 1.0952 +32'h3fa169e3,32'h3f5f68bd,32'h3f688723, 32'h3f5891f1,32'h3f6f5def, 32'h3f4d2bf2,32'h3f7ac3ee,// invsqrt(1.2610) = 0.8905 +32'h4008fca4,32'h3f2b7b31,32'h3f327aff, 32'h3f263b57,32'h3f37bad9, 32'h3f1d7b96,32'h3f407a9a,// invsqrt(2.1404) = 0.6835 +32'h4037eed3,32'h3f13fce9,32'h3f1a073c, 32'h3f0f752c,32'h3f1e8efa, 32'h3f07e844,32'h3f261be2,// invsqrt(2.8740) = 0.5899 +32'h3f94c1c5,32'h3f68b826,32'h3f7237d4, 32'h3f619864,32'h3f795796, 32'h3f55b8ca,32'h3f829b98,// invsqrt(1.1622) = 0.9276 +32'h3f7abdaa,32'h3f7d7f54,32'h3f83ec0e, 32'h3f75bcbc,32'h3f87cd5a, 32'h3f68cdbf,32'h3f8e44d8,// invsqrt(0.9795) = 1.0104 +32'h408a1faf,32'h3ef182ce,32'h3efb5e58, 32'h3eea1e25,32'h3f016180, 32'h3eddcbb8,32'h3f078ab7,// invsqrt(4.3164) = 0.4813 +32'h3ed5b736,32'h3fc2282b,32'h3fca14e9, 32'h3fbc369d,32'h3fd00677, 32'h3fb24eaf,32'h3fd9ee65,// invsqrt(0.4174) = 1.5478 +32'h3e5e0db4,32'h4006affe,32'h400c2f56, 32'h4002907b,32'h40104ed9, 32'h3ff76298,32'h40172e08,// invsqrt(0.2168) = 2.1474 +32'h3f49618e,32'h3f8d6e83,32'h3f933454, 32'h3f891a26,32'h3f9788b0, 32'h3f81e2e0,32'h3f9ebff6,// invsqrt(0.7866) = 1.1275 +32'h401e9774,32'h3f1f5f99,32'h3f25e0e3, 32'h3f1a7ea1,32'h3f2ac1db, 32'h3f125d05,32'h3f32e377,// invsqrt(2.4780) = 0.6353 +32'h4046a3e3,32'h3f0e6773,32'h3f14376d, 32'h3f0a0b77,32'h3f189369, 32'h3f02c77e,32'h3f1fd762,// invsqrt(3.1038) = 0.5676 +32'h3eda1049,32'h3fc03626,32'h3fc80e90, 32'h3fba53d6,32'h3fcdf0e0, 32'h3fb08552,32'h3fd7bf64,// invsqrt(0.4259) = 1.5323 +32'h3e11145d,32'h4026a141,32'h402d6e5e, 32'h4021876c,32'h40328834, 32'h40190708,32'h403b0898,// invsqrt(0.1417) = 2.6567 +32'h3f262c88,32'h3f9bb1e9,32'h3fa20cc3, 32'h3f96edc5,32'h3fa6d0e7, 32'h3f8efc34,32'h3faec278,// invsqrt(0.6491) = 1.2412 +32'h3e18e6fb,32'h40224fb9,32'h4028efb6, 32'h401d57bb,32'h402de7b3, 32'h40150fbe,32'h40362fb0,// invsqrt(0.1493) = 2.5879 +32'h3f1daff2,32'h3f9fd46c,32'h3fa65a7b, 32'h3f9aefe1,32'h3fab3f07, 32'h3f92c84f,32'h3fb36699,// invsqrt(0.6160) = 1.2742 +32'h3f31ec64,32'h3f967765,32'h3f9c9b9d, 32'h3f91dc3b,32'h3fa136c7, 32'h3f8a2ef4,32'h3fa8e40e,// invsqrt(0.6950) = 1.1995 +32'h3f572dd1,32'h3f88d272,32'h3f8e6819, 32'h3f84a236,32'h3f929856, 32'h3f7b4e4b,32'h3f999367,// invsqrt(0.8405) = 1.0907 +32'h3f5eef2d,32'h3f866bd0,32'h3f8be861, 32'h3f824e65,32'h3f9005cd, 32'h3f76e560,32'h3f96e182,// invsqrt(0.8708) = 1.0716 +32'h40508317,32'h3f0afe01,32'h3f10aa55, 32'h3f06bcc2,32'h3f14eb94, 32'h3eff4ab4,32'h3f1c02fc,// invsqrt(3.2580) = 0.5540 +32'h4280fd99,32'h3df9ea30,32'h3e020ec5, 32'h3df243ac,32'h3e05e207, 32'h3de5837a,32'h3e0c4220,// invsqrt(64.4953) = 0.1245 +32'h401a8b14,32'h3f217287,32'h3f28097d, 32'h3f1c814f,32'h3f2cfab5, 32'h3f14449b,32'h3f353769,// invsqrt(2.4147) = 0.6435 +32'h3ecc644c,32'h3fc68939,32'h3fcea3b8, 32'h3fc07558,32'h3fd4b798, 32'h3fb65439,32'h3fded8b7,// invsqrt(0.3992) = 1.5827 +32'h3fe6c040,32'h3f3ada47,32'h3f427ab3, 32'h3f3521f7,32'h3f483303, 32'h3f2b9970,32'h3f51bb8a,// invsqrt(1.8027) = 0.7448 +32'h3f32e3d8,32'h3f960f30,32'h3f9c2f27, 32'h3f917736,32'h3fa0c720, 32'h3f89cf40,32'h3fa86f16,// invsqrt(0.6988) = 1.1963 +32'h402b27a6,32'h3f1969a8,32'h3f1facaa, 32'h3f14b767,32'h3f245eeb, 32'h3f0ce3a5,32'h3f2c32ad,// invsqrt(2.6743) = 0.6115 +32'h3f6c6ef8,32'h3f828701,32'h3f87dae1, 32'h3f7d1030,32'h3f8bd9ca, 32'h3f6fbe7f,32'h3f9282a3,// invsqrt(0.9236) = 1.0406 +32'h408e9f76,32'h3eedabce,32'h3ef75f3a, 32'h3ee6653d,32'h3efea5cb, 32'h3eda44f7,32'h3f056309,// invsqrt(4.4570) = 0.4737 +32'h400e5426,32'h3f283b82,32'h3f2f195e, 32'h3f23151e,32'h3f343fc2, 32'h3f1a7fcb,32'h3f3cd515,// invsqrt(2.2239) = 0.6706 +32'h40044d70,32'h3f2e7d98,32'h3f359cd7, 32'h3f292627,32'h3f3af447, 32'h3f203f18,32'h3f43db56,// invsqrt(2.0672) = 0.6955 +32'h4043b5e7,32'h3f0f774a,32'h3f15525c, 32'h3f0b12fc,32'h3f19b6aa, 32'h3f03c124,32'h3f210882,// invsqrt(3.0580) = 0.5719 +32'h3fb2dc89,32'h3f543b9d,32'h3f5ce53b, 32'h3f4dbc67,32'h3f636471, 32'h3f42e861,32'h3f6e3877,// invsqrt(1.3974) = 0.8460 +32'h3eba88c9,32'h3fcfd273,32'h3fd84dfa, 32'h3fc975cd,32'h3fdeaa9f, 32'h3fbedb64,32'h3fe94508,// invsqrt(0.3643) = 1.6567 +32'h3ffe32ce,32'h3f3206c7,32'h3f394af8, 32'h3f2c93a1,32'h3f3ebe1d, 32'h3f237e62,32'h3f47d35c,// invsqrt(1.9859) = 0.7096 +32'h3f8275b0,32'h3f7880f1,32'h3f8152c7, 32'h3f70e57c,32'h3f852081, 32'h3f6437b9,32'h3f8b7763,// invsqrt(1.0192) = 0.9905 +32'h3ff820fd,32'h3f3430d2,32'h3f3b8ba2, 32'h3f2eacb7,32'h3f410fbd, 32'h3f257b34,32'h3f4a4140,// invsqrt(1.9385) = 0.7182 +32'h3f520e74,32'h3f8a7af5,32'h3f9021ef, 32'h3f863db9,32'h3f945f2b, 32'h3f7e5a00,32'h3f9b6fe4,// invsqrt(0.8205) = 1.1040 +32'h3f21a77c,32'h3f9ddb44,32'h3fa44cb4, 32'h3f99062f,32'h3fa921c9, 32'h3f90f863,32'h3fb12f95,// invsqrt(0.6315) = 1.2584 +32'h3ef6254c,32'h3fb4ea46,32'h3fbc4ca7, 32'h3faf607e,32'h3fc1d670, 32'h3fa62585,32'h3fcb1169,// invsqrt(0.4808) = 1.4422 +32'h3f6d6a1c,32'h3f8241e5,32'h3f8792f3, 32'h3f7c8a34,32'h3f8b8fbe, 32'h3f6f3f8f,32'h3f923510,// invsqrt(0.9274) = 1.0384 +32'h3f36dd2a,32'h3f946b7c,32'h3f9a7a52, 32'h3f8fe05b,32'h3f9f0573, 32'h3f884dd0,32'h3fa697fe,// invsqrt(0.7143) = 1.1832 +32'h3e87587d,32'h3ff3fa1c,32'h3ffdef6c, 32'h3fec8221,32'h4002b3b4, 32'h3fe00f7d,32'h4008ed05,// invsqrt(0.2643) = 1.9450 +32'h3f1f4849,32'h3f9f0709,32'h3fa584b5, 32'h3f9a28c7,32'h3faa62f7, 32'h3f920baf,32'h3fb2800f,// invsqrt(0.6222) = 1.2678 +32'h3f4bd00b,32'h3f8c95e1,32'h3f9252db, 32'h3f884826,32'h3f96a096, 32'h3f811bee,32'h3f9dccce,// invsqrt(0.7961) = 1.1207 +32'h3ea05c58,32'h3fe02431,32'h3fe94a3d, 32'h3fd947a7,32'h3ff026c7, 32'h3fcdd818,32'h3ffb9656,// invsqrt(0.3132) = 1.7868 +32'h3f0540da,32'h3fadddef,32'h3fb4f6ab, 32'h3fa88b63,32'h3fba4937, 32'h3f9fac78,32'h3fc32822,// invsqrt(0.5205) = 1.3861 +32'h3fe927ff,32'h3f39e2e8,32'h3f41793a, 32'h3f34322a,32'h3f4729f8, 32'h3f2ab642,32'h3f50a5e0,// invsqrt(1.8215) = 0.7409 +32'h4099ba8e,32'h3ee4ecd7,32'h3eee44df, 32'h3eddead0,32'h3ef546e6, 32'h3ed23cc5,32'h3f007a78,// invsqrt(4.8040) = 0.4562 +32'h405f4f5f,32'h3f064ed9,32'h3f0bca3b, 32'h3f023250,32'h3f0fe6c4, 32'h3ef6b02c,32'h3f16c0fe,// invsqrt(3.4892) = 0.5353 +32'h3f16663c,32'h3fa3a80b,32'h3faa5616, 32'h3f9ea583,32'h3faf589f, 32'h3f964bf6,32'h3fb7b22c,// invsqrt(0.5875) = 1.3047 +32'h406d4780,32'h3f024b65,32'h3f079cd7, 32'h3efc9c9f,32'h3f0b99ec, 32'h3eef5103,32'h3f123fbb,// invsqrt(3.7075) = 0.5193 +32'h41cd2175,32'h3e462d99,32'h3e4e445b, 32'h3e401c87,32'h3e54556d, 32'h3e360014,32'h3e5e71e0,// invsqrt(25.6413) = 0.1975 +32'h3fa7b165,32'h3f5b2fb9,32'h3f6421ff, 32'h3f547a04,32'h3f6ad7b4, 32'h3f494b2c,32'h3f76068c,// invsqrt(1.3101) = 0.8737 +32'h3e5a709c,32'h4007cc06,32'h400d56f6, 32'h4003a3d1,32'h40117f2b, 32'h3ff96c49,32'h40186cd7,// invsqrt(0.2133) = 2.1651 +32'h3f661218,32'h3f8451ea,32'h3f89b885, 32'h3f8044f4,32'h3f8dc57a, 32'h3f730963,32'h3f9485bc,// invsqrt(0.8987) = 1.0548 +32'h4113adb9,32'h3ea52837,32'h3eabe5f1, 32'h3ea019ed,32'h3eb0f43b, 32'h3e97acc5,32'h3eb96163,// invsqrt(9.2299) = 0.3292 +32'h405eef0e,32'h3f066bda,32'h3f0be86a, 32'h3f024e6d,32'h3f1005d7, 32'h3ef6e570,32'h3f16e18c,// invsqrt(3.4833) = 0.5358 +32'h3ec55863,32'h3fca0ca9,32'h3fd24bdf, 32'h3fc3dd41,32'h3fd87b47, 32'h3fb98e3d,32'h3fe2ca4b,// invsqrt(0.3854) = 1.6107 +32'h3fe2b1e0,32'h3f3c8452,32'h3f443621, 32'h3f36bef6,32'h3f49fb7c, 32'h3f2d20b3,32'h3f5399bf,// invsqrt(1.7711) = 0.7514 +32'h3fab4b26,32'h3f58deda,32'h3f61b8ee, 32'h3f523b4c,32'h3f685c7c, 32'h3f472ab4,32'h3f736d14,// invsqrt(1.3382) = 0.8644 +32'h3fcbd7e5,32'h3f46cd8c,32'h3f4eead6, 32'h3f40b795,32'h3f5500cd, 32'h3f3692f9,32'h3f5f2569,// invsqrt(1.5925) = 0.7924 +32'h3e17d5e4,32'h4022e16f,32'h4029875f, 32'h401de4fb,32'h402e83d3, 32'h40159590,32'h4036d33e,// invsqrt(0.1483) = 2.5969 +32'h4322e6d2,32'h3d9d403f,32'h3da3ab5b, 32'h3d986fe9,32'h3da87bb1, 32'h3d906a05,32'h3db08195,// invsqrt(162.9016) = 0.0783 +32'h40a72563,32'h3edb8b72,32'h3ee48176, 32'h3ed4d2ee,32'h3eeb39fa, 32'h3ec99f68,32'h3ef66d80,// invsqrt(5.2233) = 0.4375 +32'h40c4d068,32'h3eca5269,32'h3ed29478, 32'h3ec420df,32'h3ed8c603, 32'h3eb9ce4c,32'h3ee31896,// invsqrt(6.1504) = 0.4032 +32'h4181d3ce,32'h3e791baf,32'h3e81a34e, 32'h3e717b7d,32'h3e857367, 32'h3e64c5d4,32'h3e8bce3b,// invsqrt(16.2284) = 0.2482 +32'h3fff006a,32'h3f31bef2,32'h3f390036, 32'h3f2c4e00,32'h3f3e7128, 32'h3f233c6c,32'h3f4782bc,// invsqrt(1.9922) = 0.7085 +32'h3e226e62,32'h401d7a80,32'h4023e7fe, 32'h4018a862,32'h4028ba1c, 32'h40109f86,32'h4030c2f9,// invsqrt(0.1586) = 2.5108 +32'h3f5357f9,32'h3f8a0ed6,32'h3f8fb166, 32'h3f85d4e9,32'h3f93eb53, 32'h3f7d9369,32'h3f9af687,// invsqrt(0.8256) = 1.1006 +32'h3f6e2446,32'h3f820ef1,32'h3f875deb, 32'h3f7c276b,32'h3f8b5927, 32'h3f6ee1f9,32'h3f91fbdf,// invsqrt(0.9302) = 1.0368 +32'h3f95e36c,32'h3f67d6dd,32'h3f714d59, 32'h3f60be00,32'h3f786636, 32'h3f54e9e5,32'h3f821d28,// invsqrt(1.1710) = 0.9241 +32'h3facf7a3,32'h3f57d193,32'h3f60a0a9, 32'h3f513643,32'h3f673bf9, 32'h3f463368,32'h3f723ed4,// invsqrt(1.3513) = 0.8602 +32'h3f22c3a6,32'h3f9d513b,32'h3fa3bd09, 32'h3f988060,32'h3fa88de4, 32'h3f90799f,32'h3fb094a5,// invsqrt(0.6358) = 1.2541 +32'h40f88e0e,32'h3eb40945,32'h3ebb6277, 32'h3eae8660,32'h3ec0e55c, 32'h3ea556e1,32'h3eca14db,// invsqrt(7.7673) = 0.3588 +32'h3f90456a,32'h3f6c4f3f,32'h3f75f471, 32'h3f65135a,32'h3f7d3056, 32'h3f5904dc,32'h3f849f6a,// invsqrt(1.1271) = 0.9419 +32'h3f87861a,32'h3f73d10a,32'h3f7dc4ac, 32'h3f6c5a50,32'h3f829db3, 32'h3f5fe9c5,32'h3f88d5f8,// invsqrt(1.0588) = 0.9718 +32'h3f525dc5,32'h3f8a60d8,32'h3f9006c1, 32'h3f862468,32'h3f944330, 32'h3f7e2a09,32'h3f9b5294,// invsqrt(0.8217) = 1.1031 +32'h3f14f61b,32'h3fa471c8,32'h3fab280e, 32'h3f9f6912,32'h3fb030c4, 32'h3f97053a,32'h3fb8949c,// invsqrt(0.5819) = 1.3109 +32'h3fa1d98a,32'h3f5f1ba1,32'h3f6836e1, 32'h3f584731,32'h3f6f0b51, 32'h3f4ce521,32'h3f7a6d61,// invsqrt(1.2645) = 0.8893 +32'h3e84e28c,32'h3ff639be,32'h40002346, 32'h3feeb023,32'h4003e813, 32'h3fe22021,32'h400a3014,// invsqrt(0.2595) = 1.9629 +32'h3fa49ed7,32'h3f5d38f0,32'h3f66407c, 32'h3f567346,32'h3f6d0626, 32'h3f4b29d7,32'h3f784f95,// invsqrt(1.2861) = 0.8818 +32'h400c892d,32'h3f294d5a,32'h3f303662, 32'h3f241e93,32'h3f356529, 32'h3f1b7b48,32'h3f3e0874,// invsqrt(2.1959) = 0.6748 +32'h3fbf0081,32'h3f4d608c,32'h3f55c288, 32'h3f471710,32'h3f5c0c04, 32'h3f3c9c96,32'h3f66867e,// invsqrt(1.4922) = 0.8186 +32'h3f57cd23,32'h3f889fe7,32'h3f8e337e, 32'h3f847137,32'h3f92622f, 32'h3f7af175,32'h3f995aab,// invsqrt(0.8430) = 1.0892 +32'h406e7c85,32'h3f01f6df,32'h3f0744de, 32'h3efbf8c1,32'h3f0b3f5d, 32'h3eeeb5c5,32'h3f11e0dc,// invsqrt(3.7264) = 0.5180 +32'h3ed40a7d,32'h3fc2ec10,32'h3fcae0cd, 32'h3fbcf483,32'h3fd0d85b, 32'h3fb30297,32'h3fdaca47,// invsqrt(0.4141) = 1.5539 +32'h3e544502,32'h4009c1ab,32'h400f6115, 32'h40058a1b,32'h401398a5, 32'h3ffd05ad,32'h401a9fea,// invsqrt(0.2073) = 2.1964 +32'h3f068df2,32'h3fad0635,32'h3fb41622, 32'h3fa7ba42,32'h3fb96214, 32'h3f9ee65a,32'h3fc235fc,// invsqrt(0.5256) = 1.3793 +32'h400cad03,32'h3f2937c8,32'h3f301ff0, 32'h3f2409ab,32'h3f354e0d, 32'h3f1b6779,32'h3f3df03f,// invsqrt(2.1981) = 0.6745 +32'h419c39de,32'h3e63168b,32'h3e6c5b62, 32'h3e5c22eb,32'h3e734f03, 32'h3e508cde,32'h3e7ee510,// invsqrt(19.5283) = 0.2263 +32'h4217cd7f,32'h3e22e5f0,32'h3e298c0e, 32'h3e1de959,32'h3e2e88a5, 32'h3e1599b2,32'h3e36d84c,// invsqrt(37.9507) = 0.1623 +32'h4056dc8e,32'h3f08ec4f,32'h3f0e8304, 32'h3f04bb48,32'h3f12b40c, 32'h3efb7dcc,32'h3f19b06e,// invsqrt(3.3572) = 0.5458 +32'h3fdf2148,32'h3f3e044e,32'h3f45c5ca, 32'h3f383332,32'h3f4b96e6, 32'h3f2e8158,32'h3f5548c0,// invsqrt(1.7432) = 0.7574 +32'h3fbdf73e,32'h3f4defbe,32'h3f565792, 32'h3f47a1e0,32'h3f5ca570, 32'h3f3d2017,32'h3f672739,// invsqrt(1.4841) = 0.8209 +32'h401889ad,32'h3f228156,32'h3f292359, 32'h3f1d87d3,32'h3f2e1cdb, 32'h3f153d4e,32'h3f366760,// invsqrt(2.3834) = 0.6477 +32'h3f83d757,32'h3f7732c5,32'h3f80a4df, 32'h3f6fa18b,32'h3f846d7c, 32'h3f6304d4,32'h3f8abbd7,// invsqrt(1.0300) = 0.9853 +32'h3dab7034,32'h4058c769,32'h4061a087, 32'h40522492,32'h4068435e, 32'h4047152c,32'h407352c4,// invsqrt(0.0837) = 3.4563 +32'h3f89b993,32'h3f71dc44,32'h3f7bbb76, 32'h3f6a74df,32'h3f81916e, 32'h3f5e1de0,32'h3f87bced,// invsqrt(1.0760) = 0.9640 +32'h3fbfd86d,32'h3f4cecd8,32'h3f554a1a, 32'h3f46a6e6,32'h3f5b900c, 32'h3f3c3253,32'h3f66049f,// invsqrt(1.4988) = 0.8168 +32'h3f7018aa,32'h3f818723,32'h3f86d093, 32'h3f7b2020,32'h3f8ac7a6, 32'h3f6de88a,32'h3f916371,// invsqrt(0.9379) = 1.0326 +32'h401b52ff,32'h3f210a7f,32'h3f279d35, 32'h3f1c1c76,32'h3f2c8b3e, 32'h3f13e511,32'h3f34c2a3,// invsqrt(2.4269) = 0.6419 +32'h3eb85ec7,32'h3fd109c7,32'h3fd99203, 32'h3fcaa39a,32'h3fdff830, 32'h3fbff94e,32'h3feaa27c,// invsqrt(0.3601) = 1.6664 +32'h3ed9a02e,32'h3fc067a2,32'h3fc84211, 32'h3fba83ce,32'h3fce25e4, 32'h3fb0b2c3,32'h3fd7f6ef,// invsqrt(0.4251) = 1.5338 +32'h3f5af5ff,32'h3f87a2a3,32'h3f8d2be3, 32'h3f837bb3,32'h3f9152d3, 32'h3f792045,32'h3f983e63,// invsqrt(0.8553) = 1.0813 +32'h3f9c6537,32'h3f62f711,32'h3f6c3a9f, 32'h3f5c0467,32'h3f732d49, 32'h3f506ff6,32'h3f7ec1ba,// invsqrt(1.2218) = 0.9047 +32'h3edc1b21,32'h3fbf5154,32'h3fc72067, 32'h3fb97605,32'h3fccfbb5, 32'h3fafb32d,32'h3fd6be8d,// invsqrt(0.4299) = 1.5252 +32'h3f9afa4b,32'h3f640036,32'h3f6d4e96, 32'h3f5d056e,32'h3f74495e, 32'h3f516375,32'h3f7feb57,// invsqrt(1.2108) = 0.9088 +32'h4039b06f,32'h3f134953,32'h3f194c51, 32'h3f0ec714,32'h3f1dce90, 32'h3f074357,32'h3f25524d,// invsqrt(2.9014) = 0.5871 +32'h3ee95334,32'h3fb9d1b1,32'h3fc16750, 32'h3fb4217b,32'h3fc71787, 32'h3faaa674,32'h3fd0928e,// invsqrt(0.4557) = 1.4813 +32'h3f7f869e,32'h3f7b1cd7,32'h3f82ae5b, 32'h3f736cef,32'h3f86864e, 32'h3f669d19,32'h3f8cee3a,// invsqrt(0.9981) = 1.0009 +32'h3fb74fe8,32'h3f51a3ff,32'h3f5a3287, 32'h3f4b3919,32'h3f609d6d, 32'h3f4086f0,32'h3f6b4f97,// invsqrt(1.4321) = 0.8356 +32'h3fc11118,32'h3f4c46a5,32'h3f549d1f, 32'h3f4605ca,32'h3f5addfa, 32'h3f3b99b2,32'h3f654a12,// invsqrt(1.5083) = 0.8142 +32'h3ec73c28,32'h3fc916c6,32'h3fd14bf2, 32'h3fc2eee4,32'h3fd773d4, 32'h3fb8ac6c,32'h3fe1b64c,// invsqrt(0.3891) = 1.6031 +32'h3e3f1f6a,32'h40112d7d,32'h40171a73, 32'h400cbbc5,32'h401b8c2b, 32'h40055392,32'h4022f45e,// invsqrt(0.1866) = 2.3147 +32'h3e30d576,32'h4016ede2,32'h401d16f0, 32'h40124f17,32'h4021b5bb, 32'h400a9bc5,32'h4029690d,// invsqrt(0.1727) = 2.4064 +32'h3fc1a144,32'h3f4bfa8a,32'h3f544de9, 32'h3f45bc04,32'h3f5a8c70, 32'h3f3b53ce,32'h3f64f4a6,// invsqrt(1.5127) = 0.8131 +32'h3fee09c4,32'h3f37f866,32'h3f3f7ab4, 32'h3f3256ac,32'h3f451c6e, 32'h3f28f3cc,32'h3f4e7f4e,// invsqrt(1.8597) = 0.7333 +32'h3f47f8ff,32'h3f8dedca,32'h3f93b8ce, 32'h3f899588,32'h3f981110, 32'h3f8257c4,32'h3f9f4ed4,// invsqrt(0.7811) = 1.1314 +32'h3f41c1d8,32'h3f902ff5,32'h3f961291, 32'h3f8bc600,32'h3f9a7c86, 32'h3f846abc,32'h3fa1d7ca,// invsqrt(0.7569) = 1.1495 +32'h3e7f4e2e,32'h3ffb3897,32'h4002bccc, 32'h3ff387d7,32'h4006952d, 32'h3fe6b696,32'h400cfdcd,// invsqrt(0.2493) = 2.0027 +32'h4038e088,32'h3f139c0c,32'h3f19a26b, 32'h3f0f1746,32'h3f1e2732, 32'h3f078f50,32'h3f25af28,// invsqrt(2.8887) = 0.5884 +32'h3eaedb71,32'h3fd6a632,32'h3fdf6910, 32'h3fd0140c,32'h3fe5fb36, 32'h3fc52077,32'h3ff0eecb,// invsqrt(0.3415) = 1.7112 +32'h3f94d054,32'h3f68acc3,32'h3f722bfa, 32'h3f618d5a,32'h3f794b64, 32'h3f55ae56,32'h3f829534,// invsqrt(1.1626) = 0.9274 +32'h3dba4520,32'h404ff82e,32'h40587540, 32'h40499a61,32'h405ed30d, 32'h403efe0b,32'h40696f63,// invsqrt(0.0910) = 3.3158 +32'h3f69516b,32'h3f83655b,32'h3f88c24f, 32'h3f7ebf48,32'h3f8cc806, 32'h3f7156e6,32'h3f937c37,// invsqrt(0.9114) = 1.0475 +32'h41079be0,32'h3eac59ab,32'h3eb3628d, 32'h3ea71301,32'h3eb8a937, 32'h3e9e47e6,32'h3ec17452,// invsqrt(8.4756) = 0.3435 +32'h40944ee8,32'h3ee91233,32'h3ef2958d, 32'h3ee1efae,32'h3ef9b812, 32'h3ed60b7d,32'h3f02ce22,// invsqrt(4.6346) = 0.4645 +32'h41a0dc6a,32'h3e5fcae6,32'h3e68ed4d, 32'h3e58f118,32'h3e6fc71a, 32'h3e4d8616,32'h3e7b321c,// invsqrt(20.1076) = 0.2230 +32'h4080f380,32'h3ef9f3f9,32'h3f0213dd, 32'h3ef24d28,32'h3f05e745, 32'h3ee58c76,32'h3f0c479e,// invsqrt(4.0297) = 0.4982 +32'h3f3cbc15,32'h3f9217df,32'h3f980e66, 32'h3f8d9efb,32'h3f9c874b, 32'h3f862ad3,32'h3fa3fb73,// invsqrt(0.7372) = 1.1646 +32'h40511373,32'h3f0acdfc,32'h3f10785a, 32'h3f068e36,32'h3f14b820, 32'h3efef281,32'h3f1bcd16,// invsqrt(3.2668) = 0.5533 +32'h3f65ae3f,32'h3f846ea9,32'h3f89d671, 32'h3f8060d3,32'h3f8de447, 32'h3f733e31,32'h3f94a602,// invsqrt(0.8972) = 1.0557 +32'h3eaa6ef4,32'h3fd96ac6,32'h3fe24a8f, 32'h3fd2c2ee,32'h3fe8f266, 32'h3fc7ab33,32'h3ff40a21,// invsqrt(0.3329) = 1.7332 +32'h3f32ad1e,32'h3f962629,32'h3f9c4711, 32'h3f918d7c,32'h3fa0dfbe, 32'h3f89e45a,32'h3fa888e0,// invsqrt(0.6980) = 1.1970 +32'h3f2b0e33,32'h3f997512,32'h3f9fb88a, 32'h3f94c277,32'h3fa46b25, 32'h3f8cee20,32'h3fac3f7c,// invsqrt(0.6682) = 1.2234 +32'h3ed45545,32'h3fc2c9ba,32'h3fcabd10, 32'h3fbcd33a,32'h3fd0b390, 32'h3fb2e30e,32'h3fdaa3bc,// invsqrt(0.4147) = 1.5528 +32'h3e26dcd8,32'h401b5f92,32'h4021b710, 32'h40169df3,32'h402678af, 32'h400eb096,32'h402e660c,// invsqrt(0.1630) = 2.4773 +32'h3fab08d4,32'h3f5908e2,32'h3f61e4ad, 32'h3f52640b,32'h3f688985, 32'h3f47514e,32'h3f739c42,// invsqrt(1.3362) = 0.8651 +32'h3fa80db4,32'h3f5af37e,32'h3f63e34e, 32'h3f543fa1,32'h3f6a972b, 32'h3f4913dc,32'h3f75c2f0,// invsqrt(1.3129) = 0.8727 +32'h4011126e,32'h3f26a25d,32'h3f2d6f86, 32'h3f218880,32'h3f328964, 32'h3f19080d,32'h3f3b09d7,// invsqrt(2.2667) = 0.6642 +32'h3f8b5121,32'h3f70797c,32'h3f7a4a32, 32'h3f691cf3,32'h3f80d35e, 32'h3f5cd80e,32'h3f86f5d0,// invsqrt(1.0884) = 0.9585 +32'h3fb8d0ec,32'h3f50c92f,32'h3f594ec9, 32'h3f4a64fc,32'h3f5fb2fc, 32'h3f3fbdfc,32'h3f6a59fc,// invsqrt(1.4439) = 0.8322 +32'h4041d335,32'h3f10297f,32'h3f160bd8, 32'h3f0bbfbd,32'h3f1a759b, 32'h3f0464ce,32'h3f21d08a,// invsqrt(3.0285) = 0.5746 +32'h3f8ebb91,32'h3f6d9467,32'h3f7746de, 32'h3f664e8d,32'h3f7e8cb7, 32'h3f5a2f78,32'h3f8555e6,// invsqrt(1.1151) = 0.9470 +32'h4239898d,32'h3e1358c1,32'h3e195c61, 32'h3e0ed60a,32'h3e1ddf18, 32'h3e075182,32'h3e2563a0,// invsqrt(46.3843) = 0.1468 +32'h3f32f7ef,32'h3f9606c3,32'h3f9c2663, 32'h3f916f0c,32'h3fa0be1a, 32'h3f89c784,32'h3fa865a2,// invsqrt(0.6991) = 1.1960 +32'h408d9186,32'h3eee8dfa,32'h3ef84aa0, 32'h3ee7407c,32'h3eff981e, 32'h3edb14ac,32'h3f05e1f7,// invsqrt(4.4240) = 0.4754 +32'h3f5a7853,32'h3f87c9a0,32'h3f8d5478, 32'h3f83a17f,32'h3f917c99, 32'h3f7967e2,32'h3f986a27,// invsqrt(0.8534) = 1.0825 +32'h3dcdbad8,32'h4045e3ab,32'h404df769, 32'h403fd4dd,32'h40540637, 32'h4035bc2f,32'h405e1ee5,// invsqrt(0.1005) = 3.1551 +32'h3f6bf847,32'h3f82a7d1,32'h3f87fd08, 32'h3f7d4fcd,32'h3f8bfcf1, 32'h3f6ffac2,32'h3f92a777,// invsqrt(0.9218) = 1.0416 +32'h4056c8ff,32'h3f08f28b,32'h3f0e8981, 32'h3f04c152,32'h3f12baba, 32'h3efb893e,32'h3f19b76d,// invsqrt(3.3560) = 0.5459 +32'h3ffef482,32'h3f31c319,32'h3f390487, 32'h3f2c5206,32'h3f3e759a, 32'h3f23403b,32'h3f478765,// invsqrt(1.9918) = 0.7086 +32'h3ed1a489,32'h3fc408ac,32'h3fcc0907, 32'h3fbe0869,32'h3fd2094b, 32'h3fb407f7,32'h3fdc09bd,// invsqrt(0.4095) = 1.5628 +32'h3ff29bd8,32'h3f363aa8,32'h3f3daac4, 32'h3f30a693,32'h3f433ed9, 32'h3f275a71,32'h3f4c8afb,// invsqrt(1.8954) = 0.7264 +32'h3f1e9d0e,32'h3f9f5cc9,32'h3fa5ddf5, 32'h3f9a7be7,32'h3faabed7, 32'h3f925a6f,32'h3fb2e04f,// invsqrt(0.6196) = 1.2704 +32'h40360a7d,32'h3f14c145,32'h3f1ad39b, 32'h3f103384,32'h3f1f615c, 32'h3f089c98,32'h3f26f848,// invsqrt(2.8444) = 0.5929 +32'h3fa5d464,32'h3f5c6a15,32'h3f656930, 32'h3f55aac1,32'h3f6c2885, 32'h3f4a6be0,32'h3f776767,// invsqrt(1.2955) = 0.8786 +32'h3eb1b25b,32'h3fd4ed63,32'h3fdd9e43, 32'h3fce68bc,32'h3fe422ea, 32'h3fc38ba4,32'h3fef0002,// invsqrt(0.3471) = 1.6974 +32'h3f05ec6c,32'h3fad6e6d,32'h3fb4829b, 32'h3fa81f4a,32'h3fb9d1be, 32'h3f9f4610,32'h3fc2aaf8,// invsqrt(0.5231) = 1.3826 +32'h3faf71c6,32'h3f564a28,32'h3f5f0944, 32'h3f4fbad3,32'h3f659899, 32'h3f44cbf1,32'h3f70877b,// invsqrt(1.3707) = 0.8542 +32'h3fc075c2,32'h3f4c9904,32'h3f54f2db, 32'h3f4655a4,32'h3f5b363c, 32'h3f3be558,32'h3f65a688,// invsqrt(1.5036) = 0.8155 +32'h3ec2da8a,32'h3fcb564f,32'h3fd3a2fa, 32'h3fc51cd0,32'h3fd9dc7a, 32'h3fbabcfb,32'h3fe43c4f,// invsqrt(0.3806) = 1.6210 +32'h3e49a062,32'h400d5878,32'h40131d62, 32'h400904c8,32'h40177112, 32'h4001cea2,32'h401ea738,// invsqrt(0.1969) = 2.2536 +32'h3f14886e,32'h3fa4ae73,32'h3fab6733, 32'h3f9fa3e2,32'h3fb071c4, 32'h3f973cf1,32'h3fb8d8b5,// invsqrt(0.5802) = 1.3128 +32'h3e11e2cc,32'h40262b33,32'h402cf37e, 32'h402114fa,32'h403209b6, 32'h40189a9c,32'h403a8414,// invsqrt(0.1425) = 2.6494 +32'h400bad21,32'h3f29d282,32'h3f30c0fa, 32'h3f249fa8,32'h3f35f3d4, 32'h3f1bf591,32'h3f3e9deb,// invsqrt(2.1824) = 0.6769 +32'h3f81868e,32'h3f7965ed,32'h3f81c9f1, 32'h3f71c375,32'h3f859b2c, 32'h3f650a03,32'h3f8bf7e5,// invsqrt(1.0119) = 0.9941 +32'h3ff2ad96,32'h3f3633fe,32'h3f3da3d4, 32'h3f30a01d,32'h3f4337b5, 32'h3f275452,32'h3f4c8380,// invsqrt(1.8959) = 0.7263 +32'h3d803f8f,32'h407aa315,32'h40826efe, 32'h4072f6e9,32'h40864515, 32'h40662d48,32'h408ca9e5,// invsqrt(0.0626) = 3.9961 +32'h40b6db34,32'h3ed1e6db,32'h3eda781d, 32'h3ecb79e9,32'h3ee0e50f, 32'h3ec0c456,32'h3eeb9aa2,// invsqrt(5.7143) = 0.4183 +32'h3f9f9d4d,32'h3f60aa2c,32'h3f69d5b1, 32'h3f59c989,32'h3f70b655, 32'h3f4e5324,32'h3f7c2cba,// invsqrt(1.2470) = 0.8955 +32'h405c4b32,32'h3f073970,32'h3f0cbe65, 32'h3f0315b9,32'h3f10e21d, 32'h3ef85f0d,32'h3f17c84f,// invsqrt(3.4421) = 0.5390 +32'h3ed96620,32'h3fc08151,32'h3fc85ccd, 32'h3fba9cb5,32'h3fce4169, 32'h3fb0ca5a,32'h3fd813c4,// invsqrt(0.4246) = 1.5346 +32'h40835b37,32'h3ef7a774,32'h3f00e198, 32'h3ef012a8,32'h3f04abfe, 32'h3ee36ffd,32'h3f0afd54,// invsqrt(4.1049) = 0.4936 +32'h3eda8029,32'h3fc004eb,32'h3fc7db53, 32'h3fba241d,32'h3fcdbc21, 32'h3fb0581c,32'h3fd78822,// invsqrt(0.4268) = 1.5308 +32'h3db2e8d8,32'h40543450,32'h405cdda2, 32'h404db553,32'h40635c9f, 32'h4042e1ad,32'h406e3045,// invsqrt(0.0874) = 3.3834 +32'h3f7f3f91,32'h3f7b3fc8,32'h3f82c08a, 32'h3f738ecf,32'h3f869907, 32'h3f66bd30,32'h3f8d01d6,// invsqrt(0.9971) = 1.0015 +32'h40a500be,32'h3edcf745,32'h3ee5fc23, 32'h3ed6339e,32'h3eecbfca, 32'h3ecaed88,32'h3ef805e0,// invsqrt(5.1563) = 0.4404 +32'h41c73b0a,32'h3e491756,32'h3e514c89, 32'h3e42ef71,32'h3e57746f, 32'h3e38acf2,32'h3e61b6ee,// invsqrt(24.9038) = 0.2004 +32'h3fb4bde8,32'h3f532041,32'h3f5bbe4e, 32'h3f4ca9b7,32'h3f6234d7, 32'h3f41e426,32'h3f6cfa68,// invsqrt(1.4120) = 0.8415 +32'h40829430,32'h3ef863e9,32'h3f0143ab, 32'h3ef0c958,32'h3f0510f4, 32'h3ee41d10,32'h3f0b6718,// invsqrt(4.0806) = 0.4950 +32'h3fb42745,32'h3f537873,32'h3f5c1a19, 32'h3f4cff36,32'h3f629356, 32'h3f423525,32'h3f6d5d67,// invsqrt(1.4074) = 0.8429 +32'h3f288960,32'h3f9a998c,32'h3fa0e8f4, 32'h3f95ddfd,32'h3fa5a483, 32'h3f8dfaba,32'h3fad87c6,// invsqrt(0.6583) = 1.2325 +32'h3ef2a934,32'h3fb635a4,32'h3fbda58b, 32'h3fb0a1b6,32'h3fc33978, 32'h3fa755d5,32'h3fcc8559,// invsqrt(0.4739) = 1.4526 +32'h3ffd5ae1,32'h3f325293,32'h3f3999dd, 32'h3f2cdd1c,32'h3f3f0f54, 32'h3f23c3ff,32'h3f482871,// invsqrt(1.9793) = 0.7108 +32'h405d3f14,32'h3f06eed4,32'h3f0c70be, 32'h3f02cd65,32'h3f10922d, 32'h3ef7d603,32'h3f177490,// invsqrt(3.4570) = 0.5378 +32'h3f8cb096,32'h3f6f4c62,32'h3f7910ce, 32'h3f67f910,32'h3f803210, 32'h3f5bc389,32'h3f864cd4,// invsqrt(1.0991) = 0.9538 +32'h3e756a26,32'h40001de1,32'h40055891, 32'h3ff863b9,32'h40094495, 32'h3feb5100,32'h400fcdf2,// invsqrt(0.2397) = 2.0427 +32'h4086024c,32'h3ef530d5,32'h3eff32d3, 32'h3eedaf56,32'h3f035a29, 32'h3ee12cd8,32'h3f099b68,// invsqrt(4.1878) = 0.4887 +32'h3f56219d,32'h3f892807,32'h3f8ec12c, 32'h3f84f52c,32'h3f92f408, 32'h3f7beb7c,32'h3f99f376,// invsqrt(0.8365) = 1.0934 +32'h40294905,32'h3f1a41f0,32'h3f208dc6, 32'h3f158910,32'h3f2546a6, 32'h3f0daa45,32'h3f2d2571,// invsqrt(2.6451) = 0.6149 +32'h3ef9b094,32'h3fb3a069,32'h3fbaf553, 32'h3fae20b9,32'h3fc07503, 32'h3fa4f695,32'h3fc99f27,// invsqrt(0.4877) = 1.4320 +32'h41130c6a,32'h3ea582b5,32'h3eac441f, 32'h3ea071a5,32'h3eb1552f, 32'h3e97ffdf,32'h3eb9c6f5,// invsqrt(9.1905) = 0.3299 +32'h3fb234c0,32'h3f549f6f,32'h3f5d4d1f, 32'h3f4e1d2a,32'h3f63cf64, 32'h3f43440d,32'h3f6ea881,// invsqrt(1.3922) = 0.8475 +32'h3f70ad0e,32'h3f815f2f,32'h3f86a6fc, 32'h3f7ad2a8,32'h3f8a9cd6, 32'h3f6d9f26,32'h3f913697,// invsqrt(0.9401) = 1.0313 +32'h3e339b90,32'h4015c25d,32'h401bdf31, 32'h40112cbd,32'h402074d1, 32'h400988b3,32'h402818db,// invsqrt(0.1754) = 2.3877 +32'h3fc93170,32'h3f481ba6,32'h3f504693, 32'h3f41fb75,32'h3f5666c5, 32'h3f37c5cd,32'h3f609c6d,// invsqrt(1.5718) = 0.7976 +32'h40b5ca02,32'h3ed28458,32'h3edb1c08, 32'h3ecc1294,32'h3ee18dcc, 32'h3ec154f8,32'h3eec4b68,// invsqrt(5.6809) = 0.4196 +32'h3be7ba94,32'h413a753f,32'h4142118b, 32'h4134c006,32'h4147c6c4, 32'h412b3ca8,32'h41514a22,// invsqrt(0.0071) = 11.8915 +32'h3fbc7f56,32'h3f4ebcb0,32'h3f572ce0, 32'h3f48688b,32'h3f5d8105, 32'h3f3ddc4e,32'h3f680d42,// invsqrt(1.4726) = 0.8240 +32'h3eca685a,32'h3fc781ba,32'h3fcfa65f, 32'h3fc16640,32'h3fd5c1da, 32'h3fb73872,32'h3fdfefa8,// invsqrt(0.3953) = 1.5905 +32'h3f630cce,32'h3f85327f,32'h3f8aa245, 32'h3f811eaa,32'h3f8eb61a, 32'h3f74a5e4,32'h3f9581d2,// invsqrt(0.8869) = 1.0618 +32'h3deecd55,32'h4037ad02,32'h403f2c3c, 32'h40320d97,32'h4044cba7, 32'h4028ae8f,32'h404e2aaf,// invsqrt(0.1166) = 2.9285 +32'h3f444aef,32'h3f8f40c9,32'h3f9519a3, 32'h3f8ade26,32'h3f997c46, 32'h3f838f17,32'h3fa0cb55,// invsqrt(0.7668) = 1.1420 +32'h3f82199b,32'h3f78d8d2,32'h3f818083, 32'h3f713aad,32'h3f854f96, 32'h3f64886e,32'h3f8ba8b5,// invsqrt(1.0164) = 0.9919 +32'h414bd6d2,32'h3e8c938b,32'h3e92506d, 32'h3e8845e3,32'h3e969e15, 32'h3e8119c9,32'h3e9dca2f,// invsqrt(12.7399) = 0.2802 +32'h3ed04419,32'h3fc4ae45,32'h3fccb561, 32'h3fbea8ef,32'h3fd2bab7, 32'h3fb4a00b,32'h3fdcc39b,// invsqrt(0.4068) = 1.5679 +32'h406f3b34,32'h3f01c309,32'h3f070eeb, 32'h3efb9441,32'h3f0b07d3, 32'h3eee568f,32'h3f11a6ad,// invsqrt(3.7380) = 0.5172 +32'h3dad34f9,32'h4057ab5a,32'h406078e0, 32'h40511135,32'h40671305, 32'h4046104d,32'h407213ed,// invsqrt(0.0846) = 3.4386 +32'h3f321ec9,32'h3f96621b,32'h3f9c8575, 32'h3f91c798,32'h3fa11ff8, 32'h3f8a1b67,32'h3fa8cc29,// invsqrt(0.6958) = 1.1988 +32'h3f90e8fc,32'h3f6bc9bb,32'h3f756979, 32'h3f6491ec,32'h3f7ca148, 32'h3f588a3e,32'h3f84547b,// invsqrt(1.1321) = 0.9398 +32'h3e9bfa40,32'h3fe344d6,32'h3fec8b90, 32'h3fdc4fca,32'h3ff3809c, 32'h3fd0b761,32'h3fff1905,// invsqrt(0.3046) = 1.8118 +32'h3f79b076,32'h3f7e07d6,32'h3f843319, 32'h3f764111,32'h3f88167c, 32'h3f694b1d,32'h3f8e9175,// invsqrt(0.9753) = 1.0126 +32'h3edb13a2,32'h3fbfc43f,32'h3fc79803, 32'h3fb9e56c,32'h3fcd76d6, 32'h3fb01cb7,32'h3fd73f8b,// invsqrt(0.4279) = 1.5288 +32'h3f9d21b0,32'h3f626eca,32'h3f6bacc8, 32'h3f5b804c,32'h3f729b46, 32'h3f4ff2ce,32'h3f7e28c4,// invsqrt(1.2276) = 0.9026 +32'h3f7e033e,32'h3f7bdc08,32'h3f8311da, 32'h3f742646,32'h3f86ecbb, 32'h3f674cae,32'h3f8d5987,// invsqrt(0.9922) = 1.0039 +32'h4018436c,32'h3f22a6cf,32'h3f294a5a, 32'h3f1dac26,32'h3f2e4502, 32'h3f155fb8,32'h3f369170,// invsqrt(2.3791) = 0.6483 +32'h3f584d48,32'h3f887769,32'h3f8e0959, 32'h3f8449f6,32'h3f9236cc, 32'h3f7aa715,32'h3f992d38,// invsqrt(0.8449) = 1.0879 +32'h3f4dc1cb,32'h3f8beb6e,32'h3f91a173, 32'h3f87a2eb,32'h3f95e9f7, 32'h3f807f66,32'h3f9d0d7c,// invsqrt(0.8037) = 1.1154 +32'h3eaf7b0c,32'h3fd6447e,32'h3fdf035f, 32'h3fcfb556,32'h3fe59288, 32'h3fc4c6be,32'h3ff08121,// invsqrt(0.3427) = 1.7081 +32'h41072635,32'h3eaca4a2,32'h3eb3b094, 32'h3ea75bad,32'h3eb8f989, 32'h3e9e8cbf,32'h3ec1c877,// invsqrt(8.4468) = 0.3441 +32'h3fb08778,32'h3f55a159,32'h3f5e5991, 32'h3f4f172f,32'h3f64e3bb, 32'h3f4430e9,32'h3f6fca01,// invsqrt(1.3791) = 0.8515 +32'h40d4fa2d,32'h3ec27e42,32'h3eca6e84, 32'h3ebc8a11,32'h3ed062b5, 32'h3eb29dc0,32'h3eda4f07,// invsqrt(6.6555) = 0.3876 +32'h3ffeb028,32'h3f31daf2,32'h3f391d5a, 32'h3f2c6924,32'h3f3e8f28, 32'h3f235622,32'h3f47a22a,// invsqrt(1.9898) = 0.7089 +32'h3e451b11,32'h400ef513,32'h4014cad5, 32'h400a94c1,32'h40192b27, 32'h4003498f,32'h40207659,// invsqrt(0.1925) = 2.2793 +32'h402fc1dc,32'h3f176409,32'h3f1d91eb, 32'h3f12c1a1,32'h3f223453, 32'h3f0b0847,32'h3f29edad,// invsqrt(2.7462) = 0.6034 +32'h3f49da8d,32'h3f8d4419,32'h3f93082f, 32'h3f88f109,32'h3f975b3f, 32'h3f81bbed,32'h3f9e905b,// invsqrt(0.7885) = 1.1262 +32'h3ea4fe35,32'h3fdcf8f7,32'h3fe5fde7, 32'h3fd63543,32'h3fecc19b, 32'h3fcaef17,32'h3ff807c7,// invsqrt(0.3223) = 1.7616 +32'h3e690768,32'h40037a37,32'h4008d805, 32'h3ffee7b9,32'h400cde60, 32'h3ff17d36,32'h401393a1,// invsqrt(0.2276) = 2.0963 +32'h3fe89f82,32'h3f3a1969,32'h3f41b1f5, 32'h3f346700,32'h3f47645e, 32'h3f2ae851,32'h3f50e30d,// invsqrt(1.8174) = 0.7418 +32'h419497c8,32'h3e68d905,32'h3e725a0a, 32'h3e61b840,32'h3e797ace, 32'h3e55d6fa,32'h3e82ae0a,// invsqrt(18.5741) = 0.2320 +32'h3e2098da,32'h401e600e,32'h4024d6ea, 32'h401986e9,32'h4029b00f, 32'h40117256,32'h4031c4a2,// invsqrt(0.1568) = 2.5251 +32'h3ef07809,32'h3fb709c3,32'h3fbe8253, 32'h3fb16f57,32'h3fc41cbf, 32'h3fa818a4,32'h3fcd7373,// invsqrt(0.4697) = 1.4592 +32'h3f224b45,32'h3f9d8b89,32'h3fa3f9b8, 32'h3f98b8e5,32'h3fa8cc5b, 32'h3f90af2a,32'h3fb0d616,// invsqrt(0.6340) = 1.2559 +32'h40e0093d,32'h3ebda1d7,32'h3ec55f4d, 32'h3eb7d3be,32'h3ecb2d66, 32'h3eae26ea,32'h3ed4da3a,// invsqrt(7.0011) = 0.3779 +32'h3ea6d7f1,32'h3fdbbe60,32'h3fe4b679, 32'h3fd5044e,32'h3feb708c, 32'h3fc9ce2f,32'h3ff6a6ab,// invsqrt(0.3259) = 1.7518 +32'h41366a94,32'h3e949a12,32'h3e9aaace, 32'h3e900d84,32'h3e9f375c, 32'h3e887898,32'h3ea6cc48,// invsqrt(11.4010) = 0.2962 +32'h3edcca4d,32'h3fbf0560,32'h3fc6d159, 32'h3fb92c64,32'h3fccaa54, 32'h3faf6d6c,32'h3fd6694c,// invsqrt(0.4312) = 1.5228 +32'h3ed32f2a,32'h3fc3512e,32'h3fcb4a0b, 32'h3fbd5687,32'h3fd144b1, 32'h3fb35f73,32'h3fdb3bc5,// invsqrt(0.4125) = 1.5571 +32'h3ec89ae3,32'h3fc866af,32'h3fd094ab, 32'h3fc24431,32'h3fd6b729, 32'h3fb80ab5,32'h3fe0f0a5,// invsqrt(0.3918) = 1.5976 +32'h3f27ec63,32'h3f9ae1bf,32'h3fa1341a, 32'h3f9623fa,32'h3fa5f1de, 32'h3f8e3d08,32'h3fadd8d0,// invsqrt(0.6560) = 1.2347 +32'h409c6557,32'h3ee2f6f9,32'h3eec3a86, 32'h3edc0450,32'h3ef32d30, 32'h3ed06fe0,32'h3efec1a0,// invsqrt(4.8874) = 0.4523 +32'h3f32cd47,32'h3f9618a8,32'h3f9c3902, 32'h3f918064,32'h3fa0d146, 32'h3f89d7f3,32'h3fa879b7,// invsqrt(0.6984) = 1.1966 +32'h3f59d4ea,32'h3f87fc85,32'h3f8d8971, 32'h3f83d2d5,32'h3f91b321, 32'h3f79c55d,32'h3f98a347,// invsqrt(0.8509) = 1.0841 +32'h3f84e822,32'h3f763491,32'h3f802095, 32'h3f6eab20,32'h3f83e54e, 32'h3f621b61,32'h3f8a2d2d,// invsqrt(1.0383) = 0.9814 +32'h404e8519,32'h3f0ba935,32'h3f115c86, 32'h3f0762b9,32'h3f15a303, 32'h3f004295,32'h3f1cc327,// invsqrt(3.2269) = 0.5567 +32'h3f9ab84b,32'h3f6430d2,32'h3f6d812e, 32'h3f5d348d,32'h3f747d73, 32'h3f51901a,32'h3f8010f3,// invsqrt(1.2087) = 0.9096 +32'h3e9be9da,32'h3fe350c9,32'h3fec9801, 32'h3fdc5b60,32'h3ff38d6a, 32'h3fd0c25b,32'h3fff266f,// invsqrt(0.3045) = 1.8121 +32'h3eb4c659,32'h3fd31b53,32'h3fdbb92d, 32'h3fcca4f0,32'h3fe22f90, 32'h3fc1dfa0,32'h3fecf4e0,// invsqrt(0.3531) = 1.6829 +32'h404db67b,32'h3f0bef47,32'h3f11a573, 32'h3f07a6a5,32'h3f15ee15, 32'h3f0082ed,32'h3f1d11cd,// invsqrt(3.2143) = 0.5578 +32'h40d17cc6,32'h3ec41b46,32'h3ecc1c64, 32'h3ebe1a71,32'h3ed21d39, 32'h3eb4190c,32'h3edc1e9e,// invsqrt(6.5465) = 0.3908 +32'h3f7dc806,32'h3f7bf969,32'h3f832124, 32'h3f7442c0,32'h3f86fc78, 32'h3f6767a9,32'h3f8d6a04,// invsqrt(0.9913) = 1.0044 +32'h3f6174e8,32'h3f85aac6,32'h3f8b1f76, 32'h3f819343,32'h3f8f36f9, 32'h3f7582d0,32'h3f9608d4,// invsqrt(0.8807) = 1.0656 +32'h3f86245f,32'h3f7511af,32'h3f7f1267, 32'h3f6d9124,32'h3f834979, 32'h3f61103d,32'h3f8989ec,// invsqrt(1.0480) = 0.9768 +32'h410928e0,32'h3eab5f88,32'h3eb25e34, 32'h3ea62086,32'h3eb79d36, 32'h3e9d622e,32'h3ec05b8e,// invsqrt(8.5725) = 0.3415 +32'h3fd39678,32'h3f43217a,32'h3f4b1864, 32'h3f3d2849,32'h3f511195, 32'h3f3333a4,32'h3f5b063a,// invsqrt(1.6530) = 0.7778 +32'h3f293b85,32'h3f9a4817,32'h3fa0942d, 32'h3f958f07,32'h3fa54d3d, 32'h3f8dafeb,32'h3fad2c59,// invsqrt(0.6611) = 1.2299 +32'h40f61d8a,32'h3eb4ed20,32'h3ebc4f9f, 32'h3eaf6342,32'h3ec1d97e, 32'h3ea62823,32'h3ecb149d,// invsqrt(7.6911) = 0.3606 +32'h43137357,32'h3da548e7,32'h3dac07f5, 32'h3da0399c,32'h3db11740, 32'h3d97cac9,32'h3db98613,// invsqrt(147.4505) = 0.0824 +32'h3f96ac3d,32'h3f673c2a,32'h3f70ac55, 32'h3f602809,32'h3f77c075, 32'h3f545bd2,32'h3f81c656,// invsqrt(1.1771) = 0.9217 +32'h3e88a54c,32'h3ff2d04a,32'h3ffcb972, 32'h3feb616c,32'h40021428, 32'h3fdefdfb,32'h400845e0,// invsqrt(0.2669) = 1.9357 +32'h3e90a7ec,32'h3febfebb,32'h3ff5a0a3, 32'h3fe4c54d,32'h3ffcda11, 32'h3fd8baea,32'h4004723a,// invsqrt(0.2825) = 1.8813 +32'h3e557f81,32'h40095c10,32'h400ef754, 32'h4005279c,32'h40132bc8, 32'h3ffc4b0d,32'h401a2ddd,// invsqrt(0.2085) = 2.1900 +32'h3edb240e,32'h3fbfbd10,32'h3fc79088, 32'h3fb9de75,32'h3fcd6f23, 32'h3fb0161e,32'h3fd7377a,// invsqrt(0.4280) = 1.5285 +32'h3e6874b0,32'h4003a3ae,32'h4009032e, 32'h3fff381e,32'h400d0acd, 32'h3ff1c960,32'h4013c22c,// invsqrt(0.2270) = 2.0988 +32'h3fb98916,32'h3f506177,32'h3f58e2d4, 32'h3f4a0070,32'h3f5f43da, 32'h3f3f5ebb,32'h3f69e58f,// invsqrt(1.4495) = 0.8306 +32'h401cb9b2,32'h3f2051cb,32'h3f26dcf8, 32'h3f1b696a,32'h3f2bc55a, 32'h3f133b72,32'h3f33f352,// invsqrt(2.4488) = 0.6390 +32'h3fafed12,32'h3f55ff03,32'h3f5ebb0d, 32'h3f4f71fb,32'h3f654815, 32'h3f4486ee,32'h3f703322,// invsqrt(1.3744) = 0.8530 +32'h3fc11a8e,32'h3f4c41a4,32'h3f5497ea, 32'h3f4600f0,32'h3f5ad89e, 32'h3f3b951a,32'h3f654475,// invsqrt(1.5086) = 0.8142 +32'h3e9e4fbe,32'h3fe1965f,32'h3feacb87, 32'h3fdaae80,32'h3ff1b366, 32'h3fcf2c0e,32'h3ffd35d8,// invsqrt(0.3092) = 1.7984 +32'h3ebe6384,32'h3fcdb527,32'h3fd61a97, 32'h3fc76914,32'h3fdc66aa, 32'h3fbcea49,32'h3fe6e575,// invsqrt(0.3719) = 1.6399 +32'h4016bc86,32'h3f23792d,32'h3f2a254e, 32'h3f1e7814,32'h3f2f2666, 32'h3f1620ea,32'h3f377d90,// invsqrt(2.3553) = 0.6516 +32'h4032e494,32'h3f160ee1,32'h3f1c2ed5, 32'h3f1176ea,32'h3f20c6cc, 32'h3f09cef8,32'h3f286ebe,// invsqrt(2.7952) = 0.5981 +32'h40b3b841,32'h3ed3b9b9,32'h3edc5e0a, 32'h3ecd3e7d,32'h3ee2d947, 32'h3ec27118,32'h3eeda6ac,// invsqrt(5.6162) = 0.4220 +32'h403ed2d4,32'h3f114a9c,32'h3f1738c2, 32'h3f0cd800,32'h3f1bab5e, 32'h3f056e51,32'h3f23150d,// invsqrt(2.9816) = 0.5791 +32'h3ed240bb,32'h3fc3bfce,32'h3fcbbd30, 32'h3fbdc1c5,32'h3fd1bb39, 32'h3fb3c50c,32'h3fdbb7f2,// invsqrt(0.4107) = 1.5605 +32'h3f7b658f,32'h3f7d2a9f,32'h3f83bffa, 32'h3f756aa0,32'h3f879ffa, 32'h3f687ff6,32'h3f8e154f,// invsqrt(0.9820) = 1.0091 +32'h3e89635e,32'h3ff2281a,32'h3ffc0a64, 32'h3feabe62,32'h4001ba0e, 32'h3fde6386,32'h4007e77c,// invsqrt(0.2683) = 1.9305 +32'h3f80fcb2,32'h3f79eb10,32'h3f820f3a, 32'h3f724485,32'h3f85e27f, 32'h3f658448,32'h3f8c429e,// invsqrt(1.0077) = 0.9962 +32'h3faa87b5,32'h3f595afd,32'h3f623a22, 32'h3f52b3a2,32'h3f68e17e, 32'h3f479cb5,32'h3f73f86b,// invsqrt(1.3323) = 0.8664 +32'h3f71f589,32'h3f810740,32'h3f864b76, 32'h3f7a282d,32'h3f8a3ea0, 32'h3f6cfda4,32'h3f90d3e4,// invsqrt(0.9452) = 1.0286 +32'h3f69f70f,32'h3f8336cf,32'h3f8891dd, 32'h3f7e650a,32'h3f8c9627, 32'h3f710168,32'h3f9347f8,// invsqrt(0.9139) = 1.0460 +32'h3f202f27,32'h3f9e9446,32'h3fa50d44, 32'h3f99b988,32'h3fa9e802, 32'h3f91a24b,32'h3fb1ff3f,// invsqrt(0.6257) = 1.2642 +32'h410ccd4e,32'h3ea9245f,32'h3eb00bbc, 32'h3ea3f6da,32'h3eb53942, 32'h3e9b55a6,32'h3ebdda76,// invsqrt(8.8001) = 0.3371 +32'h3fbdb33d,32'h3f4e14a5,32'h3f567dfa, 32'h3f47c5a5,32'h3f5cccf9, 32'h3f3d41fa,32'h3f6750a4,// invsqrt(1.4820) = 0.8214 +32'h40a65fc3,32'h3edc0db0,32'h3ee50905, 32'h3ed5512f,32'h3eebc585, 32'h3eca1704,32'h3ef6ffb0,// invsqrt(5.1992) = 0.4386 +32'h3fb6a078,32'h3f520899,32'h3f5a9b3b, 32'h3f4b9a9e,32'h3f610936, 32'h3f40e353,32'h3f6bc081,// invsqrt(1.4268) = 0.8372 +32'h4005df6a,32'h3f2d76da,32'h3f348b60, 32'h3f282775,32'h3f39dac5, 32'h3f1f4dcd,32'h3f42b46d,// invsqrt(2.0918) = 0.6914 +32'h3fa9abed,32'h3f59e796,32'h3f62cc78, 32'h3f533bed,32'h3f697821, 32'h3f481dd3,32'h3f74963b,// invsqrt(1.3256) = 0.8686 +32'h3ed5c750,32'h3fc220db,32'h3fca0d4d, 32'h3fbc2f86,32'h3fcffea2, 32'h3fb247f8,32'h3fd9e630,// invsqrt(0.4175) = 1.5476 +32'h40000967,32'h3f315f9e,32'h3f389cfe, 32'h3f2bf197,32'h3f3e0b05, 32'h3f22e4e0,32'h3f4717bc,// invsqrt(2.0006) = 0.7070 +32'h418a7110,32'h3e713bc8,32'h3e7b146c, 32'h3e69d94c,32'h3e813b74, 32'h3e5d8a7e,32'h3e8762db,// invsqrt(17.3052) = 0.2404 +32'h3fc0d6dc,32'h3f4c657b,32'h3f54bd37, 32'h3f4623ae,32'h3f5aff04, 32'h3f3bb603,32'h3f656caf,// invsqrt(1.5066) = 0.8147 +32'h3fb5db9f,32'h3f527a26,32'h3f5b116c, 32'h3f4c08b2,32'h3f6182e0, 32'h3f414b9b,32'h3f6c3ff7,// invsqrt(1.4208) = 0.8390 +32'h3f2c3f9b,32'h3f98ecca,32'h3f9f2ab2, 32'h3f943e5b,32'h3fa3d921, 32'h3f8c70f8,32'h3faba684,// invsqrt(0.6728) = 1.2191 +32'h3f8afa50,32'h3f70c48c,32'h3f7a9854, 32'h3f6965b7,32'h3f80fb94, 32'h3f5d1cfe,32'h3f871ff1,// invsqrt(1.0858) = 0.9597 +32'h3f553543,32'h3f8973f8,32'h3f8f1036, 32'h3f853ec9,32'h3f934565, 32'h3f7c76f6,32'h3f9a48b3,// invsqrt(0.8328) = 1.0958 +32'h3eb679ac,32'h3fd21eeb,32'h3fdab278, 32'h3fcbb043,32'h3fe12121, 32'h3fc0f7d3,32'h3febd991,// invsqrt(0.3564) = 1.6751 +32'h3eb215a6,32'h3fd4b1ff,32'h3fdd6071, 32'h3fce2f28,32'h3fe3e348, 32'h3fc35519,32'h3feebd57,// invsqrt(0.3478) = 1.6956 +32'h3f55835a,32'h3f895ad3,32'h3f8ef60b, 32'h3f852669,32'h3f932a75, 32'h3f7c48c8,32'h3f9a2c7a,// invsqrt(0.8340) = 1.0950 +32'h408df74b,32'h3eee3869,32'h3ef7f192, 32'h3ee6ed8b,32'h3eff3c71, 32'h3edac618,32'h3f05b1f2,// invsqrt(4.4364) = 0.4748 +32'h3e3bd5ce,32'h40127151,32'h40186b7f, 32'h400df5b0,32'h401ce720, 32'h40067cf7,32'h40245fd9,// invsqrt(0.1834) = 2.3349 +32'h3ff018cc,32'h3f372e0d,32'h3f3ea817, 32'h3f319284,32'h3f4443a0, 32'h3f2839f7,32'h3f4d9c2d,// invsqrt(1.8758) = 0.7301 +32'h3f8ae39f,32'h3f70d836,32'h3f7aaccb, 32'h3f6978c7,32'h3f81061d, 32'h3f5d2f0e,32'h3f872afa,// invsqrt(1.0851) = 0.9600 +32'h403f3df1,32'h3f1121e6,32'h3f170e62, 32'h3f0cb089,32'h3f1b7fbf, 32'h3f0548ed,32'h3f22e75b,// invsqrt(2.9882) = 0.5785 +32'h41f3a995,32'h3e35d5ae,32'h3e3d41ab, 32'h3e3044b1,32'h3e42d2a9, 32'h3e26fdb5,32'h3e4c19a5,// invsqrt(30.4578) = 0.1812 +32'h3fde174f,32'h3f3e75f4,32'h3f463c12, 32'h3f38a15c,32'h3f4c10aa, 32'h3f2ee9b6,32'h3f55c850,// invsqrt(1.7351) = 0.7592 +32'h3fe45e4d,32'h3f3bd32a,32'h3f437dbe, 32'h3f36133b,32'h3f493dad, 32'h3f2c7e02,32'h3f52d2e6,// invsqrt(1.7841) = 0.7487 +32'h40ad824d,32'h3ed77b45,32'h3ee046d5, 32'h3ed0e299,32'h3ee6df81, 32'h3ec5e425,32'h3ef1ddf5,// invsqrt(5.4222) = 0.4295 +32'h3f540b62,32'h3f89d462,32'h3f8f7490, 32'h3f859c40,32'h3f93acb2, 32'h3f7d280d,32'h3f9ab4ec,// invsqrt(0.8283) = 1.0988 +32'h3f0b96f8,32'h3fa9dffc,32'h3fb0cf01, 32'h3fa4acb8,32'h3fb60244, 32'h3f9c01f1,32'h3fbead0b,// invsqrt(0.5453) = 1.3542 +32'h418cb47b,32'h3e6f4912,32'h3e790d5c, 32'h3e67f5db,32'h3e80304a, 32'h3e5bc07e,32'h3e864af8,// invsqrt(17.5881) = 0.2384 +32'h40131b69,32'h3f257a45,32'h3f2c3b57, 32'h3f206977,32'h3f314c25, 32'h3f17f820,32'h3f39bd7c,// invsqrt(2.2985) = 0.6596 +32'h3f4fb54e,32'h3f8b42ca,32'h3f90f1ec, 32'h3f86ff70,32'h3f953546, 32'h3f7fc90a,32'h3f9c5031,// invsqrt(0.8114) = 1.1102 +32'h3e8fe767,32'h3fec9c63,32'h3ff644bb, 32'h3fe55e21,32'h3ffd82fd, 32'h3fd94bb4,32'h4004cab5,// invsqrt(0.2811) = 1.8862 +32'h40ab9ac9,32'h3ed8ac82,32'h3ee18488, 32'h3ed20a7e,32'h3ee8268c, 32'h3ec6fc78,32'h3ef33492,// invsqrt(5.3626) = 0.4318 +32'h402b8b90,32'h3f193cf5,32'h3f1f7e23, 32'h3f148c12,32'h3f242f06, 32'h3f0cba98,32'h3f2c0080,// invsqrt(2.6804) = 0.6108 +32'h3fcf3553,32'h3f452e9d,32'h3f4d3af7, 32'h3f3f255a,32'h3f53443a, 32'h3f3515e9,32'h3f5d53ab,// invsqrt(1.6188) = 0.7860 +32'h3e509384,32'h400af888,32'h4010a4a2, 32'h4006b774,32'h4014e5b6, 32'h3fff40a6,32'h401bfcd7,// invsqrt(0.2037) = 2.2157 +32'h3e8f049d,32'h3fed57b3,32'h3ff707af, 32'h3fe613b5,32'h3ffe4bad, 32'h3fd9f7b9,32'h400533d5,// invsqrt(0.2793) = 1.8921 +32'h401f9418,32'h3f1ee13f,32'h3f255d61, 32'h3f1a0426,32'h3f2a3a7a, 32'h3f11e8fb,32'h3f3255a5,// invsqrt(2.4934) = 0.6333 +32'h3f963fb7,32'h3f678f9d,32'h3f710331, 32'h3f6078ef,32'h3f7819df, 32'h3f54a876,32'h3f81f52c,// invsqrt(1.1738) = 0.9230 +32'h3e621168,32'h40057c7a,32'h400aef46, 32'h40016662,32'h400f055e, 32'h3ff52dc6,32'h4015d4dd,// invsqrt(0.2208) = 2.1283 +32'h3f8cf3c4,32'h3f6f1354,32'h3f78d56c, 32'h3f67c1c2,32'h3f80137f, 32'h3f5b8f23,32'h3f862cce,// invsqrt(1.1012) = 0.9529 +32'h3e9198cd,32'h3feb3b32,32'h3ff4d520, 32'h3fe407c1,32'h3ffc0891, 32'h3fd80758,32'h4004047d,// invsqrt(0.2844) = 1.8752 +32'h4024cfaa,32'h3f1c565b,32'h3f22b7eb, 32'h3f178d2e,32'h3f278118, 32'h3f0f9339,32'h3f2f7b0d,// invsqrt(2.5752) = 0.6232 +32'h3f999423,32'h3f650977,32'h3f6e62ab, 32'h3f5e0690,32'h3f756592, 32'h3f52570f,32'h3f808a89,// invsqrt(1.1998) = 0.9129 +32'h401701f3,32'h3f235394,32'h3f29fe2c, 32'h3f1e53a2,32'h3f2efe1e, 32'h3f15fe63,32'h3f37535d,// invsqrt(2.3595) = 0.6510 +32'h3f5512fd,32'h3f897f05,32'h3f8f1bb7, 32'h3f854980,32'h3f93513c, 32'h3f7c8b43,32'h3f9a551b,// invsqrt(0.8323) = 1.0961 +32'h3f9c1451,32'h3f6331db,32'h3f6c77cf, 32'h3f5c3d64,32'h3f736c46, 32'h3f50a5f3,32'h3f7f03b7,// invsqrt(1.2194) = 0.9056 +32'h3eade167,32'h3fd74050,32'h3fe00978, 32'h3fd0a972,32'h3fe6a056, 32'h3fc5ae00,32'h3ff19bc8,// invsqrt(0.3396) = 1.7160 +32'h402420ff,32'h3f1ca975,32'h3f230e6b, 32'h3f17ddbe,32'h3f27da22, 32'h3f0fdf8b,32'h3f2fd855,// invsqrt(2.5645) = 0.6244 +32'h3fd1c8c9,32'h3f43f7bc,32'h3f4bf766, 32'h3f3df7fd,32'h3f51f725, 32'h3f33f869,32'h3f5bf6b9,// invsqrt(1.6389) = 0.7811 +32'h3e679535,32'h4003e323,32'h4009453a, 32'h3fffb326,32'h400d4ecb, 32'h3ff23dee,32'h40140967,// invsqrt(0.2262) = 2.1028 +32'h405da461,32'h3f06cffb,32'h3f0c50a1, 32'h3f02af7d,32'h3f10711f, 32'h3ef79d59,32'h3f1751ef,// invsqrt(3.4632) = 0.5374 +32'h3f3daa0b,32'h3f91bc1d,32'h3f97aee5, 32'h3f8d4607,32'h3f9c24fb, 32'h3f85d68e,32'h3fa39474,// invsqrt(0.7409) = 1.1618 +32'h3f03a84a,32'h3faeeae6,32'h3fb60e9b, 32'h3fa9901d,32'h3fbb6963, 32'h3fa0a37a,32'h3fc45606,// invsqrt(0.5143) = 1.3944 +32'h3ea08ae4,32'h3fe003b0,32'h3fe92869, 32'h3fd92826,32'h3ff003f4, 32'h3fcdba3f,32'h3ffb71db,// invsqrt(0.3136) = 1.7858 +32'h3dac7d61,32'h40581e02,32'h4060f036, 32'h4051805b,32'h40678ddd, 32'h40467999,32'h4072949f,// invsqrt(0.0842) = 3.4457 +32'h3f5b8a1b,32'h3f8774da,32'h3f8cfc3c, 32'h3f834f51,32'h3f9121c5, 32'h3f78cc2d,32'h3f980aff,// invsqrt(0.8576) = 1.0799 +32'h3f681efe,32'h3f83bbf9,32'h3f891c76, 32'h3f7f6735,32'h3f8d24d3, 32'h3f71f5fd,32'h3f93dd70,// invsqrt(0.9067) = 1.0502 +32'h42d1bcca,32'h3dc3fd56,32'h3dcbfd3b, 32'h3dbdfd6c,32'h3dd1fd26, 32'h3db3fd8e,32'h3ddbfd04,// invsqrt(104.8687) = 0.0977 +32'h3f3a6931,32'h3f930043,32'h3f990046, 32'h3f8e8042,32'h3f9d8048, 32'h3f87003e,32'h3fa5004c,// invsqrt(0.7282) = 1.1719 +32'h3f61d656,32'h3f858dee,32'h3f8b0170, 32'h3f81774d,32'h3f8f1811, 32'h3f754dd5,32'h3f95e874,// invsqrt(0.8822) = 1.0647 +32'h3e716edd,32'h40012b37,32'h400670e5, 32'h3ffa6de7,32'h400a6528, 32'h3fed3fb3,32'h4010fc43,// invsqrt(0.2358) = 2.0595 +32'h3f0090da,32'h3fb10216,32'h3fb83ba4, 32'h3fab96ec,32'h3fbda6ce, 32'h3fa28efa,32'h3fc6aec0,// invsqrt(0.5022) = 1.4111 +32'h3ff442b5,32'h3f359ca6,32'h3f3d064f, 32'h3f300d68,32'h3f42958e, 32'h3f26c955,32'h3f4bd9a1,// invsqrt(1.9083) = 0.7239 +32'h3de98d9c,32'h4039ba74,32'h40414f20, 32'h40340af3,32'h4046fea1, 32'h402a911c,32'h40507878,// invsqrt(0.1140) = 2.9612 +32'h3f215f29,32'h3f9dfea0,32'h3fa47182, 32'h3f992877,32'h3fa947ab, 32'h3f9118dc,32'h3fb15746,// invsqrt(0.6304) = 1.2595 +32'h3ee3d528,32'h3fbc0ba9,32'h3fc3b88b, 32'h3fb649ff,32'h3fc97a35, 32'h3facb1e4,32'h3fd31250,// invsqrt(0.4450) = 1.4991 +32'h3f17375a,32'h3fa336bb,32'h3fa9e025, 32'h3f9e37aa,32'h3faedf36, 32'h3f95e3e5,32'h3fb732fb,// invsqrt(0.5907) = 1.3011 +32'h4004420e,32'h3f2e851a,32'h3f35a4a8, 32'h3f292d6f,32'h3f3afc53, 32'h3f2045fe,32'h3f43e3c4,// invsqrt(2.0665) = 0.6956 +32'h4010ffcf,32'h3f26ad10,32'h3f2d7aa8, 32'h3f2192de,32'h3f3294da, 32'h3f1911e0,32'h3f3b15d8,// invsqrt(2.2656) = 0.6644 +32'h400902ab,32'h3f2b776b,32'h3f327711, 32'h3f2637ae,32'h3f37b6ce, 32'h3f1d781e,32'h3f40765e,// invsqrt(2.1408) = 0.6835 +32'h400f61de,32'h3f279cfc,32'h3f2e745f, 32'h3f227b71,32'h3f3395e9, 32'h3f19ee35,32'h3f3c2325,// invsqrt(2.2403) = 0.6681 +32'h3e7bd051,32'h3ffcf4ef,32'h4003a40a, 32'h3ff53695,32'h40078337, 32'h3fe84ea8,32'h400df72e,// invsqrt(0.2459) = 2.0166 +32'h3e05c738,32'h402d8689,32'h40349bb3, 32'h402836a9,32'h4039eb93, 32'h401f5c35,32'h4042c607,// invsqrt(0.1306) = 2.7667 +32'h3e1f2f07,32'h401f13a6,32'h402591d7, 32'h401a3502,32'h402a707c, 32'h40121746,32'h40328e39,// invsqrt(0.1555) = 2.5363 +32'h40419028,32'h3f104275,32'h3f1625d3, 32'h3f0bd7ef,32'h3f1a9059, 32'h3f047bba,32'h3f21ec8e,// invsqrt(3.0244) = 0.5750 +32'h41ae9b02,32'h3e56cdc9,32'h3e5f9245, 32'h3e503a6d,32'h3e6625a1, 32'h3e4544d3,32'h3e711b3b,// invsqrt(21.8257) = 0.2141 +32'h40bb7c9e,32'h3ecf4b23,32'h3ed7c125, 32'h3ec8f2a2,32'h3ede19a6, 32'h3ebe5f20,32'h3ee8ad28,// invsqrt(5.8590) = 0.4131 +32'h3ef05818,32'h3fb715ec,32'h3fbe8efb, 32'h3fb17b21,32'h3fc429c7, 32'h3fa823cf,32'h3fcd8119,// invsqrt(0.4694) = 1.4595 +32'h3f13181c,32'h3fa57c20,32'h3fac3d46, 32'h3fa06b44,32'h3fb14e22, 32'h3f97f9d4,32'h3fb9bf92,// invsqrt(0.5746) = 1.3192 +32'h3fb60e75,32'h3f525cc1,32'h3f5af2d4, 32'h3f4bec34,32'h3f616362, 32'h3f41309d,32'h3f6c1ef9,// invsqrt(1.4223) = 0.8385 +32'h3fa8f277,32'h3f5a5f0f,32'h3f6348d1, 32'h3f53afbe,32'h3f69f822, 32'h3f488b8b,32'h3f751c55,// invsqrt(1.3199) = 0.8704 +32'h4006c4d2,32'h3f2ce2f7,32'h3f33f175, 32'h3f27981a,32'h3f393c52, 32'h3f1ec5fd,32'h3f420e6f,// invsqrt(2.1058) = 0.6891 +32'h3e515bad,32'h400ab609,32'h40105f6d, 32'h400676fe,32'h40149e78, 32'h3ffec684,32'h401bb234,// invsqrt(0.2045) = 2.2116 +32'h3fd19951,32'h3f440deb,32'h3f4c0e7d, 32'h3f3e0d7e,32'h3f520eea, 32'h3f340cc8,32'h3f5c0fa0,// invsqrt(1.6375) = 0.7815 +32'h3fa332da,32'h3f5e2f1a,32'h3f6740b2, 32'h3f5761e7,32'h3f6e0de5, 32'h3f4c0be9,32'h3f7963e3,// invsqrt(1.2750) = 0.8856 +32'h4313bccd,32'h3da51fca,32'h3dabdd2b, 32'h3da011c1,32'h3db0eb33, 32'h3d97a507,32'h3db957ed,// invsqrt(147.7375) = 0.0823 +32'h3e7f8acf,32'h3ffb1ac8,32'h4002ad48, 32'h3ff36af0,32'h40068534, 32'h3fe69b34,32'h400ced12,// invsqrt(0.2496) = 2.0018 +32'h3f10f135,32'h3fa6b575,32'h3fad8365, 32'h3fa19b01,32'h3fb29dd9, 32'h3f991995,32'h3fbb1f45,// invsqrt(0.5662) = 1.3290 +32'h3f067586,32'h3fad15eb,32'h3fb4267c, 32'h3fa7c97d,32'h3fb972e9, 32'h3f9ef4c8,32'h3fc2479f,// invsqrt(0.5252) = 1.3798 +32'h3fedfe99,32'h3f37fcb7,32'h3f3f7f32, 32'h3f325adc,32'h3f45210e, 32'h3f28f7c3,32'h3f4e8427,// invsqrt(1.8593) = 0.7334 +32'h3ede23cc,32'h3fbe7099,32'h3fc63680, 32'h3fb89c2c,32'h3fcc0aee, 32'h3faee4cc,32'h3fd5c24e,// invsqrt(0.4339) = 1.5182 +32'h3f64eeed,32'h3f84a5f4,32'h3f8a0ffe, 32'h3f80966d,32'h3f8e1f85, 32'h3f73a3c0,32'h3f94e412,// invsqrt(0.8943) = 1.0575 +32'h4056a5b4,32'h3f08fdcd,32'h3f0e9539, 32'h3f04cc3c,32'h3f12c6ca, 32'h3efb9dec,32'h3f19c410,// invsqrt(3.3539) = 0.5460 +32'h3f10cd47,32'h3fa6ca23,32'h3fad98eb, 32'h3fa1af0d,32'h3fb2b401, 32'h3f992c93,32'h3fbb367b,// invsqrt(0.5656) = 1.3296 +32'h3f2779a1,32'h3f9b16c6,32'h3fa16b4c, 32'h3f965762,32'h3fa62ab0, 32'h3f8e6dbb,32'h3fae1457,// invsqrt(0.6542) = 1.2364 +32'h3ed15056,32'h3fc43016,32'h3fcc320c, 32'h3fbe2e9d,32'h3fd23385, 32'h3fb42c29,32'h3fdc35f9,// invsqrt(0.4088) = 1.5640 +32'h44758000,32'h3d00182d,32'h3d0552a2, 32'h3cf858ac,32'h3d093e7a, 32'h3ceb4688,32'h3d0fc78c,// invsqrt(982.0000) = 0.0319 +32'h40f6971b,32'h3eb4c082,32'h3ebc212e, 32'h3eaf3800,32'h3ec1a9b0, 32'h3ea5ff29,32'h3ecae287,// invsqrt(7.7059) = 0.3602 +32'h40546c30,32'h3f09b4f7,32'h3f0f53dc, 32'h3f057dca,32'h3f138b08, 32'h3efcee57,32'h3f1a91a7,// invsqrt(3.3191) = 0.5489 +32'h3f38febe,32'h3f938ffe,32'h3f9995df, 32'h3f8f0b96,32'h3f9e1a48, 32'h3f87843e,32'h3fa5a1a0,// invsqrt(0.7226) = 1.1764 +32'h3f6554b3,32'h3f848882,32'h3f89f158, 32'h3f8079e1,32'h3f8dfff9, 32'h3f736dab,32'h3f94c305,// invsqrt(0.8958) = 1.0565 +32'h4060bdce,32'h3f05e12f,32'h3f0b5817, 32'h3f01c801,32'h3f0f7145, 32'h3ef5e6bf,32'h3f1645e6,// invsqrt(3.5116) = 0.5336 +32'h3fee6dfc,32'h3f37d1b8,32'h3f3f5272, 32'h3f32312e,32'h3f44f2fc, 32'h3f28d046,32'h3f4e53e4,// invsqrt(1.8627) = 0.7327 +32'h4019395c,32'h3f222411,32'h3f28c246, 32'h3f1d2d6a,32'h3f2db8ee, 32'h3f14e7a8,32'h3f35feb0,// invsqrt(2.3941) = 0.6463 +32'h3f68d417,32'h3f8388b3,32'h3f88e719, 32'h3f7f03cf,32'h3f8cede5, 32'h3f7197d1,32'h3f93a3e3,// invsqrt(0.9095) = 1.0486 +32'h3fa69e11,32'h3f5be487,32'h3f64de2f, 32'h3f55294a,32'h3f6b996c, 32'h3f49f138,32'h3f76d17e,// invsqrt(1.3017) = 0.8765 +32'h401e5db1,32'h3f1f7ca7,32'h3f25ff21, 32'h3f1a9acc,32'h3f2ae0fc, 32'h3f1277b4,32'h3f330414,// invsqrt(2.4745) = 0.6357 +32'h3e2f7569,32'h40178501,32'h401db43b, 32'h4012e196,32'h402257a6, 32'h400b268e,32'h402a12ae,// invsqrt(0.1713) = 2.4158 +32'h3fa72440,32'h3f5b8c31,32'h3f64823d, 32'h3f54d3a7,32'h3f6b3ac7, 32'h3f49a018,32'h3f766e56,// invsqrt(1.3058) = 0.8751 +32'h404d90b2,32'h3f0bfc23,32'h3f11b2d6, 32'h3f07b31c,32'h3f15fbdc, 32'h3f008ebc,32'h3f1d203c,// invsqrt(3.2120) = 0.5580 +32'h3e40205d,32'h4010cc48,32'h4016b546, 32'h400c5d8a,32'h401b2404, 32'h4004fa4d,32'h40228741,// invsqrt(0.1876) = 2.3086 +32'h4102903a,32'h3eafa61b,32'h3eb6d175, 32'h3eaa4598,32'h3ebc31f8, 32'h3ea14f67,32'h3ec52829,// invsqrt(8.1602) = 0.3501 +32'h4129a841,32'h3e9a169f,32'h3ea060af, 32'h3e955f12,32'h3ea5183c, 32'h3e8d827d,32'h3eacf4d1,// invsqrt(10.6036) = 0.3071 +32'h3eb521c6,32'h3fd2e605,32'h3fdb81b1, 32'h3fcc7143,32'h3fe1f673, 32'h3fc1aeac,32'h3fecb90b,// invsqrt(0.3538) = 1.6813 +32'h3fba35bc,32'h3f5000c6,32'h3f587e32, 32'h3f49a2b6,32'h3f5edc42, 32'h3f3f05f0,32'h3f697908,// invsqrt(1.4548) = 0.8291 +32'h3f3830a8,32'h3f93e275,32'h3f99ebb3, 32'h3f8f5b86,32'h3f9e72a2, 32'h3f87cff8,32'h3fa5fe30,// invsqrt(0.7195) = 1.1789 +32'h3fc03164,32'h3f4cbd65,32'h3f5518b7, 32'h3f4678e7,32'h3f5b5d35, 32'h3f3c06c0,32'h3f65cf5c,// invsqrt(1.5015) = 0.8161 +32'h3f9a43ac,32'h3f648703,32'h3f6ddae3, 32'h3f5d881a,32'h3f74d9cc, 32'h3f51df41,32'h3f804152,// invsqrt(1.2052) = 0.9109 +32'h3f2ac0de,32'h3f9997cd,32'h3f9fdcb1, 32'h3f94e422,32'h3fa4905c, 32'h3f8d0e06,32'h3fac6678,// invsqrt(0.6670) = 1.2244 +32'h3f30c052,32'h3f96f6e8,32'h3f9d2055, 32'h3f9257d7,32'h3fa1bf67, 32'h3f8aa40f,32'h3fa9732f,// invsqrt(0.6904) = 1.2035 +32'h3e93779f,32'h3fe9bc16,32'h3ff34660, 32'h3fe2945e,32'h3ffa6e18, 32'h3fd6a782,32'h40032d7a,// invsqrt(0.2880) = 1.8633 +32'h40d5c898,32'h3ec22046,32'h3eca0cb2, 32'h3ebc2ef6,32'h3ecffe02, 32'h3eb24770,32'h3ed9e588,// invsqrt(6.6807) = 0.3869 +32'h3f6ff8b4,32'h3f818fc3,32'h3f86d98d, 32'h3f7b30d9,32'h3f8ad0e4, 32'h3f6df862,32'h3f916d1f,// invsqrt(0.9374) = 1.0329 +32'h401a369e,32'h3f219eb7,32'h3f28377b, 32'h3f1cac25,32'h3f2d2a0d, 32'h3f146d30,32'h3f356902,// invsqrt(2.4096) = 0.6442 +32'h4106d31c,32'h3eacd9ce,32'h3eb3e7eb, 32'h3ea78f37,32'h3eb93281, 32'h3e9ebd93,32'h3ec20425,// invsqrt(8.4265) = 0.3445 +32'h3ec3bdee,32'h3fcae012,32'h3fd327e8, 32'h3fc4aa30,32'h3fd95dca, 32'h3fba5064,32'h3fe3b796,// invsqrt(0.3823) = 1.6173 +32'h3f735440,32'h3f80aa22,32'h3f85ea8c, 32'h3f7973a5,32'h3f89dadb, 32'h3f6c529d,32'h3f906b60,// invsqrt(0.9505) = 1.0257 +32'h3f9b6224,32'h3f63b3f8,32'h3f6cff3c, 32'h3f5cbb86,32'h3f73f7ae, 32'h3f511d71,32'h3f7f95c3,// invsqrt(1.2139) = 0.9076 +32'h3f00145c,32'h3fb15807,32'h3fb89517, 32'h3fabea3b,32'h3fbe02e3, 32'h3fa2dde7,32'h3fc70f37,// invsqrt(0.5003) = 1.4138 +32'h3ff7b63a,32'h3f3457a2,32'h3f3bb407, 32'h3f2ed257,32'h3f413953, 32'h3f259ed9,32'h3f4a6cd1,// invsqrt(1.9352) = 0.7188 +32'h3f3b54bb,32'h3f92a3bc,32'h3f989ff8, 32'h3f8e268f,32'h3f9d1d25, 32'h3f86ab44,32'h3fa49870,// invsqrt(0.7318) = 1.1690 +32'h408fbdd1,32'h3eecbe9b,32'h3ef66858, 32'h3ee57f4d,32'h3efda7a5, 32'h3ed96b20,32'h3f04dde9,// invsqrt(4.4919) = 0.4718 +32'h3f40ee41,32'h3f907ef0,32'h3f9664c6, 32'h3f8c1290,32'h3f9ad126, 32'h3f84b345,32'h3fa23071,// invsqrt(0.7536) = 1.1519 +32'h3f1593e4,32'h3fa41af5,32'h3faacdb1, 32'h3f9f14e8,32'h3fafd3be, 32'h3f96b57e,32'h3fb83328,// invsqrt(0.5843) = 1.3082 +32'h3cd4122d,32'h40c2e888,32'h40cadd20, 32'h40bcf116,32'h40d0d492, 32'h40b2ff58,32'h40dac650,// invsqrt(0.0259) = 6.2152 +32'h3e4582f2,32'h400ecf76,32'h4014a3b0, 32'h400a704c,32'h401902da, 32'h40032704,32'h40204c22,// invsqrt(0.1929) = 2.2770 +32'h3f3f3bfc,32'h3f9122a4,32'h3f970f28, 32'h3f8cb141,32'h3f9b808b, 32'h3f85499c,32'h3fa2e830,// invsqrt(0.7470) = 1.1570 +32'h3ee632d6,32'h3fbb13a3,32'h3fc2b665, 32'h3fb55991,32'h3fc87077, 32'h3fabce1d,32'h3fd1fbeb,// invsqrt(0.4496) = 1.4914 +32'h3fc58af7,32'h3f49f2c9,32'h3f5230f1, 32'h3f43c42c,32'h3f585f8e, 32'h3f39767a,32'h3f62ad40,// invsqrt(1.5433) = 0.8050 +32'h3ef7cc3c,32'h3fb44fa0,32'h3fbbabb2, 32'h3faeca94,32'h3fc130be, 32'h3fa5977e,32'h3fca63d4,// invsqrt(0.4840) = 1.4374 +32'h3f0c4607,32'h3fa975db,32'h3fb0608b, 32'h3fa445d7,32'h3fb5908f, 32'h3f9ba07b,32'h3fbe35eb,// invsqrt(0.5479) = 1.3509 +32'h3ea15d66,32'h3fdf7162,32'h3fe89022, 32'h3fd89a52,32'h3fef6732, 32'h3fcd33e2,32'h3ffacda2,// invsqrt(0.3152) = 1.7813 +32'h3e3f7fee,32'h401108e3,32'h4016f459, 32'h400c9849,32'h401b64f3, 32'h400531f5,32'h4022cb47,// invsqrt(0.1870) = 2.3124 +32'h3fd54774,32'h3f425b03,32'h3f4a49d4, 32'h3f3c67e6,32'h3f503cf0, 32'h3f327d60,32'h3f5a2776,// invsqrt(1.6662) = 0.7747 +32'h3fb96947,32'h3f507356,32'h3f58f56e, 32'h3f4a11c4,32'h3f5f5700, 32'h3f3f6f25,32'h3f69f99f,// invsqrt(1.4485) = 0.8309 +32'h40868053,32'h3ef4bddb,32'h3efebb28, 32'h3eed3fe2,32'h3f031c91, 32'h3ee0c342,32'h3f095ae1,// invsqrt(4.2032) = 0.4878 +32'h3fcaa010,32'h3f47664b,32'h3f4f89d1, 32'h3f414ba7,32'h3f55a475, 32'h3f371f40,32'h3f5fd0dc,// invsqrt(1.5830) = 0.7948 +32'h3e959e83,32'h3fe80c3a,32'h3ff184e4, 32'h3fe0f1bb,32'h3ff89f63, 32'h3fd51ae7,32'h40023b1b,// invsqrt(0.2922) = 1.8499 +32'h3f79fad9,32'h3f7de208,32'h3f841f6c, 32'h3f761c6a,32'h3f88023b, 32'h3f692865,32'h3f8e7c3e,// invsqrt(0.9765) = 1.0120 +32'h401bb6fb,32'h3f20d6c3,32'h3f27675d, 32'h3f1bea4f,32'h3f2c53d1, 32'h3f13b58e,32'h3f348892,// invsqrt(2.4330) = 0.6411 +32'h3fda02d2,32'h3f403c16,32'h3f4814be, 32'h3f3a5998,32'h3f4df73c, 32'h3f308ac6,32'h3f57c60e,// invsqrt(1.7032) = 0.7662 +32'h40911077,32'h3eeba9a2,32'h3ef54812, 32'h3ee472cf,32'h3efc7ee5, 32'h3ed86cc4,32'h3f044278,// invsqrt(4.5333) = 0.4697 +32'h3f0055b3,32'h3fb12adc,32'h3fb86614, 32'h3fabbe72,32'h3fbdd27e, 32'h3fa2b46c,32'h3fc6dc84,// invsqrt(0.5013) = 1.4124 +32'h3f16afa1,32'h3fa3802b,32'h3faa2c95, 32'h3f9e7edb,32'h3faf2de5, 32'h3f962756,32'h3fb7856a,// invsqrt(0.5886) = 1.3034 +32'h3ebd2ff7,32'h3fce5c18,32'h3fd6c858, 32'h3fc80ae8,32'h3fdd1988, 32'h3fbd8399,32'h3fe7a0d7,// invsqrt(0.3695) = 1.6451 +32'h3f3774c2,32'h3f942e1d,32'h3f9a3a71, 32'h3f8fa4dd,32'h3f9ec3b1, 32'h3f881573,32'h3fa6531b,// invsqrt(0.7166) = 1.1813 +32'h3fa21d69,32'h3f5eece8,32'h3f680640, 32'h3f5819e6,32'h3f6ed942, 32'h3f4cba38,32'h3f7a38f0,// invsqrt(1.2665) = 0.8886 +32'h3f03af30,32'h3faee651,32'h3fb609d7, 32'h3fa98bad,32'h3fbb647b, 32'h3fa09f45,32'h3fc450e3,// invsqrt(0.5144) = 1.3943 +32'h400d37b0,32'h3f28e49e,32'h3f2fc960, 32'h3f23b90c,32'h3f34f4f2, 32'h3f1b1b19,32'h3f3d92e5,// invsqrt(2.2065) = 0.6732 +32'h413f054d,32'h3e913769,32'h3e9724c7, 32'h3e8cc564,32'h3e9b96cc, 32'h3e855caf,32'h3ea2ff81,// invsqrt(11.9388) = 0.2894 +32'h3fd9e01d,32'h3f404b65,32'h3f4824ad, 32'h3f3a686f,32'h3f4e07a3, 32'h3f3098d5,32'h3f57d73d,// invsqrt(1.7022) = 0.7665 +32'h3ffc3f5a,32'h3f32b6af,32'h3f3a020f, 32'h3f2d3e27,32'h3f3f7a97, 32'h3f241fef,32'h3f4898cf,// invsqrt(1.9707) = 0.7123 +32'h3d9c81cd,32'h4062e256,32'h406c250b, 32'h405bf04e,32'h40731712, 32'h40505ceb,32'h407eaa75,// invsqrt(0.0764) = 3.6174 +32'h409ffbf5,32'h3ee067ac,32'h3ee9907a, 32'h3ed98912,32'h3ef06f14, 32'h3ece1611,32'h3efbe215,// invsqrt(4.9995) = 0.4472 +32'h3fb9fe5d,32'h3f501fba,32'h3f589e68, 32'h3f49c0b7,32'h3f5efd6b, 32'h3f3f225c,32'h3f699bc6,// invsqrt(1.4531) = 0.8296 +32'h3f216377,32'h3f9dfc84,32'h3fa46f50, 32'h3f99266b,32'h3fa94569, 32'h3f9116ec,32'h3fb154e8,// invsqrt(0.6304) = 1.2595 +32'h3e3b4fb9,32'h4012a5b2,32'h4018a202, 32'h400e2876,32'h401d1f3e, 32'h4006ad11,32'h40249aa3,// invsqrt(0.1829) = 2.3381 +32'h3f0add91,32'h3faa513d,32'h3fb144e1, 32'h3fa51a81,32'h3fb67b9d, 32'h3f9c69f4,32'h3fbf2c2a,// invsqrt(0.5424) = 1.3578 +32'h3ec4303f,32'h3fcaa4ee,32'h3fd2ea5a, 32'h3fc470dc,32'h3fd91e6c, 32'h3fba1a14,32'h3fe37534,// invsqrt(0.3832) = 1.6155 +32'h3f0306fa,32'h3faf5671,32'h3fb67e8b, 32'h3fa9f85e,32'h3fbbdc9e, 32'h3fa1063e,32'h3fc4cebe,// invsqrt(0.5118) = 1.3978 +32'h3ee0640a,32'h3fbd7b74,32'h3fc5375a, 32'h3fb7ae88,32'h3fcb0446, 32'h3fae03aa,32'h3fd4af25,// invsqrt(0.4383) = 1.5105 +32'h3ecce701,32'h3fc649dc,32'h3fce61c6, 32'h3fc037ed,32'h3fd473b5, 32'h3fb61a09,32'h3fde9199,// invsqrt(0.4002) = 1.5807 +32'h3f7abe44,32'h3f7d7f06,32'h3f83ebe7, 32'h3f75bc72,32'h3f87cd31, 32'h3f68cd79,32'h3f8e44ae,// invsqrt(0.9795) = 1.0104 +32'h4049e949,32'h3f0d3ef1,32'h3f1302d1, 32'h3f08ec09,32'h3f1755b9, 32'h3f01b731,32'h3f1e8a91,// invsqrt(3.1549) = 0.5630 +32'h3f30f367,32'h3f96e11c,32'h3f9d09a6, 32'h3f9242b6,32'h3fa1a80c, 32'h3f8a900a,32'h3fa95ab8,// invsqrt(0.6912) = 1.2028 +32'h3f84a24a,32'h3f76755c,32'h3f80424d, 32'h3f6ee9ee,32'h3f840804, 32'h3f6256e2,32'h3f8a518a,// invsqrt(1.0362) = 0.9824 +32'h4000ceb0,32'h3f30d794,32'h3f380f66, 32'h3f2b6db7,32'h3f3d7943, 32'h3f2267f0,32'h3f467f0a,// invsqrt(2.0126) = 0.7049 +32'h3ead71f9,32'h3fd78569,32'h3fe05163, 32'h3fd0ec6e,32'h3fe6ea5e, 32'h3fc5ed75,32'h3ff1e957,// invsqrt(0.3388) = 1.7181 +32'h3d88ac96,32'h4072c9d0,32'h407cb2b4, 32'h406b5b25,32'h408210af, 32'h405ef808,32'h4088423e,// invsqrt(0.0667) = 3.8710 +32'h4009fd25,32'h3f2adb85,32'h3f31d4cf, 32'h3f25a08e,32'h3f370fc6, 32'h3f1ce8f3,32'h3f3fc761,// invsqrt(2.1561) = 0.6810 +32'h3fb2a848,32'h3f545aa4,32'h3f5d0586, 32'h3f4dda7a,32'h3f6385b0, 32'h3f4304e0,32'h3f6e5b4a,// invsqrt(1.3958) = 0.8464 +32'h3f4f0513,32'h3f8b7e04,32'h3f912f91, 32'h3f8738da,32'h3f9574ba, 32'h3f801ae9,32'h3f9c92ab,// invsqrt(0.8087) = 1.1120 +32'h3cba29ff,32'h40d00755,32'h40d88505, 32'h40c9a911,32'h40dee349, 32'h40bf0bf5,32'h40e98065,// invsqrt(0.0227) = 6.6336 +32'h3e9f246c,32'h3fe0ff6f,32'h3fea2e6f, 32'h3fda1c30,32'h3ff111ae, 32'h3fcea171,32'h3ffc8c6d,// invsqrt(0.3108) = 1.7937 +32'h3f3d71df,32'h3f91d1b6,32'h3f97c560, 32'h3f8d5af7,32'h3f9c3c1f, 32'h3f85ea64,32'h3fa3acb2,// invsqrt(0.7400) = 1.1625 +32'h3ef38579,32'h3fb5e329,32'h3fbd4fb3, 32'h3fb051c2,32'h3fc2e11a, 32'h3fa70a16,32'h3fcc28c6,// invsqrt(0.4756) = 1.4500 +32'h3fadfe0e,32'h3f572e96,32'h3f5ff704, 32'h3f509843,32'h3f668d57, 32'h3f459db8,32'h3f7187e2,// invsqrt(1.3593) = 0.8577 +32'h3f2ea1a7,32'h3f97e0c3,32'h3f9e13bb, 32'h3f933a89,32'h3fa2b9f5, 32'h3f8b7ad2,32'h3faa79ac,// invsqrt(0.6822) = 1.2108 +32'h3f67ac75,32'h3f83dc85,32'h3f893e57, 32'h3f7fa651,32'h3f8d47b4, 32'h3f7231c6,32'h3f9401f9,// invsqrt(0.9050) = 1.0512 +32'h3d7fa32e,32'h407b0ecf,32'h4082a70e, 32'h40735f56,32'h40867ecb, 32'h40669037,32'h408ce65a,// invsqrt(0.0624) = 4.0028 +32'h3f165acc,32'h3fa3ae44,32'h3faa5c90, 32'h3f9eab8b,32'h3faf5f49, 32'h3f9651ac,32'h3fb7b928,// invsqrt(0.5873) = 1.3049 +32'h402edf81,32'h3f17c5e5,32'h3f1df7c4, 32'h3f13207d,32'h3f229d2b, 32'h3f0b6225,32'h3f2a5b83,// invsqrt(2.7324) = 0.6050 +32'h3f2e0c4d,32'h3f9821df,32'h3f9e577f, 32'h3f9379a6,32'h3fa2ffb8, 32'h3f8bb69e,32'h3faac2c0,// invsqrt(0.6799) = 1.2128 +32'h3e3cd2c4,32'h40120f19,32'h40180543, 32'h400d9678,32'h401c7de4, 32'h400622c3,32'h4023f199,// invsqrt(0.1844) = 2.3287 +32'h3e6cb83a,32'h400272cd,32'h4007c5db, 32'h3ffce906,32'h400bc425, 32'h3fef9964,32'h40126bf6,// invsqrt(0.2312) = 2.0799 +32'h417e52c7,32'h3e7bb4a3,32'h3e82fd5a, 32'h3e740017,32'h3e86d7a1, 32'h3e672881,32'h3e8d436b,// invsqrt(15.8952) = 0.2508 +32'h3f88b396,32'h3f72c399,32'h3f7cac3b, 32'h3f6b551e,32'h3f820d5b, 32'h3f5ef253,32'h3f883ec1,// invsqrt(1.0680) = 0.9677 +32'h3fa489a2,32'h3f5d4731,32'h3f664f52, 32'h3f568117,32'h3f6d156b, 32'h3f4b36ee,32'h3f785f94,// invsqrt(1.2855) = 0.8820 +32'h3f0d4d3c,32'h3fa8d7bc,32'h3fafbbf8, 32'h3fa3ac8f,32'h3fb4e725, 32'h3f9b0f44,32'h3fbd8470,// invsqrt(0.5520) = 1.3460 +32'h3ec78190,32'h3fc8f3c8,32'h3fd12788, 32'h3fc2ccf9,32'h3fd74e57, 32'h3fb88c4a,32'h3fe18f06,// invsqrt(0.3897) = 1.6020 +32'h3f90f010,32'h3f6bc3f9,32'h3f75637b, 32'h3f648c57,32'h3f7c9b1d, 32'h3f5884f4,32'h3f845140,// invsqrt(1.1323) = 0.9398 +32'h407b7699,32'h3efd220b,32'h3f03bb84, 32'h3ef56250,32'h3f079b62, 32'h3ee87816,32'h3f0e107f,// invsqrt(3.9291) = 0.5045 +32'h3fa73640,32'h3f5b8060,32'h3f6475f0, 32'h3f54c833,32'h3f6b2e1d, 32'h3f49953e,32'h3f766112,// invsqrt(1.3063) = 0.8749 +32'h406467ec,32'h3f04cd22,32'h3f0a38c6, 32'h3f00bc68,32'h3f0e4980, 32'h3ef3ebb7,32'h3f15100c,// invsqrt(3.5688) = 0.5293 +32'h3ff6abf8,32'h3f34b8dd,32'h3f3c1939, 32'h3f2f3097,32'h3f41a17f, 32'h3f25f824,32'h3f4ad9f3,// invsqrt(1.9271) = 0.7204 +32'h3f6b4b90,32'h3f82d7bc,32'h3f882ee8, 32'h3f7dacb5,32'h3f8c3049, 32'h3f7052c7,32'h3f92dd41,// invsqrt(0.9191) = 1.0431 +32'h3f9755b9,32'h3f66ba89,32'h3f70256b, 32'h3f5faa61,32'h3f773593, 32'h3f53e4c7,32'h3f817d96,// invsqrt(1.1823) = 0.9197 +32'h3faa337c,32'h3f5990be,32'h3f627214, 32'h3f52e7bd,32'h3f691b15, 32'h3f47ce12,32'h3f7434c0,// invsqrt(1.3297) = 0.8672 +32'h42b8325c,32'h3dd122fa,32'h3dd9ac3d, 32'h3dcabc06,32'h3de01330, 32'h3dc01072,32'h3deabec4,// invsqrt(92.0984) = 0.1042 +32'h3f7281a4,32'h3f80e1f5,32'h3f8624a6, 32'h3f79dfdf,32'h3f8a16aa, 32'h3f6cb924,32'h3f90aa08,// invsqrt(0.9473) = 1.0274 +32'h400f9ef4,32'h3f277953,32'h3f2e4f41, 32'h3f2258e0,32'h3f336fb4, 32'h3f19cd76,32'h3f3bfb1e,// invsqrt(2.2441) = 0.6675 +32'h3e9f35f6,32'h3fe0f30a,32'h3fea2188, 32'h3fda102c,32'h3ff10466, 32'h3fce960e,32'h3ffc7e84,// invsqrt(0.3110) = 1.7933 +32'h400be8b7,32'h3f29ae54,32'h3f309b52, 32'h3f247c95,32'h3f35cd11, 32'h3f1bd458,32'h3f3e754f,// invsqrt(2.1861) = 0.6763 +32'h3f82b630,32'h3f784399,32'h3f8132da, 32'h3f70aa05,32'h3f84ffa4, 32'h3f63ff63,32'h3f8b54f5,// invsqrt(1.0212) = 0.9896 +32'h3e88e886,32'h3ff294a5,32'h3ffc7b5d, 32'h3feb279b,32'h4001f434, 32'h3fdec734,32'h40082467,// invsqrt(0.2674) = 1.9338 +32'h400721f9,32'h3f2ca756,32'h3f33b364, 32'h3f275e4c,32'h3f38fc6e, 32'h3f1e8f3a,32'h3f41cb80,// invsqrt(2.1114) = 0.6882 +32'h3f9de21e,32'h3f61e4a2,32'h3f6b1cfc, 32'h3f5afa5e,32'h3f720740, 32'h3f4f73ee,32'h3f7d8db1,// invsqrt(1.2335) = 0.9004 +32'h3fbb5f84,32'h3f4f5b3c,32'h3f57d1e5, 32'h3f49023c,32'h3f5e2ae4, 32'h3f3e6de8,32'h3f68bf38,// invsqrt(1.4639) = 0.8265 +32'h40b76cd0,32'h3ed1937a,32'h3eda2155, 32'h3ecb2915,32'h3ee08bb9, 32'h3ec077c3,32'h3eeb3d0b,// invsqrt(5.7320) = 0.4177 +32'h40825826,32'h3ef89d18,32'h3f01616d, 32'h3ef100c6,32'h3f052f96, 32'h3ee45193,32'h3f0b872f,// invsqrt(4.0733) = 0.4955 +32'h41026bad,32'h3eafbeb7,32'h3eb6eb12, 32'h3eaa5d72,32'h3ebc4c56, 32'h3ea16600,32'h3ec543c8,// invsqrt(8.1513) = 0.3503 +32'h401cd0eb,32'h3f2045ec,32'h3f26d09c, 32'h3f1b5de7,32'h3f2bb8a1, 32'h3f13308a,32'h3f33e5fe,// invsqrt(2.4503) = 0.6388 +32'h3ecb1574,32'h3fc72ca1,32'h3fcf4dcd, 32'h3fc113c1,32'h3fd566ad, 32'h3fb6ea4b,32'h3fdf9023,// invsqrt(0.3966) = 1.5878 +32'h4016ace9,32'h3f2381a5,32'h3f2a2e1f, 32'h3f1e804a,32'h3f2f2f7a, 32'h3f1628b2,32'h3f378712,// invsqrt(2.3543) = 0.6517 +32'h3ee328b6,32'h3fbc52fc,32'h3fc402c8, 32'h3fb68f24,32'h3fc9c6a0, 32'h3facf365,32'h3fd3625f,// invsqrt(0.4437) = 1.5013 +32'h40322f41,32'h3f165b27,32'h3f1c7e39, 32'h3f11c0da,32'h3f211886, 32'h3f0a1505,32'h3f28c45b,// invsqrt(2.7841) = 0.5993 +32'h3f1c6f92,32'h3fa077c2,32'h3fa7047c, 32'h3f9b8e37,32'h3fabee07, 32'h3f935e4f,32'h3fb41def,// invsqrt(0.6111) = 1.2792 +32'h3e402725,32'h4010c9ba,32'h4016b29c, 32'h400c5b0f,32'h401b2147, 32'h4004f7f4,32'h40228462,// invsqrt(0.1876) = 2.3085 +32'h3ffc480d,32'h3f32b39a,32'h3f39feda, 32'h3f2d3b2a,32'h3f3f774a, 32'h3f241d1b,32'h3f489559,// invsqrt(1.9709) = 0.7123 +32'h3fe7737a,32'h3f3a91e0,32'h3f422f57, 32'h3f34dbc7,32'h3f47e571, 32'h3f2b56f3,32'h3f516a45,// invsqrt(1.8082) = 0.7437 +32'h4100251b,32'h3eb14c71,32'h3eb88907, 32'h3eabdeff,32'h3ebdf679, 32'h3ea2d343,32'h3ec70235,// invsqrt(8.0091) = 0.3534 +32'h3f282fe7,32'h3f9ac2a5,32'h3fa113bc, 32'h3f9605d5,32'h3fa5d08d, 32'h3f8e2079,32'h3fadb5e9,// invsqrt(0.6570) = 1.2337 +32'h3e5c1f9f,32'h400746d2,32'h400ccc52, 32'h400322b1,32'h4010f073, 32'h3ff877a0,32'h4017d754,// invsqrt(0.2150) = 2.1568 +32'h3e24974d,32'h401c711e,32'h4022d3c6, 32'h4017a720,32'h40279dc4, 32'h400fabcd,32'h402f9917,// invsqrt(0.1607) = 2.4943 +32'h3f661be4,32'h3f844f18,32'h3f89b597, 32'h3f80423a,32'h3f8dc276, 32'h3f730438,32'h3f948294,// invsqrt(0.8989) = 1.0548 +32'h3f9c4814,32'h3f630c38,32'h3f6c50a2, 32'h3f5c18e8,32'h3f7343f2, 32'h3f508362,32'h3f7ed978,// invsqrt(1.2209) = 0.9050 +32'h3f187316,32'h3fa28d5f,32'h3fa92fe1, 32'h3f9d937e,32'h3fae29c2, 32'h3f95485d,32'h3fb674e3,// invsqrt(0.5955) = 1.2959 +32'h3fa1dcfc,32'h3f5f1941,32'h3f683469, 32'h3f5844e4,32'h3f6f08c6, 32'h3f4ce2f3,32'h3f7a6ab7,// invsqrt(1.2646) = 0.8893 +32'h40c7a9be,32'h3ec8df8f,32'h3ed1127b, 32'h3ec2b95e,32'h3ed738ac, 32'h3eb879b8,32'h3ee17852,// invsqrt(6.2395) = 0.4003 +32'h4141d0b2,32'h3e902a6f,32'h3e960cd1, 32'h3e8bc0a5,32'h3e9a769b, 32'h3e8465aa,32'h3ea1d196,// invsqrt(12.1135) = 0.2873 +32'h40281521,32'h3f1acef8,32'h3f212090, 32'h3f1611c7,32'h3f25ddc1, 32'h3f0e2bca,32'h3f2dc3be,// invsqrt(2.6263) = 0.6171 +32'h409000a6,32'h3eec87a4,32'h3ef62f23, 32'h3ee54a05,32'h3efd6cc3, 32'h3ed938a7,32'h3f04bf11,// invsqrt(4.5001) = 0.4714 +32'h3f5af518,32'h3f87a2ea,32'h3f8d2c2e, 32'h3f837bf8,32'h3f915320, 32'h3f7920c9,32'h3f983eb4,// invsqrt(0.8553) = 1.0813 +32'h3c781b62,32'h40fed6e2,32'h41049ed9, 32'h40f709c6,32'h41088567, 32'h40ea0943,32'h410f05a9,// invsqrt(0.0151) = 8.1263 +32'h3f80d865,32'h3f7a0e42,32'h3f82218b, 32'h3f7266a3,32'h3f85f55a, 32'h3f65a49b,32'h3f8c565f,// invsqrt(1.0066) = 0.9967 +32'h3ef38704,32'h3fb5e295,32'h3fbd4f19, 32'h3fb05133,32'h3fc2e07b, 32'h3fa7098e,32'h3fcc2820,// invsqrt(0.4756) = 1.4500 +32'h3f622d99,32'h3f857428,32'h3f8ae69c, 32'h3f815e50,32'h3f8efc74, 32'h3f751e7d,32'h3f95cb85,// invsqrt(0.8835) = 1.0639 +32'h3fdba97e,32'h3f3f82ca,32'h3f4753e2, 32'h3f39a5f8,32'h3f4d30b4, 32'h3f2fe09a,32'h3f56f612,// invsqrt(1.7161) = 0.7634 +32'h3f3f4489,32'h3f911f66,32'h3f970bc8, 32'h3f8cae1c,32'h3f9b7d12, 32'h3f8546a2,32'h3fa2e48c,// invsqrt(0.7471) = 1.1569 +32'h3e22029f,32'h401daed7,32'h40241e77, 32'h4018db1f,32'h4028f22f, 32'h4010cf96,32'h4030fdb8,// invsqrt(0.1582) = 2.5141 +32'h3f021887,32'h3faff6d7,32'h3fb7257d, 32'h3faa93db,32'h3fbc8879, 32'h3fa1998c,32'h3fc582c8,// invsqrt(0.5082) = 1.4028 +32'h4012b271,32'h3f25b56f,32'h3f2c78eb, 32'h3f20a2d1,32'h3f318b89, 32'h3f182e75,32'h3f39ffe5,// invsqrt(2.2921) = 0.6605 +32'h3fd4a402,32'h3f42a5a6,32'h3f4a9784, 32'h3f3cb041,32'h3f508ce9, 32'h3f32c1ec,32'h3f5a7b3e,// invsqrt(1.6613) = 0.7759 +32'h40dd4260,32'h3ebed184,32'h3ec69b5f, 32'h3eb8fa1f,32'h3ecc72c3, 32'h3eaf3dcc,32'h3ed62f16,// invsqrt(6.9144) = 0.3803 +32'h405612bb,32'h3f092ccc,32'h3f0ec622, 32'h3f04f9cb,32'h3f12f923, 32'h3efbf43d,32'h3f19f8d0,// invsqrt(3.3449) = 0.5468 +32'h3f4f53e1,32'h3f8b637f,32'h3f9113f7, 32'h3f871f25,32'h3f955851, 32'h3f80028f,32'h3f9c74e7,// invsqrt(0.8099) = 1.1112 +32'h3c13790c,32'h412545b4,32'h412c04a1, 32'h41203682,32'h413113d2, 32'h4117c7d9,32'h4139827b,// invsqrt(0.0090) = 10.5403 +32'h3f76c7a6,32'h3f7f8610,32'h3f84fa03, 32'h3f77b396,32'h3f88e33f, 32'h3f6aaa23,32'h3f8f67f9,// invsqrt(0.9640) = 1.0185 +32'h4006d3a8,32'h3f2cd974,32'h3f33e78e, 32'h3f278ee1,32'h3f393221, 32'h3f1ebd41,32'h3f4203c1,// invsqrt(2.1067) = 0.6890 +32'h4006331f,32'h3f2d40b8,32'h3f345308, 32'h3f27f2fb,32'h3f39a0c5, 32'h3f1f1c16,32'h3f4277aa,// invsqrt(2.0969) = 0.6906 +32'h3f8b628f,32'h3f706a72,32'h3f7a3a8c, 32'h3f690e5f,32'h3f80cb4f, 32'h3f5cca3f,32'h3f86ed5f,// invsqrt(1.0889) = 0.9583 +32'h3f68aa77,32'h3f839477,32'h3f88f357, 32'h3f7f1a9d,32'h3f8cfa7f, 32'h3f71ad6d,32'h3f93b118,// invsqrt(0.9089) = 1.0489 +32'h424e0000,32'h3e0bd64c,32'h3e118b74, 32'h3e078e6e,32'h3e15d352, 32'h3e006bfd,32'h3e1cf5c3,// invsqrt(51.5000) = 0.1393 +32'h3f3155d5,32'h3f96b737,32'h3f9cde0b, 32'h3f921a19,32'h3fa17b29, 32'h3f8a6991,32'h3fa92bb1,// invsqrt(0.6927) = 1.2015 +32'h4009c25e,32'h3f2afff4,32'h3f31faba, 32'h3f25c3df,32'h3f3736cf, 32'h3f1d0a68,32'h3f3ff046,// invsqrt(2.1525) = 0.6816 +32'h3c9c324d,32'h40e31c0b,32'h40ec611b, 32'h40dc283f,32'h40f354e7, 32'h40d091eb,32'h40feeb3b,// invsqrt(0.0191) = 7.2420 +32'h3e69c6d8,32'h40034457,32'h40089ff2, 32'h3ffe7f44,32'h400ca4a6, 32'h3ff11a41,32'h40135728,// invsqrt(0.2283) = 2.0929 +32'h3e51a8a1,32'h400a9c92,32'h401044ec, 32'h40065e4f,32'h4014832f, 32'h3ffe97be,32'h401b959f,// invsqrt(0.2047) = 2.2100 +32'h3fc07a5c,32'h3f4c9692,32'h3f54f04f, 32'h3f465345,32'h3f5b339d, 32'h3f3be319,32'h3f65a3c9,// invsqrt(1.5037) = 0.8155 +32'h3fd1a933,32'h3f44067e,32'h3f4c06c2, 32'h3f3e064b,32'h3f5206f5, 32'h3f3405f6,32'h3f5c074a,// invsqrt(1.6380) = 0.7814 +32'h3f3bce7a,32'h3f92742d,32'h3f986e77, 32'h3f8df874,32'h3f9cea30, 32'h3f867f97,32'h3fa4630d,// invsqrt(0.7336) = 1.1675 +32'h3d9a37f2,32'h40648fb3,32'h406de3ee, 32'h405d9086,32'h4074e31a, 32'h4051e73b,32'h40804632,// invsqrt(0.0753) = 3.6442 +32'h3f5a7f3e,32'h3f87c77a,32'h3f8d523b, 32'h3f839f69,32'h3f917a4b, 32'h3f7963ef,32'h3f9867bd,// invsqrt(0.8535) = 1.0824 +32'h3ca6275d,32'h40dc3305,32'h40e52fe1, 32'h40d57560,32'h40ebed86, 32'h40ca394e,32'h40f72998,// invsqrt(0.0203) = 7.0217 +32'h3f230566,32'h3f9d317f,32'h3fa39c01, 32'h3f98619d,32'h3fa86be3, 32'h3f905c7a,32'h3fb07106,// invsqrt(0.6368) = 1.2531 +32'h3ec77f24,32'h3fc8f501,32'h3fd128cd, 32'h3fc2ce28,32'h3fd74fa6, 32'h3fb88d6a,32'h3fe19065,// invsqrt(0.3896) = 1.6020 +32'h3d9432a4,32'h4069286c,32'h4072acaf, 32'h40620539,32'h4079cfe1, 32'h40561fe5,32'h4082da9a,// invsqrt(0.0724) = 3.7174 +32'h3fa8a0b5,32'h3f5a93f9,32'h3f637fe4, 32'h3f53e309,32'h3f6a30d5, 32'h3f48bc24,32'h3f7557ba,// invsqrt(1.3174) = 0.8712 +32'h3f48647f,32'h3f8dc7b4,32'h3f939129, 32'h3f89709c,32'h3f97e840, 32'h3f8234c9,32'h3f9f2413,// invsqrt(0.7828) = 1.1303 +32'h400e9f56,32'h3f280f24,32'h3f2eeb30, 32'h3f22ea1b,32'h3f341039, 32'h3f1a570c,32'h3f3ca348,// invsqrt(2.2285) = 0.6699 +32'h3e8ebc82,32'h3fed939e,32'h3ff7460d, 32'h3fe64dcb,32'h3ffe8be1, 32'h3fda2ec1,32'h40055576,// invsqrt(0.2788) = 1.8939 +32'h3db465fc,32'h405353ae,32'h405bf3d4, 32'h404cdb91,32'h40626bf1, 32'h40421361,32'h406d3421,// invsqrt(0.0881) = 3.3694 +32'h3f53f677,32'h3f89db2f,32'h3f8f7ba3, 32'h3f85a2d7,32'h3f93b3fb, 32'h3f7d348a,32'h3f9abc8d,// invsqrt(0.8280) = 1.0990 +32'h40ba51c3,32'h3ecff120,32'h3ed86de8, 32'h3ec9938a,32'h3edecb7e, 32'h3ebef790,32'h3ee96778,// invsqrt(5.8225) = 0.4144 +32'h40844c50,32'h3ef6c564,32'h3f006bf3, 32'h3eef3783,32'h3f0432e3, 32'h3ee2a061,32'h3f0a7e74,// invsqrt(4.1343) = 0.4918 +32'h3f6ef544,32'h3f81d605,32'h3f8722ad, 32'h3f7bb910,32'h3f8b1c2a, 32'h3f6e796d,32'h3f91bbfc,// invsqrt(0.9334) = 1.0350 +32'h3f14d784,32'h3fa482ad,32'h3fab39a4, 32'h3f9f7973,32'h3fb042dd, 32'h3f9714be,32'h3fb8a792,// invsqrt(0.5814) = 1.3115 +32'h3eeb0972,32'h3fb92424,32'h3fc0b2ad, 32'h3fb3793c,32'h3fc65d94, 32'h3faa0711,32'h3fcfcfbf,// invsqrt(0.4591) = 1.4759 +32'h407ccc7e,32'h3efc76a5,32'h3f036251, 32'h3ef4bc29,32'h3f073f90, 32'h3ee7daad,32'h3f0db04d,// invsqrt(3.9500) = 0.5032 +32'h40b8d845,32'h3ed0c509,32'h3ed94a77, 32'h3eca60f6,32'h3edfae8a, 32'h3ebfba2d,32'h3eea5553,// invsqrt(5.7764) = 0.4161 +32'h40c04af0,32'h3eccafcb,32'h3ed50a8f, 32'h3ec66bb8,32'h3edb4ea2, 32'h3ebbfa42,32'h3ee5c018,// invsqrt(6.0091) = 0.4079 +32'h3ee0823a,32'h3fbd6eb7,32'h3fc52a17, 32'h3fb7a22f,32'h3fcaf69f, 32'h3fadf7f6,32'h3fd4a0d8,// invsqrt(0.4385) = 1.5101 +32'h4005bd48,32'h3f2d8cfb,32'h3f34a269, 32'h3f283ce9,32'h3f39f27b, 32'h3f1f6220,32'h3f42cd44,// invsqrt(2.0897) = 0.6918 +32'h3f135aec,32'h3fa55698,32'h3fac1636, 32'h3fa046e2,32'h3fb125ec, 32'h3f97d75d,32'h3fb99571,// invsqrt(0.5756) = 1.3181 +32'h3f52d3b4,32'h3f8a3a1e,32'h3f8fde72, 32'h3f85fede,32'h3f9419b2, 32'h3f7de2e8,32'h3f9b271c,// invsqrt(0.8235) = 1.1019 +32'h3fbbf4cc,32'h3f4f08d3,32'h3f577c1f, 32'h3f48b259,32'h3f5dd299, 32'h3f3e223a,32'h3f6862b8,// invsqrt(1.4684) = 0.8252 +32'h410e6594,32'h3ea83136,32'h3eaf0ea6, 32'h3ea30b22,32'h3eb434ba, 32'h3e9a7656,32'h3ebcc986,// invsqrt(8.8998) = 0.3352 +32'h3f2bfd52,32'h3f990a3f,32'h3f9f495b, 32'h3f945ae9,32'h3fa3f8b1, 32'h3f8c8c05,32'h3fabc795,// invsqrt(0.6718) = 1.2200 +32'h3fa20a9a,32'h3f5ef9d8,32'h3f6813b6, 32'h3f582670,32'h3f6ee71e, 32'h3f4cc61a,32'h3f7a4775,// invsqrt(1.2659) = 0.8888 +32'h3edb05c2,32'h3fbfca52,32'h3fc79e56, 32'h3fb9eb50,32'h3fcd7d58, 32'h3fb0224c,32'h3fd7465c,// invsqrt(0.4278) = 1.5289 +32'h3f006601,32'h3fb11f9c,32'h3fb85a5f, 32'h3fabb38b,32'h3fbdc671, 32'h3fa2aa18,32'h3fc6cfe4,// invsqrt(0.5016) = 1.4120 +32'h3ec4284d,32'h3fcaa908,32'h3fd2eea0, 32'h3fc474d6,32'h3fd922d2, 32'h3fba1dd8,32'h3fe379d0,// invsqrt(0.3831) = 1.6156 +32'h3eaef7af,32'h3fd694de,32'h3fdf5707, 32'h3fd00340,32'h3fe5e8a6, 32'h3fc5108e,32'h3ff0db58,// invsqrt(0.3417) = 1.7106 +32'h40484072,32'h3f0dd476,32'h3f139e70, 32'h3f097cfa,32'h3f17f5ec, 32'h3f024081,32'h3f1f3265,// invsqrt(3.1289) = 0.5653 +32'h3e83d465,32'h3ff73587,32'h4000a64e, 32'h3fefa438,32'h40046ef6, 32'h3fe3075d,32'h400abd64,// invsqrt(0.2575) = 1.9707 +32'h40bcd3be,32'h3ece8e76,32'h3ed6fcc4, 32'h3ec83bbc,32'h3edd4f7e, 32'h3ebdb1da,32'h3ee7d960,// invsqrt(5.9008) = 0.4117 +32'h3cf34a11,32'h40b5f95c,32'h40bd66ce, 32'h40b06747,32'h40c2f8e3, 32'h40a71e79,32'h40cc41b1,// invsqrt(0.0297) = 5.8027 +32'h4095d70c,32'h3ee7e06f,32'h3ef1574f, 32'h3ee0c747,32'h3ef87077, 32'h3ed4f2af,32'h3f022287,// invsqrt(4.6825) = 0.4621 +32'h3f8c7fce,32'h3f6f75e9,32'h3f793c07, 32'h3f682152,32'h3f80484f, 32'h3f5be9ac,32'h3f866422,// invsqrt(1.0977) = 0.9545 +32'h3ff774cb,32'h3f346f79,32'h3f3bccd7, 32'h3f2ee973,32'h3f4152dd, 32'h3f25b4be,32'h3f4a8792,// invsqrt(1.9333) = 0.7192 +32'h3f5d991d,32'h3f86d368,32'h3f8c5432, 32'h3f82b2d0,32'h3f9074ca, 32'h3f77a3a4,32'h3f9755c8,// invsqrt(0.8656) = 1.0748 +32'h40b10e76,32'h3ed54fd9,32'h3ede04bd, 32'h3ecec82e,32'h3ee48c68, 32'h3ec3e610,32'h3eef6e86,// invsqrt(5.5330) = 0.4251 +32'h3f92fb0b,32'h3f6a1f0f,32'h3f73ad63, 32'h3f62f450,32'h3f7ad822, 32'h3f570266,32'h3f836506,// invsqrt(1.1483) = 0.9332 +32'h3f619156,32'h3f85a25a,32'h3f8b16b1, 32'h3f818b18,32'h3f8f2df2, 32'h3f757356,32'h3f95ff5f,// invsqrt(0.8811) = 1.0653 +32'h3e837a08,32'h3ff78a6d,32'h4000d27d, 32'h3feff684,32'h40049c71, 32'h3fe35554,32'h400aed09,// invsqrt(0.2568) = 1.9734 +32'h3e3cff6c,32'h4011fdd6,32'h4017f34c, 32'h400d85bd,32'h401c6b65, 32'h400612e9,32'h4023de39,// invsqrt(0.1846) = 2.3277 +32'h40259dc8,32'h3f1bf4f4,32'h3f22528a, 32'h3f172ec2,32'h3f2718bc, 32'h3f0f39c6,32'h3f2f0db8,// invsqrt(2.5878) = 0.6216 +32'h3fb2ceae,32'h3f5443d6,32'h3f5cedca, 32'h3f4dc45f,32'h3f636d41, 32'h3f42efee,32'h3f6e41b2,// invsqrt(1.3969) = 0.8461 +32'h3f8e3bcc,32'h3f6dff04,32'h3f77b5d6, 32'h3f66b5e8,32'h3f7efef2, 32'h3f5a9162,32'h3f8591bc,// invsqrt(1.1112) = 0.9486 +32'h3f79f9c2,32'h3f7de295,32'h3f841fb6, 32'h3f761cf3,32'h3f880286, 32'h3f6928e6,32'h3f8e7c8d,// invsqrt(0.9765) = 1.0120 +32'h4019329a,32'h3f2227a5,32'h3f28c5ff, 32'h3f1d30e1,32'h3f2dbcc3, 32'h3f14eaf0,32'h3f3602b4,// invsqrt(2.3937) = 0.6463 +32'h3ffab07a,32'h3f3344a4,32'h3f3a95cf, 32'h3f2dc7c3,32'h3f4012af, 32'h3f24a24d,32'h3f493825,// invsqrt(1.9585) = 0.7146 +32'h40431dfe,32'h3f0faf18,32'h3f158c72, 32'h3f0b4915,32'h3f19f275, 32'h3f03f464,32'h3f214726,// invsqrt(3.0487) = 0.5727 +32'h3f734a5c,32'h3f80acbf,32'h3f85ed45, 32'h3f7978b7,32'h3f89dda8, 32'h3f6c576a,32'h3f906e4f,// invsqrt(0.9504) = 1.0258 +32'h3e9581b8,32'h3fe82291,32'h3ff19c24, 32'h3fe10763,32'h3ff8b753, 32'h3fd52f6c,32'h400247a5,// invsqrt(0.2920) = 1.8506 +32'h3fda1d46,32'h3f40306d,32'h3f48089b, 32'h3f3a4e4a,32'h3f4deabe, 32'h3f308010,32'h3f57b8f8,// invsqrt(1.7040) = 0.7661 +32'h3ebf9a4f,32'h3fcd0e0d,32'h3fd56cab, 32'h3fc6c717,32'h3fdbb3a1, 32'h3fbc50d3,32'h3fe629e5,// invsqrt(0.3742) = 1.6347 +32'h3f1f199e,32'h3f9f1e5a,32'h3fa59cfa, 32'h3f9a3f62,32'h3faa7bf2, 32'h3f922119,32'h3fb29a3b,// invsqrt(0.6215) = 1.2685 +32'h41fe9fb1,32'h3e31e0b2,32'h3e392356, 32'h3e2c6eb7,32'h3e3e9551, 32'h3e235b6a,32'h3e47a89e,// invsqrt(31.8280) = 0.1773 +32'h400a372b,32'h3f2ab7a4,32'h3f31af76, 32'h3f257dc6,32'h3f36e954, 32'h3f1cc7ff,32'h3f3f9f1b,// invsqrt(2.1596) = 0.6805 +32'h4016e7b8,32'h3f2361c6,32'h3f2a0cf2, 32'h3f1e6164,32'h3f2f0d54, 32'h3f160b6c,32'h3f37634c,// invsqrt(2.3579) = 0.6512 +32'h3f8e55a5,32'h3f6de967,32'h3f779f57, 32'h3f66a0f4,32'h3f7ee7ca, 32'h3f5a7d89,32'h3f85859b,// invsqrt(1.1120) = 0.9483 +32'h3f6e8b33,32'h3f81f2df,32'h3f8740b4, 32'h3f7bf100,32'h3f8b3b14, 32'h3f6eae6c,32'h3f91dc5e,// invsqrt(0.9318) = 1.0359 +32'h3f979d3b,32'h3f66841a,32'h3f6fecc2, 32'h3f5f759c,32'h3f76fb40, 32'h3f53b2c9,32'h3f815f09,// invsqrt(1.1845) = 0.9188 +32'h4039c43d,32'h3f134179,32'h3f194425, 32'h3f0ebf78,32'h3f1dc626, 32'h3f073c21,32'h3f25497d,// invsqrt(2.9026) = 0.5870 +32'h3fa8b757,32'h3f5a854f,32'h3f6370a1, 32'h3f53d4d2,32'h3f6a211e, 32'h3f48aeac,32'h3f754744,// invsqrt(1.3181) = 0.8710 +32'h3e54fe5d,32'h400985ae,32'h400f22a5, 32'h40054ff4,32'h4013585e, 32'h3ffc977d,32'h401a5c94,// invsqrt(0.2080) = 2.1926 +32'h3fa5e795,32'h3f5c5d55,32'h3f655beb, 32'h3f559e65,32'h3f6c1adb, 32'h3f4a602a,32'h3f775916,// invsqrt(1.2961) = 0.8784 +32'h3e48ef0d,32'h400d96c9,32'h40135e3f, 32'h40094131,32'h4017b3d7, 32'h400207dd,32'h401eed2b,// invsqrt(0.1962) = 2.2575 +32'h40056dc9,32'h3f2dc0a6,32'h3f34d830, 32'h3f286eff,32'h3f3a29d7, 32'h3f1f9194,32'h3f430743,// invsqrt(2.0848) = 0.6926 +32'h40d8ff30,32'h3ec0aef4,32'h3ec88c4c, 32'h3ebac8f2,32'h3ece724e, 32'h3eb0f443,32'h3ed846fd,// invsqrt(6.7812) = 0.3840 +32'h4014d11d,32'h3f248637,32'h3f2b3d53, 32'h3f1f7ce2,32'h3f3046a8, 32'h3f1717fe,32'h3f38ab8c,// invsqrt(2.3253) = 0.6558 +32'h4067606a,32'h3f03f22e,32'h3f0954e2, 32'h3effd04f,32'h3f0d5ee8, 32'h3ef2598e,32'h3f141a49,// invsqrt(3.6153) = 0.5259 +32'h401eef56,32'h3f1f3383,32'h3f25b301, 32'h3f1a53e5,32'h3f2a929f, 32'h3f123488,32'h3f32b1fc,// invsqrt(2.4834) = 0.6346 +32'h3fb67054,32'h3f52244d,32'h3f5ab811, 32'h3f4bb579,32'h3f6126e5, 32'h3f40fcc4,32'h3f6bdf9a,// invsqrt(1.4253) = 0.8376 +32'h3de4a004,32'h403bb829,32'h404361a3, 32'h4035f90e,32'h404920be, 32'h402c6535,32'h4052b497,// invsqrt(0.1116) = 2.9930 +32'h3f7f37db,32'h3f7b4393,32'h3f82c284, 32'h3f73927d,32'h3f869b0f, 32'h3f66c0ad,32'h3f8d03f8,// invsqrt(0.9969) = 1.0015 +32'h3f897f99,32'h3f720f3c,32'h3f7bf083, 32'h3f6aa648,32'h3f81acbc, 32'h3f5e4cb0,32'h3f87d988,// invsqrt(1.0742) = 0.9648 +32'h40a29329,32'h3ede9c1e,32'h3ee7b22a, 32'h3ed7cb95,32'h3eee82b3, 32'h3ecc7007,32'h3ef9de41,// invsqrt(5.0805) = 0.4437 +32'h3ff8e0d9,32'h3f33eb50,32'h3f3b4349, 32'h3f2e6956,32'h3f40c544, 32'h3f253b5f,32'h3f49f33b,// invsqrt(1.9444) = 0.7172 +32'h3f342e73,32'h3f958545,32'h3f9b9f9c, 32'h3f90f185,32'h3fa0335d, 32'h3f895099,32'h3fa7d449,// invsqrt(0.7038) = 1.1920 +32'h3fa30d40,32'h3f5e48b7,32'h3f675b5b, 32'h3f577abc,32'h3f6e2956, 32'h3f4c236e,32'h3f7980a4,// invsqrt(1.2738) = 0.8860 +32'h3f276142,32'h3f9b2210,32'h3fa1770c, 32'h3f966254,32'h3fa636c8, 32'h3f8e7819,32'h3fae2103,// invsqrt(0.6538) = 1.2367 +32'h3ef29455,32'h3fb63d7a,32'h3fbdadb4, 32'h3fb0a94f,32'h3fc341df, 32'h3fa75d08,32'h3fcc8e26,// invsqrt(0.4738) = 1.4528 +32'h3fda5aca,32'h3f401559,32'h3f47ec6d, 32'h3f3a340b,32'h3f4dcdbb, 32'h3f306733,32'h3f579a93,// invsqrt(1.7059) = 0.7656 +32'h3f02ac15,32'h3faf9362,32'h3fb6bdf8, 32'h3faa3371,32'h3fbc1de9, 32'h3fa13e35,32'h3fc51325,// invsqrt(0.5104) = 1.3997 +32'h3fcd6fe2,32'h3f4607c2,32'h3f4e1cf8, 32'h3f3ff7d8,32'h3f542ce2, 32'h3f35dd54,32'h3f5e4766,// invsqrt(1.6050) = 0.7893 +32'h3f7e13a0,32'h3f7bd3e9,32'h3f830da1, 32'h3f741e68,32'h3f86e862, 32'h3f67453a,32'h3f8d54f9,// invsqrt(0.9925) = 1.0038 +32'h3f264e7b,32'h3f9ba204,32'h3fa1fc38, 32'h3f96de5c,32'h3fa6bfe0, 32'h3f8eed9b,32'h3faeb0a1,// invsqrt(0.6496) = 1.2407 +32'h3e229ff9,32'h401d627c,32'h4023cefe, 32'h4018911a,32'h4028a060, 32'h40108977,32'h4030a803,// invsqrt(0.1588) = 2.5093 +32'h3f1cfa4e,32'h3fa030ca,32'h3fa6ba9e, 32'h3f9b496b,32'h3faba1fd, 32'h3f931d22,32'h3fb3ce46,// invsqrt(0.6132) = 1.2770 +32'h3fec2802,32'h3f38b3ad,32'h3f403d9f, 32'h3f330c37,32'h3f45e515, 32'h3f299fc9,32'h3f4f5183,// invsqrt(1.8450) = 0.7362 +32'h3e0f1150,32'h4027cc25,32'h402ea575, 32'h4022a929,32'h4033c871, 32'h401a1985,32'h403c5815,// invsqrt(0.1397) = 2.6753 +32'h3f39f219,32'h3f932f4f,32'h3f99313d, 32'h3f8eaddc,32'h3f9db2b0, 32'h3f872b72,32'h3fa5351a,// invsqrt(0.7264) = 1.1733 +32'h3f973462,32'h3f66d3f8,32'h3f703fe2, 32'h3f5fc308,32'h3f7750d2, 32'h3f53fc22,32'h3f818bdc,// invsqrt(1.1813) = 0.9201 +32'h3f2c52ab,32'h3f98e454,32'h3f9f21e4, 32'h3f943627,32'h3fa3d011, 32'h3f8c6933,32'h3fab9d05,// invsqrt(0.6731) = 1.2188 +32'h3f6ba81a,32'h3f82be09,32'h3f881428, 32'h3f7d7ae1,32'h3f8c14c0, 32'h3f702392,32'h3f92c067,// invsqrt(0.9205) = 1.0423 +32'h3fab0fc8,32'h3f590479,32'h3f61e015, 32'h3f525fc3,32'h3f6884cb, 32'h3f474d40,32'h3f73974e,// invsqrt(1.3364) = 0.8650 +32'h3fac0b80,32'h3f58657d,32'h3f613a9c, 32'h3f51c5a5,32'h3f67da73, 32'h3f46bb3e,32'h3f72e4da,// invsqrt(1.3441) = 0.8625 +32'h40017845,32'h3f30639d,32'h3f3796b3, 32'h3f2afd4c,32'h3f3cfd04, 32'h3f21fd71,32'h3f45fcdf,// invsqrt(2.0230) = 0.7031 +32'h3fa00af9,32'h3f605d25,32'h3f698585, 32'h3f597ede,32'h3f7063cc, 32'h3f4e0c66,32'h3f7bd644,// invsqrt(1.2503) = 0.8943 +32'h413688d2,32'h3e948dc2,32'h3e9a9dfe, 32'h3e900195,32'h3e9f2a2b, 32'h3e886d4a,32'h3ea6be76,// invsqrt(11.4084) = 0.2961 +32'h3f789bf3,32'h3f7e94f4,32'h3f847c8a, 32'h3f76c9dd,32'h3f886215, 32'h3f69ccb7,32'h3f8ee0a9,// invsqrt(0.9711) = 1.0148 +32'h40617fac,32'h3f05a795,32'h3f0b1c23, 32'h3f01902b,32'h3f0f338d, 32'h3ef57cf2,32'h3f16053f,// invsqrt(3.5234) = 0.5327 +32'h42fde38e,32'h3db2228d,32'h3db967e1, 32'h3dacae8e,32'h3dbedbe0, 32'h3da397e5,32'h3dc7f289,// invsqrt(126.9444) = 0.0888 +32'h3fe87d7a,32'h3f3a2707,32'h3f41c021, 32'h3f347433,32'h3f4772f5, 32'h3f2af4d2,32'h3f50f256,// invsqrt(1.8163) = 0.7420 +32'h3f88942c,32'h3f72df82,32'h3f7cc949, 32'h3f6b702e,32'h3f821c4f, 32'h3f5f0bf5,32'h3f884e6b,// invsqrt(1.0670) = 0.9681 +32'h3f48b9b6,32'h3f8da998,32'h3f9371d2, 32'h3f89536c,32'h3f97c7fe, 32'h3f821923,32'h3f9f0247,// invsqrt(0.7841) = 1.1293 +32'h428597e3,32'h3df59268,32'h3dff9862, 32'h3dee0ded,32'h3e038e6f, 32'h3de18674,32'h3e09d22b,// invsqrt(66.7967) = 0.1224 +32'h40825751,32'h3ef89de3,32'h3f0161d7, 32'h3ef1018b,32'h3f053003, 32'h3ee4524e,32'h3f0b87a1,// invsqrt(4.0732) = 0.4955 +32'h3d1556f6,32'h40a43c6c,32'h40aaf085, 32'h409f3558,32'h40aff798, 32'h4096d439,32'h40b858b7,// invsqrt(0.0365) = 5.2371 +32'h419c8b66,32'h3e62db61,32'h3e6c1dcd, 32'h3e5be98f,32'h3e730f9f, 32'h3e505688,32'h3e7ea2a6,// invsqrt(19.5681) = 0.2261 +32'h411a2d2e,32'h3ea1a3a9,32'h3ea83ca1, 32'h3e9cb0f0,32'h3ead2f5a, 32'h3e9471bb,32'h3eb56e8f,// invsqrt(9.6360) = 0.3221 +32'h3ee9945e,32'h3fb9b7c4,32'h3fc14c54, 32'h3fb40858,32'h3fc6fbc0, 32'h3faa8ea4,32'h3fd07574,// invsqrt(0.4562) = 1.4805 +32'h3f37713f,32'h3f942f88,32'h3f9a3bec, 32'h3f8fa63e,32'h3f9ec536, 32'h3f8816c1,32'h3fa654b3,// invsqrt(0.7166) = 1.1813 +32'h400815ff,32'h3f2c0c45,32'h3f3311fe, 32'h3f26c7f9,32'h3f385649, 32'h3f1e00d1,32'h3f411d71,// invsqrt(2.1263) = 0.6858 +32'h3d841bb6,32'h4076f2c5,32'h40808390, 32'h406f6380,32'h40844b32, 32'h4062ca0d,32'h408a97ec,// invsqrt(0.0645) = 3.9373 +32'h3fb8060d,32'h3f513c25,32'h3f59c670, 32'h3f4ad46e,32'h3f602e28, 32'h3f402790,32'h3f6adb06,// invsqrt(1.4377) = 0.8340 +32'h3f802557,32'h3f7abcb8,32'h3f827c55, 32'h3f730fc2,32'h3f8652d0, 32'h3f6644d2,32'h3f8cb848,// invsqrt(1.0011) = 0.9994 +32'h3f42e8d2,32'h3f8fc2b0,32'h3f95a0d6, 32'h3f8b5c13,32'h3f9a0773, 32'h3f840663,32'h3fa15d23,// invsqrt(0.7614) = 1.1460 +32'h3f695375,32'h3f8364c8,32'h3f88c1b6, 32'h3f7ebe2b,32'h3f8cc769, 32'h3f7155d8,32'h3f937b92,// invsqrt(0.9114) = 1.0475 +32'h3fd52f9f,32'h3f4265e0,32'h3f4a5522, 32'h3f3c726e,32'h3f504894, 32'h3f32875a,32'h3f5a33a8,// invsqrt(1.6655) = 0.7749 +32'h3f9f7c99,32'h3f60c134,32'h3f69eda9, 32'h3f59dfdc,32'h3f70cf00, 32'h3f4e6849,32'h3f7c4693,// invsqrt(1.2460) = 0.8959 +32'h3f9a02e8,32'h3f64b70b,32'h3f6e0ce1, 32'h3f5db6aa,32'h3f750d42, 32'h3f520b5d,32'h3f805c47,// invsqrt(1.2032) = 0.9117 +32'h4006fd14,32'h3f2cbeed,32'h3f33cbf1, 32'h3f277529,32'h3f3915b5, 32'h3f1ea4e4,32'h3f41e5fa,// invsqrt(2.1092) = 0.6886 +32'h3e2f64cd,32'h40178c2d,32'h401dbbb2, 32'h4012e88a,32'h40225f56, 32'h400b2d25,32'h402a1abb,// invsqrt(0.1713) = 2.4163 +32'h3f74aef0,32'h3f804edb,32'h3f858b8b, 32'h3f78c2ae,32'h3f89790f, 32'h3f6baaf5,32'h3f9004eb,// invsqrt(0.9558) = 1.0229 +32'h3f9bd6f0,32'h3f635e94,32'h3f6ca65c, 32'h3f5c68bf,32'h3f739c31, 32'h3f50cf06,32'h3f7f35ea,// invsqrt(1.2175) = 0.9063 +32'h3f7fed15,32'h3f7aea8d,32'h3f82942f, 32'h3f733c30,32'h3f866b5e, 32'h3f666eea,32'h3f8cd201,// invsqrt(0.9997) = 1.0001 +32'h3f9f00e6,32'h3f611890,32'h3f6a4896, 32'h3f5a348c,32'h3f712c9a, 32'h3f4eb884,32'h3f7ca8a2,// invsqrt(1.2422) = 0.8972 +32'h3d150595,32'h40a4693d,32'h40ab1f2b, 32'h409f60cb,32'h40b0279d, 32'h4096fd62,32'h40b88b06,// invsqrt(0.0364) = 5.2427 +32'h4052553a,32'h3f0a63a7,32'h3f1009ad, 32'h3f062722,32'h3f144632, 32'h3efe2f32,32'h3f1b55bb,// invsqrt(3.2865) = 0.5516 +32'h3edc7a5e,32'h3fbf27fd,32'h3fc6f561, 32'h3fb94df3,32'h3fcccf6b, 32'h3faf8d37,32'h3fd69027,// invsqrt(0.4306) = 1.5239 +32'h3e2a72e1,32'h4019baed,32'h4020013f, 32'h4015062e,32'h4024b5fe, 32'h400d2e47,32'h402c8de5,// invsqrt(0.1665) = 2.4511 +32'h3eced124,32'h3fc55e59,32'h3fcd6ca6, 32'h3fbf53a0,32'h3fd37760, 32'h3fb541c0,32'h3fdd8940,// invsqrt(0.4039) = 1.5734 +32'h3ea92765,32'h3fda3ce3,32'h3fe3253f, 32'h3fd38e9d,32'h3fe9d385, 32'h3fc86c29,32'h3ff4f5f9,// invsqrt(0.3304) = 1.7398 +32'h3bd52b54,32'h414267d5,32'h414a572c, 32'h413c7453,32'h41504aad, 32'h41328926,32'h415a35da,// invsqrt(0.0065) = 12.3983 +32'h3fbd42ed,32'h3f4e51c1,32'h3f56bd95, 32'h3f4800e2,32'h3f5d0e74, 32'h3f3d7a1a,32'h3f67953c,// invsqrt(1.4786) = 0.8224 +32'h425700e0,32'h3e08e0be,32'h3e0e76fa, 32'h3e04b011,32'h3e12a7a7, 32'h3dfb688c,32'h3e19a372,// invsqrt(53.7509) = 0.1364 +32'h3f2ebf7c,32'h3f97d3cc,32'h3f9e063c, 32'h3f932df7,32'h3fa2ac11, 32'h3f8b6eea,32'h3faa6b1e,// invsqrt(0.6826) = 1.2104 +32'h3edc5e27,32'h3fbf3439,32'h3fc7021c, 32'h3fb959cf,32'h3fccdc87, 32'h3faf9874,32'h3fd69de3,// invsqrt(0.4304) = 1.5243 +32'h409d101f,32'h3ee27b73,32'h3eebb9f5, 32'h3edb8c91,32'h3ef2a8d7, 32'h3ecffe6f,32'h3efe36f9,// invsqrt(4.9082) = 0.4514 +32'h41aeff1a,32'h3e569052,32'h3e5f524b, 32'h3e4ffed8,32'h3e65e3c6, 32'h3e450c61,32'h3e70d63d,// invsqrt(21.8746) = 0.2138 +32'h3eccb0a7,32'h3fc6642d,32'h3fce7d2a, 32'h3fc05170,32'h3fd48fe8, 32'h3fb63234,32'h3fdeaf24,// invsqrt(0.3998) = 1.5816 +32'h4080d22e,32'h3efa144a,32'h3f0224ae, 32'h3ef26c7c,32'h3f05f895, 32'h3ee5aa24,32'h3f0c59c1,// invsqrt(4.0257) = 0.4984 +32'h3ffcc6d7,32'h3f3286c3,32'h3f39d02f, 32'h3f2d0fb3,32'h3f3f473f, 32'h3f23f3ed,32'h3f486305,// invsqrt(1.9748) = 0.7116 +32'h3fc49706,32'h3f4a6fee,32'h3f52b332, 32'h3f443d7c,32'h3f58e5a4, 32'h3f39e968,32'h3f6339b8,// invsqrt(1.5359) = 0.8069 +32'h3cc13ec1,32'h40cc2e82,32'h40d483ff, 32'h40c5ee63,32'h40dac41d, 32'h40bb8386,32'h40e52efa,// invsqrt(0.0236) = 6.5109 +32'h3f241567,32'h3f9caefe,32'h3fa3142c, 32'h3f97e31a,32'h3fa7e010, 32'h3f8fe4a0,32'h3fafde8a,// invsqrt(0.6410) = 1.2491 +32'h3fe6ee17,32'h3f3ac7bb,32'h3f426764, 32'h3f350ffb,32'h3f481f23, 32'h3f2b8867,32'h3f51a6b7,// invsqrt(1.8041) = 0.7445 +32'h40235d23,32'h3f1d0743,32'h3f23700c, 32'h3f1838ab,32'h3f283ea3, 32'h3f1035b0,32'h3f30419e,// invsqrt(2.5526) = 0.6259 +32'h3dca2889,32'h4047a135,32'h404fc723, 32'h404184c3,32'h4055e395, 32'h4037555b,32'h406012fd,// invsqrt(0.0987) = 3.1829 +32'h3f492dd5,32'h3f8d80b0,32'h3f93473e, 32'h3f892bc4,32'h3f979c2a, 32'h3f81f392,32'h3f9ed45c,// invsqrt(0.7859) = 1.1281 +32'h3f379ed1,32'h3f941d23,32'h3f9a28c7, 32'h3f8f9469,32'h3f9eb181, 32'h3f8805dc,32'h3fa6400e,// invsqrt(0.7173) = 1.1808 +32'h42d7decc,32'h3dc12f7e,32'h3dc91216, 32'h3dbb458d,32'h3dcefc07, 32'h3db16a4f,32'h3dd8d745,// invsqrt(107.9352) = 0.0963 +32'h3f64fd80,32'h3f84a1bb,32'h3f8a0b99, 32'h3f809255,32'h3f8e1aff, 32'h3f739bff,32'h3f94df55,// invsqrt(0.8945) = 1.0573 +32'h3e9b7e42,32'h3fe39f61,32'h3fece9cd, 32'h3fdca78f,32'h3ff3e19f, 32'h3fd10a88,32'h3fff7ea6,// invsqrt(0.3037) = 1.8146 +32'h3f8954cd,32'h3f7234f1,32'h3f7c17c1, 32'h3f6acad5,32'h3f81c0ef, 32'h3f5e6f50,32'h3f87eeb1,// invsqrt(1.0729) = 0.9654 +32'h3f82f917,32'h3f780429,32'h3f8111d7, 32'h3f706c86,32'h3f84dda8, 32'h3f63c520,32'h3f8b315b,// invsqrt(1.0232) = 0.9886 +32'h3f6201dc,32'h3f858111,32'h3f8af40d, 32'h3f816ad5,32'h3f8f0a49, 32'h3f753635,32'h3f95da04,// invsqrt(0.8828) = 1.0643 +32'h3ecaeda5,32'h3fc7402a,32'h3fcf6221, 32'h3fc126b0,32'h3fd57b9a, 32'h3fb6fc3b,32'h3fdfa60f,// invsqrt(0.3963) = 1.5884 +32'h3f6aff45,32'h3f82ecf7,32'h3f884501, 32'h3f7dd5df,32'h3f8c4709, 32'h3f7079c6,32'h3f92f515,// invsqrt(0.9180) = 1.0437 +32'h3f900bab,32'h3f6c7e98,32'h3f7625b8, 32'h3f654140,32'h3f7d6310, 32'h3f593057,32'h3f84b9fc,// invsqrt(1.1254) = 0.9427 +32'h3f3d148e,32'h3f91f5ad,32'h3f97eacf, 32'h3f8d7dd4,32'h3f9c62a8, 32'h3f860b6b,32'h3fa3d511,// invsqrt(0.7386) = 1.1636 +32'h409b4722,32'h3ee3c7c5,32'h3eed13d7, 32'h3edcceb7,32'h3ef40ce5, 32'h3ed12fa0,32'h3effabfc,// invsqrt(4.8524) = 0.4540 +32'h401f936f,32'h3f1ee193,32'h3f255db8, 32'h3f1a0476,32'h3f2a3ad4, 32'h3f11e948,32'h3f325602,// invsqrt(2.4934) = 0.6333 +32'h4042a0d0,32'h3f0fdd46,32'h3f15bc82, 32'h3f0b75d9,32'h3f1a23ef, 32'h3f041ecd,32'h3f217afb,// invsqrt(3.0411) = 0.5734 +32'h3e42f397,32'h400fbeb8,32'h40159cb5, 32'h400b583a,32'h401a0332, 32'h400402bd,32'h402158af,// invsqrt(0.1904) = 2.2919 +32'h44560555,32'h3d093117,32'h3d0eca9b, 32'h3d04fdf5,32'h3d12fdbd, 32'h3cfbfc20,32'h3d19fda2,// invsqrt(856.0833) = 0.0342 +32'h3faf7273,32'h3f5649be,32'h3f5f08d6, 32'h3f4fba6d,32'h3f659827, 32'h3f44cb8f,32'h3f708705,// invsqrt(1.3707) = 0.8541 +32'h40116ffa,32'h3f266cbe,32'h3f2d37b6, 32'h3f215484,32'h3f324ff0, 32'h3f18d6ce,32'h3f3acda6,// invsqrt(2.2725) = 0.6634 +32'h40719748,32'h3f012069,32'h3f0665a6, 32'h3efa58f4,32'h3f0a5994, 32'h3eed2bd9,32'h3f10f021,// invsqrt(3.7749) = 0.5147 +32'h3fc13eee,32'h3f4c2e6a,32'h3f5483e6, 32'h3f45ee4c,32'h3f5ac404, 32'h3f3b8371,32'h3f652edf,// invsqrt(1.5097) = 0.8139 +32'h3e8f868e,32'h3fecec2a,32'h3ff697c4, 32'h3fe5ab77,32'h3ffdd877, 32'h3fd994f8,32'h4004f77b,// invsqrt(0.2803) = 1.8887 +32'h3eb9c36d,32'h3fd040bb,32'h3fd8c0c3, 32'h3fc9e0b5,32'h3fdf20c9, 32'h3fbf40ac,32'h3fe9c0d2,// invsqrt(0.3628) = 1.6602 +32'h40455faa,32'h3f0edc39,32'h3f14b0f7, 32'h3f0a7caa,32'h3f191086, 32'h3f0332bc,32'h3f205a74,// invsqrt(3.0840) = 0.5694 +32'h3fa6e1ee,32'h3f5bb7cd,32'h3f64afa1, 32'h3f54fdee,32'h3f6b6980, 32'h3f49c825,32'h3f769f49,// invsqrt(1.3038) = 0.8758 +32'h3f786496,32'h3f7eb152,32'h3f848b4d, 32'h3f76e55d,32'h3f887148, 32'h3f69e6c4,32'h3f8ef094,// invsqrt(0.9703) = 1.0152 +32'h3fb21256,32'h3f54b3f9,32'h3f5d6281, 32'h3f4e3114,32'h3f63e566, 32'h3f4356ea,32'h3f6ebf90,// invsqrt(1.3912) = 0.8478 +32'h3e150d93,32'h402464d5,32'h402b1a95, 32'h401f5c86,32'h403022e4, 32'h4016f956,32'h40388614,// invsqrt(0.1456) = 2.6211 +32'h3dbf9dd1,32'h404d0c2d,32'h40556ab7, 32'h4046c546,32'h405bb19e, 32'h403c4f1a,32'h406627ca,// invsqrt(0.0936) = 3.2693 +32'h3e481363,32'h400de46e,32'h4013af0f, 32'h40098c74,32'h40180708, 32'h40024f2b,32'h401f4451,// invsqrt(0.1954) = 2.2623 +32'h3fba5963,32'h3f4fecdf,32'h3f58697b, 32'h3f498f6b,32'h3f5ec6ef, 32'h3f3ef3a8,32'h3f6962b2,// invsqrt(1.4559) = 0.8288 +32'h3f863eb9,32'h3f74f9a0,32'h3f7ef95e, 32'h3f6d79d2,32'h3f833c96, 32'h3f60fa26,32'h3f897c6c,// invsqrt(1.0488) = 0.9765 +32'h3e3efcc3,32'h40113aa8,32'h40172828, 32'h400cc889,32'h401b9a47, 32'h40055faa,32'h40230326,// invsqrt(0.1865) = 2.3155 +32'h40280317,32'h3f1ad748,32'h3f212936, 32'h3f1619d5,32'h3f25e6a9, 32'h3f0e336c,32'h3f2dcd12,// invsqrt(2.6252) = 0.6172 +32'h3f09a55e,32'h3fab11f7,32'h3fb20d79, 32'h3fa5d555,32'h3fb74a1b, 32'h3f9d1af2,32'h3fc0047e,// invsqrt(0.5377) = 1.3638 +32'h4019c207,32'h3f21dbf2,32'h3f287736, 32'h3f1ce780,32'h3f2d6ba8, 32'h3f14a56c,32'h3f35adbc,// invsqrt(2.4025) = 0.6452 +32'h3f5ee6c1,32'h3f866e5a,32'h3f8beb05, 32'h3f8250da,32'h3f900886, 32'h3f76ea0a,32'h3f96e45b,// invsqrt(0.8707) = 1.0717 +32'h3f1d430e,32'h3fa00bb8,32'h3fa69408, 32'h3f9b257b,32'h3fab7a45, 32'h3f92fb16,32'h3fb3a4aa,// invsqrt(0.6143) = 1.2759 +32'h3f5dd35f,32'h3f86c1b2,32'h3f8c41c4, 32'h3f82a1a5,32'h3f9061d1, 32'h3f77831d,32'h3f9741e7,// invsqrt(0.8665) = 1.0743 +32'h4040f1ec,32'h3f107d91,32'h3f166358, 32'h3f0c113b,32'h3f1acfad, 32'h3f04b202,32'h3f222ee6,// invsqrt(3.0148) = 0.5759 +32'h3f1bca06,32'h3fa0ccee,32'h3fa75d22, 32'h3f9be0c8,32'h3fac4948, 32'h3f93ac87,32'h3fb47d89,// invsqrt(0.6086) = 1.2819 +32'h3e1ec04f,32'h401f4b16,32'h4025cb8a, 32'h401a6abf,32'h402aabe1, 32'h40124a2e,32'h4032cc72,// invsqrt(0.1550) = 2.5398 +32'h3f90030f,32'h3f6c85aa,32'h3f762d14, 32'h3f65481a,32'h3f7d6aa4, 32'h3f5936d6,32'h3f84bdf4,// invsqrt(1.1251) = 0.9428 +32'h3f7d2f68,32'h3f7c454f,32'h3f8348a4, 32'h3f748c55,32'h3f872522, 32'h3f67ad5e,32'h3f8d949d,// invsqrt(0.9890) = 1.0055 +32'h40561b0c,32'h3f092a22,32'h3f0ec35c, 32'h3f04f735,32'h3f12f649, 32'h3efbef58,32'h3f19f5d2,// invsqrt(3.3454) = 0.5467 +32'h4082fece,32'h3ef7fec0,32'h3f010f05, 32'h3ef06746,32'h3f04dac2, 32'h3ee3c028,32'h3f0b2e51,// invsqrt(4.0936) = 0.4943 +32'h3e9a2a4e,32'h3fe499cf,32'h3fedee75, 32'h3fdd9a54,32'h3ff4edf0, 32'h3fd1f085,32'h40004be0,// invsqrt(0.3011) = 1.8224 +32'h3f6e3b01,32'h3f8208bd,32'h3f875777, 32'h3f7c1b65,32'h3f8b5282, 32'h3f6ed695,32'h3f91f4e9,// invsqrt(0.9306) = 1.0366 +32'h3f7a0be8,32'h3f7dd95f,32'h3f841aeb, 32'h3f761406,32'h3f87fd97, 32'h3f692071,32'h3f8e7762,// invsqrt(0.9767) = 1.0118 +32'h3e82d4b8,32'h3ff826a0,32'h400123c6, 32'h3ff08dee,32'h4004f01f, 32'h3fe3e4c7,32'h400b44b2,// invsqrt(0.2555) = 1.9782 +32'h3e177426,32'h402315f5,32'h4029be09, 32'h401e17e5,32'h402ebc19, 32'h4015c5cc,32'h40370e32,// invsqrt(0.1479) = 2.6002 +32'h3f00a4ba,32'h3fb0f469,32'h3fb82d68, 32'h3fab89a9,32'h3fbd9827, 32'h3fa2826a,32'h3fc69f66,// invsqrt(0.5025) = 1.4107 +32'h3f752bad,32'h3f802e33,32'h3f85698d, 32'h3f78835d,32'h3f895612, 32'h3f6b6efa,32'h3f8fe043,// invsqrt(0.9577) = 1.0218 +32'h401bfe4a,32'h3f20b1fc,32'h3f274116, 32'h3f1bc6a9,32'h3f2c2c69, 32'h3f1393c8,32'h3f345f4a,// invsqrt(2.4374) = 0.6405 +32'h3e48a97c,32'h400daf52,32'h401377c8, 32'h400958f9,32'h4017ce21, 32'h40021e65,32'h401f08b5,// invsqrt(0.1960) = 2.2590 +32'h3f9f9e80,32'h3f60a954,32'h3f69d4d0, 32'h3f59c8b8,32'h3f70b56c, 32'h3f4e525d,32'h3f7c2bc7,// invsqrt(1.2470) = 0.8955 +32'h3f26e07f,32'h3f9b5dde,32'h3fa1b54a, 32'h3f969c4d,32'h3fa676db, 32'h3f8eaf05,32'h3fae6423,// invsqrt(0.6519) = 1.2386 +32'h40a23cf4,32'h3eded73b,32'h3ee7efb1, 32'h3ed804e3,32'h3eeec209, 32'h3ecca651,32'h3efa209b,// invsqrt(5.0699) = 0.4441 +32'h42445a7e,32'h3e0f3b1c,32'h3e1513ba, 32'h3e0ad8a6,32'h3e197630, 32'h3e0389e0,32'h3e20c4f6,// invsqrt(49.0884) = 0.1427 +32'h3ee6dc45,32'h3fbacef0,32'h3fc26ee4, 32'h3fb516f8,32'h3fc826dc, 32'h3fab8f06,32'h3fd1aece,// invsqrt(0.4509) = 1.4892 +32'h3e8c0379,32'h3fefe024,32'h3ff9aa98, 32'h3fe8884c,32'h40008138, 32'h3fdc4b3b,32'h40069fc0,// invsqrt(0.2735) = 1.9123 +32'h3f5b33e7,32'h3f878f7a,32'h3f8d17f2, 32'h3f836920,32'h3f913e4c, 32'h3f78fd14,32'h3f9828e2,// invsqrt(0.8563) = 1.0807 +32'h4033f714,32'h3f159c44,32'h3f1bb78a, 32'h3f1107cf,32'h3f204bff, 32'h3f0965b6,32'h3f27ee18,// invsqrt(2.8120) = 0.5963 +32'h3f184274,32'h3fa2a753,32'h3fa94ae3, 32'h3f9daca6,32'h3fae4590, 32'h3f956032,32'h3fb69204,// invsqrt(0.5948) = 1.2967 +32'h3e9f13c6,32'h3fe10b35,32'h3fea3aaf, 32'h3fda2799,32'h3ff11e4b, 32'h3fceac40,32'h3ffc99a4,// invsqrt(0.3107) = 1.7940 +32'h3f786c03,32'h3f7ead83,32'h3f848952, 32'h3f76e1ad,32'h3f886f3e, 32'h3f69e345,32'h3f8eee71,// invsqrt(0.9704) = 1.0151 +32'h3ffa935d,32'h3f334f0e,32'h3f3aa0a6, 32'h3f2dd1dc,32'h3f401dd8, 32'h3f24abde,32'h3f4943d6,// invsqrt(1.9576) = 0.7147 +32'h3f430981,32'h3f8fb6a4,32'h3f95944c, 32'h3f8b5065,32'h3f99fa8b, 32'h3f83fb52,32'h3fa14f9e,// invsqrt(0.7619) = 1.1457 +32'h3decc5b0,32'h40387623,32'h403ffd92, 32'h4032d08f,32'h4045a325, 32'h40296744,32'h404f0c70,// invsqrt(0.1156) = 2.9410 +32'h40434fd2,32'h3f0f9cc3,32'h3f15795d, 32'h3f0b374f,32'h3f19ded1, 32'h3f03e38e,32'h3f213292,// invsqrt(3.0517) = 0.5724 +32'h3fae0eff,32'h3f57241d,32'h3f5fec1e, 32'h3f508e1c,32'h3f66821e, 32'h3f45941a,32'h3f717c20,// invsqrt(1.3598) = 0.8575 +32'h3f865ccb,32'h3f74de35,32'h3f7edcd4, 32'h3f6d5f3e,32'h3f832de6, 32'h3f60e0f8,32'h3f896d09,// invsqrt(1.0497) = 0.9760 +32'h40e91270,32'h3eb9eb81,32'h3ec1822d, 32'h3eb43a7f,32'h3ec7332f, 32'h3eaabe28,32'h3ed0af86,// invsqrt(7.2835) = 0.3705 +32'h3f4c2c1c,32'h3f8c762b,32'h3f9231da, 32'h3f882969,32'h3f967e9d, 32'h3f80fed0,32'h3f9da936,// invsqrt(0.7975) = 1.1198 +32'h3f125d46,32'h3fa5e59e,32'h3facab12, 32'h3fa0d187,32'h3fb1bf29, 32'h3f985ab6,32'h3fba35fa,// invsqrt(0.5717) = 1.3225 +32'h416adbcb,32'h3e82f6da,32'h3e884f4c, 32'h3e7de90a,32'h3e8c51a1, 32'h3e708bef,32'h3e93002e,// invsqrt(14.6787) = 0.2610 +32'h3fc12afc,32'h3f4c38f4,32'h3f548edf, 32'h3f45f885,32'h3f5acf4f, 32'h3f3b8d1f,32'h3f653ab5,// invsqrt(1.5091) = 0.8140 +32'h3ecae9da,32'h3fc74206,32'h3fcf6411, 32'h3fc1287f,32'h3fd57d99, 32'h3fb6fdf1,32'h3fdfa827,// invsqrt(0.3963) = 1.5885 +32'h408e042d,32'h3eee2d9b,32'h3ef7e653, 32'h3ee6e311,32'h3eff30dd, 32'h3edabc2b,32'h3f05abe1,// invsqrt(4.4380) = 0.4747 +32'h3dafa008,32'h40562ded,32'h405eebe2, 32'h404f9f76,32'h40657a5a, 32'h4044b204,32'h407067cc,// invsqrt(0.0858) = 3.4149 +32'h3f57e6d7,32'h3f8897c5,32'h3f8e2b07, 32'h3f846954,32'h3f925978, 32'h3f7ae284,32'h3f99518a,// invsqrt(0.8434) = 1.0889 +32'h3f9e6294,32'h3f6188f4,32'h3f6abd90, 32'h3f5aa17f,32'h3f71a505, 32'h3f4f1fbb,32'h3f7d26c9,// invsqrt(1.2374) = 0.8990 +32'h3ffbceda,32'h3f32de96,32'h3f3a2b97, 32'h3f2d64d6,32'h3f3fa558, 32'h3f244495,32'h3f48c599,// invsqrt(1.9673) = 0.7130 +32'h40f8fc2b,32'h3eb3e171,32'h3ebb3903, 32'h3eae5fc4,32'h3ec0bab0, 32'h3ea5324e,32'h3ec9e826,// invsqrt(7.7808) = 0.3585 +32'h3eb59e0c,32'h3fd29dd1,32'h3fdb368b, 32'h3fcc2b45,32'h3fe1a917, 32'h3fc16c5d,32'h3fec67ff,// invsqrt(0.3547) = 1.6790 +32'h3f23be89,32'h3f9cd888,32'h3fa33f68, 32'h3f980b5f,32'h3fa80c91, 32'h3f900ac6,32'h3fb00d2a,// invsqrt(0.6396) = 1.2504 +32'h3f5b5eef,32'h3f87822e,32'h3f8d0a1a, 32'h3f835c3c,32'h3f91300c, 32'h3f78e4a7,32'h3f9819f4,// invsqrt(0.8569) = 1.0803 +32'h40479520,32'h3f0e1149,32'h3f13ddbe, 32'h3f09b7f0,32'h3f183716, 32'h3f02785c,32'h3f1f76aa,// invsqrt(3.1185) = 0.5663 +32'h3db6296a,32'h40524d30,32'h405ae2a0, 32'h404bdd1c,32'h406152b4, 32'h40412251,32'h406c0d7f,// invsqrt(0.0889) = 3.3530 +32'h3f738a45,32'h3f809bdc,32'h3f85dbb0, 32'h3f7957f8,32'h3f89cb90, 32'h3f6c3864,32'h3f905b5a,// invsqrt(0.9513) = 1.0253 +32'h3f3a53bb,32'h3f9308ba,32'h3f990916, 32'h3f8e8876,32'h3f9d895a, 32'h3f870804,32'h3fa509cc,// invsqrt(0.7278) = 1.1721 +32'h403f4fe2,32'h3f111b18,32'h3f17074e, 32'h3f0ca9f0,32'h3f1b7876, 32'h3f0542ae,32'h3f22dfb8,// invsqrt(2.9893) = 0.5784 +32'h405279d5,32'h3f0a579e,32'h3f0ffd27, 32'h3f061b77,32'h3f14394d, 32'h3efe1917,32'h3f1b4839,// invsqrt(3.2887) = 0.5514 +32'h3eb61897,32'h3fd256e7,32'h3fdaecbd, 32'h3fcbe688,32'h3fe15d1c, 32'h3fc12b3d,32'h3fec1867,// invsqrt(0.3557) = 1.6768 +32'h3fbfbb24,32'h3f4cfc7e,32'h3f555a64, 32'h3f46b612,32'h3f5ba0d0, 32'h3f3c40b2,32'h3f661630,// invsqrt(1.4979) = 0.8171 +32'h42898e39,32'h3df2025e,32'h3dfbe31e, 32'h3dea99ce,32'h3e01a5d7, 32'h3dde40de,32'h3e07d24f,// invsqrt(68.7778) = 0.1206 +32'h3f67f8a9,32'h3f83c6db,32'h3f8927c9, 32'h3f7f7c4f,32'h3f8d307c, 32'h3f7209fa,32'h3f93e9a7,// invsqrt(0.9061) = 1.0505 +32'h40962859,32'h3ee7a1a1,32'h3ef115f1, 32'h3ee08a65,32'h3ef82d2d, 32'h3ed4b902,32'h3f01ff48,// invsqrt(4.6924) = 0.4616 +32'h4054ff62,32'h3f098559,32'h3f0f224d, 32'h3f054fa2,32'h3f135804, 32'h3efc96e2,32'h3f1a5c35,// invsqrt(3.3281) = 0.5482 +32'h406efd04,32'h3f01d3ea,32'h3f07207c, 32'h3efbb4fa,32'h3f0b19e9, 32'h3eee758f,32'h3f11b99e,// invsqrt(3.7342) = 0.5175 +32'h41982cdf,32'h3e661735,32'h3e6f7b6b, 32'h3e5f0c0c,32'h3e768694, 32'h3e534ec8,32'h3e8121ec,// invsqrt(19.0219) = 0.2293 +32'h3e9141df,32'h3feb818b,32'h3ff51e57, 32'h3fe44bf2,32'h3ffc53f0, 32'h3fd847f2,32'h40042bf8,// invsqrt(0.2837) = 1.8774 +32'h3fb26ec5,32'h3f547cda,32'h3f5d2922, 32'h3f4dfba4,32'h3f63aa58, 32'h3f43244b,32'h3f6e81b1,// invsqrt(1.3940) = 0.8470 +32'h3ece0254,32'h3fc5c152,32'h3fcdd3a9, 32'h3fbfb391,32'h3fd3e16b, 32'h3fb59ca5,32'h3fddf857,// invsqrt(0.4024) = 1.5765 +32'h411a15a9,32'h3ea1afff,32'h3ea84977, 32'h3e9cbce5,32'h3ead3c91, 32'h3e947d0f,32'h3eb57c67,// invsqrt(9.6303) = 0.3222 +32'h3f10ef34,32'h3fa6b69c,32'h3fad8498, 32'h3fa19c1f,32'h3fb29f15, 32'h3f991aa4,32'h3fbb2090,// invsqrt(0.5661) = 1.3290 +32'h4003da75,32'h3f2ec99c,32'h3f35ebf6, 32'h3f296fd9,32'h3f3b45b9, 32'h3f2084e8,32'h3f4430aa,// invsqrt(2.0602) = 0.6967 +32'h41e42690,32'h3e3bea1a,32'h3e43959d, 32'h3e362976,32'h3e495640, 32'h3e2c9312,32'h3e52eca4,// invsqrt(28.5188) = 0.1873 +32'h3eebf801,32'h3fb8c676,32'h3fc0512c, 32'h3fb31e6d,32'h3fc5f935, 32'h3fa9b109,32'h3fcf6699,// invsqrt(0.4609) = 1.4730 +32'h405d20e6,32'h3f06f809,32'h3f0c7a53, 32'h3f02d652,32'h3f109c0a, 32'h3ef7e6ec,32'h3f177ee6,// invsqrt(3.4551) = 0.5380 +32'h4076cad7,32'h3eff8469,32'h3f04f927, 32'h3ef7b1fe,32'h3f08e25d, 32'h3eeaa8a0,32'h3f0f670c,// invsqrt(3.8561) = 0.5092 +32'h3d38b427,32'h4093adc7,32'h4099b4df, 32'h408f2875,32'h409e3a31, 32'h40879f98,32'h40a5c30e,// invsqrt(0.0451) = 4.7091 +32'h410cfb81,32'h3ea908a6,32'h3eafeee2, 32'h3ea3dbfa,32'h3eb51b8e, 32'h3e9b3c30,32'h3ebdbb58,// invsqrt(8.8114) = 0.3369 +32'h3ee0967f,32'h3fbd662a,32'h3fc52131, 32'h3fb799e5,32'h3fcaed77, 32'h3fadf01d,32'h3fd4973f,// invsqrt(0.4386) = 1.5099 +32'h3f427388,32'h3f8fee05,32'h3f95cdf1, 32'h3f8b8615,32'h3f9a35e1, 32'h3f842e2f,32'h3fa18dc7,// invsqrt(0.7596) = 1.1474 +32'h3f771232,32'h3f7f5f80,32'h3f84e5f2, 32'h3f778e36,32'h3f88ce97, 32'h3f6a86ba,32'h3f8f5255,// invsqrt(0.9651) = 1.0179 +32'h3f7a2527,32'h3f7dcc8f,32'h3f841440, 32'h3f76079b,32'h3f87f6bb, 32'h3f6914ae,32'h3f8e7031,// invsqrt(0.9771) = 1.0116 +32'h40520b82,32'h3f0a7bee,32'h3f1022f2, 32'h3f063eaa,32'h3f146036, 32'h3efe5bca,32'h3f1b70fb,// invsqrt(3.2820) = 0.5520 +32'h4030462b,32'h3f172b2e,32'h3f1d56bc, 32'h3f128a82,32'h3f21f768, 32'h3f0ad410,32'h3f29adda,// invsqrt(2.7543) = 0.6026 +32'h3ed800d2,32'h3fc12047,32'h3fc9023f, 32'h3fbb36cc,32'h3fceebba, 32'h3fb15c56,32'h3fd8c630,// invsqrt(0.4219) = 1.5396 +32'h3ec6d956,32'h3fc948b7,32'h3fd17fee, 32'h3fc31f4f,32'h3fd7a957, 32'h3fb8da4b,32'h3fe1ee5b,// invsqrt(0.3884) = 1.6046 +32'h4486b762,32'h3cf48bd3,32'h3cfe8715, 32'h3ced0f61,32'h3d0301c3, 32'h3ce0954f,32'h3d093ecd,// invsqrt(1077.7307) = 0.0305 +32'h3bd402d5,32'h4142ef95,32'h414ae477, 32'h413cf7ec,32'h4150dc20, 32'h413305d2,32'h415ace3a,// invsqrt(0.0065) = 12.4321 +32'h3f5a88d2,32'h3f87c480,32'h3f8d4f22, 32'h3f839c87,32'h3f91771b, 32'h3f795e78,32'h3f986466,// invsqrt(0.8537) = 1.0823 +32'h3eb5b0d0,32'h3fd292f0,32'h3fdb2b39, 32'h3fcc20ba,32'h3fe19d70, 32'h3fc16260,32'h3fec5bca,// invsqrt(0.3549) = 1.6787 +32'h3fd8bf00,32'h3f40cb7a,32'h3f48a9fc, 32'h3f3ae498,32'h3f4e90de, 32'h3f310e75,32'h3f586701,// invsqrt(1.6933) = 0.7685 +32'h400af029,32'h3f2a45d7,32'h3f313905, 32'h3f250f75,32'h3f366f67, 32'h3f1c5f7d,32'h3f3f1f5f,// invsqrt(2.1709) = 0.6787 +32'h3fa1ac00,32'h3f5f3b0b,32'h3f685793, 32'h3f5865a5,32'h3f6f2cf9, 32'h3f4d01fa,32'h3f7a90a4,// invsqrt(1.2631) = 0.8898 +32'h40a3f79b,32'h3edda9a4,32'h3ee6b5ca, 32'h3ed6e087,32'h3eed7ee7, 32'h3ecb9158,32'h3ef8ce16,// invsqrt(5.1240) = 0.4418 +32'h3f679e25,32'h3f83e098,32'h3f894294, 32'h3f7fae37,32'h3f8d4c11, 32'h3f723941,32'h3f94068b,// invsqrt(0.9048) = 1.0513 +32'h3ebf0767,32'h3fcd5cd7,32'h3fd5beab, 32'h3fc71377,32'h3fdc080b, 32'h3fbc992e,32'h3fe68254,// invsqrt(0.3731) = 1.6371 +32'h3f4d3d82,32'h3f8c187f,32'h3f91d05a, 32'h3f87ce9a,32'h3f961a3e, 32'h3f80a8c8,32'h3f9d4010,// invsqrt(0.8017) = 1.1168 +32'h3fd1b6f5,32'h3f440010,32'h3f4c0010, 32'h3f3e000f,32'h3f520011, 32'h3f34000e,32'h3f5c0012,// invsqrt(1.6384) = 0.7813 +32'h3f95e55c,32'h3f67d55d,32'h3f714bc9, 32'h3f60bc8c,32'h3f78649a, 32'h3f54e884,32'h3f821c51,// invsqrt(1.1711) = 0.9241 +32'h3f47f64f,32'h3f8deebe,32'h3f93b9cc, 32'h3f899675,32'h3f981215, 32'h3f8258a4,32'h3f9f4fe6,// invsqrt(0.7811) = 1.1315 +32'h3f8a6474,32'h3f7146c5,32'h3f7b1fdd, 32'h3f69e3f3,32'h3f814157, 32'h3f5d9496,32'h3f876906,// invsqrt(1.0812) = 0.9617 +32'h3f88bf57,32'h3f72b92a,32'h3f7ca160, 32'h3f6b4b02,32'h3f8207c4, 32'h3f5ee8be,32'h3f8838e6,// invsqrt(1.0683) = 0.9675 +32'h3fd9c20e,32'h3f4058aa,32'h3f48327c, 32'h3f3a754c,32'h3f4e15da, 32'h3f30a504,32'h3f57e622,// invsqrt(1.7012) = 0.7667 +32'h3f78bedf,32'h3f7e8315,32'h3f84733c, 32'h3f76b889,32'h3f885882, 32'h3f69bc4c,32'h3f8ed6a0,// invsqrt(0.9717) = 1.0145 +32'h3f5de82c,32'h3f86bb61,32'h3f8c3b31, 32'h3f829b85,32'h3f905b0d, 32'h3f777783,32'h3f973ad0,// invsqrt(0.8668) = 1.0741 +32'h412cb407,32'h3e98b936,32'h3e9ef504, 32'h3e940c5c,32'h3ea3a1de, 32'h3e8c419a,32'h3eab6ca0,// invsqrt(10.7940) = 0.3044 +32'h3ebeae35,32'h3fcd8cd9,32'h3fd5f0a4, 32'h3fc74202,32'h3fdc3b7c, 32'h3fbcc546,32'h3fe6b839,// invsqrt(0.3724) = 1.6386 +32'h409c09b0,32'h3ee33998,32'h3eec7fdc, 32'h3edc44e4,32'h3ef37490, 32'h3ed0ad0e,32'h3eff0c66,// invsqrt(4.8762) = 0.4529 +32'h3e085d2b,32'h402bdf59,32'h4032e33d, 32'h40269c6e,32'h40382628, 32'h401dd790,32'h4040eb06,// invsqrt(0.1332) = 2.7403 +32'h3f994b4b,32'h3f653fdb,32'h3f6e9b48, 32'h3f5e3b4b,32'h3f759fd9, 32'h3f528903,32'h3f80a910,// invsqrt(1.1976) = 0.9138 +32'h3ff195ba,32'h3f369d69,32'h3f3e118d, 32'h3f31064e,32'h3f43a8a8, 32'h3f27b522,32'h3f4cf9d4,// invsqrt(1.8874) = 0.7279 +32'h3f5d1d75,32'h3f86f916,32'h3f8c7b6a, 32'h3f82d756,32'h3f909d2a, 32'h3f77e8da,32'h3f978013,// invsqrt(0.8637) = 1.0760 +32'h3f9f0b2a,32'h3f61114c,32'h3f6a4106, 32'h3f5a2d81,32'h3f7124d1, 32'h3f4eb1d8,32'h3f7ca07a,// invsqrt(1.2425) = 0.8971 +32'h3c23f9c2,32'h411cbc33,32'h412321eb, 32'h4117efe8,32'h4127ee36, 32'h410ff0c1,32'h412fed5d,// invsqrt(0.0100) = 9.9959 +32'h3edb7eeb,32'h3fbf955c,32'h3fc76736, 32'h3fb9b7f9,32'h3fcd4499, 32'h3faff1a8,32'h3fd70aea,// invsqrt(0.4287) = 1.5273 +32'h3fb0c468,32'h3f557c83,32'h3f5e333a, 32'h3f4ef37a,32'h3f64bc44, 32'h3f440f16,32'h3f6fa0a9,// invsqrt(1.3810) = 0.8510 +32'h40a699a8,32'h3edbe770,32'h3ee4e136, 32'h3ed52c1c,32'h3eeb9c8a, 32'h3ec9f3e4,32'h3ef6d4c2,// invsqrt(5.2063) = 0.4383 +32'h4064e6bd,32'h3f04a853,32'h3f0a1276, 32'h3f0098ba,32'h3f0e2210, 32'h3ef3a81c,32'h3f14e6bc,// invsqrt(3.5766) = 0.5288 +32'h3f1162eb,32'h3fa67437,32'h3fad3f7d, 32'h3fa15bc2,32'h3fb257f2, 32'h3f98ddab,32'h3fbad609,// invsqrt(0.5679) = 1.3270 +32'h408f7a10,32'h3eecf67a,32'h3ef6a280, 32'h3ee5b577,32'h3efde383, 32'h3ed99e70,32'h3f04fd45,// invsqrt(4.4837) = 0.4723 +32'h3f710e93,32'h3f814501,32'h3f868bbd, 32'h3f7a9fe8,32'h3f8a80ca, 32'h3f6d6f11,32'h3f911935,// invsqrt(0.9416) = 1.0305 +32'h3ec3ed10,32'h3fcac7a9,32'h3fd30e81, 32'h3fc49287,32'h3fd943a3, 32'h3fba39f9,32'h3fe39c31,// invsqrt(0.3827) = 1.6165 +32'h3eec20a3,32'h3fb8b68f,32'h3fc0409f, 32'h3fb30f03,32'h3fc5e82b, 32'h3fa9a26e,32'h3fcf54c0,// invsqrt(0.4612) = 1.4725 +32'h3fb17839,32'h3f551040,32'h3f5dc28c, 32'h3f4e8a87,32'h3f644845, 32'h3f43aba9,32'h3f6f2723,// invsqrt(1.3865) = 0.8493 +32'h40000f4b,32'h3f315b8a,32'h3f3898be, 32'h3f2beda2,32'h3f3e06a6, 32'h3f22e120,32'h3f471328,// invsqrt(2.0009) = 0.7069 +32'h3f2e3d6a,32'h3f980c6c,32'h3f9e412d, 32'h3f9364dc,32'h3fa2e8be, 32'h3f8ba2ec,32'h3faaaaae,// invsqrt(0.6806) = 1.2121 +32'h3f68ec56,32'h3f8381db,32'h3f88dff8, 32'h3f7ef688,32'h3f8ce68e, 32'h3f718b3d,32'h3f939c34,// invsqrt(0.9099) = 1.0484 +32'h3ebd41ad,32'h3fce5270,32'h3fd6be4a, 32'h3fc8018c,32'h3fdd0f2e, 32'h3fbd7aba,32'h3fe79600,// invsqrt(0.3696) = 1.6448 +32'h3edab8bb,32'h3fbfec14,32'h3fc7c179, 32'h3fba0c0a,32'h3fcda184, 32'h3fb0414d,32'h3fd76c41,// invsqrt(0.4272) = 1.5300 +32'h3f7e4d51,32'h3f7bb757,32'h3f82fec2, 32'h3f7402b5,32'h3f86d913, 32'h3f672afd,32'h3f8d44f0,// invsqrt(0.9934) = 1.0033 +32'h3f34fdda,32'h3f952f81,32'h3f9b4657, 32'h3f909e60,32'h3f9fd778, 32'h3f8901d4,32'h3fa77404,// invsqrt(0.7070) = 1.1893 +32'h3dd920f7,32'h40409ff7,32'h40487cb3, 32'h403aba6a,32'h404e6240, 32'h4030e680,32'h4058362a,// invsqrt(0.1060) = 3.0712 +32'h3f56c301,32'h3f88f474,32'h3f8e8b7e, 32'h3f84c32d,32'h3f92bcc5, 32'h3f7b8cc0,32'h3f99b992,// invsqrt(0.8389) = 1.0918 +32'h3eaf9c75,32'h3fd6301b,32'h3fdeee27, 32'h3fcfa193,32'h3fe57caf, 32'h3fc4b404,32'h3ff06a3e,// invsqrt(0.3430) = 1.7075 +32'h3e47afc3,32'h400e07cf,32'h4013d3e1, 32'h4009aec0,32'h40182cf0, 32'h40026fa9,32'h401f6c07,// invsqrt(0.1950) = 2.2645 +32'h3f52705b,32'h3f8a5abb,32'h3f900065, 32'h3f861e7c,32'h3f943ca4, 32'h3f7e1ed0,32'h3f9b4bb8,// invsqrt(0.8220) = 1.1030 +32'h3ea33c0e,32'h3fde28d6,32'h3fe73a2e, 32'h3fd75bd5,32'h3fee072f, 32'h3fcc0628,32'h3ff95cdc,// invsqrt(0.3188) = 1.7710 +32'h3f4b5245,32'h3f8cc156,32'h3f928016, 32'h3f887246,32'h3f96cf26, 32'h3f8143d7,32'h3f9dfd95,// invsqrt(0.7942) = 1.1221 +32'h3f07af62,32'h3fac4d47,32'h3fb355a7, 32'h3fa706fe,32'h3fb89bf0, 32'h3f9e3c85,32'h3fc16669,// invsqrt(0.5300) = 1.3736 +32'h40550c66,32'h3f098126,32'h3f0f1dee, 32'h3f054b90,32'h3f135384, 32'h3efc8f2c,32'h3f1a577e,// invsqrt(3.3289) = 0.5481 +32'h43599d17,32'h3d880df5,32'h3d8d9b97, 32'h3d83e3bc,32'h3d91c5d0, 32'h3d79e564,32'h3d98b6da,// invsqrt(217.6136) = 0.0678 +32'h3f36f783,32'h3f9460cc,32'h3f9a6f32, 32'h3f8fd5ff,32'h3f9ef9ff, 32'h3f8843ff,32'h3fa68bff,// invsqrt(0.7147) = 1.1829 +32'h3ea82f28,32'h3fdaddb6,32'h3fe3cca4, 32'h3fd42a84,32'h3fea7fd6, 32'h3fc8ffdc,32'h3ff5aa7e,// invsqrt(0.3285) = 1.7448 +32'h3f6aab4d,32'h3f830462,32'h3f885d60, 32'h3f7e0345,32'h3f8c601f, 32'h3f70a4c8,32'h3f930f5e,// invsqrt(0.9167) = 1.0445 +32'h3f662230,32'h3f844d49,32'h3f89b3b5, 32'h3f804079,32'h3f8dc085, 32'h3f7300e5,32'h3f94808c,// invsqrt(0.8990) = 1.0547 +32'h408407f6,32'h3ef7053c,32'h3f008d2d, 32'h3eef7567,32'h3f045517, 32'h3ee2db04,32'h3f0aa249,// invsqrt(4.1260) = 0.4923 +32'h3e97a7c4,32'h3fe67c18,32'h3fefe46c, 32'h3fdf6dd8,32'h3ff6f2ac, 32'h3fd3ab6f,32'h40015a8b,// invsqrt(0.2962) = 1.8374 +32'h3e9b91be,32'h3fe39120,32'h3fecdaf7, 32'h3fdc99be,32'h3ff3d258, 32'h3fd0fd70,32'h3fff6ea6,// invsqrt(0.3038) = 1.8141 +32'h3f01842b,32'h3fb05b83,32'h3fb78e44, 32'h3faaf571,32'h3fbcf455, 32'h3fa1f600,32'h3fc5f3c7,// invsqrt(0.5059) = 1.4059 +32'h3f770a49,32'h3f7f6397,32'h3f84e812, 32'h3f77922c,32'h3f88d0c8, 32'h3f6a8a7b,32'h3f8f54a0,// invsqrt(0.9650) = 1.0180 +32'h3faf5570,32'h3f565b78,32'h3f5f1b48, 32'h3f4fcb9b,32'h3f65ab25, 32'h3f44dbd6,32'h3f709aea,// invsqrt(1.3698) = 0.8544 +32'h3f88a5b6,32'h3f72cfec,32'h3f7cb910, 32'h3f6b6111,32'h3f8213f5, 32'h3f5efda5,32'h3f8845ac,// invsqrt(1.0676) = 0.9678 +32'h3f84f726,32'h3f7626aa,32'h3f801959, 32'h3f6e9da5,32'h3f83dddb, 32'h3f620e9d,32'h3f8a2560,// invsqrt(1.0388) = 0.9812 +32'h4005d504,32'h3f2d7d97,32'h3f349263, 32'h3f282dfd,32'h3f39e1fd, 32'h3f1f53fd,32'h3f42bbfd,// invsqrt(2.0911) = 0.6915 +32'h3ecb28e9,32'h3fc72318,32'h3fcf43df, 32'h3fc10a82,32'h3fd55c74, 32'h3fb6e188,32'h3fdf856e,// invsqrt(0.3968) = 1.5875 +32'h406367a8,32'h3f0517e1,32'h3f0a8691, 32'h3f0104dd,32'h3f0e9995, 32'h3ef47500,32'h3f1563f2,// invsqrt(3.5532) = 0.5305 +32'h3e2790bd,32'h401b0c14,32'h4021602a, 32'h40164d04,32'h40261f3a, 32'h400e63e9,32'h402e0855,// invsqrt(0.1636) = 2.4721 +32'h3e7f494f,32'h3ffb3afd,32'h4002be0c, 32'h3ff38a2a,32'h40069675, 32'h3fe6b8c9,32'h400cff26,// invsqrt(0.2493) = 2.0028 +32'h4081be19,32'h3ef93085,32'h3f01ae26, 32'h3ef18fb0,32'h3f057e90, 32'h3ee4d8f7,32'h3f0bd9ec,// invsqrt(4.0545) = 0.4966 +32'h3f990318,32'h3f6575eb,32'h3f6ed38d, 32'h3f5e6fb3,32'h3f75d9c5, 32'h3f52baa9,32'h3f80c767,// invsqrt(1.1954) = 0.9146 +32'h3fcc30e9,32'h3f46a232,32'h3f4ebdb7, 32'h3f408d8f,32'h3f54d25b, 32'h3f366b29,32'h3f5ef4c1,// invsqrt(1.5952) = 0.7917 +32'h3f10eaef,32'h3fa6b911,32'h3fad8727, 32'h3fa19e81,32'h3fb2a1b7, 32'h3f991ce6,32'h3fbb2352,// invsqrt(0.5661) = 1.3291 +32'h3e82e352,32'h3ff818c8,32'h40011c92, 32'h3ff08083,32'h4004e8b4, 32'h3fe3d811,32'h400b3cee,// invsqrt(0.2556) = 1.9778 +32'h3f86593d,32'h3f74e172,32'h3f7ee033, 32'h3f6d6262,32'h3f832fa2, 32'h3f60e3f1,32'h3f896eda,// invsqrt(1.0496) = 0.9761 +32'h3d6d62a8,32'h408243f1,32'h40879515, 32'h407c8e2c,32'h408b91f0, 32'h406f4352,32'h4092375d,// invsqrt(0.0580) = 4.1539 +32'h402657e1,32'h3f1b9d9e,32'h3f21f7a5, 32'h3f16da1a,32'h3f26bb2a, 32'h3f0ee992,32'h3f2eabb2,// invsqrt(2.5991) = 0.6203 +32'h3f24f67c,32'h3f9c43f5,32'h3fa2a4c5, 32'h3f977b58,32'h3fa76d62, 32'h3f8f8254,32'h3faf6666,// invsqrt(0.6444) = 1.2457 +32'h3f7d5a2a,32'h3f7c3005,32'h3f833d90, 32'h3f7477b1,32'h3f8719b9, 32'h3f6799d0,32'h3f8d88aa,// invsqrt(0.9897) = 1.0052 +32'h3e9635b6,32'h3fe79753,32'h3ff10b37, 32'h3fe08068,32'h3ff82222, 32'h3fd4af8b,32'h4001f980,// invsqrt(0.2934) = 1.8462 +32'h3f870a8f,32'h3f744077,32'h3f7e38a6, 32'h3f6cc655,32'h3f82d965, 32'h3f60501a,32'h3f891482,// invsqrt(1.0550) = 0.9736 +32'h4065333d,32'h3f04922e,32'h3f09fb6a, 32'h3f008342,32'h3f0e0a56, 32'h3ef37f6f,32'h3f14cde0,// invsqrt(3.5813) = 0.5284 +32'h3f2b7af9,32'h3f99445e,32'h3f9f85da, 32'h3f949341,32'h3fa436f7, 32'h3f8cc166,32'h3fac08d2,// invsqrt(0.6698) = 1.2218 +32'h3f887a38,32'h3f72f699,32'h3f7ce151, 32'h3f6b868f,32'h3f8228ad, 32'h3f5f2129,32'h3f885b60,// invsqrt(1.0662) = 0.9684 +32'h408a9045,32'h3ef1209c,32'h3efaf824, 32'h3ee9bef5,32'h3f012ce6, 32'h3edd718a,32'h3f07539b,// invsqrt(4.3301) = 0.4806 +32'h3f109d1f,32'h3fa6e5e6,32'h3fadb5d0, 32'h3fa1c9f6,32'h3fb2d1c0, 32'h3f994612,32'h3fbb55a4,// invsqrt(0.5649) = 1.3305 +32'h3d98ead6,32'h4065881e,32'h406ee67d, 32'h405e8156,32'h4075ed44, 32'h4052cb5f,32'h4080d19e,// invsqrt(0.0747) = 3.6596 +32'h3f99ac01,32'h3f64f7ad,32'h3f6e5027, 32'h3f5df552,32'h3f755282, 32'h3f5246b9,32'h3f80808e,// invsqrt(1.2006) = 0.9127 +32'h406f818e,32'h3f01aff9,32'h3f06fb13, 32'h3efb6f4b,32'h3f0af366, 32'h3eee338b,32'h3f119147,// invsqrt(3.7423) = 0.5169 +32'h3d8eda58,32'h406d7acd,32'h40772c39, 32'h406635bc,32'h407e714a, 32'h405a17f6,32'h40854788,// invsqrt(0.0698) = 3.7863 +32'h3d729044,32'h4080de12,32'h4086209a, 32'h4079d857,32'h408a1281, 32'h406cb201,32'h4090a5ab,// invsqrt(0.0592) = 4.1093 +32'h3fe886a5,32'h3f3a235b,32'h3f41bc4f, 32'h3f3470a4,32'h3f476f06, 32'h3f2af173,32'h3f50ee37,// invsqrt(1.8166) = 0.7419 +32'h40bef10f,32'h3ecd68db,32'h3ed5cb2d, 32'h3ec71f1d,32'h3edc14eb, 32'h3ebca437,32'h3ee68fd1,// invsqrt(5.9669) = 0.4094 +32'h3e754083,32'h400028c1,32'h400563e3, 32'h3ff878cf,32'h4009503c, 32'h3feb64fa,32'h400fda27,// invsqrt(0.2395) = 2.0434 +32'h40c0f3af,32'h3ecc5636,32'h3ed4ad52, 32'h3ec614e1,32'h3edaeea7, 32'h3ebba7fd,32'h3ee55b8b,// invsqrt(6.0297) = 0.4072 +32'h3e59b3b6,32'h400806e3,32'h400d943b, 32'h4003dce1,32'h4011be3d, 32'h3ff9d868,32'h4018aeea,// invsqrt(0.2126) = 2.1688 +32'h3e938fa2,32'h3fe9a911,32'h3ff33294, 32'h3fe281ee,32'h3ffa59b6, 32'h3fd6960a,32'h400322cd,// invsqrt(0.2882) = 1.8627 +32'h4068ed18,32'h3f0381a4,32'h3f08dfc0, 32'h3efef61f,32'h3f0ce654, 32'h3ef18ada,32'h3f139bf7,// invsqrt(3.6395) = 0.5242 +32'h3e863d81,32'h3ff4fabd,32'h3ffefa87, 32'h3fed7ae7,32'h40033d2f, 32'h3fe0fb2b,32'h40097d0c,// invsqrt(0.2622) = 1.9530 +32'h41bbe584,32'h3e4f113e,32'h3e5784e2, 32'h3e48ba82,32'h3e5ddb9e, 32'h3e3e29f5,32'h3e686c2b,// invsqrt(23.4871) = 0.2063 +32'h3f9d5deb,32'h3f624370,32'h3f6b7fa9, 32'h3f5b5646,32'h3f726cd4, 32'h3f4fcaff,32'h3f7df81b,// invsqrt(1.2294) = 0.9019 +32'h3fdf271c,32'h3f3e01d3,32'h3f45c335, 32'h3f3830ca,32'h3f4b943e, 32'h3f2e7f10,32'h3f5545f8,// invsqrt(1.7434) = 0.7574 +32'h4004bc81,32'h3f2e3488,32'h3f3550cc, 32'h3f28df55,32'h3f3aa5ff, 32'h3f1ffbff,32'h3f438955,// invsqrt(2.0740) = 0.6944 +32'h40141f01,32'h3f24e904,32'h3f2ba428, 32'h3f1fdca8,32'h3f30b084, 32'h3f1772ba,32'h3f391a72,// invsqrt(2.3144) = 0.6573 +32'h4067515a,32'h3f03f67a,32'h3f09595a, 32'h3effd8a3,32'h3f0d6382, 32'h3ef26172,32'h3f141f1b,// invsqrt(3.6143) = 0.5260 +32'h408054ec,32'h3efa8e38,32'h3f026422, 32'h3ef2e2ae,32'h3f0639e7, 32'h3ee61a1e,32'h3f0c9e2f,// invsqrt(4.0104) = 0.4994 +32'h3f11418d,32'h3fa68754,32'h3fad5362, 32'h3fa16e4a,32'h3fb26c6c, 32'h3f98ef38,32'h3fbaeb7e,// invsqrt(0.5674) = 1.3276 +32'h3f5e096e,32'h3f86b14a,32'h3f8c30b0, 32'h3f8291bd,32'h3f90503d, 32'h3f7764fb,32'h3f972f7d,// invsqrt(0.8673) = 1.0738 +32'h40496726,32'h3f0d6c8c,32'h3f133248, 32'h3f09183e,32'h3f178696, 32'h3f01e113,32'h3f1ebdc1,// invsqrt(3.1469) = 0.5637 +32'h3fae6c64,32'h3f56ea7c,32'h3f5fb022, 32'h3f50563e,32'h3f664460, 32'h3f455f2e,32'h3f713b71,// invsqrt(1.3627) = 0.8566 +32'h3f639db2,32'h3f850813,32'h3f8a761f, 32'h3f80f58b,32'h3f8e88a7, 32'h3f7457fa,32'h3f955235,// invsqrt(0.8891) = 1.0605 +32'h3e0129c2,32'h40309931,32'h4037ce77, 32'h402b313d,32'h403d366b, 32'h40222ea5,32'h40463903,// invsqrt(0.1261) = 2.8157 +32'h3f00536a,32'h3fb12c70,32'h3fb867b8, 32'h3fabbff9,32'h3fbdd42f, 32'h3fa2b5df,32'h3fc6de49,// invsqrt(0.5013) = 1.4124 +32'h3d3470c6,32'h409569c8,32'h409b8300, 32'h4090d6df,32'h40a015e9, 32'h4089375a,32'h40a7b56e,// invsqrt(0.0441) = 4.7645 +32'h3f1bed20,32'h3fa0bad4,32'h3fa74a4a, 32'h3f9bcf3b,32'h3fac35e3, 32'h3f939be7,32'h3fb46937,// invsqrt(0.6091) = 1.2813 +32'h40c9856f,32'h3ec7f1ee,32'h3ed01b26, 32'h3ec1d303,32'h3ed63a11, 32'h3eb79f7c,32'h3ee06d98,// invsqrt(6.2975) = 0.3985 +32'h3f89e4be,32'h3f71b666,32'h3f7b940c, 32'h3f6a5029,32'h3f817d24, 32'h3f5dfb1a,32'h3f87a7ac,// invsqrt(1.0773) = 0.9635 +32'h3d9701a8,32'h4066faba,32'h4070683a, 32'h405fe89a,32'h40777a5a, 32'h40541fbb,32'h4081a19d,// invsqrt(0.0737) = 3.6827 +32'h411664ef,32'h3ea3a8c0,32'h3eaa56d2, 32'h3e9ea632,32'h3eaf5960, 32'h3e964c9b,32'h3eb7b2f7,// invsqrt(9.3996) = 0.3262 +32'h3fe15fea,32'h3f3d1175,32'h3f44c907, 32'h3f3747c8,32'h3f4a92b4, 32'h3f2da251,32'h3f54382b,// invsqrt(1.7607) = 0.7536 +32'h3f34c730,32'h3f95460e,32'h3f9b5dd0, 32'h3f90b43d,32'h3f9fefa1, 32'h3f89168a,32'h3fa78d54,// invsqrt(0.7062) = 1.1900 +32'h3f6e103b,32'h3f82146b,32'h3f87639f, 32'h3f7c320a,32'h3f8b5f05, 32'h3f6eec09,32'h3f920206,// invsqrt(0.9299) = 1.0370 +32'h3f847e37,32'h3f7696e7,32'h3f8053c1, 32'h3f6f0a72,32'h3f8419fc, 32'h3f6275b0,32'h3f8a645d,// invsqrt(1.0351) = 0.9829 +32'h3f6c7863,32'h3f828468,32'h3f87d82d, 32'h3f7d0b26,32'h3f8bd701, 32'h3f6fb9b8,32'h3f927fb8,// invsqrt(0.9237) = 1.0405 +32'h3fb70633,32'h3f51ce31,32'h3f5a5e72, 32'h3f4b6201,32'h3f60caa3, 32'h3f40adb0,32'h3f6b7ef4,// invsqrt(1.4299) = 0.8363 +32'h4002d2b5,32'h3f2f7975,32'h3f36a2fc, 32'h3f2a1a4f,32'h3f3c0221, 32'h3f212666,32'h3f44f60a,// invsqrt(2.0441) = 0.6994 +32'h400df691,32'h3f2872ec,32'h3f2f530a, 32'h3f234ad5,32'h3f347b21, 32'h3f1ab2af,32'h3f3d1347,// invsqrt(2.2182) = 0.6714 +32'h410f4b1a,32'h3ea7aa4c,32'h3eae823a, 32'h3ea28859,32'h3eb3a42d, 32'h3e99fa70,32'h3ebc3217,// invsqrt(8.9558) = 0.3342 +32'h3eb85758,32'h3fd10dfe,32'h3fd99666, 32'h3fcaa7b0,32'h3fdffcb4, 32'h3fbffd2d,32'h3feaa737,// invsqrt(0.3600) = 1.6666 +32'h3eae3eeb,32'h3fd70685,32'h3fdfcd51, 32'h3fd0716c,32'h3fe6626a, 32'h3fc578ed,32'h3ff15ae9,// invsqrt(0.3403) = 1.7142 +32'h3d50e94e,32'h408adbfc,32'h409086ec, 32'h40869bc8,32'h4094c720, 32'h407f0c37,32'h409bdccc,// invsqrt(0.0510) = 4.4279 +32'h418b7c96,32'h3e705403,32'h3e7a2333, 32'h3e68f8a0,32'h3e80bf4b, 32'h3e5cb5a5,32'h3e86e0c8,// invsqrt(17.4358) = 0.2395 +32'h3f36fe98,32'h3f945ded,32'h3f9a6c35, 32'h3f8fd337,32'h3f9ef6eb, 32'h3f88415c,32'h3fa688c6,// invsqrt(0.7148) = 1.1828 +32'h411ef26e,32'h3e9f31f6,32'h3ea5b164, 32'h3e9a5264,32'h3eaa90f6, 32'h3e92331c,32'h3eb2b03e,// invsqrt(9.9342) = 0.3173 +32'h3ec636fa,32'h3fc99b16,32'h3fd1d5aa, 32'h3fc36f28,32'h3fd80198, 32'h3fb925f0,32'h3fe24ad0,// invsqrt(0.3871) = 1.6072 +32'h3f9e9255,32'h3f6166fc,32'h3f6a9a36, 32'h3f5a8091,32'h3f7180a1, 32'h3f4f008a,32'h3f7d00a8,// invsqrt(1.2388) = 0.8984 +32'h3f5b541d,32'h3f878586,32'h3f8d0d96, 32'h3f835f7a,32'h3f9133a2, 32'h3f78eacc,32'h3f981db6,// invsqrt(0.8568) = 1.0804 +32'h3f7cf9f4,32'h3f7c5ff5,32'h3f835682, 32'h3f74a629,32'h3f873368, 32'h3f67c5d6,32'h3f8da391,// invsqrt(0.9882) = 1.0060 +32'h3f9236f9,32'h3f6abbd4,32'h3f74508e, 32'h3f638c48,32'h3f7b801a, 32'h3f57925f,32'h3f83bd01,// invsqrt(1.1423) = 0.9356 +32'h3e6f66a9,32'h4001b742,32'h400702a8, 32'h3ffb7d6b,32'h400afb34, 32'h3fee40ec,32'h40119974,// invsqrt(0.2338) = 2.0682 +32'h3f19d445,32'h3fa1d259,32'h3fa86d38, 32'h3f9cde31,32'h3fad615f, 32'h3f949c9a,32'h3fb5a2f6,// invsqrt(0.6009) = 1.2900 +32'h3ef653d4,32'h3fb4d92f,32'h3fbc3add, 32'h3faf4fec,32'h3fc1c420, 32'h3fa615d2,32'h3fcafe3a,// invsqrt(0.4811) = 1.4417 +32'h3e116c7f,32'h40266ebc,32'h402d39c8, 32'h40215672,32'h40325212, 32'h4018d8a2,32'h403acfe2,// invsqrt(0.1420) = 2.6536 +32'h3e10b0a4,32'h4026daa3,32'h402daa18, 32'h4021bf0c,32'h4032c5b0, 32'h40193bbb,32'h403b4901,// invsqrt(0.1413) = 2.6603 +32'h3ffca1c8,32'h3f3293db,32'h3f39ddcf, 32'h3f2d1c64,32'h3f3f5546, 32'h3f23fff3,32'h3f4871b7,// invsqrt(1.9737) = 0.7118 +32'h3e560a82,32'h40092f6e,32'h400ec8e0, 32'h4004fc58,32'h4012fbf6, 32'h3ffbf913,32'h4019fbc4,// invsqrt(0.2090) = 2.1873 +32'h407caa05,32'h3efc87dd,32'h3f036b47, 32'h3ef4ccda,32'h3f0748c9, 32'h3ee7ea7d,32'h3f0db9f7,// invsqrt(3.9479) = 0.5033 +32'h3f7d7385,32'h3f7c2367,32'h3f8336ff, 32'h3f746b76,32'h3f8712f7, 32'h3f678e3a,32'h3f8d8195,// invsqrt(0.9900) = 1.0050 +32'h3f5e4442,32'h3f869f75,32'h3f8c1e21, 32'h3f828074,32'h3f903d22, 32'h3f77443a,32'h3f971b79,// invsqrt(0.8682) = 1.0732 +32'h3f214519,32'h3f9e0b64,32'h3fa47ecb, 32'h3f9934d6,32'h3fa95558, 32'h3f912495,32'h3fb16599,// invsqrt(0.6300) = 1.2599 +32'h3ec6c197,32'h3fc954bd,32'h3fd18c71, 32'h3fc32af6,32'h3fd7b638, 32'h3fb8e555,32'h3fe1fbd9,// invsqrt(0.3882) = 1.6050 +32'h3f7dfedd,32'h3f7bde34,32'h3f8312fc, 32'h3f742862,32'h3f86ede5, 32'h3f674ead,32'h3f8d5abf,// invsqrt(0.9922) = 1.0039 +32'h3dca300b,32'h40479d80,32'h404fc347, 32'h4041812c,32'h4055df9c, 32'h403751f4,32'h40600ed4,// invsqrt(0.0987) = 3.1826 +32'h3db82b9f,32'h405126cd,32'h4059b039, 32'h404abfbc,32'h4060174a, 32'h404013f6,32'h406ac310,// invsqrt(0.0899) = 3.3347 +32'h403a3d55,32'h3f131192,32'h3f191249, 32'h3f0e9108,32'h3f1d92d2, 32'h3f071022,32'h3f2513b8,// invsqrt(2.9100) = 0.5862 +32'h3f991e4d,32'h3f656187,32'h3f6ebe53, 32'h3f5e5bee,32'h3f75c3ec, 32'h3f52a7ef,32'h3f80bbf6,// invsqrt(1.1962) = 0.9143 +32'h402ff7cb,32'h3f174cd4,32'h3f1d79c2, 32'h3f12ab21,32'h3f221b75, 32'h3f0af2f7,32'h3f29d39f,// invsqrt(2.7495) = 0.6031 +32'h409c4270,32'h3ee31051,32'h3eec54e7, 32'h3edc1ce1,32'h3ef34857, 32'h3ed08726,32'h3efede12,// invsqrt(4.8831) = 0.4525 +32'h3db6d548,32'h4051ea41,32'h405a7ba7, 32'h404b7d35,32'h4060e8b3, 32'h4040c775,32'h406b9e73,// invsqrt(0.0893) = 3.3469 +32'h3ddccfe3,32'h403f02f5,32'h4046ced5, 32'h40392a0d,32'h404ca7bd, 32'h402f6b34,32'h40566696,// invsqrt(0.1078) = 3.0455 +32'h411eba7d,32'h3e9f4e01,32'h3ea5ce94, 32'h3e9a6d94,32'h3eaaaf02, 32'h3e924cdd,32'h3eb2cfb9,// invsqrt(9.9205) = 0.3175 +32'h4014672e,32'h3f24c0e5,32'h3f2b7a66, 32'h3f1fb5c3,32'h3f308587, 32'h3f174de1,32'h3f38ed69,// invsqrt(2.3188) = 0.6567 +32'h3e3cf0f2,32'h4012036e,32'h4017f91e, 32'h400d8b29,32'h401c7163, 32'h4006180c,32'h4023e480,// invsqrt(0.1845) = 2.3280 +32'h3fa85469,32'h3f5ac57d,32'h3f63b36d, 32'h3f541309,32'h3f6a65e1, 32'h3f48e99c,32'h3f758f4e,// invsqrt(1.3151) = 0.8720 +32'h3f22c39e,32'h3f9d513f,32'h3fa3bd0d, 32'h3f988064,32'h3fa88de8, 32'h3f9079a2,32'h3fb094aa,// invsqrt(0.6358) = 1.2541 +32'h4128fa5a,32'h3e9a65d4,32'h3ea0b321, 32'h3e95abdb,32'h3ea56d1b, 32'h3e8dcb3c,32'h3ead4dba,// invsqrt(10.5611) = 0.3077 +32'h3d2c91a4,32'h4098c86c,32'h409f04d9, 32'h40941b1b,32'h40a3b22b, 32'h408c4f93,32'h40ab7db3,// invsqrt(0.0421) = 4.8719 +32'h3f261b96,32'h3f9bb9da,32'h3fa21508, 32'h3f96f578,32'h3fa6d96a, 32'h3f8f037f,32'h3faecb63,// invsqrt(0.6489) = 1.2414 +32'h3fac7663,32'h3f582263,32'h3f60f4c5, 32'h3f518499,32'h3f67928f, 32'h3f467d9f,32'h3f729989,// invsqrt(1.3474) = 0.8615 +32'h402288c9,32'h3f1d6db5,32'h3f23daad, 32'h3f189bfb,32'h3f28ac67, 32'h3f1093c6,32'h3f30b49c,// invsqrt(2.5396) = 0.6275 +32'h3f12f9ec,32'h3fa58d1e,32'h3fac4ef6, 32'h3fa07bbd,32'h3fb16057, 32'h3f98096f,32'h3fb9d2a5,// invsqrt(0.5741) = 1.3198 +32'h3ed21f9a,32'h3fc3cf3c,32'h3fcbcd3e, 32'h3fbdd0ba,32'h3fd1cbc0, 32'h3fb3d337,32'h3fdbc943,// invsqrt(0.4104) = 1.5610 +32'h3dfbd6a1,32'h4032dbd3,32'h403a28b7, 32'h402d6228,32'h403fa262, 32'h4024420b,32'h4048c27f,// invsqrt(0.1230) = 2.8517 +32'h4082343a,32'h3ef8bf61,32'h3f017345, 32'h3ef12202,32'h3f0541f4, 32'h3ee47110,32'h3f0b9a6d,// invsqrt(4.0689) = 0.4958 +32'h3dbeff86,32'h404d6113,32'h4055c314, 32'h40471793,32'h405c0c95, 32'h403c9d12,32'h40668716,// invsqrt(0.0933) = 3.2745 +32'h3ebc0c2a,32'h3fcefbf5,32'h3fd76ebc, 32'h3fc8a5e1,32'h3fddc4d1, 32'h3fbe166a,32'h3fe85448,// invsqrt(0.3673) = 1.6501 +32'h3f9f7d59,32'h3f60c0ad,32'h3f69ed1c, 32'h3f59df59,32'h3f70ce6f, 32'h3f4e67cd,32'h3f7c45fb,// invsqrt(1.2460) = 0.8959 +32'h4087a134,32'h3ef3b8ac,32'h3efdab50, 32'h3eec42b1,32'h3f0290a5, 32'h3edfd365,32'h3f08c84c,// invsqrt(4.2384) = 0.4857 +32'h3f9b6761,32'h3f63b022,32'h3f6cfb3e, 32'h3f5cb7ce,32'h3f73f392, 32'h3f5119eb,32'h3f7f9175,// invsqrt(1.2141) = 0.9076 +32'h4033f0b1,32'h3f159eec,32'h3f1bba4e, 32'h3f110a62,32'h3f204ed8, 32'h3f096827,32'h3f27f113,// invsqrt(2.8116) = 0.5964 +32'h3f1d15b3,32'h3fa022d1,32'h3fa6ac13, 32'h3f9b3be0,32'h3fab9304, 32'h3f93104d,32'h3fb3be97,// invsqrt(0.6136) = 1.2766 +32'h40449813,32'h3f0f24ac,32'h3f14fc5f, 32'h3f0ac2e5,32'h3f195e25, 32'h3f037544,32'h3f20abc6,// invsqrt(3.0718) = 0.5706 +32'h3dac42fe,32'h4058429f,32'h40611651, 32'h4051a3d8,32'h4067b518, 32'h40469b39,32'h4072bdb7,// invsqrt(0.0841) = 3.4480 +32'h3f0f1c27,32'h3fa7c5ca,32'h3fae9ed8, 32'h3fa2a300,32'h3fb3c1a2, 32'h3f9a13af,32'h3fbc50f3,// invsqrt(0.5590) = 1.3375 +32'h3f56fd5a,32'h3f88e1dd,32'h3f8e7825, 32'h3f84b127,32'h3f92a8db, 32'h3f7b6a9b,32'h3f99a4b4,// invsqrt(0.8398) = 1.0912 +32'h4062faaf,32'h3f0537d0,32'h3f0aa7ce, 32'h3f0123d1,32'h3f0ebbcd, 32'h3ef4afa8,32'h3f1587ca,// invsqrt(3.5466) = 0.5310 +32'h3f63c2d5,32'h3f84fd3a,32'h3f8a6ad4, 32'h3f80eb07,32'h3f8e7d07, 32'h3f74440d,32'h3f954608,// invsqrt(0.8897) = 1.0602 +32'h3f08c0e0,32'h3faba0a5,32'h3fb2a1fb, 32'h3fa65fa6,32'h3fb7e2fa, 32'h3f9d9dfb,32'h3fc0a4a5,// invsqrt(0.5342) = 1.3682 +32'h3f91450e,32'h3f6b7ef6,32'h3f751ba8, 32'h3f644971,32'h3f7c512d, 32'h3f584594,32'h3f842a85,// invsqrt(1.1349) = 0.9387 +32'h3f070e4b,32'h3facb3ea,32'h3fb3c07c, 32'h3fa76a7d,32'h3fb909e9, 32'h3f9e9ac8,32'h3fc1d99f,// invsqrt(0.5276) = 1.3768 +32'h3e8358a3,32'h3ff7a9e3,32'h4000e2dc, 32'h3ff01503,32'h4004ad4c, 32'h3fe37238,32'h400afeb1,// invsqrt(0.2565) = 1.9744 +32'h3e63a09f,32'h40050739,32'h400a753b, 32'h4000f4b7,32'h400e87bd, 32'h3ff45668,32'h40155140,// invsqrt(0.2223) = 2.1210 +32'h3d70ea69,32'h40814eb4,32'h408695d6, 32'h407ab2b6,32'h408a8b2f, 32'h406d80e3,32'h40912419,// invsqrt(0.0588) = 4.1233 +32'h3f70912a,32'h3f8166ae,32'h3f86aeca, 32'h3f7ae132,32'h3f8aa4df, 32'h3f6dacec,32'h3f913f02,// invsqrt(0.9397) = 1.0316 +32'h3f5aed65,32'h3f87a54d,32'h3f8d2ea9, 32'h3f837e48,32'h3f9155ae, 32'h3f79252a,32'h3f984161,// invsqrt(0.8552) = 1.0814 +32'h3f2cf32b,32'h3f989d52,32'h3f9ed7fd, 32'h3f93f153,32'h3fa383fd, 32'h3f8c27fe,32'h3fab4d52,// invsqrt(0.6756) = 1.2166 +32'h4002b01a,32'h3f2f90af,32'h3f36bb29, 32'h3f2a30d3,32'h3f3c1b05, 32'h3f213bbb,32'h3f45101d,// invsqrt(2.0420) = 0.6998 +32'h3f13bbd1,32'h3fa52057,32'h3fabddbd, 32'h3fa0124a,32'h3fb0ebca, 32'h3f97a589,32'h3fb9588b,// invsqrt(0.5771) = 1.3164 +32'h3e259a1a,32'h401bf6af,32'h40225459, 32'h40173071,32'h40271a97, 32'h400f3b5d,32'h402f0fab,// invsqrt(0.1617) = 2.4867 +32'h3fb88ef7,32'h3f50ee7b,32'h3f59759a, 32'h3f4a8923,32'h3f5fdaf1, 32'h3f3fe03c,32'h3f6a83d8,// invsqrt(1.4419) = 0.8328 +32'h3d29cbf8,32'h409a0669,32'h40a04fd1, 32'h40954f5c,32'h40a506de, 32'h408d739a,32'h40ace2a0,// invsqrt(0.0415) = 4.9115 +32'h40116e8f,32'h3f266d8d,32'h3f2d388e, 32'h3f21554d,32'h3f3250cf, 32'h3f18d78d,32'h3f3ace8f,// invsqrt(2.2724) = 0.6634 +32'h401aaef1,32'h3f215fcf,32'h3f27f601, 32'h3f1c6f29,32'h3f2ce6a7, 32'h3f14336a,32'h3f352266,// invsqrt(2.4169) = 0.6432 +32'h3f3d0add,32'h3f91f96b,32'h3f97eeb3, 32'h3f8d8175,32'h3f9c66a9, 32'h3f860eda,32'h3fa3d944,// invsqrt(0.7384) = 1.1637 +32'h407d1bb1,32'h3efc4f22,32'h3f034dc1, 32'h3ef495db,32'h3f072a65, 32'h3ee7b663,32'h3f0d9a20,// invsqrt(3.9548) = 0.5028 +32'h3f3e1f95,32'h3f918f09,32'h3f977ffa, 32'h3f8d1a55,32'h3f9bf4af, 32'h3f85ad28,32'h3fa361dc,// invsqrt(0.7427) = 1.1604 +32'h3f816dc0,32'h3f797dd1,32'h3f81d660, 32'h3f71da9f,32'h3f85a7fa, 32'h3f651ff5,32'h3f8c054f,// invsqrt(1.0112) = 0.9945 +32'h41f19a23,32'h3e369bbe,32'h3e3e0fd0, 32'h3e3104b0,32'h3e43a6de, 32'h3e27b39a,32'h3e4cf7f5,// invsqrt(30.2003) = 0.1820 +32'h3f369386,32'h3f948967,32'h3f9a9976, 32'h3f8ffd5d,32'h3f9f2581, 32'h3f88694a,32'h3fa6b994,// invsqrt(0.7132) = 1.1841 +32'h3d4fe1e5,32'h408b33da,32'h4090e260, 32'h4086f0f5,32'h40952545, 32'h407fad9b,32'h409c3f6d,// invsqrt(0.0508) = 4.4389 +32'h3c1c0fe4,32'h4120a8ec,32'h412737a7, 32'h411bbddf,32'h412c22b3, 32'h41138b75,32'h4134551d,// invsqrt(0.0095) = 10.2462 +32'h402f1ef2,32'h3f17aa64,32'h3f1ddb24, 32'h3f1305d4,32'h3f227fb4, 32'h3f0b48e4,32'h3f2a3ca4,// invsqrt(2.7363) = 0.6045 +32'h403c8bee,32'h3f122a86,32'h3f1821d0, 32'h3f0db10f,32'h3f1c9b47, 32'h3f063bf4,32'h3f241062,// invsqrt(2.9460) = 0.5826 +32'h3c82ff96,32'h40f7fe02,32'h41010ea3, 32'h40f0668f,32'h4104da5c, 32'h40e3bf7a,32'h410b2de7,// invsqrt(0.0160) = 7.9079 +32'h3ed5b514,32'h3fc22923,32'h3fca15eb, 32'h3fbc378d,32'h3fd00781, 32'h3fb24f93,32'h3fd9ef7b,// invsqrt(0.4174) = 1.5478 +32'h3fac7018,32'h3f582655,32'h3f60f8e1, 32'h3f51886d,32'h3f6796c9, 32'h3f46813f,32'h3f729df7,// invsqrt(1.3472) = 0.8616 +32'h3e36e827,32'h40146706,32'h401a75ae, 32'h400fdc09,32'h401f00ab, 32'h400849b7,32'h402692fd,// invsqrt(0.1786) = 2.3661 +32'h3f1eb860,32'h3f9f4f11,32'h3fa5cfaf, 32'h3f9a6e9b,32'h3faab025, 32'h3f924dd6,32'h3fb2d0ea,// invsqrt(0.6200) = 1.2700 +32'h3e8a607e,32'h3ff14a39,32'h3ffb2375, 32'h3fe9e74c,32'h40014331, 32'h3fdd97c2,32'h40076af6,// invsqrt(0.2703) = 1.9235 +32'h3ed61393,32'h3fc1fe44,32'h3fc9e94c, 32'h3fbc0dfe,32'h3fcfd992, 32'h3fb22834,32'h3fd9bf5c,// invsqrt(0.4181) = 1.5465 +32'h40250fe2,32'h3f1c37ef,32'h3f229841, 32'h3f176fb0,32'h3f276080, 32'h3f0f7749,32'h3f2f58e7,// invsqrt(2.5791) = 0.6227 +32'h3f83c670,32'h3f77429f,32'h3f80ad1e, 32'h3f6fb0e8,32'h3f8475fa, 32'h3f631363,32'h3f8ac4bc,// invsqrt(1.0295) = 0.9856 +32'h3fb16457,32'h3f551c31,32'h3f5dcef9, 32'h3f4e961a,32'h3f645510, 32'h3f43b6a0,32'h3f6f348a,// invsqrt(1.3859) = 0.8495 +32'h3eb4a684,32'h3fd32dec,32'h3fdbcc87, 32'h3fccb6f6,32'h3fe2437c, 32'h3fc1f0b3,32'h3fed09bf,// invsqrt(0.3528) = 1.6835 +32'h3fb7e000,32'h3f5151cb,32'h3f59dcf7, 32'h3f4ae969,32'h3f604559, 32'h3f403b71,32'h3f6af351,// invsqrt(1.4365) = 0.8343 +32'h3ee14a4d,32'h3fbd1a87,32'h3fc4d277, 32'h3fb75092,32'h3fca9c6c, 32'h3fadaaa6,32'h3fd44259,// invsqrt(0.4400) = 1.5075 +32'h3f687384,32'h3f83a403,32'h3f890386, 32'h3f7f38c3,32'h3f8d0b28, 32'h3f71c9fc,32'h3f93c28c,// invsqrt(0.9080) = 1.0494 +32'h3f528019,32'h3f8a558f,32'h3f8ffb02, 32'h3f861978,32'h3f943718, 32'h3f7e154e,32'h3f9b45e9,// invsqrt(0.8223) = 1.1028 +32'h401b79a8,32'h3f20f678,32'h3f27885e, 32'h3f1c090c,32'h3f2c75ca, 32'h3f13d2ad,32'h3f34ac29,// invsqrt(2.4293) = 0.6416 +32'h3f5960c3,32'h3f8820d5,32'h3f8daf3b, 32'h3f83f608,32'h3f91da08, 32'h3f7a080e,32'h3f98cc09,// invsqrt(0.8491) = 1.0852 +32'h4175747e,32'h3e801b2e,32'h3e8555c2, 32'h3e785e7e,32'h3e8941b1, 32'h3e6b4c0b,32'h3e8fcaea,// invsqrt(15.3409) = 0.2553 +32'h41c77898,32'h3e48f84d,32'h3e512c3b, 32'h3e42d15a,32'h3e57532e, 32'h3e389070,32'h3e619418,// invsqrt(24.9339) = 0.2003 +32'h3e2c039d,32'h40190772,32'h401f4672, 32'h40145833,32'h4023f5b1, 32'h400c8973,32'h402bc471,// invsqrt(0.1680) = 2.4399 +32'h408ef502,32'h3eed64a7,32'h3ef7152b, 32'h3ee62044,32'h3efe598e, 32'h3eda039e,32'h3f053b1a,// invsqrt(4.4674) = 0.4731 +32'h3f26b92d,32'h3f9b702f,32'h3fa1c85b, 32'h3f96ae0e,32'h3fa68a7c, 32'h3f8ebfd8,32'h3fae78b2,// invsqrt(0.6513) = 1.2391 +32'h3f8c0747,32'h3f6fdce1,32'h3f79a733, 32'h3f688523,32'h3f807f78, 32'h3f5c483c,32'h3f869dec,// invsqrt(1.0940) = 0.9561 +32'h3f364670,32'h3f94a8cd,32'h3f9aba23, 32'h3f901bcc,32'h3f9f4724, 32'h3f88861f,32'h3fa6dcd1,// invsqrt(0.7120) = 1.1851 +32'h40142c53,32'h3f24e19a,32'h3f2b9c72, 32'h3f1fd579,32'h3f30a893, 32'h3f176bec,32'h3f391220,// invsqrt(2.3152) = 0.6572 +32'h3f019658,32'h3fb04f24,32'h3fb78164, 32'h3faae974,32'h3fbce714, 32'h3fa1eaa4,32'h3fc5e5e4,// invsqrt(0.5062) = 1.4055 +32'h3f372dac,32'h3f944adb,32'h3f9a585c, 32'h3f8fc0ba,32'h3f9ee27c, 32'h3f882fd8,32'h3fa6735e,// invsqrt(0.7155) = 1.1822 +32'h406974b3,32'h3f035b6d,32'h3f08b7f9, 32'h3efeac07,32'h3f0cbd62, 32'h3ef144a9,32'h3f137112,// invsqrt(3.6477) = 0.5236 +32'h3f63eda9,32'h3f84f0bb,32'h3f8a5dd3, 32'h3f80deea,32'h3f8e6fa4, 32'h3f742d19,32'h3f953801,// invsqrt(0.8903) = 1.0598 +32'h3f89d304,32'h3f71c5f0,32'h3f7ba439, 32'h3f6a5f3a,32'h3f818578, 32'h3f5e0960,32'h3f87b065,// invsqrt(1.0768) = 0.9637 +32'h3f2cbc8e,32'h3f98b571,32'h3f9ef117, 32'h3f9408b4,32'h3fa39dd4, 32'h3f8c3e24,32'h3fab6864,// invsqrt(0.6748) = 1.2174 +32'h3f2ccb4d,32'h3f98aeec,32'h3f9eea4f, 32'h3f940263,32'h3fa396d9, 32'h3f8c3828,32'h3fab6114,// invsqrt(0.6750) = 1.2172 +32'h418f5f9d,32'h3e6d0c55,32'h3e76b93e, 32'h3e65caa5,32'h3e7dfaed, 32'h3e59b282,32'h3e850988,// invsqrt(17.9217) = 0.2362 +32'h40549f02,32'h3f09a481,32'h3f0f42ba, 32'h3f056dd5,32'h3f137965, 32'h3efcd01b,32'h3f1a7f2d,// invsqrt(3.3222) = 0.5486 +32'h3e2c30fd,32'h4018f347,32'h401f3174, 32'h401444a6,32'h4023e016, 32'h400c76ee,32'h402badce,// invsqrt(0.1682) = 2.4386 +32'h3f040a6a,32'h3faea9db,32'h3fb5cae9, 32'h3fa95110,32'h3fbb23b4, 32'h3fa067bf,32'h3fc40d05,// invsqrt(0.5158) = 1.3924 +32'h3f09f149,32'h3faae2dd,32'h3fb1dc73, 32'h3fa5a7ac,32'h3fb717a4, 32'h3f9cefb1,32'h3fbfcf9f,// invsqrt(0.5388) = 1.3623 +32'h40c51897,32'h3eca2d59,32'h3ed26de5, 32'h3ec3fcf1,32'h3ed89e4d, 32'h3eb9ac42,32'h3ee2eefc,// invsqrt(6.1593) = 0.4029 +32'h3f709595,32'h3f81657e,32'h3f86ad8e, 32'h3f7adee5,32'h3f8aa39a, 32'h3f6daabe,32'h3f913dad,// invsqrt(0.9398) = 1.0315 +32'h3f4dbe27,32'h3f8becab,32'h3f91a2bd, 32'h3f87a41e,32'h3f95eb4a, 32'h3f808088,32'h3f9d0ee0,// invsqrt(0.8037) = 1.1155 +32'h3d98d6b1,32'h4065973d,32'h406ef63b, 32'h405e8fff,32'h4075fd79, 32'h4052d943,32'h4080da1b,// invsqrt(0.0746) = 3.6606 +32'h3fd3b166,32'h3f431510,32'h3f4b0b7a, 32'h3f3d1c42,32'h3f510448, 32'h3f33283e,32'h3f5af84c,// invsqrt(1.6539) = 0.7776 +32'h3e16c80a,32'h402372ee,32'h402a1ece, 32'h401e7206,32'h402f1fb6, 32'h40161b2e,32'h4037768e,// invsqrt(0.1472) = 2.6060 +32'h4016147b,32'h3f23d498,32'h3f2a8474, 32'h3f1ed0b2,32'h3f2f885a, 32'h3f1674df,32'h3f37e42d,// invsqrt(2.3450) = 0.6530 +32'h3c07be95,32'h412c43a1,32'h41334b9d, 32'h4126fda4,32'h4138919a, 32'h411e33a9,32'h41415b95,// invsqrt(0.0083) = 10.9862 +32'h3f02737c,32'h3fafb974,32'h3fb6e598, 32'h3faa5859,32'h3fbc46b3, 32'h3fa1612c,32'h3fc53de0,// invsqrt(0.5096) = 1.4009 +32'h3fd568f7,32'h3f424bc0,32'h3f4a39f2, 32'h3f3c591b,32'h3f502c97, 32'h3f326f5d,32'h3f5a1655,// invsqrt(1.6673) = 0.7745 +32'h3f78c471,32'h3f7e803b,32'h3f8471c1, 32'h3f76b5c6,32'h3f8856fb, 32'h3f69b9ae,32'h3f8ed507,// invsqrt(0.9717) = 1.0144 +32'h3e6f3ee0,32'h4001c20a,32'h40070de1, 32'h3ffb9253,32'h400b06c2, 32'h3fee54bb,32'h4011a58f,// invsqrt(0.2336) = 2.0688 +32'h414ca4c8,32'h3e8c4cbc,32'h3e9206b9, 32'h3e88013e,32'h3e965236, 32'h3e80d8c1,32'h3e9d7ab3,// invsqrt(12.7902) = 0.2796 +32'h3f4d099a,32'h3f8c2a39,32'h3f91e2cd, 32'h3f87dfc9,32'h3f962d3d, 32'h3f80b910,32'h3f9d53f6,// invsqrt(0.8009) = 1.1174 +32'h3fa0103d,32'h3f605974,32'h3f6981ae, 32'h3f597b4a,32'h3f705fd8, 32'h3f4e0902,32'h3f7bd220,// invsqrt(1.2505) = 0.8942 +32'h3d675f01,32'h4083f295,32'h4089554d, 32'h407fd117,32'h408d5f57, 32'h40725a4b,32'h40941abc,// invsqrt(0.0565) = 4.2075 +32'h3f5d503f,32'h3f86e998,32'h3f8c6b4a, 32'h3f82c852,32'h3f908c90, 32'h3f77cc65,32'h3f976eb0,// invsqrt(0.8645) = 1.0755 +32'h3ec9e0c4,32'h3fc7c4ae,32'h3fcfec0e, 32'h3fc1a726,32'h3fd60996, 32'h3fb775ee,32'h3fe03ace,// invsqrt(0.3943) = 1.5925 +32'h3f9e3ea9,32'h3f61a28b,32'h3f6ad833, 32'h3f5aba4d,32'h3f71c071, 32'h3f4f373c,32'h3f7d4382,// invsqrt(1.2363) = 0.8994 +32'h3c015118,32'h41307e53,32'h4137b281, 32'h412b1732,32'h413d19a2, 32'h412215f9,32'h41461adb,// invsqrt(0.0079) = 11.2560 +32'h3f9b5048,32'h3f63c10f,32'h3f6d0cdc, 32'h3f5cc836,32'h3f7405b6, 32'h3f512977,32'h3f7fa475,// invsqrt(1.2134) = 0.9078 +32'h3f8d4ef9,32'h3f6ec620,32'h3f788512, 32'h3f6776eb,32'h3f7fd447, 32'h3f5b483d,32'h3f86017b,// invsqrt(1.1040) = 0.9517 +32'h3fbfe101,32'h3f4ce844,32'h3f554556, 32'h3f46a276,32'h3f5b8b24, 32'h3f3c2e1f,32'h3f65ff7b,// invsqrt(1.4991) = 0.8168 +32'h3f31cf75,32'h3f9683a3,32'h3f9ca85b, 32'h3f91e819,32'h3fa143e5, 32'h3f8a3a32,32'h3fa8f1cc,// invsqrt(0.6946) = 1.1999 +32'h3eb96e6d,32'h3fd07071,32'h3fd8f26b, 32'h3fca0ef5,32'h3fdf53e7, 32'h3fbf6c7d,32'h3fe9f65f,// invsqrt(0.3622) = 1.6617 +32'h3f29b91a,32'h3f9a0ef9,32'h3fa058ba, 32'h3f9557a8,32'h3fa5100a, 32'h3f8d7b76,32'h3facec3c,// invsqrt(0.6630) = 1.2281 +32'h3f8e2e8e,32'h3f6e0a19,32'h3f77c15e, 32'h3f66c0a6,32'h3f7f0ad2, 32'h3f5a9b90,32'h3f8597f4,// invsqrt(1.1108) = 0.9488 +32'h3f58275a,32'h3f888362,32'h3f8e15ce, 32'h3f845590,32'h3f9243a0, 32'h3f7abd12,32'h3f993aa7,// invsqrt(0.8444) = 1.0883 +32'h4086d2c7,32'h3ef472f9,32'h3efe6d37, 32'h3eecf74a,32'h3f02f473, 32'h3ee07e7c,32'h3f0930da,// invsqrt(4.2132) = 0.4872 +32'h3f00754e,32'h3fb11510,32'h3fb84f64, 32'h3faba951,32'h3fbdbb23, 32'h3fa2a067,32'h3fc6c40d,// invsqrt(0.5018) = 1.4117 +32'h3fa67673,32'h3f5bfeb0,32'h3f64f969, 32'h3f5542a6,32'h3f6bb574, 32'h3f4a093f,32'h3f76eedb,// invsqrt(1.3005) = 0.8769 +32'h3ec32179,32'h3fcb3157,32'h3fd37c7f, 32'h3fc4f8f9,32'h3fd9b4dd, 32'h3fba9b07,32'h3fe412cf,// invsqrt(0.3811) = 1.6198 +32'h3f9f6508,32'h3f60d1d1,32'h3f69fef3, 32'h3f59eff7,32'h3f70e0cd, 32'h3f4e778b,32'h3f7c5939,// invsqrt(1.2453) = 0.8961 +32'h3dda5d63,32'h40401434,32'h4047eb3c, 32'h403a32ef,32'h404dcc81, 32'h40306626,32'h4057994a,// invsqrt(0.1066) = 3.0625 +32'h4037f5a6,32'h3f13fa2b,32'h3f1a0461, 32'h3f0f7282,32'h3f1e8c0a, 32'h3f07e5bf,32'h3f2618cd,// invsqrt(2.8744) = 0.5898 +32'h3ebaef9f,32'h3fcf9941,32'h3fd81273, 32'h3fc93e5c,32'h3fde6d58, 32'h3fbea6de,32'h3fe904d6,// invsqrt(0.3651) = 1.6550 +32'h40c8ae37,32'h3ec85d08,32'h3ed08aa0, 32'h3ec23ad6,32'h3ed6acd2, 32'h3eb801d8,32'h3ee0e5d0,// invsqrt(6.2713) = 0.3993 +32'h3f8baa1b,32'h3f702cd6,32'h3f79fa6c, 32'h3f68d2a6,32'h3f80aa4e, 32'h3f5c91aa,32'h3f86cacc,// invsqrt(1.0911) = 0.9573 +32'h3f7ada55,32'h3f7d70d7,32'h3f83e485, 32'h3f75aeb1,32'h3f87c598, 32'h3f68c072,32'h3f8e3cb7,// invsqrt(0.9799) = 1.0102 +32'h3f8c41a5,32'h3f6faaf3,32'h3f79733c, 32'h3f6854bd,32'h3f8064b9, 32'h3f5c1a62,32'h3f8681e7,// invsqrt(1.0958) = 0.9553 +32'h3e67ce6d,32'h4003d2db,32'h40093447, 32'h3fff9394,32'h400d3d58, 32'h3ff22005,32'h4013f720,// invsqrt(0.2264) = 2.1018 +32'h4036c988,32'h3f147374,32'h3f1a829e, 32'h3f0fe815,32'h3f1f0dfd, 32'h3f085522,32'h3f26a0f0,// invsqrt(2.8561) = 0.5917 +32'h3dc98fc6,32'h4047eccd,32'h405015d1, 32'h4041ce0b,32'h40563493, 32'h40379ac7,32'h406067d7,// invsqrt(0.0984) = 3.1876 +32'h4021063e,32'h3f1e2a39,32'h3f249ee3, 32'h3f1952ba,32'h3f297662, 32'h3f1140e6,32'h3f318836,// invsqrt(2.5160) = 0.6304 +32'h4005553f,32'h3f2dd0a3,32'h3f34e8d3, 32'h3f287e7e,32'h3f3a3af8, 32'h3f1fa042,32'h3f431934,// invsqrt(2.0833) = 0.6928 +32'h3e490e27,32'h400d8bd5,32'h401352d9, 32'h40093693,32'h4017a81b, 32'h4001fdce,32'h401ee0e0,// invsqrt(0.1963) = 2.2568 +32'h3f76bbbc,32'h3f7f8c3b,32'h3f84fd39, 32'h3f77b992,32'h3f88e68d, 32'h3f6aafcd,32'h3f8f6b6f,// invsqrt(0.9638) = 1.0186 +32'h41e1aef8,32'h3e3cf055,32'h3e44a68d, 32'h3e3727ab,32'h3e4a6f37, 32'h3e2d83e6,32'h3e5412fc,// invsqrt(28.2104) = 0.1883 +32'h3f4986b5,32'h3f8d6179,32'h3f9326c1, 32'h3f890d82,32'h3f977ab8, 32'h3f81d6e7,32'h3f9eb153,// invsqrt(0.7872) = 1.1271 +32'h4001f025,32'h3f30122d,32'h3f3741f1, 32'h3f2aae5b,32'h3f3ca5c3, 32'h3f21b2a7,32'h3f45a177,// invsqrt(2.0303) = 0.7018 +32'h40385d00,32'h3f13d0ab,32'h3f19d92f, 32'h3f0f4a48,32'h3f1e5f92, 32'h3f07bfa2,32'h3f25ea38,// invsqrt(2.8807) = 0.5892 +32'h4074132e,32'h3f0077c5,32'h3f05b621, 32'h3ef91201,32'h3f09a4e6, 32'h3eebf61c,32'h3f1032d8,// invsqrt(3.8137) = 0.5121 +32'h408041b1,32'h3efaa100,32'h3f026de8, 32'h3ef2f4e3,32'h3f0643f7, 32'h3ee62b5e,32'h3f0ca8b9,// invsqrt(4.0080) = 0.4995 +32'h3f9080dd,32'h3f6c1e9e,32'h3f75c1d4, 32'h3f64e436,32'h3f7cfc3c, 32'h3f58d833,32'h3f84841f,// invsqrt(1.1289) = 0.9412 +32'h3f5add8b,32'h3f87aa36,32'h3f8d33c6, 32'h3f83830b,32'h3f915af1, 32'h3f792e30,32'h3f9846e4,// invsqrt(0.8549) = 1.0815 +32'h3fe10f33,32'h3f3d335a,32'h3f44ec4e, 32'h3f3768a3,32'h3f4ab705, 32'h3f2dc172,32'h3f545e36,// invsqrt(1.7583) = 0.7541 +32'h3f805e63,32'h3f7a84fb,32'h3f825f54, 32'h3f72d9ba,32'h3f8634f4, 32'h3f6611a2,32'h3f8c9900,// invsqrt(1.0029) = 0.9986 +32'h3d1c55fc,32'h40a084e3,32'h40a71226, 32'h409b9af2,32'h40abfc18, 32'h40936a5e,32'h40b42cac,// invsqrt(0.0382) = 5.1186 +32'h41016186,32'h3eb0731e,32'h3eb7a6d6, 32'h3eab0c54,32'h3ebd0da0, 32'h3ea20bae,32'h3ec60e46,// invsqrt(8.0863) = 0.3517 +32'h3ec0ad06,32'h3fcc7bab,32'h3fd4d44e, 32'h3fc6392f,32'h3fdb16c9, 32'h3fbbca63,32'h3fe58595,// invsqrt(0.3763) = 1.6301 +32'h3f75e62e,32'h3f7ffb1b,32'h3f8536ec, 32'h3f78250e,32'h3f8921f3, 32'h3f6b15a1,32'h3f8fa9aa,// invsqrt(0.9605) = 1.0203 +32'h3fd2448b,32'h3f43be08,32'h3f4bbb56, 32'h3f3dc00d,32'h3f51b951, 32'h3f33c36a,32'h3f5bb5f4,// invsqrt(1.6427) = 0.7802 +32'h3efdd49c,32'h3fb227cc,32'h3fb96d56, 32'h3facb3a3,32'h3fbee17f, 32'h3fa39cb6,32'h3fc7f86c,// invsqrt(0.4958) = 1.4202 +32'h3eb6dd1a,32'h3fd1e5c4,32'h3fda76fa, 32'h3fcb78da,32'h3fe0e3e4, 32'h3fc0c356,32'h3feb9969,// invsqrt(0.3572) = 1.6733 +32'h3f295f5e,32'h3f9a37c3,32'h3fa0832e, 32'h3f957f32,32'h3fa53bbe, 32'h3f8da0ec,32'h3fad1a04,// invsqrt(0.6616) = 1.2294 +32'h3f80f9f6,32'h3f79edb6,32'h3f82109b, 32'h3f724716,32'h3f85e3eb, 32'h3f6586b7,32'h3f8c441a,// invsqrt(1.0076) = 0.9962 +32'h3ec00f45,32'h3fcccf94,32'h3fd52ba4, 32'h3fc68a87,32'h3fdb70b1, 32'h3fbc1773,32'h3fe5e3c5,// invsqrt(0.3751) = 1.6327 +32'h3ee5c376,32'h3fbb40f5,32'h3fc2e591, 32'h3fb58580,32'h3fc8a106, 32'h3fabf7bc,32'h3fd22eca,// invsqrt(0.4488) = 1.4928 +32'h3ef0ef07,32'h3fb6dc8b,32'h3fbe5342, 32'h3fb14381,32'h3fc3ec4b, 32'h3fa7ef1c,32'h3fcd40b0,// invsqrt(0.4706) = 1.4578 +32'h3e8c325f,32'h3fefb801,32'h3ff980d3, 32'h3fe86165,32'h40006bb8, 32'h3fdc265f,32'h4006893a,// invsqrt(0.2738) = 1.9110 +32'h3f3988f7,32'h3f9358fd,32'h3f995c9f, 32'h3f8ed644,32'h3f9ddf58, 32'h3f8751b9,32'h3fa563e3,// invsqrt(0.7247) = 1.1746 +32'h3f1db682,32'h3f9fd119,32'h3fa65705, 32'h3f9aeca8,32'h3fab3b76, 32'h3f92c541,32'h3fb362dd,// invsqrt(0.6161) = 1.2740 +32'h3f4ba3e8,32'h3f8ca51d,32'h3f9262b5, 32'h3f8856ea,32'h3f96b0e8, 32'h3f8129eb,32'h3f9ddde7,// invsqrt(0.7955) = 1.1212 +32'h3fbb7ae5,32'h3f4f4c17,32'h3f57c223, 32'h3f48f38f,32'h3f5e1aab, 32'h3f3e6000,32'h3f68ae3a,// invsqrt(1.4647) = 0.8263 +32'h3ee90ee2,32'h3fb9ecec,32'h3fc183a7, 32'h3fb43bdf,32'h3fc734b3, 32'h3faabf75,32'h3fd0b11d,// invsqrt(0.4552) = 1.4822 +32'h3f4eda62,32'h3f8b8c68,32'h3f913e8c, 32'h3f8746ce,32'h3f958426, 32'h3f802821,32'h3f9ca2d3,// invsqrt(0.8080) = 1.1125 +32'h3f1f588b,32'h3f9efeec,32'h3fa57c44, 32'h3f9a20ea,32'h3faa5a46, 32'h3f92043c,32'h3fb276f4,// invsqrt(0.6224) = 1.2675 +32'h3db4ecd1,32'h405304e0,32'h405ba1d0, 32'h404c8f2d,32'h40621783, 32'h4041cb02,32'h406cdbae,// invsqrt(0.0883) = 3.3645 +32'h41e38656,32'h3e3c2c39,32'h3e43da6f, 32'h3e366990,32'h3e499d18, 32'h3e2ccfcc,32'h3e5336dc,// invsqrt(28.4406) = 0.1875 +32'h3eb8743a,32'h3fd0fd9f,32'h3fd9855d, 32'h3fca97d1,32'h3fdfeb2b, 32'h3fbfee25,32'h3fea94d7,// invsqrt(0.3603) = 1.6661 +32'h3f3bcca4,32'h3f9274e4,32'h3f986f36, 32'h3f8df926,32'h3f9ceaf4, 32'h3f86803f,32'h3fa463db,// invsqrt(0.7336) = 1.1675 +32'h3ffbb03f,32'h3f32e976,32'h3f3a36e8, 32'h3f2d6f60,32'h3f3fb0fe, 32'h3f244e91,32'h3f48d1cd,// invsqrt(1.9663) = 0.7131 +32'h3f893017,32'h3f725557,32'h3f7c3979, 32'h3f6aea3c,32'h3f81d24a, 32'h3f5e8d11,32'h3f8800e0,// invsqrt(1.0718) = 0.9659 +32'h3f7d6f8a,32'h3f7c2562,32'h3f833807, 32'h3f746d62,32'h3f871407, 32'h3f67900c,32'h3f8d82b2,// invsqrt(0.9900) = 1.0050 +32'h3e92a496,32'h3fea6409,32'h3ff3f52d, 32'h3fe3372d,32'h3ffb2209, 32'h3fd741bf,32'h40038bbc,// invsqrt(0.2864) = 1.8685 +32'h3fc1b6b0,32'h3f4bef43,32'h3f54422b, 32'h3f45b114,32'h3f5a805a, 32'h3f3b4972,32'h3f64e7fd,// invsqrt(1.5134) = 0.8129 +32'h3f8c0b04,32'h3f6fd9ae,32'h3f79a3de, 32'h3f688209,32'h3f807dc2, 32'h3f5c454c,32'h3f869c20,// invsqrt(1.0941) = 0.9560 +32'h4013f501,32'h3f25006a,32'h3f2bbc84, 32'h3f1ff357,32'h3f30c997, 32'h3f178838,32'h3f3934b6,// invsqrt(2.3118) = 0.6577 +32'h410798ce,32'h3eac5b9e,32'h3eb36495, 32'h3ea714e6,32'h3eb8ab4e, 32'h3e9e49b1,32'h3ec17683,// invsqrt(8.4748) = 0.3435 +32'h415c3aee,32'h3e873e6e,32'h3e8cc398, 32'h3e831a90,32'h3e90e776, 32'h3e786839,32'h3e97cdea,// invsqrt(13.7644) = 0.2695 +32'h3f948ebf,32'h3f68e019,32'h3f726169, 32'h3f61bf1e,32'h3f798264, 32'h3f55dd7a,32'h3f82b204,// invsqrt(1.1606) = 0.9282 +32'h3ff5a5f0,32'h3f351927,32'h3f3c7d71, 32'h3f2f8def,32'h3f4208a9, 32'h3f265091,32'h3f4b4607,// invsqrt(1.9191) = 0.7219 +32'h404f60fb,32'h3f0b5f17,32'h3f110f61, 32'h3f071adf,32'h3f155399, 32'h3efffd06,32'h3f1c6ff5,// invsqrt(3.2403) = 0.5555 +32'h3fbec17d,32'h3f4d8276,32'h3f55e5d4, 32'h3f4737f0,32'h3f5c305a, 32'h3f3cbbbb,32'h3f66ac8f,// invsqrt(1.4903) = 0.8192 +32'h3f6505f0,32'h3f849f4a,32'h3f8a090e, 32'h3f808ff7,32'h3f8e1861, 32'h3f739782,32'h3f94dc97,// invsqrt(0.8946) = 1.0573 +32'h3f6660ea,32'h3f843b45,32'h3f89a0f4, 32'h3f802f01,32'h3f8dad37, 32'h3f72dfcc,32'h3f946c52,// invsqrt(0.8999) = 1.0541 +32'h3fe56a29,32'h3f3b6563,32'h3f430b7c, 32'h3f35a8d1,32'h3f48c80f, 32'h3f2c1932,32'h3f5257ae,// invsqrt(1.7923) = 0.7470 +32'h3f3cfce4,32'h3f91fed1,32'h3f97f451, 32'h3f8d86b0,32'h3f9c6c72, 32'h3f8613cf,32'h3fa3df53,// invsqrt(0.7382) = 1.1639 +32'h3f806e9d,32'h3f7a7527,32'h3f825717, 32'h3f72ca62,32'h3f862c79, 32'h3f660319,32'h3f8c901e,// invsqrt(1.0034) = 0.9983 +32'h3f1b65dc,32'h3fa100b9,32'h3fa79309, 32'h3f9c12fc,32'h3fac80c6, 32'h3f93dc17,32'h3fb4b7ab,// invsqrt(0.6070) = 1.2835 +32'h3ec87d8c,32'h3fc87558,32'h3fd0a3ee, 32'h3fc25268,32'h3fd6c6de, 32'h3fb8182c,32'h3fe1011a,// invsqrt(0.3916) = 1.5980 +32'h3f8b8cd8,32'h3f704603,32'h3f7a149f, 32'h3f68eb0d,32'h3f80b7cb, 32'h3f5ca8c9,32'h3f86d8ed,// invsqrt(1.0902) = 0.9577 +32'h3f83be46,32'h3f774a48,32'h3f80b11b, 32'h3f6fb855,32'h3f847a14, 32'h3f631a6c,32'h3f8ac909,// invsqrt(1.0292) = 0.9857 +32'h3eb8f4a3,32'h3fd0b506,32'h3fd939cc, 32'h3fca5171,32'h3fdf9d61, 32'h3fbfab78,32'h3fea435a,// invsqrt(0.3612) = 1.6638 +32'h3fd2d81f,32'h3f43797b,32'h3f4b73fd, 32'h3f3d7d99,32'h3f516fdf, 32'h3f338476,32'h3f5b6902,// invsqrt(1.6472) = 0.7792 +32'h3f990405,32'h3f657539,32'h3f6ed2d3, 32'h3f5e6f06,32'h3f75d906, 32'h3f52ba05,32'h3f80c703,// invsqrt(1.1954) = 0.9146 +32'h3fb940a6,32'h3f508a30,32'h3f590d38, 32'h3f4a27eb,32'h3f5f6f7d, 32'h3f3f8422,32'h3f6a1346,// invsqrt(1.4473) = 0.8312 +32'h3d45e0bb,32'h408ead9a,32'h40948072, 32'h408a4f79,32'h4098de93, 32'h408307ec,32'h40a02620,// invsqrt(0.0483) = 4.5497 +32'h3fe0a89e,32'h3f3d5e87,32'h3f45193e, 32'h3f37927d,32'h3f4ae547, 32'h3f2de918,32'h3f548eac,// invsqrt(1.7551) = 0.7548 +32'h3e4cda49,32'h400c3a68,32'h4011f3a6, 32'h4007ef7a,32'h40163e94, 32'h4000c7ed,32'h401d6621,// invsqrt(0.2001) = 2.2358 +32'h3f9a52c7,32'h3f647bd3,32'h3f6dcf3f, 32'h3f5d7d42,32'h3f74cdd0, 32'h3f51d4fb,32'h3f803b0b,// invsqrt(1.2057) = 0.9107 +32'h3f8b56fd,32'h3f70746d,32'h3f7a44ef, 32'h3f69180c,32'h3f80d0a8, 32'h3f5cd369,32'h3f86f2f9,// invsqrt(1.0886) = 0.9584 +32'h3f37c23e,32'h3f940edc,32'h3f9a19ea, 32'h3f8f8691,32'h3f9ea235, 32'h3f87f8c0,32'h3fa63006,// invsqrt(0.7178) = 1.1803 +32'h3e700c21,32'h40018a85,32'h4006d417, 32'h3ffb26ae,32'h400acb45, 32'h3fedeec0,32'h4011673c,// invsqrt(0.2344) = 2.0654 +32'h3f806615,32'h3f7a7d79,32'h3f825b6b, 32'h3f72d273,32'h3f8630ef, 32'h3f660abe,32'h3f8c94c9,// invsqrt(1.0031) = 0.9984 +32'h3de87186,32'h403a2bd0,32'h4041c51c, 32'h403478d6,32'h40477816, 32'h402af937,32'h4050f7b5,// invsqrt(0.1135) = 2.9683 +32'h3f1525a2,32'h3fa45792,32'h3fab0cc8, 32'h3f9f4fab,32'h3fb014af, 32'h3f96ed28,32'h3fb87732,// invsqrt(0.5826) = 1.3101 +32'h3dbbe60e,32'h404f10f2,32'h40578494, 32'h4048ba39,32'h405ddb4d, 32'h403e29b0,32'h40686bd7,// invsqrt(0.0917) = 3.3014 +32'h3e2ac6b1,32'h4019952f,32'h401fd9f7, 32'h4014e198,32'h40248d8e, 32'h400d0b9e,32'h402c6388,// invsqrt(0.1668) = 2.4487 +32'h3f8cb221,32'h3f6f4b12,32'h3f790f70, 32'h3f67f7cb,32'h3f80315c, 32'h3f5bc254,32'h3f864c17,// invsqrt(1.0992) = 0.9538 +32'h40831335,32'h3ef7eb72,32'h3f0104fa, 32'h3ef05490,32'h3f04d06b, 32'h3ee3ae6e,32'h3f0b237c,// invsqrt(4.0961) = 0.4941 +32'h3f22a6fc,32'h3f9d5f17,32'h3fa3cb76, 32'h3f988dd0,32'h3fa89cbe, 32'h3f90865a,32'h3fb0a435,// invsqrt(0.6354) = 1.2546 +32'h3f97da34,32'h3f6655ce,32'h3f6fbc92, 32'h3f5f48ba,32'h3f76c9a6, 32'h3f538845,32'h3f81450e,// invsqrt(1.1863) = 0.9181 +32'h3f1c3de1,32'h3fa09145,32'h3fa71f09, 32'h3f9ba6f2,32'h3fac095c, 32'h3f9375bd,32'h3fb43a91,// invsqrt(0.6103) = 1.2800 +32'h3f8f01c4,32'h3f6d5a10,32'h3f770a25, 32'h3f6615ff,32'h3f7e4e35, 32'h3f59f9e4,32'h3f853528,// invsqrt(1.1172) = 0.9461 +32'h3f3d865a,32'h3f91c9d5,32'h3f97bd2d, 32'h3f8d5354,32'h3f9c33ae, 32'h3f85e327,32'h3fa3a3db,// invsqrt(0.7403) = 1.1622 +32'h3f9055e1,32'h3f6c41c4,32'h3f75e668, 32'h3f650648,32'h3f7d21e4, 32'h3f58f87a,32'h3f8497d9,// invsqrt(1.1276) = 0.9417 +32'h3ee910d3,32'h3fb9ec25,32'h3fc182d9, 32'h3fb43b1f,32'h3fc733df, 32'h3faabebf,32'h3fd0b03f,// invsqrt(0.4552) = 1.4822 +32'h39a8618b,32'h425abcf5,32'h4263aa8c, 32'h42540ac3,32'h426a5cbd, 32'h4248e1c6,32'h427585ba,// invsqrt(0.0003) = 55.8005 +32'h403ff972,32'h3f10daf5,32'h3f16c48c, 32'h3f0c6bc3,32'h3f1b33bd, 32'h3f0507c6,32'h3f2297ba,// invsqrt(2.9996) = 0.5774 +32'h3fba1377,32'h3f5013ec,32'h3f589220, 32'h3f49b546,32'h3f5ef0c6, 32'h3f3f1785,32'h3f698e87,// invsqrt(1.4537) = 0.8294 +32'h3f93c1d7,32'h3f69815b,32'h3f73093f, 32'h3f625b70,32'h3f7a2f2a, 32'h3f567192,32'h3f830c84,// invsqrt(1.1544) = 0.9307 +32'h3fb61afb,32'h3f525586,32'h3f5aeb4c, 32'h3f4be531,32'h3f615ba1, 32'h3f4129f8,32'h3f6c16da,// invsqrt(1.4227) = 0.8384 +32'h42530f34,32'h3e0a26a1,32'h3e0fca2a, 32'h3e05ebfa,32'h3e1404d0, 32'h3dfdbf1c,32'h3e1b113c,// invsqrt(52.7648) = 0.1377 +32'h408f485a,32'h3eed1f92,32'h3ef6cd44, 32'h3ee5dd4c,32'h3efe0f8a, 32'h3ed9c42d,32'h3f051454,// invsqrt(4.4776) = 0.4726 +32'h3f28bdc8,32'h3f9a8188,32'h3fa0cff6, 32'h3f95c6b5,32'h3fa58ac9, 32'h3f8de4ac,32'h3fad6cd2,// invsqrt(0.6591) = 1.2317 +32'h3ed86a8e,32'h3fc0f114,32'h3fc8d11f, 32'h3fbb090b,32'h3fceb927, 32'h3fb130fd,32'h3fd89135,// invsqrt(0.4227) = 1.5381 +32'h3dd01506,32'h4044c483,32'h404ccc89, 32'h403ebe7f,32'h4052d28d, 32'h4034b479,32'h405cdc93,// invsqrt(0.1016) = 3.1372 +32'h3ebcbbda,32'h3fce9b88,32'h3fd70a5f, 32'h3fc84868,32'h3fdd5d80, 32'h3fbdbddc,32'h3fe7e80c,// invsqrt(0.3686) = 1.6471 +32'h3eb155bc,32'h3fd524f7,32'h3fddd81b, 32'h3fce9e9c,32'h3fe45e76, 32'h3fc3beae,32'h3fef3e64,// invsqrt(0.3464) = 1.6992 +32'h3e7df128,32'h3ffbe500,32'h40031686, 32'h3ff42ef9,32'h4006f18a, 32'h3fe754ec,32'h400d5e90,// invsqrt(0.2480) = 2.0081 +32'h3fab97ea,32'h3f58ae52,32'h3f61866a, 32'h3f520c40,32'h3f68287c, 32'h3f46fe21,32'h3f73369b,// invsqrt(1.3406) = 0.8637 +32'h3fca75e4,32'h3f477b0f,32'h3f4f9f6d, 32'h3f415fc8,32'h3f55bab4, 32'h3f373251,32'h3f5fe82b,// invsqrt(1.5817) = 0.7951 +32'h3eb7f97e,32'h3fd1434a,32'h3fd9cddf, 32'h3fcadb59,32'h3fe035cf, 32'h3fc02e1f,32'h3feae309,// invsqrt(0.3593) = 1.6682 +32'h3fadf556,32'h3f5733fa,32'h3f5ffca1, 32'h3f509d7d,32'h3f66931f, 32'h3f45a2ad,32'h3f718def,// invsqrt(1.3590) = 0.8578 +32'h3f2f0817,32'h3f97b44b,32'h3f9de573, 32'h3f930f6d,32'h3fa28a51, 32'h3f8b51fc,32'h3faa47c2,// invsqrt(0.6837) = 1.2094 +32'h411d92be,32'h3e9fe33b,32'h3ea669e5, 32'h3e9afe3c,32'h3eab4ee4, 32'h3e92d5e8,32'h3eb37738,// invsqrt(9.8483) = 0.3187 +32'h3f51f472,32'h3f8a8389,32'h3f902add, 32'h3f86460a,32'h3f94685c, 32'h3f7e69c2,32'h3f9b7985,// invsqrt(0.8201) = 1.1042 +32'h3fddf53c,32'h3f3e8492,32'h3f464b4a, 32'h3f38af88,32'h3f4c2054, 32'h3f2ef723,32'h3f55d8b9,// invsqrt(1.7340) = 0.7594 +32'h3f96136e,32'h3f67b1c5,32'h3f7126bd, 32'h3f609a0b,32'h3f783e77, 32'h3f54c7d4,32'h3f820857,// invsqrt(1.1725) = 0.9235 +32'h3f2cab2b,32'h3f98bd21,32'h3f9ef917, 32'h3f941027,32'h3fa3a611, 32'h3f8c4533,32'h3fab7105,// invsqrt(0.6745) = 1.2176 +32'h3fdb88fd,32'h3f3f90f7,32'h3f4762a3, 32'h3f39b3b6,32'h3f4d3fe4, 32'h3f2fed9f,32'h3f5705fb,// invsqrt(1.7151) = 0.7636 +32'h3f5ae854,32'h3f87a6df,32'h3f8d304b, 32'h3f837fce,32'h3f91575c, 32'h3f79280c,32'h3f984324,// invsqrt(0.8551) = 1.0814 +32'h4055819b,32'h3f095b63,32'h3f0ef6a0, 32'h3f0526f4,32'h3f132b0e, 32'h3efc49cf,32'h3f1a2d1b,// invsqrt(3.3360) = 0.5475 +32'h3ce07827,32'h40bd72f7,32'h40c52e83, 32'h40b7a64d,32'h40cafb2d, 32'h40adfbdd,32'h40d4a59d,// invsqrt(0.0274) = 6.0411 +32'h40032d2a,32'h3f2f3cea,32'h3f3663f8, 32'h3f29df9f,32'h3f3bc143, 32'h3f20eecc,32'h3f44b216,// invsqrt(2.0496) = 0.6985 +32'h413e646b,32'h3e9174b7,32'h3e976495, 32'h3e8d00d1,32'h3e9bd87b, 32'h3e8594fc,32'h3ea34450,// invsqrt(11.8995) = 0.2899 +32'h3da0898b,32'h406004a1,32'h40692964, 32'h40592910,32'h407004f6, 32'h404dbb1c,32'h407b72ea,// invsqrt(0.0784) = 3.5717 +32'h3fbf4377,32'h3f4d3c96,32'h3f559d19, 32'h3f46f433,32'h3f5be57b, 32'h3f3c7b8e,32'h3f665e20,// invsqrt(1.4942) = 0.8181 +32'h3ed3a6f3,32'h3fc319e1,32'h3fcb107d, 32'h3fbd20ed,32'h3fd10971, 32'h3fb32caa,32'h3fdafdb4,// invsqrt(0.4134) = 1.5553 +32'h3efa75c7,32'h3fb359a4,32'h3fbaabab, 32'h3faddc20,32'h3fc02930, 32'h3fa4b597,32'h3fc94fb9,// invsqrt(0.4892) = 1.4298 +32'h3f722b62,32'h3f80f8e7,32'h3f863c87, 32'h3f7a0c5c,32'h3f8a2f40, 32'h3f6ce349,32'h3f90c3c9,// invsqrt(0.9460) = 1.0282 +32'h421a8e25,32'h3e2170ed,32'h3e2807d2, 32'h3e1c7fc1,32'h3e2cf8fd, 32'h3e144322,32'h3e35359c,// invsqrt(38.6388) = 0.1609 +32'h3e074a95,32'h402c8d6b,32'h4033986a, 32'h4027452b,32'h4038e0a9, 32'h401e776c,32'h4041ae68,// invsqrt(0.1321) = 2.7512 +32'h3fa12a73,32'h3f5f94b1,32'h3f68b4e3, 32'h3f58bc8d,32'h3f6f8d07, 32'h3f4d544f,32'h3f7af545,// invsqrt(1.2591) = 0.8912 +32'h401025e9,32'h3f272adb,32'h3f2dfd95, 32'h3f220ccf,32'h3f331ba1, 32'h3f198566,32'h3f3ba30a,// invsqrt(2.2523) = 0.6663 +32'h3f40c24f,32'h3f908f68,32'h3f9675ea, 32'h3f8c2287,32'h3f9ae2cb, 32'h3f84c265,32'h3fa242ed,// invsqrt(0.7530) = 1.1524 +32'h40763d24,32'h3effcde3,32'h3f051f64, 32'h3ef7f938,32'h3f0909ba, 32'h3eeaec1a,32'h3f0f9049,// invsqrt(3.8475) = 0.5098 +32'h3e5fd348,32'h40062740,32'h400ba104, 32'h40020bed,32'h400fbc57, 32'h3ff66770,32'h4016948c,// invsqrt(0.2186) = 2.1389 +32'h3f1f7e59,32'h3f9eec13,32'h3fa568a7, 32'h3f9a0ea5,32'h3faa4615, 32'h3f91f2ed,32'h3fb261cd,// invsqrt(0.6230) = 1.2669 +32'h3fcf72e9,32'h3f451156,32'h3f4d1c7e, 32'h3f3f08f8,32'h3f5324dc, 32'h3f34fb06,32'h3f5d32ce,// invsqrt(1.6207) = 0.7855 +32'h3f18f671,32'h3fa24785,32'h3fa8e72c, 32'h3f9d4fc7,32'h3faddee9, 32'h3f950836,32'h3fb6267a,// invsqrt(0.5975) = 1.2937 +32'h3e923c67,32'h3feab778,32'h3ff44c05, 32'h3fe3880f,32'h3ffb7b6f, 32'h3fd78e5f,32'h4003ba8f,// invsqrt(0.2856) = 1.8711 +32'h3ecf7f6c,32'h3fc50b65,32'h3fcd164f, 32'h3fbf0336,32'h3fd31e7e, 32'h3fb4f591,32'h3fdd2c23,// invsqrt(0.4053) = 1.5708 +32'h3f141868,32'h3fa4ecb0,32'h3faba7fc, 32'h3f9fe038,32'h3fb0b474, 32'h3f97761a,32'h3fb91e92,// invsqrt(0.5785) = 1.3148 +32'h3f1bf347,32'h3fa0b7a8,32'h3fa746fe, 32'h3f9bcc28,32'h3fac327e, 32'h3f9398fe,32'h3fb465a8,// invsqrt(0.6092) = 1.2812 +32'h3e48fe21,32'h400d917a,32'h401358b8, 32'h40093c0b,32'h4017ae27, 32'h400202fd,32'h401ee735,// invsqrt(0.1963) = 2.2571 +32'h3e1c66ee,32'h40207c31,32'h40270919, 32'h401b9283,32'h402bf2c7, 32'h40136261,32'h403422e9,// invsqrt(0.1527) = 2.5588 +32'h3ead1605,32'h3fd7bea1,32'h3fe08cf1, 32'h3fd123e5,32'h3fe727ad, 32'h3fc62202,32'h3ff22990,// invsqrt(0.3381) = 1.7199 +32'h3f84d12c,32'h3f7649d9,32'h3f802ba8, 32'h3f6ebfc0,32'h3f83f0b4, 32'h3f622eec,32'h3f8a391e,// invsqrt(1.0376) = 0.9817 +32'h3d71ce5c,32'h408111b3,32'h40865657, 32'h407a3c70,32'h408a49d2, 32'h406d10d6,32'h4090df9f,// invsqrt(0.0590) = 4.1157 +32'h3f11e168,32'h3fa62bfd,32'h3facf451, 32'h3fa115bf,32'h3fb20a8f, 32'h3f989b56,32'h3fba84f8,// invsqrt(0.5698) = 1.3247 +32'h40061f5a,32'h3f2d4d7c,32'h3f346052, 32'h3f27ff5b,32'h3f39ae73, 32'h3f1f27d0,32'h3f4285fe,// invsqrt(2.0957) = 0.6908 +32'h3fd8bf82,32'h3f40cb40,32'h3f48a9c0, 32'h3f3ae460,32'h3f4e90a0, 32'h3f310e40,32'h3f5866c0,// invsqrt(1.6933) = 0.7685 +32'h3e7f8a4c,32'h3ffb1b08,32'h4002ad6a, 32'h3ff36b2f,32'h40068557, 32'h3fe69b70,32'h400ced36,// invsqrt(0.2496) = 2.0018 +32'h3e9935ea,32'h3fe54fda,32'h3feeabed, 32'h3fde4acb,32'h3ff5b0fb, 32'h3fd297b3,32'h4000b20a,// invsqrt(0.2992) = 1.8281 +32'h3f3aaeae,32'h3f92e4e5,32'h3f98e3c9, 32'h3f8e65b9,32'h3f9d62f5, 32'h3f86e71b,32'h3fa4e193,// invsqrt(0.7292) = 1.1710 +32'h3dfd3705,32'h40325f33,32'h4039a701, 32'h402ce959,32'h403f1cdb, 32'h4023cf97,32'h4048369d,// invsqrt(0.1236) = 2.8439 +32'h3e01e6f1,32'h4030186a,32'h4037486e, 32'h402ab467,32'h403cac71, 32'h4021b861,32'h4045a877,// invsqrt(0.1269) = 2.8076 +32'h3e1ab814,32'h40215b0b,32'h4027f10b, 32'h401c6a8a,32'h402ce18c, 32'h40142f0a,32'h40351d0c,// invsqrt(0.1511) = 2.5726 +32'h42a1c7f8,32'h3ddf27be,32'h3de8437c, 32'h3dd852ef,32'h3def184b, 32'h3dccf040,32'h3dfa7afa,// invsqrt(80.8906) = 0.1112 +32'h41c7873f,32'h3e48f0ec,32'h3e51248d, 32'h3e42ca33,32'h3e574b45, 32'h3e3889a9,32'h3e618bcf,// invsqrt(24.9410) = 0.2002 +32'h3f051517,32'h3fadfa83,32'h3fb51469, 32'h3fa8a716,32'h3fba67d6, 32'h3f9fc6b7,32'h3fc34835,// invsqrt(0.5199) = 1.3869 +32'h400f06b3,32'h3f27d25f,32'h3f2eabef, 32'h3f22af32,32'h3f33cf1c, 32'h3f1a1f3d,32'h3f3c5f11,// invsqrt(2.2348) = 0.6689 +32'h409dfe84,32'h3ee1d054,32'h3eeb07da, 32'h3edae6af,32'h3ef1f17f, 32'h3ecf6148,32'h3efd76e6,// invsqrt(4.9373) = 0.4500 +32'h3e03c7f5,32'h402ed5e0,32'h4035f8ba, 32'h40297bbc,32'h403b52de, 32'h4020902c,32'h40443e6e,// invsqrt(0.1287) = 2.7876 +32'h3fafb5b3,32'h3f5620b8,32'h3f5ede23, 32'h3f4f92a8,32'h3f656c34, 32'h3f44a5e3,32'h3f7058f9,// invsqrt(1.3727) = 0.8535 +32'h3c807680,32'h40fa6d77,32'h41025317, 32'h40f2c2ee,32'h4106285b, 32'h40e5fc0a,32'h410c8bcd,// invsqrt(0.0157) = 7.9856 +32'h3ed6da04,32'h3fc1a499,32'h3fc98bf8, 32'h3fbbb711,32'h3fcf797f, 32'h3fb1d5da,32'h3fd95ab6,// invsqrt(0.4196) = 1.5437 +32'h40915a3a,32'h3eeb6dcf,32'h3ef509cd, 32'h3ee438d0,32'h3efc3ecc, 32'h3ed835d3,32'h3f0420e4,// invsqrt(4.5423) = 0.4692 +32'h3f78eed1,32'h3f7e6a91,32'h3f84667b, 32'h3f76a0c7,32'h3f884b60, 32'h3f69a5ca,32'h3f8ec8df,// invsqrt(0.9724) = 1.0141 +32'h3f259ed1,32'h3f9bf477,32'h3fa25209, 32'h3f972e4a,32'h3fa71836, 32'h3f8f3953,32'h3faf0d2d,// invsqrt(0.6470) = 1.2433 +32'h3fc74b5f,32'h3f490f19,32'h3f5143f5, 32'h3f42e773,32'h3f576b9b, 32'h3f38a560,32'h3f61adae,// invsqrt(1.5570) = 0.8014 +32'h4013192d,32'h3f257b87,32'h3f2c3ca7, 32'h3f206aaf,32'h3f314d7f, 32'h3f17f948,32'h3f39bee6,// invsqrt(2.2984) = 0.6596 +32'h3f061adb,32'h3fad5064,32'h3fb46358, 32'h3fa8022c,32'h3fb9b190, 32'h3f9f2a7b,32'h3fc28941,// invsqrt(0.5238) = 1.3816 +32'h3f1b5865,32'h3fa107b3,32'h3fa79a4c, 32'h3f9c19bf,32'h3fac883f, 32'h3f93e27f,32'h3fb4bf7f,// invsqrt(0.6068) = 1.2837 +32'h3f17e6f8,32'h3fa2d847,32'h3fa97dd7, 32'h3f9ddc1b,32'h3fae7a03, 32'h3f958d27,32'h3fb6c8f7,// invsqrt(0.5934) = 1.2982 +32'h3ff73f9b,32'h3f3482e0,32'h3f3be108, 32'h3f2efc41,32'h3f4167a7, 32'h3f25c68f,32'h3f4a9d59,// invsqrt(1.9316) = 0.7195 +32'h414d345b,32'h3e8c1b9e,32'h3e91d39a, 32'h3e87d1a1,32'h3e961d97, 32'h3e80aba6,32'h3e9d4392,// invsqrt(12.8253) = 0.2792 +32'h3ed1b458,32'h3fc40149,32'h3fcc0156, 32'h3fbe013e,32'h3fd20160, 32'h3fb4012e,32'h3fdc0171,// invsqrt(0.4096) = 1.5625 +32'h41fb25a6,32'h3e331acd,32'h3e3a6a43, 32'h3e2d9f34,32'h3e3fe5dc, 32'h3e247be1,32'h3e49092f,// invsqrt(31.3934) = 0.1785 +32'h3c9453df,32'h40e90e4c,32'h40f2917e, 32'h40e1ebe6,32'h40f9b3e4, 32'h40d607e8,32'h4102cbf1,// invsqrt(0.0181) = 7.4316 +32'h3f3357d7,32'h3f95dea1,32'h3f9bfc9d, 32'h3f914824,32'h3fa0931a, 32'h3f89a2a9,32'h3fa83895,// invsqrt(0.7006) = 1.1948 +32'h40e23b55,32'h3ebcb5af,32'h3ec46982, 32'h3eb6eed1,32'h3eca3061, 32'h3ead4e0a,32'h3ed3d128,// invsqrt(7.0697) = 0.3761 +32'h3e80e4ea,32'h3ffa021d,32'h40021b39, 32'h3ff25add,32'h4005eed8, 32'h3fe59973,32'h400c4f8d,// invsqrt(0.2517) = 1.9931 +32'h3cab1475,32'h40d90182,32'h40e1dd00, 32'h40d25ce4,32'h40e8819e, 32'h40c74a87,32'h40f393fb,// invsqrt(0.0209) = 6.9198 +32'h40273edf,32'h3f1b3202,32'h3f2187a4, 32'h3f1671c8,32'h3f2647de, 32'h3f0e86be,32'h3f2e32e8,// invsqrt(2.6132) = 0.6186 +32'h4095126a,32'h3ee8792a,32'h3ef1f646, 32'h3ee15b55,32'h3ef9141b, 32'h3ed57ef2,32'h3f02783f,// invsqrt(4.6585) = 0.4633 +32'h3f2096ec,32'h3f9e6102,32'h3fa4d7e7, 32'h3f9987d5,32'h3fa9b113, 32'h3f917335,32'h3fb1c5b3,// invsqrt(0.6273) = 1.2626 +32'h3f605367,32'h3f8600eb,32'h3f8b791f, 32'h3f81e6c5,32'h3f8f9345, 32'h3f762109,32'h3f966986,// invsqrt(0.8763) = 1.0683 +32'h3e6b07ce,32'h4002ea97,32'h40084288, 32'h3ffdd143,32'h400c447d, 32'h3ff07568,32'h4012f26a,// invsqrt(0.2295) = 2.0873 +32'h3f875bb8,32'h3f73f732,32'h3f7dec64, 32'h3f6c7f4e,32'h3f82b224, 32'h3f600cd0,32'h3f88eb63,// invsqrt(1.0575) = 0.9724 +32'h3f7aa57c,32'h3f7d8b8d,32'h3f83f26b, 32'h3f75c896,32'h3f87d3e7, 32'h3f68d8fa,32'h3f8e4bb5,// invsqrt(0.9791) = 1.0106 +32'h3e62a535,32'h400550ed,32'h400ac1f1, 32'h40013c29,32'h400ed6b5, 32'h3ff4ddc8,32'h4015a3fa,// invsqrt(0.2213) = 2.1256 +32'h41265c90,32'h3e9b9b6e,32'h3ea1f55d, 32'h3e96d7fa,32'h3ea6b8d0, 32'h3e8ee78e,32'h3eaea93c,// invsqrt(10.3976) = 0.3101 +32'h3f3ebdd2,32'h3f91529c,32'h3f974116, 32'h3f8cdfc1,32'h3f9bb3f1, 32'h3f8575aa,32'h3fa31e08,// invsqrt(0.7451) = 1.1585 +32'h3ff7d0eb,32'h3f344dec,32'h3f3ba9ec, 32'h3f2ec8ed,32'h3f412eeb, 32'h3f2595ee,32'h3f4a61ea,// invsqrt(1.9361) = 0.7187 +32'h3fb064fb,32'h3f55b63a,32'h3f5e6f4c, 32'h3f4f2b6c,32'h3f64fa1a, 32'h3f444416,32'h3f6fe170,// invsqrt(1.3781) = 0.8518 +32'h411c14ff,32'h3ea0a64b,32'h3ea734eb, 32'h3e9bbb53,32'h3eac1fe3, 32'h3e93890c,32'h3eb4522b,// invsqrt(9.7551) = 0.3202 +32'h408fc544,32'h3eecb878,32'h3ef661f6, 32'h3ee5795b,32'h3efda113, 32'h3ed9657e,32'h3f04da78,// invsqrt(4.4928) = 0.4718 +32'h3fdb1267,32'h3f3fc4c9,32'h3f479893, 32'h3f39e5f2,32'h3f4d776a, 32'h3f301d36,32'h3f574026,// invsqrt(1.7115) = 0.7644 +32'h3f61a1b2,32'h3f859d81,32'h3f8b11a6, 32'h3f818666,32'h3f8f28c2, 32'h3f756a71,32'h3f95f9f0,// invsqrt(0.8814) = 1.0652 +32'h3e9ff98f,32'h3fe0695b,32'h3fe9923a, 32'h3fd98ab3,32'h3ff070e1, 32'h3fce179c,32'h3ffbe3f8,// invsqrt(0.3125) = 1.7890 +32'h3c6a7ff4,32'h4103107d,32'h410869fb, 32'h40fe1abf,32'h410c6d19, 32'h40f0bb06,32'h41131cf5,// invsqrt(0.0143) = 8.3587 +32'h3f9331d9,32'h3f69f375,32'h3f738001, 32'h3f62ca0b,32'h3f7aa96b, 32'h3f56da5c,32'h3f834c8d,// invsqrt(1.1500) = 0.9325 +32'h402ba784,32'h3f19307a,32'h3f1f7126, 32'h3f147ff9,32'h3f2421a7, 32'h3f0caf22,32'h3f2bf27e,// invsqrt(2.6821) = 0.6106 +32'h3f081d3a,32'h3fac07b3,32'h3fb30d3d, 32'h3fa6c38c,32'h3fb85164, 32'h3f9dfc9f,32'h3fc11851,// invsqrt(0.5317) = 1.3714 +32'h408bd4a7,32'h3ef00849,32'h3ef9d461, 32'h3ee8af37,32'h3f0096b9, 32'h3edc7019,32'h3f06b648,// invsqrt(4.3697) = 0.4784 +32'h3ef91ade,32'h3fb3d65b,32'h3fbb2d79, 32'h3fae5505,32'h3fc0aecf, 32'h3fa5281f,32'h3fc9dbb5,// invsqrt(0.4865) = 1.4337 +32'h4006f0d5,32'h3f2cc6c3,32'h3f33d41a, 32'h3f277cc3,32'h3f391e1b, 32'h3f1eac17,32'h3f41eec7,// invsqrt(2.1084) = 0.6887 +32'h3deb87df,32'h4038f26d,32'h40407eef, 32'h4033490c,32'h40462850, 32'h4029d969,32'h404f97f3,// invsqrt(0.1150) = 2.9488 +32'h3f94c5a5,32'h3f68b51e,32'h3f7234ac, 32'h3f619573,32'h3f795457, 32'h3f55b601,32'h3f8299e4,// invsqrt(1.1623) = 0.9276 +32'h3e8373b6,32'h3ff79060,32'h4000d595, 32'h3feffc48,32'h40049fa1, 32'h3fe35acb,32'h400af060,// invsqrt(0.2567) = 1.9736 +32'h405ae51b,32'h3f07a7de,32'h3f0d3155, 32'h3f0380c6,32'h3f11586e, 32'h3ef929e2,32'h3f184443,// invsqrt(3.4202) = 0.5407 +32'h3f830fbc,32'h3f77eebb,32'h3f8106b0, 32'h3f7057c0,32'h3f84d22d, 32'h3f63b172,32'h3f8b2554,// invsqrt(1.0239) = 0.9883 +32'h3f1fd783,32'h3f9ebfb9,32'h3fa53a7d, 32'h3f99e3a6,32'h3faa1690, 32'h3f91ca32,32'h3fb23004,// invsqrt(0.6244) = 1.2655 +32'h415dd67d,32'h3e86c0c0,32'h3e8c40c8, 32'h3e82a0ba,32'h3e9060ce, 32'h3e778161,32'h3e9740d8,// invsqrt(13.8649) = 0.2686 +32'h40051975,32'h3f2df7a9,32'h3f351171, 32'h3f28a453,32'h3f3a64c7, 32'h3f1fc418,32'h3f434502,// invsqrt(2.0797) = 0.6934 +32'h3c9effb4,32'h40e11968,32'h40ea4977, 32'h40da355e,32'h40f12d82, 32'h40ceb94b,32'h40fca995,// invsqrt(0.0194) = 7.1779 +32'h3f36308e,32'h3f94b1ba,32'h3f9ac36e, 32'h3f902473,32'h3f9f50b5, 32'h3f888e52,32'h3fa6e6d6,// invsqrt(0.7117) = 1.1854 +32'h3f576b9b,32'h3f88bed2,32'h3f8e53ab, 32'h3f848f2e,32'h3f92834e, 32'h3f7b2a3c,32'h3f997d5e,// invsqrt(0.8415) = 1.0901 +32'h3ee20529,32'h3fbccc4b,32'h3fc4810b, 32'h3fb704bc,32'h3fca489a, 32'h3fad62cd,32'h3fd3ea89,// invsqrt(0.4414) = 1.5051 +32'h3f976f40,32'h3f66a716,32'h3f70112c, 32'h3f5f9786,32'h3f7720bc, 32'h3f53d2ea,32'h3f8172ac,// invsqrt(1.1831) = 0.9194 +32'h41016813,32'h3eb06ea6,32'h3eb7a230, 32'h3eab07ff,32'h3ebd08d7, 32'h3ea20794,32'h3ec60943,// invsqrt(8.0879) = 0.3516 +32'h3e826783,32'h3ff88e72,32'h400159ce, 32'h3ff0f293,32'h400527bd, 32'h3fe44420,32'h400b7ef7,// invsqrt(0.2547) = 1.9815 +32'h3ee317dd,32'h3fbc59f8,32'h3fc40a0c, 32'h3fb695e8,32'h3fc9ce1c, 32'h3facf9cf,32'h3fd36a35,// invsqrt(0.4435) = 1.5015 +32'h3e78a5b0,32'h3ffe8ff8,32'h400479f2, 32'h3ff6c508,32'h40085f6a, 32'h3fe9c823,32'h400edddc,// invsqrt(0.2428) = 2.0294 +32'h3f83410f,32'h3f77c020,32'h3f80ee6f, 32'h3f702a92,32'h3f84b936, 32'h3f6386a6,32'h3f8b0b2c,// invsqrt(1.0254) = 0.9875 +32'h3e8511b1,32'h3ff60e1c,32'h40000c91, 32'h3fee85d7,32'h4003d0b3, 32'h3fe1f80f,32'h400a1797,// invsqrt(0.2599) = 1.9615 +32'h3f81bdeb,32'h3f7930b1,32'h3f81ae3d, 32'h3f718fda,32'h3f857ea8, 32'h3f64d920,32'h3f8bda05,// invsqrt(1.0136) = 0.9933 +32'h3e9c719b,32'h3fe2ee14,32'h3fec3144, 32'h3fdbfbb0,32'h3ff323a8, 32'h3fd067b4,32'h3ffeb7a4,// invsqrt(0.3056) = 1.8091 +32'h3dcde332,32'h4045d045,32'h404de339, 32'h403fc20f,32'h4053f16f, 32'h4035aa5f,32'h405e091f,// invsqrt(0.1005) = 3.1539 +32'h3f65e242,32'h3f845fad,32'h3f89c6d9, 32'h3f80524c,32'h3f8dd43a, 32'h3f7322ac,32'h3f949530,// invsqrt(0.8980) = 1.0553 +32'h3f1b5c3c,32'h3fa105b5,32'h3fa7983a, 32'h3f9c17d2,32'h3fac861e, 32'h3f93e0ac,32'h3fb4bd44,// invsqrt(0.6069) = 1.2837 +32'h3f9d16c8,32'h3f6276a6,32'h3f6bb4f6, 32'h3f5b87ea,32'h3f72a3b2, 32'h3f4ffa06,32'h3f7e3196,// invsqrt(1.2273) = 0.9027 +32'h408abe7b,32'h3ef0f871,32'h3eface56, 32'h3ee99804,32'h3f011761, 32'h3edd4ca6,32'h3f073d10,// invsqrt(4.3358) = 0.4803 +32'h3f1e4f4d,32'h3f9f83e7,32'h3fa606ac, 32'h3f9aa1d2,32'h3faae8c0, 32'h3f927e5b,32'h3fb30c37,// invsqrt(0.6184) = 1.2716 +32'h3fd00e9a,32'h3f44c78c,32'h3f4ccfb2, 32'h3f3ec171,32'h3f52d5cd, 32'h3f34b742,32'h3f5cdffc,// invsqrt(1.6254) = 0.7844 +32'h3e95884f,32'h3fe81d74,32'h3ff196d1, 32'h3fe1026d,32'h3ff8b1d7, 32'h3fd52ab8,32'h400244c6,// invsqrt(0.2921) = 1.8504 +32'h3f64ce94,32'h3f84af54,32'h3f8a19c0, 32'h3f809f83,32'h3f8e2991, 32'h3f73b4f8,32'h3f94ee98,// invsqrt(0.8938) = 1.0578 +32'h3f90931a,32'h3f6c0fb9,32'h3f75b253, 32'h3f64d5c6,32'h3f7cec46, 32'h3f58ca85,32'h3f847bc3,// invsqrt(1.1295) = 0.9409 +32'h3e428f00,32'h400fe3dc,32'h4015c35d, 32'h400b7c3b,32'h401a2afd, 32'h400424d9,32'h4021825f,// invsqrt(0.1900) = 2.2942 +32'h3f6590ea,32'h3f84771f,32'h3f89df3f, 32'h3f806906,32'h3f8ded58, 32'h3f734dbb,32'h3f94af80,// invsqrt(0.8967) = 1.0560 +32'h3f4d10d3,32'h3f8c27c1,32'h3f91e03c, 32'h3f87dd65,32'h3f962a99, 32'h3f80b6cc,32'h3f9d5132,// invsqrt(0.8010) = 1.1173 +32'h40b7ed95,32'h3ed14a10,32'h3ed9d4ec, 32'h3ecae1eb,32'h3ee03d11, 32'h3ec03458,32'h3eeaeaa4,// invsqrt(5.7478) = 0.4171 +32'h3f4c2254,32'h3f8c7989,32'h3f92355b, 32'h3f882cac,32'h3f968238, 32'h3f8101e7,32'h3f9dacfd,// invsqrt(0.7974) = 1.1199 +32'h4144d622,32'h3e8f0e19,32'h3e94e4e1, 32'h3e8aad04,32'h3e9945f6, 32'h3e83608a,32'h3ea09270,// invsqrt(12.3023) = 0.2851 +32'h3c452003,32'h410ef348,32'h4114c8f8, 32'h410a9305,32'h4119293b, 32'h410347ea,32'h41207456,// invsqrt(0.0120) = 9.1167 +32'h3efec4d8,32'h3fb1d3b9,32'h3fb915d5, 32'h3fac6223,32'h3fbe876b, 32'h3fa34f80,32'h3fc79a0e,// invsqrt(0.4976) = 1.4176 +32'h3f9fff8f,32'h3f606525,32'h3f698dd9, 32'h3f59869f,32'h3f706c5f, 32'h3f4e13bf,32'h3f7bdf3f,// invsqrt(1.2500) = 0.8944 +32'h3fd4061c,32'h3f42ee14,32'h3f4ae2e5, 32'h3f3cf676,32'h3f50da82, 32'h3f330470,32'h3f5acc88,// invsqrt(1.6564) = 0.7770 +32'h3f63dcb5,32'h3f84f5ad,32'h3f8a62f8, 32'h3f80e3b5,32'h3f8e74f1, 32'h3f74362f,32'h3f953d8e,// invsqrt(0.8901) = 1.0599 +32'h404d2926,32'h3f0c1f72,32'h3f11d796, 32'h3f07d557,32'h3f1621b1, 32'h3f00af2a,32'h3f1d47de,// invsqrt(3.2056) = 0.5585 +32'h3e46a4b5,32'h400e6727,32'h4014371f, 32'h400a0b2e,32'h40189318, 32'h4002c739,32'h401fd70d,// invsqrt(0.1940) = 2.2705 +32'h404954cb,32'h3f0d72fe,32'h3f1338fe, 32'h3f091e7e,32'h3f178d7e, 32'h3f01e6fe,32'h3f1ec4fe,// invsqrt(3.1458) = 0.5638 +32'h3f9373da,32'h3f69bf13,32'h3f73497c, 32'h3f629743,32'h3f7a714b, 32'h3f56aa40,32'h3f832f27,// invsqrt(1.1520) = 0.9317 +32'h3e4a8649,32'h400d0827,32'h4012c9cb, 32'h4008b6ed,32'h40171b05, 32'h400184e0,32'h401e4d12,// invsqrt(0.1978) = 2.2486 +32'h41273bd8,32'h3e9b336a,32'h3ea1891a, 32'h3e967325,32'h3ea6495f, 32'h3e8e8808,32'h3eae347c,// invsqrt(10.4521) = 0.3093 +32'h4011f0ae,32'h3f26234b,32'h3f2ceb43, 32'h3f210d50,32'h3f32013e, 32'h3f18935a,32'h3f3a7b35,// invsqrt(2.2803) = 0.6622 +32'h3e7b969e,32'h3ffd11ef,32'h4003b321, 32'h3ff552b0,32'h400792c0, 32'h3fe86949,32'h400e0774,// invsqrt(0.2457) = 2.0175 +32'h3ef0d693,32'h3fb6e5d3,32'h3fbe5ceb, 32'h3fb14c81,32'h3fc3f63d, 32'h3fa7f7a2,32'h3fcd4b1c,// invsqrt(0.4704) = 1.4580 +32'h3f9dde4f,32'h3f61e75c,32'h3f6b1fd2, 32'h3f5afd03,32'h3f720a2b, 32'h3f4f766e,32'h3f7d90c0,// invsqrt(1.2333) = 0.9004 +32'h40b514b6,32'h3ed2eda0,32'h3edb899c, 32'h3ecc78a3,32'h3ee1fe99, 32'h3ec1b5a8,32'h3eecc194,// invsqrt(5.6588) = 0.4204 +32'h40037bca,32'h3f2f087d,32'h3f362d67, 32'h3f29accc,32'h3f3b8918, 32'h3f20bea7,32'h3f44773d,// invsqrt(2.0544) = 0.6977 +32'h3e4ce85c,32'h400c3597,32'h4011eea3, 32'h4007eacf,32'h4016396b, 32'h4000c380,32'h401d60ba,// invsqrt(0.2001) = 2.2355 +32'h3f1c8485,32'h3fa06d05,32'h3fa6f94f, 32'h3f9b83ce,32'h3fabe286, 32'h3f935473,32'h3fb411e1,// invsqrt(0.6114) = 1.2789 +32'h3f0fbdd3,32'h3fa76756,32'h3fae3c88, 32'h3fa24770,32'h3fb35c6e, 32'h3f99bcf1,32'h3fbbe6ed,// invsqrt(0.5615) = 1.3345 +32'h3fb8a4ef,32'h3f50e20d,32'h3f5968aa, 32'h3f4a7d16,32'h3f5fcda0, 32'h3f3fd4d2,32'h3f6a75e4,// invsqrt(1.4425) = 0.8326 +32'h4161ab76,32'h3e859a9d,32'h3e8b0ea3, 32'h3e818398,32'h3e8f25a8, 32'h3e756520,32'h3e95f6b0,// invsqrt(14.1044) = 0.2663 +32'h3e8c13c2,32'h3fefd232,32'h3ff99c14, 32'h3fe87ac8,32'h400079bf, 32'h3fdc3e6c,32'h400697ed,// invsqrt(0.2736) = 1.9118 +32'h3fe7453c,32'h3f3aa487,32'h3f4242c0, 32'h3f34eddb,32'h3f47f96b, 32'h3f2b6813,32'h3f517f33,// invsqrt(1.8068) = 0.7440 +32'h3f3f7a1e,32'h3f910b16,32'h3f96f6a4, 32'h3f8c9a6c,32'h3f9b674e, 32'h3f8533fa,32'h3fa2cdc0,// invsqrt(0.7480) = 1.1563 +32'h3ffc4fc8,32'h3f32b0dd,32'h3f39fc01, 32'h3f2d3883,32'h3f3f745b, 32'h3f241a97,32'h3f489247,// invsqrt(1.9712) = 0.7123 +32'h3fad9826,32'h3f576db5,32'h3f6038b8, 32'h3f50d574,32'h3f66d0fa, 32'h3f45d7b2,32'h3f71cebd,// invsqrt(1.3562) = 0.8587 +32'h3e71f1d5,32'h4001083c,32'h40064c7e, 32'h3ffa2a17,32'h400a3fae, 32'h3fecff74,32'h4010d500,// invsqrt(0.2363) = 2.0573 +32'h3f77ce30,32'h3f7efe90,32'h3f84b380, 32'h3f77303e,32'h3f889aa9, 32'h3f6a2db4,32'h3f8f1bee,// invsqrt(0.9680) = 1.0164 +32'h40124e5e,32'h3f25ee11,32'h3f2cb3dd, 32'h3f20d9b7,32'h3f31c837, 32'h3f186278,32'h3f3a3f76,// invsqrt(2.2860) = 0.6614 +32'h4002a02d,32'h3f2f9b62,32'h3f36c64c, 32'h3f2a3b33,32'h3f3c267b, 32'h3f21458e,32'h3f451c20,// invsqrt(2.0410) = 0.7000 +32'h3f8a9201,32'h3f711f19,32'h3f7af693, 32'h3f69bd7e,32'h3f812c17, 32'h3f5d7027,32'h3f8752c2,// invsqrt(1.0826) = 0.9611 +32'h3e4cbb4e,32'h400c4504,32'h4011feb0, 32'h4007f9c2,32'h401649f2, 32'h4000d1ab,32'h401d7209,// invsqrt(0.1999) = 2.2364 +32'h3ed8a6bf,32'h3fc0d644,32'h3fc8b538, 32'h3fbaef0e,32'h3fce9c6e, 32'h3fb1185e,32'h3fd8731e,// invsqrt(0.4231) = 1.5373 +32'h3e4416b0,32'h400f53de,32'h40152d7e, 32'h400af0a5,32'h401990b7, 32'h4003a09d,32'h4020e0bf,// invsqrt(0.1915) = 2.2852 +32'h3fbdf040,32'h3f4df389,32'h3f565b84, 32'h3f47a58c,32'h3f5ca980, 32'h3f3d2392,32'h3f672b7a,// invsqrt(1.4839) = 0.8209 +32'h3ec176a4,32'h3fcc1102,32'h3fd4654b, 32'h3fc5d1ca,32'h3fdaa482, 32'h3fbb686f,32'h3fe50ddd,// invsqrt(0.3779) = 1.6268 +32'h3e74dcef,32'h400042cd,32'h40057eff, 32'h3ff8ab4f,32'h40096c25, 32'h3feb94d1,32'h400ff763,// invsqrt(0.2391) = 2.0450 +32'h40105c0a,32'h3f270b81,32'h3f2ddcf3, 32'h3f21ee6a,32'h3f32fa0a, 32'h3f19689b,32'h3f3b7fd9,// invsqrt(2.2556) = 0.6658 +32'h3fa84a9a,32'h3f5acbdd,32'h3f63ba0f, 32'h3f541936,32'h3f6a6cb6, 32'h3f48ef77,32'h3f759675,// invsqrt(1.3148) = 0.8721 +32'h3ff60fba,32'h3f34f234,32'h3f3c54e8, 32'h3f2f682d,32'h3f41deef, 32'h3f262ccd,32'h3f4b1a4f,// invsqrt(1.9224) = 0.7212 +32'h3e9ea87b,32'h3fe15740,32'h3fea89d4, 32'h3fda7150,32'h3ff16fc4, 32'h3fcef216,32'h3ffceefe,// invsqrt(0.3099) = 1.7964 +32'h3ec9a244,32'h3fc7e3a2,32'h3fd00c46, 32'h3fc1c528,32'h3fd62ac0, 32'h3fb7925c,32'h3fe05d8c,// invsqrt(0.3938) = 1.5935 +32'h3f91d7f4,32'h3f6b083f,32'h3f74a017, 32'h3f63d65c,32'h3f7bd1fa, 32'h3f57d88d,32'h3f83e7e4,// invsqrt(1.1394) = 0.9368 +32'h3e0812c8,32'h402c0e4d,32'h4033141b, 32'h4026c9f1,32'h40385877, 32'h401e02af,32'h40411fb9,// invsqrt(0.1329) = 2.7432 +32'h3fcb9b55,32'h3f46eb1b,32'h3f4f0999, 32'h3f40d43c,32'h3f552078, 32'h3f36ae1e,32'h3f5f4696,// invsqrt(1.5907) = 0.7929 +32'h3f47342c,32'h3f8e33d7,32'h3f9401b5, 32'h3f89d96f,32'h3f985c1d, 32'h3f829819,32'h3f9f9d73,// invsqrt(0.7781) = 1.1336 +32'h3e2070d6,32'h401e73cd,32'h4024eb77, 32'h40199a0d,32'h4029c537, 32'h40118478,32'h4031dacc,// invsqrt(0.1567) = 2.5263 +32'h4023439f,32'h3f1d1387,32'h3f237cd1, 32'h3f184490,32'h3f284bc8, 32'h3f1040f4,32'h3f304f64,// invsqrt(2.5510) = 0.6261 +32'h409e6170,32'h3ee189c4,32'h3eeabe69, 32'h3edaa249,32'h3ef1a5e5, 32'h3ecf207b,32'h3efd27b3,// invsqrt(4.9494) = 0.4495 +32'h3f9b290b,32'h3f63ddda,32'h3f6d2ad4, 32'h3f5ce41f,32'h3f74248f, 32'h3f5143e8,32'h3f7fc4c6,// invsqrt(1.2122) = 0.9083 +32'h3f3a98c6,32'h3f92ed84,32'h3f98ecc3, 32'h3f8e6e15,32'h3f9d6c33, 32'h3f86ef07,32'h3fa4eb41,// invsqrt(0.7289) = 1.1713 +32'h3ef60da9,32'h3fb4f2f7,32'h3fbc55b3, 32'h3faf68ea,32'h3fc1dfc0, 32'h3fa62d80,32'h3fcb1b2a,// invsqrt(0.4806) = 1.4425 +32'h3ec94f67,32'h3fc80cc1,32'h3fd03713, 32'h3fc1ed05,32'h3fd656cf, 32'h3fb7b81f,32'h3fe08bb5,// invsqrt(0.3932) = 1.5948 +32'h3f0d92ed,32'h3fa8ae29,32'h3faf90b2, 32'h3fa38441,32'h3fb4ba99, 32'h3f9ae915,32'h3fbd55c5,// invsqrt(0.5530) = 1.3447 +32'h3f5f21fc,32'h3f865c82,32'h3f8bd872, 32'h3f823f8e,32'h3f8ff566, 32'h3f76c942,32'h3f96d053,// invsqrt(0.8716) = 1.0711 +32'h3f82bdf3,32'h3f783c3a,32'h3f812f05, 32'h3f70a2e0,32'h3f84fbb2, 32'h3f63f89f,32'h3f8b50d3,// invsqrt(1.0214) = 0.9895 +32'h3f2d6c8e,32'h3f9867e0,32'h3f9ea05c, 32'h3f93bd83,32'h3fa34ab9, 32'h3f8bf6e8,32'h3fab1154,// invsqrt(0.6774) = 1.2150 +32'h3dccb0bb,32'h40466424,32'h404e7d20, 32'h40405167,32'h40548fdd, 32'h4036322b,32'h405eaf19,// invsqrt(0.0999) = 3.1631 +32'h3f3eb1bc,32'h3f915737,32'h3f9745e1, 32'h3f8ce438,32'h3f9bb8e0, 32'h3f8579e4,32'h3fa32334,// invsqrt(0.7449) = 1.1586 +32'h4088776b,32'h3ef2f917,32'h3efce3e9, 32'h3eeb88fa,32'h3f022a03, 32'h3edf2373,32'h3f085cc6,// invsqrt(4.2646) = 0.4842 +32'h400f22ea,32'h3f27c1d4,32'h3f2e9ab8, 32'h3f229f29,32'h3f33bd63, 32'h3f1a100c,32'h3f3c4c80,// invsqrt(2.2365) = 0.6687 +32'h3fb8c94b,32'h3f50cd7f,32'h3f595345, 32'h3f4a692a,32'h3f5fb79a, 32'h3f3fc1f2,32'h3f6a5ed2,// invsqrt(1.4436) = 0.8323 +32'h3ec326ad,32'h3fcb2ea1,32'h3fd379ad, 32'h3fc4f658,32'h3fd9b1f6, 32'h3fba988a,32'h3fe40fc5,// invsqrt(0.3812) = 1.6198 +32'h3f2d1d4b,32'h3f988ac0,32'h3f9ec4a8, 32'h3f93df51,32'h3fa37017, 32'h3f8c16ef,32'h3fab3879,// invsqrt(0.6762) = 1.2161 +32'h3fc513aa,32'h3f4a2fe0,32'h3f527086, 32'h3f43ff64,32'h3f58a102, 32'h3f39ae94,32'h3f62f1d2,// invsqrt(1.5397) = 0.8059 +32'h3fbd3a2e,32'h3f4e5686,32'h3f56c28b, 32'h3f480581,32'h3f5d138f, 32'h3f3d7e7a,32'h3f679a96,// invsqrt(1.4783) = 0.8225 +32'h3f31304d,32'h3f96c72d,32'h3f9ceea7, 32'h3f922992,32'h3fa18c42, 32'h3f8a7839,32'h3fa93d9b,// invsqrt(0.6921) = 1.2020 +32'h3fd0f95b,32'h3f4458e6,32'h3f4c5c87, 32'h3f3e562e,32'h3f525f40, 32'h3f3451a5,32'h3f5c63c9,// invsqrt(1.6326) = 0.7826 +32'h3e1ebb6c,32'h401f4d89,32'h4025ce17, 32'h401a6d1f,32'h402aae81, 32'h40124c6e,32'h4032cf32,// invsqrt(0.1550) = 2.5399 +32'h3cc410ba,32'h40cab537,32'h40d2fb4f, 32'h40c480a6,32'h40d92fe0, 32'h40ba2909,32'h40e3877d,// invsqrt(0.0239) = 6.4639 +32'h3c33c698,32'h4115b06f,32'h411bcc89, 32'h41111b5c,32'h4120619c, 32'h4109783c,32'h412804bc,// invsqrt(0.0110) = 9.5465 +32'h40935dc8,32'h3ee9d093,32'h3ef35bb3, 32'h3ee2a83b,32'h3efa840b, 32'h3ed6ba53,32'h3f0338fa,// invsqrt(4.6052) = 0.4660 +32'h3fec3a5b,32'h3f38ac81,32'h3f403629, 32'h3f330544,32'h3f45dd66, 32'h3f299933,32'h3f4f4977,// invsqrt(1.8455) = 0.7361 +32'h40d037dc,32'h3ec4b40d,32'h3eccbb66, 32'h3ebeae89,32'h3ed2c0e9, 32'h3eb4a55a,32'h3edcca18,// invsqrt(6.5068) = 0.3920 +32'h3fcc61cd,32'h3f468a6f,32'h3f4ea4fb, 32'h3f407686,32'h3f54b8e4, 32'h3f365556,32'h3f5eda14,// invsqrt(1.5967) = 0.7914 +32'h3f155456,32'h3fa43ddd,32'h3faaf205, 32'h3f9f36bf,32'h3faff923, 32'h3f96d58c,32'h3fb85a56,// invsqrt(0.5833) = 1.3093 +32'h3fbb46d6,32'h3f4f68e5,32'h3f57e01d, 32'h3f490f7b,32'h3f5e3987, 32'h3f3e7a74,32'h3f68ce8e,// invsqrt(1.4631) = 0.8267 +32'h40272ac7,32'h3f1b3b56,32'h3f219159, 32'h3f167ad3,32'h3f2651db, 32'h3f0e8f4e,32'h3f2e3d60,// invsqrt(2.6120) = 0.6187 +32'h3fd0ecf8,32'h3f445eb8,32'h3f4c6296, 32'h3f3e5bd2,32'h3f52657c, 32'h3f3456fd,32'h3f5c6a51,// invsqrt(1.6322) = 0.7827 +32'h3f3b88b9,32'h3f928f67,32'h3f988ace, 32'h3f8e12d9,32'h3f9d075b, 32'h3f869897,32'h3fa4819d,// invsqrt(0.7326) = 1.1684 +32'h405d4e07,32'h3f06ea45,32'h3f0c6bff, 32'h3f02c8fa,32'h3f108d4a, 32'h3ef7cda4,32'h3f176f72,// invsqrt(3.4579) = 0.5378 +32'h3f636715,32'h3f85180c,32'h3f8a86be, 32'h3f810506,32'h3f8e99c4, 32'h3f74754f,32'h3f956422,// invsqrt(0.8883) = 1.0610 +32'h3da34002,32'h405e2626,32'h40673760, 32'h40575939,32'h406e044d, 32'h404c03b0,32'h407959d7,// invsqrt(0.0797) = 3.5419 +32'h3b94b5b6,32'h4168c195,32'h417241a5, 32'h4161a188,32'h417961b2, 32'h4155c174,32'h4182a0e3,// invsqrt(0.0045) = 14.8441 +32'h3f9c8f8c,32'h3f62d85f,32'h3f6c1aad, 32'h3f5be6a6,32'h3f730c66, 32'h3f5053c5,32'h3f7e9f47,// invsqrt(1.2231) = 0.9042 +32'h3f02d514,32'h3faf77de,32'h3fb6a154, 32'h3faa18c5,32'h3fbc006d, 32'h3fa124f0,32'h3fc4f442,// invsqrt(0.5111) = 1.3988 +32'h3e34c914,32'h40154546,32'h401b5d00, 32'h4010b37b,32'h401feecb, 32'h400915d3,32'h40278c73,// invsqrt(0.1765) = 2.3800 +32'h3e0d3ea6,32'h4028e074,32'h402fc50c, 32'h4023b503,32'h4034f07d, 32'h401b1746,32'h403d8e3a,// invsqrt(0.1379) = 2.6926 +32'h3e25512a,32'h401c1913,32'h40227824, 32'h401751c7,32'h40273f71, 32'h400f5af3,32'h402f3645,// invsqrt(0.1614) = 2.4888 +32'h3f4b07b8,32'h3f8cdb2b,32'h3f929af9, 32'h3f888b51,32'h3f96ead3, 32'h3f815b90,32'h3f9e1a94,// invsqrt(0.7931) = 1.1229 +32'h40b698be,32'h3ed20d0a,32'h3eda9fdc, 32'h3ecb9eed,32'h3ee10df9, 32'h3ec0e768,32'h3eebc57f,// invsqrt(5.7061) = 0.4186 +32'h3f7bd788,32'h3f7cf150,32'h3f83a226, 32'h3f753310,32'h3f878146, 32'h3f684b53,32'h3f8df524,// invsqrt(0.9838) = 1.0082 +32'h403045a5,32'h3f172b67,32'h3f1d56f9, 32'h3f128aba,32'h3f21f7a6, 32'h3f0ad445,32'h3f29ae1b,// invsqrt(2.7543) = 0.6026 +32'h3f8ac6d6,32'h3f70f12f,32'h3f7ac6c9, 32'h3f6990fc,32'h3f81137e, 32'h3f5d45fc,32'h3f8738fe,// invsqrt(1.0842) = 0.9604 +32'h3f18ecaf,32'h3fa24cb2,32'h3fa8ec90, 32'h3f9d54cc,32'h3fade476, 32'h3f950cf7,32'h3fb62c4b,// invsqrt(0.5974) = 1.2938 +32'h4053f50a,32'h3f09dba6,32'h3f0f7c1f, 32'h3f05a34a,32'h3f13b47a, 32'h3efd3564,32'h3f1abd12,// invsqrt(3.3118) = 0.5495 +32'h401926cb,32'h3f222de5,32'h3f28cc81, 32'h3f1d36f0,32'h3f2dc376, 32'h3f14f0ae,32'h3f3609b8,// invsqrt(2.3930) = 0.6464 +32'h3f42da13,32'h3f8fc821,32'h3f95a680, 32'h3f8b6159,32'h3f9a0d47, 32'h3f840b62,32'h3fa1633e,// invsqrt(0.7611) = 1.1462 +32'h3f90595b,32'h3f6c3eec,32'h3f75e372, 32'h3f650386,32'h3f7d1ed8, 32'h3f58f5de,32'h3f849640,// invsqrt(1.1277) = 0.9417 +32'h3edeba4a,32'h3fbe3038,32'h3fc5f37e, 32'h3fb85dc3,32'h3fcbc5f3, 32'h3faea9ac,32'h3fd57a0b,// invsqrt(0.4350) = 1.5162 +32'h400c857e,32'h3f294f92,32'h3f3038b2, 32'h3f2420ba,32'h3f35678a, 32'h3f1b7d52,32'h3f3e0af2,// invsqrt(2.1956) = 0.6749 +32'h3e860218,32'h3ff53105,32'h3fff3305, 32'h3fedaf85,32'h40035a43, 32'h3fe12d04,32'h40099b83,// invsqrt(0.2617) = 1.9547 +32'h3f5639fe,32'h3f892039,32'h3f8eb90d, 32'h3f84ed9b,32'h3f92ebab, 32'h3f7bdd25,32'h3f99eab3,// invsqrt(0.8368) = 1.0932 +32'h3f34c249,32'h3f954814,32'h3f9b5fec, 32'h3f90b633,32'h3f9ff1cd, 32'h3f891866,32'h3fa78f9a,// invsqrt(0.7061) = 1.1901 +32'h3f585823,32'h3f8873fc,32'h3f8e05c8, 32'h3f8446a4,32'h3f923320, 32'h3f7aa0ca,32'h3f99295f,// invsqrt(0.8451) = 1.0878 +32'h3d8d721d,32'h406ea875,32'h40786631, 32'h40675a28,32'h407fb47e, 32'h405b2cfe,32'h4085f0d4,// invsqrt(0.0691) = 3.8051 +32'h3f297e4d,32'h3f9a29af,32'h3fa07487, 32'h3f95718d,32'h3fa52ca9, 32'h3f8d93ff,32'h3fad0a37,// invsqrt(0.6621) = 1.2290 +32'h400a11b9,32'h3f2acec9,32'h3f31c78d, 32'h3f259436,32'h3f370220, 32'h3f1cdd40,32'h3f3fb916,// invsqrt(2.1573) = 0.6808 +32'h3ec0ef0c,32'h3fcc58ab,32'h3fd4afe1, 32'h3fc61742,32'h3fdaf14a, 32'h3fbbaa3f,32'h3fe55e4d,// invsqrt(0.3768) = 1.6290 +32'h3f8e9e4e,32'h3f6dacc5,32'h3f77603b, 32'h3f66662d,32'h3f7ea6d3, 32'h3f5a45da,32'h3f856393,// invsqrt(1.1142) = 0.9474 +32'h3fb6c2c4,32'h3f51f4e3,32'h3f5a86b8, 32'h3f4b8783,32'h3f60f417, 32'h3f40d138,32'h3f6baa62,// invsqrt(1.4278) = 0.8369 +32'h3e77ced9,32'h3ffefe39,32'h4004b352, 32'h3ff72fe8,32'h40089a7a, 32'h3fea2d63,32'h400f1bbc,// invsqrt(0.2420) = 2.0328 +32'h46c89400,32'h3bc86a20,32'h3bd09840, 32'h3bc24787,32'h3bd6bad9, 32'h3bb80dde,32'h3be0f482,// invsqrt(25674.0000) = 0.0062 +32'h3f9a8853,32'h3f64543a,32'h3f6da608, 32'h3f5d56df,32'h3f74a363, 32'h3f51b09e,32'h3f8024d2,// invsqrt(1.2073) = 0.9101 +32'h3f0cf497,32'h3fa90ccb,32'h3faff332, 32'h3fa3dfff,32'h3fb51fff, 32'h3f9b3fff,32'h3fbdbfff,// invsqrt(0.5506) = 1.3477 +32'h3ee80b0c,32'h3fba54e8,32'h3fc1efe1, 32'h3fb4a0ac,32'h3fc7a41c, 32'h3fab1ef4,32'h3fd125d4,// invsqrt(0.4532) = 1.4854 +32'h3d1d6a37,32'h409ff7cf,32'h40a67f4f, 32'h409b122e,32'h40ab64f0, 32'h4092e8ce,32'h40b38e51,// invsqrt(0.0384) = 5.1010 +32'h3fdd0915,32'h3f3eea3d,32'h3f46b51b, 32'h3f391217,32'h3f4c8d41, 32'h3f2f5481,32'h3f564ad7,// invsqrt(1.7268) = 0.7610 +32'h3f328dcf,32'h3f963352,32'h3f9c54c4, 32'h3f919a3e,32'h3fa0edd8, 32'h3f89f070,32'h3fa897a6,// invsqrt(0.6975) = 1.1974 +32'h3f7630e4,32'h3f7fd440,32'h3f8522b4, 32'h3f77ff63,32'h3f890d22, 32'h3f6af1f2,32'h3f8f93db,// invsqrt(0.9617) = 1.0197 +32'h3f2a94a2,32'h3f99abb6,32'h3f9ff16a, 32'h3f94f76f,32'h3fa4a5b1, 32'h3f8d204e,32'h3fac7cd2,// invsqrt(0.6663) = 1.2251 +32'h40fa4936,32'h3eb3699b,32'h3ebabc49, 32'h3eadeb99,32'h3ec03a4b, 32'h3ea4c440,32'h3ec961a4,// invsqrt(7.8214) = 0.3576 +32'h3f9c3059,32'h3f631d77,32'h3f6c6295, 32'h3f5c299f,32'h3f73566d, 32'h3f509339,32'h3f7eecd3,// invsqrt(1.2202) = 0.9053 +32'h44056db7,32'h3d2dc0b2,32'h3d34d83c, 32'h3d286f0b,32'h3d3a29e3, 32'h3d1f919e,32'h3d430750,// invsqrt(533.7143) = 0.0433 +32'h3f16c23d,32'h3fa37613,32'h3faa2214, 32'h3f9e7513,32'h3faf2315, 32'h3f961e12,32'h3fb77a16,// invsqrt(0.5889) = 1.3031 +32'h3f877bae,32'h3f73da6a,32'h3f7dce6e, 32'h3f6c6367,32'h3f82a2b9, 32'h3f5ff261,32'h3f88db3b,// invsqrt(1.0585) = 0.9720 +32'h3f62c05f,32'h3f8548f0,32'h3f8ab9a2, 32'h3f81346c,32'h3f8ece26, 32'h3f74cf1d,32'h3f959b04,// invsqrt(0.8857) = 1.0625 +32'h400447e2,32'h3f2e8141,32'h3f35a0a7, 32'h3f2929b5,32'h3f3af833, 32'h3f204275,32'h3f43df73,// invsqrt(2.0669) = 0.6956 +32'h3f2c46b4,32'h3f98e9a3,32'h3f9f276b, 32'h3f943b4d,32'h3fa3d5c1, 32'h3f8c6e13,32'h3faba2fb,// invsqrt(0.6730) = 1.2190 +32'h3f57412f,32'h3f88cc4a,32'h3f8e61b1, 32'h3f849c3e,32'h3f9291be, 32'h3f7b42fc,32'h3f998c7e,// invsqrt(0.8408) = 1.0905 +32'h3e4c47b9,32'h400c6cad,32'h401227f7, 32'h40082034,32'h40167470, 32'h4000f617,32'h401d9e8d,// invsqrt(0.1995) = 2.2389 +32'h3e9d7dfb,32'h3fe22c67,32'h3feb67af, 32'h3fdb3ff1,32'h3ff25425, 32'h3fcfb5d7,32'h3ffdde3f,// invsqrt(0.3076) = 1.8030 +32'h3f8918d1,32'h3f7269e8,32'h3f7c4ee2, 32'h3f6afe2d,32'h3f81dd4f, 32'h3f5e9ff4,32'h3f880c6b,// invsqrt(1.0711) = 0.9663 +32'h402bc67b,32'h3f1922ab,32'h3f1f62c7, 32'h3f147296,32'h3f2412dc, 32'h3f0ca273,32'h3f2be2ff,// invsqrt(2.6840) = 0.6104 +32'h3f16c044,32'h3fa37725,32'h3faa2331, 32'h3f9e761c,32'h3faf243a, 32'h3f961f0d,32'h3fb77b49,// invsqrt(0.5889) = 1.3031 +32'h3f873428,32'h3f741ae1,32'h3f7e1187, 32'h3f6ca1e5,32'h3f82c542, 32'h3f602d95,32'h3f88ff69,// invsqrt(1.0563) = 0.9730 +32'h3fb4600b,32'h3f535728,32'h3f5bf773, 32'h3f4cdef0,32'h3f626fac, 32'h3f421693,32'h3f6d3809,// invsqrt(1.4092) = 0.8424 +32'h3e83ca6b,32'h3ff73ee3,32'h4000ab2d, 32'h3fefad49,32'h400473f9, 32'h3fe30ff5,32'h400ac2a4,// invsqrt(0.2574) = 1.9710 +32'h3f8ff733,32'h3f6c8f67,32'h3f763737, 32'h3f65518b,32'h3f7d7513, 32'h3f593fc7,32'h3f84c36b,// invsqrt(1.1247) = 0.9429 +32'h3f7d7360,32'h3f7c237a,32'h3f833709, 32'h3f746b89,32'h3f871302, 32'h3f678e4c,32'h3f8d81a0,// invsqrt(0.9900) = 1.0050 +32'h3da0b4da,32'h405fe670,32'h406909f7, 32'h40590bca,32'h406fe49c, 32'h404d9f61,32'h407b5105,// invsqrt(0.0785) = 3.5698 +32'h3f79e3b8,32'h3f7dedc7,32'h3f84258a, 32'h3f7627cf,32'h3f880887, 32'h3f693330,32'h3f8e82d6,// invsqrt(0.9761) = 1.0122 +32'h40e635f6,32'h3ebb125e,32'h3ec2b513, 32'h3eb55855,32'h3ec86f1b, 32'h3eabccf2,32'h3ed1fa7e,// invsqrt(7.1941) = 0.3728 +32'h3f83c1ea,32'h3f7746dd,32'h3f80af54, 32'h3f6fb505,32'h3f84783f, 32'h3f631748,32'h3f8ac71e,// invsqrt(1.0294) = 0.9856 +32'h3f3b77ce,32'h3f929604,32'h3f9891b0, 32'h3f8e1942,32'h3f9d0e72, 32'h3f869eab,32'h3fa48909,// invsqrt(0.7323) = 1.1686 +32'h40d67e69,32'h3ec1cdee,32'h3ec9b6fd, 32'h3ebbdf23,32'h3ecfa5c9, 32'h3eb1fbd1,32'h3ed9891b,// invsqrt(6.7029) = 0.3862 +32'h409babf3,32'h3ee37df7,32'h3eecc707, 32'h3edc872c,32'h3ef3bdd2, 32'h3ed0ebd9,32'h3eff5925,// invsqrt(4.8647) = 0.4534 +32'h401dc7bb,32'h3f1fc860,32'h3f264df0, 32'h3f1ae433,32'h3f2b321d, 32'h3f12bd3e,32'h3f335912,// invsqrt(2.4653) = 0.6369 +32'h400700fa,32'h3f2cbc6e,32'h3f33c959, 32'h3f2772bf,32'h3f391309, 32'h3f1ea29a,32'h3f41e32e,// invsqrt(2.1094) = 0.6885 +32'h3fd944f8,32'h3f409001,32'h3f486c15, 32'h3f3aaaf1,32'h3f4e5125, 32'h3f30d7d7,32'h3f58243f,// invsqrt(1.6974) = 0.7675 +32'h3c202dc4,32'h411e94f6,32'h41250dfa, 32'h4119ba32,32'h4129e8be, 32'h4111a2ec,32'h41320004,// invsqrt(0.0098) = 10.1136 +32'h401b3317,32'h3f211b0c,32'h3f27ae70, 32'h3f1c2c81,32'h3f2c9cfb, 32'h3f13f445,32'h3f34d537,// invsqrt(2.4250) = 0.6422 +32'h3f8ea090,32'h3f6daae3,32'h3f775e45, 32'h3f666459,32'h3f7ea4cf, 32'h3f5a441f,32'h3f856285,// invsqrt(1.1143) = 0.9473 +32'h41510087,32'h3e8ad445,32'h3e907ee5, 32'h3e86944e,32'h3e94bedc, 32'h3e7efe0c,32'h3e9bd424,// invsqrt(13.0626) = 0.2767 +32'h3fafdd42,32'h3f5608a2,32'h3f5ec511, 32'h3f4f7b4e,32'h3f655264, 32'h3f448fc3,32'h3f703def,// invsqrt(1.3739) = 0.8531 +32'h3fb43a90,32'h3f536d21,32'h3f5c0e51, 32'h3f4cf43d,32'h3f628735, 32'h3f422ac0,32'h3f6d50b2,// invsqrt(1.4080) = 0.8427 +32'h3ef014e0,32'h3fb72f8c,32'h3fbea9a6, 32'h3fb193f8,32'h3fc4453a, 32'h3fa83b56,32'h3fcd9ddc,// invsqrt(0.4689) = 1.4603 +32'h3eca4c2e,32'h3fc78f9e,32'h3fcfb4d4, 32'h3fc173b6,32'h3fd5d0bc, 32'h3fb74533,32'h3fdfff3f,// invsqrt(0.3951) = 1.5909 +32'h407c31d1,32'h3efcc405,32'h3f038a95, 32'h3ef5072a,32'h3f076903, 32'h3ee821bc,32'h3f0ddbba,// invsqrt(3.9405) = 0.5038 +32'h3f97684a,32'h3f66ac64,32'h3f7016b1, 32'h3f5f9caa,32'h3f77266a, 32'h3f53d7c9,32'h3f8175a6,// invsqrt(1.1829) = 0.9195 +32'h3e624ea5,32'h40056a69,32'h400adc78, 32'h400154df,32'h400ef203, 32'h3ff50c98,32'h4015c096,// invsqrt(0.2210) = 2.1272 +32'h3f3cd996,32'h3f920c75,32'h3f980285, 32'h3f8d93ea,32'h3f9c7b10, 32'h3f862057,32'h3fa3eea3,// invsqrt(0.7377) = 1.1643 +32'h3eb9522a,32'h3fd08055,32'h3fd902f5, 32'h3fca1e5d,32'h3fdf64ed, 32'h3fbf7b14,32'h3fea0836,// invsqrt(0.3620) = 1.6622 +32'h400ab6f6,32'h3f2a68ef,32'h3f315d8b, 32'h3f25317a,32'h3f369500, 32'h3f1c7fb7,32'h3f3f46c3,// invsqrt(2.1674) = 0.6792 +32'h41aa5bf8,32'h3e5976e3,32'h3e62572b, 32'h3e52cead,32'h3e68ff61, 32'h3e47b653,32'h3e7417bb,// invsqrt(21.2949) = 0.2167 +32'h3fa36fcc,32'h3f5e05a9,32'h3f671591, 32'h3f5739bc,32'h3f6de17e, 32'h3f4be5da,32'h3f793560,// invsqrt(1.2768) = 0.8850 +32'h3f9b316b,32'h3f63d7b4,32'h3f6d246c, 32'h3f5cde29,32'h3f741df7, 32'h3f513e42,32'h3f7fbdde,// invsqrt(1.2124) = 0.9082 +32'h3ec4420b,32'h3fca9bbe,32'h3fd2e0ca, 32'h3fc467f4,32'h3fd91494, 32'h3fba11a4,32'h3fe36ae4,// invsqrt(0.3833) = 1.6152 +32'h3f885b84,32'h3f7311f2,32'h3f7cfdc8, 32'h3f6ba112,32'h3f823754, 32'h3f5f3a47,32'h3f886aba,// invsqrt(1.0653) = 0.9689 +32'h3fccd6b4,32'h3f4651bf,32'h3f4e69fb, 32'h3f403f92,32'h3f547c28, 32'h3f362147,32'h3f5e9a73,// invsqrt(1.6003) = 0.7905 +32'h3fcde573,32'h3f45cf30,32'h3f4de218, 32'h3f3fc102,32'h3f53f046, 32'h3f35a960,32'h3f5e07e8,// invsqrt(1.6086) = 0.7885 +32'h3ec5c73e,32'h3fc9d401,32'h3fd210e7, 32'h3fc3a655,32'h3fd83e93, 32'h3fb95a35,32'h3fe28ab3,// invsqrt(0.3863) = 1.6090 +32'h3e054ab7,32'h402dd781,32'h4034eff9, 32'h40288527,32'h403a4253, 32'h401fa690,32'h404320ea,// invsqrt(0.1302) = 2.7717 +32'h3edee809,32'h3fbe1cb3,32'h3fc5df2d, 32'h3fb84ad7,32'h3fcbb109, 32'h3fae97be,32'h3fd56422,// invsqrt(0.4354) = 1.5156 +32'h3bbcf048,32'h414e7edc,32'h4156ec86, 32'h41482c9b,32'h415d3ec7, 32'h413da386,32'h4167c7dc,// invsqrt(0.0058) = 13.1694 +32'h40089ee1,32'h3f2bb5fe,32'h3f32b833, 32'h3f267458,32'h3f37f9da, 32'h3f1db196,32'h3f40bc9c,// invsqrt(2.1347) = 0.6844 +32'h3ea2687e,32'h3fdeb95a,32'h3fe7d098, 32'h3fd7e7ed,32'h3feea205, 32'h3fcc8ae0,32'h3ff9ff12,// invsqrt(0.3172) = 1.7755 +32'h3ebc874f,32'h3fceb851,32'h3fd72855, 32'h3fc8644f,32'h3fdd7c57, 32'h3fbdd84b,32'h3fe8085b,// invsqrt(0.3682) = 1.6480 +32'h3f5fde8a,32'h3f8623e0,32'h3f8b9d81, 32'h3f8208a8,32'h3f8fb8ba, 32'h3f76613f,32'h3f9690c3,// invsqrt(0.8745) = 1.0694 +32'h41deee4e,32'h3e3e1a06,32'h3e45dc65, 32'h3e384840,32'h3e4bae2c, 32'h3e2e954a,32'h3e556122,// invsqrt(27.8664) = 0.1894 +32'h3e028eef,32'h402fa6fa,32'h4036d25e, 32'h402a4670,32'h403c32e8, 32'h40215034,32'h40452924,// invsqrt(0.1275) = 2.8006 +32'h3e2c2397,32'h4018f93b,32'h401f37a5, 32'h40144a6a,32'h4023e676, 32'h400c7c65,32'h402bb47b,// invsqrt(0.1681) = 2.4390 +32'h3e80de48,32'h3ffa088c,32'h40021e92, 32'h3ff2611a,32'h4005f24b, 32'h3fe59f5c,32'h400c532a,// invsqrt(0.2517) = 1.9933 +32'h41970a9a,32'h3e66f3e3,32'h3e70611b, 32'h3e5fe1f9,32'h3e777305, 32'h3e541972,32'h3e819dc6,// invsqrt(18.8802) = 0.2301 +32'h3de860eb,32'h403a3277,32'h4041cc09, 32'h40347f4a,32'h40477f36, 32'h402aff53,32'h4050ff2d,// invsqrt(0.1135) = 2.9687 +32'h3fed0329,32'h3f385e35,32'h3f3fe4ab, 32'h3f32b95e,32'h3f458982, 32'h3f29514b,32'h3f4ef195,// invsqrt(1.8517) = 0.7349 +32'h3fd647e3,32'h3f41e695,32'h3f49d0a5, 32'h3f3bf708,32'h3f4fc032, 32'h3f321274,32'h3f59a4c6,// invsqrt(1.6741) = 0.7729 +32'h3fc77454,32'h3f48fa73,32'h3f512e77, 32'h3f42d36f,32'h3f57557b, 32'h3f389269,32'h3f619681,// invsqrt(1.5582) = 0.8011 +32'h3f51e44d,32'h3f8a88dc,32'h3f903068, 32'h3f864b34,32'h3f946e10, 32'h3f7e738a,32'h3f9b7f7f,// invsqrt(0.8199) = 1.1044 +32'h3f4af135,32'h3f8ce2fb,32'h3f92a31b, 32'h3f8892e4,32'h3f96f332, 32'h3f8162bd,32'h3f9e2359,// invsqrt(0.7927) = 1.1231 +32'h3f6ed704,32'h3f81de3e,32'h3f872b3b, 32'h3f7bc8ff,32'h3f8b24f9, 32'h3f6e8886,32'h3f91c535,// invsqrt(0.9330) = 1.0353 +32'h3e1f41bd,32'h401f0a4e,32'h4025881c, 32'h401a2bf2,32'h402a6678, 32'h40120eb0,32'h403283ba,// invsqrt(0.1555) = 2.5357 +32'h400c32f6,32'h3f298160,32'h3f306c88, 32'h3f245101,32'h3f359ce7, 32'h3f1bab0f,32'h3f3e42d9,// invsqrt(2.1906) = 0.6756 +32'h4045db10,32'h3f0eafa6,32'h3f148292, 32'h3f0a5174,32'h3f18e0c4, 32'h3f0309cc,32'h3f20286c,// invsqrt(3.0915) = 0.5687 +32'h40df18b0,32'h3ebe07f7,32'h3ec5c999, 32'h3eb836be,32'h3ecb9ad2, 32'h3eae84b4,32'h3ed54cdc,// invsqrt(6.9718) = 0.3787 +32'h410a1415,32'h3eaacd53,32'h3eb1c609, 32'h3ea592cc,32'h3eb70090, 32'h3e9cdbe9,32'h3ebfb773,// invsqrt(8.6299) = 0.3404 +32'h3ebf0be0,32'h3fcd5a70,32'h3fd5bc2b, 32'h3fc71123,32'h3fdc0577, 32'h3fbc96f8,32'h3fe67fa2,// invsqrt(0.3731) = 1.6371 +32'h3ea4b090,32'h3fdd2d08,32'h3fe63418, 32'h3fd667bc,32'h3fecf964, 32'h3fcb1ee8,32'h3ff84238,// invsqrt(0.3217) = 1.7632 +32'h3f93b541,32'h3f698b4d,32'h3f731399, 32'h3f626514,32'h3f7a39d2, 32'h3f567ab4,32'h3f831219,// invsqrt(1.1540) = 0.9309 +32'h3f831da5,32'h3f77e193,32'h3f80ffd7, 32'h3f704aff,32'h3f84cb21, 32'h3f63a55e,32'h3f8b1df2,// invsqrt(1.0243) = 0.9880 +32'h42069f50,32'h3e2cfb0b,32'h3e340a84, 32'h3e27af71,32'h3e39561f, 32'h3e1edc1a,32'h3e422976,// invsqrt(33.6556) = 0.1724 +32'h3f8ff54c,32'h3f6c90f8,32'h3f7638d8, 32'h3f655310,32'h3f7d76c0, 32'h3f594137,32'h3f84c44c,// invsqrt(1.1247) = 0.9429 +32'h3d9a162d,32'h4064a8bd,32'h406dfdff, 32'h405da8cc,32'h4074fdf0, 32'h4051fe3b,32'h40805440,// invsqrt(0.0752) = 3.6457 +32'h3fbfbe25,32'h3f4cfae3,32'h3f5558b9, 32'h3f46b484,32'h3f5b9f18, 32'h3f3c3f39,32'h3f661463,// invsqrt(1.4980) = 0.8170 +32'h3f82f824,32'h3f78050f,32'h3f81124e, 32'h3f706d64,32'h3f84de23, 32'h3f63c5f3,32'h3f8b31dc,// invsqrt(1.0232) = 0.9886 +32'h3fa446be,32'h3f5d7439,32'h3f667e31, 32'h3f56acbf,32'h3f6d45ab, 32'h3f4b6049,32'h3f789221,// invsqrt(1.2834) = 0.8827 +32'h402465c3,32'h3f1c88ae,32'h3f22ec4c, 32'h3f17bdf7,32'h3f27b703, 32'h3f0fc170,32'h3f2fb38a,// invsqrt(2.5687) = 0.6239 +32'h3f8e2324,32'h3f6e13a8,32'h3f77cb50, 32'h3f66c9e9,32'h3f7f150f, 32'h3f5aa456,32'h3f859d51,// invsqrt(1.1104) = 0.9490 +32'h3eef326f,32'h3fb7862d,32'h3fbf03d1, 32'h3fb1e7f2,32'h3fc4a20c, 32'h3fa88ae6,32'h3fcdff19,// invsqrt(0.4672) = 1.4630 +32'h4011baac,32'h3f264211,32'h3f2d0b4c, 32'h3f212b26,32'h3f322238, 32'h3f18af9e,32'h3f3a9dc1,// invsqrt(2.2770) = 0.6627 +32'h3fc5bdb8,32'h3f49d8dd,32'h3f5215f5, 32'h3f43ab0a,32'h3f5843c8, 32'h3f395eab,32'h3f629027,// invsqrt(1.5449) = 0.8046 +32'h3fa59055,32'h3f5c975e,32'h3f659852, 32'h3f55d6a7,32'h3f6c5909, 32'h3f4a9576,32'h3f779a3a,// invsqrt(1.2935) = 0.8793 +32'h3f7b7213,32'h3f7d2452,32'h3f83bcb3, 32'h3f756484,32'h3f879c9a, 32'h3f687a2c,32'h3f8e11c6,// invsqrt(0.9822) = 1.0090 +32'h3e5a15ba,32'h4007e84f,32'h400d7467, 32'h4003bf3d,32'h40119d79, 32'h3ff9a03d,32'h40188c97,// invsqrt(0.2130) = 2.1669 +32'h3c1cdb62,32'h41204093,32'h4126cb0c, 32'h411b58b9,32'h412bb2e7, 32'h41132ba2,32'h4133dffe,// invsqrt(0.0096) = 10.2202 +32'h3f7f3789,32'h3f7b43bc,32'h3f82c298, 32'h3f7392a3,32'h3f869b24, 32'h3f66c0d1,32'h3f8d040e,// invsqrt(0.9969) = 1.0015 +32'h3fb276e3,32'h3f547805,32'h3f5d241b, 32'h3f4df6f6,32'h3f63a52a, 32'h3f431fdb,32'h3f6e7c45,// invsqrt(1.3943) = 0.8469 +32'h3f8c3733,32'h3f6fb3e0,32'h3f797c86, 32'h3f685d64,32'h3f806981, 32'h3f5c2294,32'h3f8686e9,// invsqrt(1.0954) = 0.9554 +32'h3f95f795,32'h3f67c747,32'h3f713d20, 32'h3f60aee4,32'h3f785582, 32'h3f54db94,32'h3f821469,// invsqrt(1.1716) = 0.9239 +32'h3e69ac22,32'h40034bd7,32'h4008a7c1, 32'h3ffe8dd0,32'h400cacb0, 32'h3ff12809,32'h40135f94,// invsqrt(0.2282) = 2.0934 +32'h3eec2f55,32'h3fb8b0d0,32'h3fc03aa4, 32'h3fb30971,32'h3fc5e203, 32'h3fa99d27,32'h3fcf4e4d,// invsqrt(0.4613) = 1.4723 +32'h3ffbc553,32'h3f32e1f9,32'h3f3a2f1d, 32'h3f2d681e,32'h3f3fa8f8, 32'h3f2447b0,32'h3f48c966,// invsqrt(1.9670) = 0.7130 +32'h401b34e3,32'h3f211a1d,32'h3f27ad77, 32'h3f1c2b9a,32'h3f2c9bfa, 32'h3f13f369,32'h3f34d42b,// invsqrt(2.4251) = 0.6421 +32'h3ea00fe4,32'h3fe059b2,32'h3fe981ee, 32'h3fd97b86,32'h3ff0601a, 32'h3fce093b,32'h3ffbd265,// invsqrt(0.3126) = 1.7885 +32'h3f7f5178,32'h3f7b36f9,32'h3f82bbf4, 32'h3f738644,32'h3f86944e, 32'h3f66b518,32'h3f8cfce4,// invsqrt(0.9973) = 1.0013 +32'h3e53edbc,32'h4009de06,32'h400f7e98, 32'h4005a598,32'h4013b706, 32'h3ffd39c1,32'h401abfbd,// invsqrt(0.2070) = 2.1981 +32'h3f6da8d3,32'h3f8230b4,32'h3f87810f, 32'h3f7c68e1,32'h3f8b7d54, 32'h3f6f1ffd,32'h3f9221c5,// invsqrt(0.9284) = 1.0379 +32'h3ef4b4a3,32'h3fb5725b,32'h3fbcda49, 32'h3fafe468,32'h3fc2683c, 32'h3fa6a27d,32'h3fcbaa27,// invsqrt(0.4779) = 1.4465 +32'h3fb97c17,32'h3f5068c3,32'h3f58ea6d, 32'h3f4a0784,32'h3f5f4bac, 32'h3f3f656f,32'h3f69edc1,// invsqrt(1.4491) = 0.8307 +32'h3ed2f5b5,32'h3fc36bc5,32'h3fcb65b9, 32'h3fbd704f,32'h3fd1612f, 32'h3fb377df,32'h3fdb599f,// invsqrt(0.4120) = 1.5579 +32'h3f92d9c1,32'h3f6a3997,32'h3f73c900, 32'h3f630e07,32'h3f7af48f, 32'h3f571ac4,32'h3f8373e9,// invsqrt(1.1473) = 0.9336 +32'h3ea23bb4,32'h3fded817,32'h3fe7f095, 32'h3fd805b8,32'h3feec2f4, 32'h3fcca71a,32'h3ffa2192,// invsqrt(0.3169) = 1.7765 +32'h3ec11f9c,32'h3fcc3ef8,32'h3fd49522, 32'h3fc5fe59,32'h3fdad5c1, 32'h3fbb92a5,32'h3fe54175,// invsqrt(0.3772) = 1.6282 +32'h3f6ef52e,32'h3f81d60b,32'h3f8722b3, 32'h3f7bb91b,32'h3f8b1c31, 32'h3f6e7978,32'h3f91bc02,// invsqrt(0.9334) = 1.0350 +32'h3fbf15f1,32'h3f4d5507,32'h3f55b689, 32'h3f470be4,32'h3f5bffac, 32'h3f3c9201,32'h3f66798f,// invsqrt(1.4929) = 0.8184 +32'h3f384593,32'h3f93da10,32'h3f99e2f6, 32'h3f8f5363,32'h3f9e69a3, 32'h3f87c843,32'h3fa5f4c3,// invsqrt(0.7198) = 1.1787 +32'h3ed921f3,32'h3fc09f87,32'h3fc87c3f, 32'h3fbab9fe,32'h3fce61c8, 32'h3fb0e619,32'h3fd835ad,// invsqrt(0.4241) = 1.5356 +32'h3f421ddc,32'h3f900dc4,32'h3f95eefc, 32'h3f8ba4db,32'h3f9a57e5, 32'h3f844b56,32'h3fa1b16a,// invsqrt(0.7583) = 1.1484 +32'h3fb63b4c,32'h3f5242de,32'h3f5ad7e2, 32'h3f4bd31b,32'h3f6147a5, 32'h3f4118d6,32'h3f6c01ea,// invsqrt(1.4237) = 0.8381 +32'h3fa86719,32'h3f5ab959,32'h3f63a6cb, 32'h3f540744,32'h3f6a58e0, 32'h3f48de77,32'h3f7581ad,// invsqrt(1.3156) = 0.8718 +32'h40040cd9,32'h3f2ea83f,32'h3f35c93d, 32'h3f294f81,32'h3f3b21fb, 32'h3f206645,32'h3f440b37,// invsqrt(2.0633) = 0.6962 +32'h3f87e384,32'h3f737d2d,32'h3f7d6d64, 32'h3f6c0905,32'h3f8270c6, 32'h3f5f9cc2,32'h3f88a6e8,// invsqrt(1.0616) = 0.9705 +32'h3e3b50b5,32'h4012a54f,32'h4018a19b, 32'h400e2816,32'h401d1ed4, 32'h4006acb6,32'h40249a34,// invsqrt(0.1829) = 2.3381 +32'h3ff75d39,32'h3f347811,32'h3f3bd5c9, 32'h3f2ef1c8,32'h3f415c12, 32'h3f25bca2,32'h3f4a9138,// invsqrt(1.9325) = 0.7193 +32'h40b255a8,32'h3ed48bd0,32'h3edd38b4, 32'h3ece0a25,32'h3ee3ba5f, 32'h3ec33208,32'h3eee927c,// invsqrt(5.5730) = 0.4236 +32'h411337ea,32'h3ea56a3f,32'h3eac2aab, 32'h3ea059ef,32'h3eb13afb, 32'h3e97e969,32'h3eb9ab81,// invsqrt(9.2012) = 0.3297 +32'h3f7074dd,32'h3f816e4c,32'h3f86b6b7, 32'h3f7aeff5,32'h3f8aad07, 32'h3f6dbae8,32'h3f91478e,// invsqrt(0.9393) = 1.0318 +32'h3ea85122,32'h3fdac79e,32'h3fe3b5a4, 32'h3fd41519,32'h3fea6829, 32'h3fc8eb91,32'h3ff591b1,// invsqrt(0.3287) = 1.7441 +32'h41f6a17a,32'h3e34bcb5,32'h3e3c1d39, 32'h3e2f3451,32'h3e41a59d, 32'h3e25fbab,32'h3e4ade43,// invsqrt(30.8288) = 0.1801 +32'h3f60acc4,32'h3f85e642,32'h3f8b5d5f, 32'h3f81cced,32'h3f8f76b5, 32'h3f75f012,32'h3f964b99,// invsqrt(0.8776) = 1.0674 +32'h3f5da308,32'h3f86d063,32'h3f8c510f, 32'h3f82afe3,32'h3f90718f, 32'h3f779e1a,32'h3f975265,// invsqrt(0.8658) = 1.0747 +32'h3f96d4d2,32'h3f671d0c,32'h3f708bf2, 32'h3f6009df,32'h3f779f1f, 32'h3f543f3f,32'h3f81b4df,// invsqrt(1.1784) = 0.9212 +32'h4117bf67,32'h3ea2ed80,32'h3ea993ee, 32'h3e9df0ae,32'h3eae90c0, 32'h3e95a0a5,32'h3eb6e0c9,// invsqrt(9.4842) = 0.3247 +32'h3f5123fa,32'h3f8ac880,32'h3f9072a5, 32'h3f8688e5,32'h3f94b241, 32'h3f7ee86f,32'h3f9bc6ee,// invsqrt(0.8170) = 1.1064 +32'h3fc133d7,32'h3f4c3446,32'h3f548a00, 32'h3f45f3fb,32'h3f5aca4b, 32'h3f3b88d3,32'h3f653573,// invsqrt(1.5094) = 0.8140 +32'h411ee7e2,32'h3e9f373f,32'h3ea5b6e3, 32'h3e9a5783,32'h3eaa969f, 32'h3e9237f6,32'h3eb2b62c,// invsqrt(9.9316) = 0.3173 +32'h40f10d25,32'h3eb6d11e,32'h3ebe475e, 32'h3eb1386e,32'h3ec3e00e, 32'h3ea7e49e,32'h3ecd33de,// invsqrt(7.5329) = 0.3644 +32'h3fa6baa2,32'h3f5bd1b0,32'h3f64ca92, 32'h3f551706,32'h3f6b853c, 32'h3f49dfea,32'h3f76bc58,// invsqrt(1.3026) = 0.8762 +32'h40165f82,32'h3f23abb4,32'h3f2a59e6, 32'h3f1ea910,32'h3f2f5c8a, 32'h3f164f52,32'h3f37b648,// invsqrt(2.3496) = 0.6524 +32'h3fbfd7ac,32'h3f4ced3f,32'h3f554a86, 32'h3f46a74b,32'h3f5b907b, 32'h3f3c32b3,32'h3f660513,// invsqrt(1.4988) = 0.8168 +32'h3f51ed59,32'h3f8a85e0,32'h3f902d4c, 32'h3f86484e,32'h3f946ade, 32'h3f7e6e0e,32'h3f9b7c25,// invsqrt(0.8200) = 1.1043 +32'h40b00119,32'h3ed5f2d6,32'h3edeae62, 32'h3ecf662e,32'h3ee53b0a, 32'h3ec47bc0,32'h3ef02578,// invsqrt(5.5001) = 0.4264 +32'h4025d434,32'h3f1bdb5b,32'h3f2237e6, 32'h3f1715f2,32'h3f26fd4e, 32'h3f0f2243,32'h3f2ef0fd,// invsqrt(2.5911) = 0.6212 +32'h3de2f752,32'h403c6778,32'h4044181a, 32'h4036a2ff,32'h4049dc93, 32'h402d0635,32'h4053795d,// invsqrt(0.1108) = 3.0039 +32'h3f5bcba4,32'h3f8760a7,32'h3f8ce736, 32'h3f833bbd,32'h3f910c21, 32'h3f78a715,32'h3f97f454,// invsqrt(0.8586) = 1.0792 +32'h409f80ac,32'h3ee0be55,32'h3ee9eaac, 32'h3ed9dd13,32'h3ef0cbed, 32'h3ece65a6,32'h3efc435a,// invsqrt(4.9845) = 0.4479 +32'h3f810117,32'h3f79e6ce,32'h3f820d03, 32'h3f724065,32'h3f85e038, 32'h3f65805f,32'h3f8c403a,// invsqrt(1.0078) = 0.9961 +32'h3eb0e39d,32'h3fd569ae,32'h3fde1fa0, 32'h3fcee138,32'h3fe4a816, 32'h3fc3fdca,32'h3fef8b85,// invsqrt(0.3455) = 1.7013 +32'h3fea25f1,32'h3f397e00,32'h3f411034, 32'h3f33d059,32'h3f46bddb, 32'h3f2a5997,32'h3f50349d,// invsqrt(1.8293) = 0.7394 +32'h40866573,32'h3ef4d652,32'h3efed49f, 32'h3eed5799,32'h3f0329ac, 32'h3ee0d9ba,32'h3f09689c,// invsqrt(4.1999) = 0.4880 +32'h3eac0735,32'h3fd86830,32'h3fe13d6c, 32'h3fd1c844,32'h3fe7dd58, 32'h3fc6bdb9,32'h3ff2e7e3,// invsqrt(0.3360) = 1.7252 +32'h3f76180c,32'h3f7fe12a,32'h3f85296c, 32'h3f780be6,32'h3f89140d, 32'h3f6afdcd,32'h3f8f9b1a,// invsqrt(0.9613) = 1.0199 +32'h3f2b6bbb,32'h3f994b2e,32'h3f9f8cf2, 32'h3f9499dc,32'h3fa43e44, 32'h3f8cc7a8,32'h3fac1078,// invsqrt(0.6696) = 1.2220 +32'h3c787f12,32'h40fea3bf,32'h4104843c, 32'h40f6d834,32'h41086a02, 32'h40e9da4c,32'h410ee8f6,// invsqrt(0.0152) = 8.1199 +32'h3e0e0c99,32'h402865db,32'h402f4571, 32'h40233e2a,32'h40346d22, 32'h401aa6af,32'h403d049d,// invsqrt(0.1387) = 2.6849 +32'h3e25ab3c,32'h401bee9f,32'h40224bf3, 32'h4017289f,32'h402711f3, 32'h400f33f5,32'h402f069d,// invsqrt(0.1618) = 2.4862 +32'h3e9264d5,32'h3fea970d,32'h3ff42a47, 32'h3fe368a2,32'h3ffb58b2, 32'h3fd77099,32'h4003a85e,// invsqrt(0.2859) = 1.8701 +32'h418a7226,32'h3e713ad5,32'h3e7b1370, 32'h3e69d861,32'h3e813af2, 32'h3e5d89a0,32'h3e876253,// invsqrt(17.3057) = 0.2404 +32'h3fa2932c,32'h3f5e9c1c,32'h3f67b228, 32'h3f57cb94,32'h3f6e82b0, 32'h3f4c7005,32'h3f79de3f,// invsqrt(1.2701) = 0.8873 +32'h3f9b251d,32'h3f63e0bd,32'h3f6d2dd4, 32'h3f5ce6eb,32'h3f7427a5, 32'h3f51468e,32'h3f7fc802,// invsqrt(1.2121) = 0.9083 +32'h3d9464ea,32'h406900ea,32'h40728390, 32'h4061deed,32'h4079a58d, 32'h4055fb9d,32'h4082c46e,// invsqrt(0.0725) = 3.7150 +32'h404eb2fa,32'h3f0b99b5,32'h3f114c63, 32'h3f0753b2,32'h3f159266, 32'h3f003458,32'h3f1cb1c0,// invsqrt(3.2297) = 0.5564 +32'h42752173,32'h3e0030df,32'h3e056c55, 32'h3df8888b,32'h3e0958ee, 32'h3deb73e2,32'h3e0fe343,// invsqrt(61.2827) = 0.1277 +32'h3fd3edc8,32'h3f42f943,32'h3f4aee8a, 32'h3f3d014f,32'h3f50e67f, 32'h3f330eb6,32'h3f5ad918,// invsqrt(1.6557) = 0.7772 +32'h3f4211f8,32'h3f90122e,32'h3f95f394, 32'h3f8ba923,32'h3f9a5c9f, 32'h3f844f64,32'h3fa1b65e,// invsqrt(0.7581) = 1.1485 +32'h3f88c83c,32'h3f72b145,32'h3f7c9929, 32'h3f6b435b,32'h3f82038a, 32'h3f5ee17e,32'h3f883478,// invsqrt(1.0686) = 0.9674 +32'h41ff1dc0,32'h3e31b4ba,32'h3e38f592, 32'h3e2c4417,32'h3e3e6635, 32'h3e233309,32'h3e477743,// invsqrt(31.8895) = 0.1771 +32'h3fb18611,32'h3f5507f1,32'h3f5db9e6, 32'h3f4e827a,32'h3f643f5e, 32'h3f43a408,32'h3f6f1dd0,// invsqrt(1.3869) = 0.8491 +32'h3f241f9f,32'h3f9caa1d,32'h3fa30f19, 32'h3f97de60,32'h3fa7dad6, 32'h3f8fe025,32'h3fafd911,// invsqrt(0.6411) = 1.2489 +32'h3e5546a5,32'h40096e5e,32'h400f0a62, 32'h4005395b,32'h40133f65, 32'h3ffc6cad,32'h401a426a,// invsqrt(0.2083) = 2.1912 +32'h3f762edc,32'h3f7fd54f,32'h3f852341, 32'h3f780069,32'h3f890db3, 32'h3f6af2ea,32'h3f8f9473,// invsqrt(0.9617) = 1.0197 +32'h3e017eb1,32'h40305f3d,32'h40379226, 32'h402af910,32'h403cf854, 32'h4021f96d,32'h4045f7f7,// invsqrt(0.1265) = 2.8121 +32'h3ef87944,32'h3fb410cd,32'h3fbb6a4d, 32'h3fae8dac,32'h3fc0ed6e, 32'h3fa55dcc,32'h3fca1d4e,// invsqrt(0.4853) = 1.4355 +32'h417453c7,32'h3e8066c8,32'h3e85a472, 32'h3e78f111,32'h3e8992b2, 32'h3e6bd6e7,32'h3e901fc6,// invsqrt(15.2705) = 0.2559 +32'h3ed5d328,32'h3fc21b7b,32'h3fca07b4, 32'h3fbc2a4f,32'h3fcff8df, 32'h3fb24308,32'h3fd9e026,// invsqrt(0.4176) = 1.5474 +32'h3ec5b17b,32'h3fc9df1c,32'h3fd21c76, 32'h3fc3b119,32'h3fd84a79, 32'h3fb96468,32'h3fe2972a,// invsqrt(0.3861) = 1.6093 +32'h3f41aa40,32'h3f9038bd,32'h3f961bb5, 32'h3f8bce83,32'h3f9a85ef, 32'h3f8472cd,32'h3fa1e1a5,// invsqrt(0.7565) = 1.1497 +32'h405c3642,32'h3f073fde,32'h3f0cc516, 32'h3f031bf4,32'h3f10e900, 32'h3ef86adc,32'h3f17cf86,// invsqrt(3.4408) = 0.5391 +32'h3f88c9e2,32'h3f72afcf,32'h3f7c97a3, 32'h3f6b41f0,32'h3f8202c1, 32'h3f5ee026,32'h3f8833a6,// invsqrt(1.0687) = 0.9673 +32'h408f2b35,32'h3eed37b3,32'h3ef6e661, 32'h3ee5f4b0,32'h3efe2964, 32'h3ed9da56,32'h3f0521df,// invsqrt(4.4740) = 0.4728 +32'h3ff553e2,32'h3f35376d,32'h3f3c9cf5, 32'h3f2fab48,32'h3f42291a, 32'h3f266c5f,32'h3f4b6803,// invsqrt(1.9166) = 0.7223 +32'h4039a80f,32'h3f134ca5,32'h3f194fc6, 32'h3f0eca4d,32'h3f1dd21f, 32'h3f074664,32'h3f255608,// invsqrt(2.9009) = 0.5871 +32'h3f5fd270,32'h3f862781,32'h3f8ba147, 32'h3f820c2c,32'h3f8fbc9c, 32'h3f7667e7,32'h3f9694d4,// invsqrt(0.8743) = 1.0695 +32'h3fba3486,32'h3f500173,32'h3f587ee5, 32'h3f49a35d,32'h3f5edcfb, 32'h3f3f068e,32'h3f6979ca,// invsqrt(1.4547) = 0.8291 +32'h400c31ca,32'h3f298215,32'h3f306d45, 32'h3f2451b1,32'h3f359da9, 32'h3f1babb5,32'h3f3e43a5,// invsqrt(2.1905) = 0.6757 +32'h3f8d0243,32'h3f6f070a,32'h3f78c8a2, 32'h3f67b5d8,32'h3f800cea, 32'h3f5b83da,32'h3f8625e9,// invsqrt(1.1016) = 0.9528 +32'h40c51fab,32'h3eca29b8,32'h3ed26a1e, 32'h3ec3f96c,32'h3ed89a6a, 32'h3eb9a8ed,32'h3ee2eae9,// invsqrt(6.1601) = 0.4029 +32'h3f31bda8,32'h3f968b2c,32'h3f9cb032, 32'h3f91ef66,32'h3fa14bf8, 32'h3f8a411e,32'h3fa8fa40,// invsqrt(0.6943) = 1.2001 +32'h4052ad8b,32'h3f0a46a2,32'h3f0feb7a, 32'h3f060b00,32'h3f14271c, 32'h3efdf9e6,32'h3f1b3529,// invsqrt(3.2918) = 0.5512 +32'h3f4d2ec7,32'h3f8c1d86,32'h3f91d596, 32'h3f87d37a,32'h3f961fa2, 32'h3f80ad66,32'h3f9d45b6,// invsqrt(0.8015) = 1.1170 +32'h3ed8239b,32'h3fc110bc,32'h3fc8f212, 32'h3fbb27bb,32'h3fcedb13, 32'h3fb14e10,32'h3fd8b4be,// invsqrt(0.4221) = 1.5391 +32'h4057316e,32'h3f08d14c,32'h3f0e66e7, 32'h3f04a119,32'h3f12971b, 32'h3efb4c2f,32'h3f19921d,// invsqrt(3.3624) = 0.5454 +32'h3d23026a,32'h409d32ef,32'h40a39d81, 32'h40986302,32'h40a86d6e, 32'h40905dcc,32'h40b072a4,// invsqrt(0.0398) = 5.0127 +32'h3fd71808,32'h3f4188ac,32'h3f496ee8, 32'h3f3b9c00,32'h3f4f5b94, 32'h3f31bc36,32'h3f593b5e,// invsqrt(1.6804) = 0.7714 +32'h40b6cd39,32'h3ed1eee1,32'h3eda8077, 32'h3ecb81b0,32'h3ee0eda8, 32'h3ec0cbb4,32'h3eeba3a4,// invsqrt(5.7126) = 0.4184 +32'h4106f23b,32'h3eacc5de,32'h3eb3d32c, 32'h3ea77be5,32'h3eb91d25, 32'h3e9eab44,32'h3ec1edc6,// invsqrt(8.4341) = 0.3443 +32'h3fab7c59,32'h3f58bfbc,32'h3f61988a, 32'h3f521d21,32'h3f683b25, 32'h3f470e20,32'h3f734a27,// invsqrt(1.3397) = 0.8640 +32'h3f2fa982,32'h3f976e87,32'h3f9d9cd6, 32'h3f92cbcd,32'h3fa23f91, 32'h3f8b11ea,32'h3fa9f974,// invsqrt(0.6862) = 1.2072 +32'h3e883736,32'h3ff33254,32'h3ffd1f7c, 32'h3febc076,32'h400248ad, 32'h3fdf5804,32'h40087ce6,// invsqrt(0.2660) = 1.9387 +32'h40f8c49f,32'h3eb3f585,32'h3ebb4de9, 32'h3eae733b,32'h3ec0d033, 32'h3ea544be,32'h3ec9feb0,// invsqrt(7.7740) = 0.3587 +32'h3feeae71,32'h3f37b8e5,32'h3f3f389a, 32'h3f32191c,32'h3f44d862, 32'h3f28b979,32'h3f4e3805,// invsqrt(1.8647) = 0.7323 +32'h3f55e217,32'h3f893c64,32'h3f8ed65e, 32'h3f8508e9,32'h3f9309d9, 32'h3f7c10e2,32'h3f9a0a51,// invsqrt(0.8355) = 1.0940 +32'h3f62b384,32'h3f854cb7,32'h3f8abd90, 32'h3f813815,32'h3f8ed233, 32'h3f74d60e,32'h3f959f41,// invsqrt(0.8856) = 1.0627 +32'h3eb361ee,32'h3fd3eca5,32'h3fdc9309, 32'h3fcd6fd9,32'h3fe30fd5, 32'h3fc29fdb,32'h3feddfd3,// invsqrt(0.3504) = 1.6894 +32'h3f62574e,32'h3f8567dc,32'h3f8ad9d0, 32'h3f815265,32'h3f8eef47, 32'h3f7507e8,32'h3f95bdb8,// invsqrt(0.8841) = 1.0635 +32'h418d04fb,32'h3e6f04bc,32'h3e78c63c, 32'h3e67b39c,32'h3e800bae, 32'h3e5b81bc,32'h3e86249e,// invsqrt(17.6274) = 0.2382 +32'h3e9bd0dd,32'h3fe36303,32'h3fecaaf9, 32'h3fdc6d0b,32'h3ff3a0f1, 32'h3fd0d318,32'h3fff3ae4,// invsqrt(0.3043) = 1.8127 +32'h3e073f5f,32'h402c9492,32'h40339fdb, 32'h40274c1a,32'h4038e852, 32'h401e7dfd,32'h4041b66f,// invsqrt(0.1321) = 2.7516 +32'h3f6d19dc,32'h3f8257ef,32'h3f87a9e3, 32'h3f7cb4ee,32'h3f8ba75b, 32'h3f6f680a,32'h3f924dcd,// invsqrt(0.9262) = 1.0391 +32'h3fa29228,32'h3f5e9cce,32'h3f67b2e1, 32'h3f57cc40,32'h3f6e8370, 32'h3f4c70a9,32'h3f79df07,// invsqrt(1.2701) = 0.8873 +32'h3f5e4f3b,32'h3f869c23,32'h3f8c1aac, 32'h3f827d3b,32'h3f903993, 32'h3f773e20,32'h3f9717be,// invsqrt(0.8684) = 1.0731 +32'h3f381941,32'h3f93ebdb,32'h3f99f57b, 32'h3f8f64a2,32'h3f9e7cb4, 32'h3f87d89a,32'h3fa608bc,// invsqrt(0.7191) = 1.1792 +32'h3e2489e0,32'h401c777f,32'h4022da6b, 32'h4017ad4f,32'h4027a49b, 32'h400fb1a9,32'h402fa041,// invsqrt(0.1607) = 2.4947 +32'h42831b5d,32'h3df7e3bc,32'h3e0100f6, 32'h3df04d16,32'h3e04cc49, 32'h3de3a758,32'h3e0b1f28,// invsqrt(65.5534) = 0.1235 +32'h3ea7ad75,32'h3fdb324b,32'h3fe424ad, 32'h3fd47c83,32'h3feada75, 32'h3fc94d89,32'h3ff6096f,// invsqrt(0.3275) = 1.7474 +32'h3f1a04e8,32'h3fa1b8ca,32'h3fa8529e, 32'h3f9cc56b,32'h3fad45fd, 32'h3f948522,32'h3fb58646,// invsqrt(0.6016) = 1.2892 +32'h3f3d7eab,32'h3f91ccca,32'h3f97c040, 32'h3f8d5632,32'h3f9c36d8, 32'h3f85e5de,32'h3fa3a72c,// invsqrt(0.7402) = 1.1623 +32'h3fa6f4ef,32'h3f5bab4b,32'h3f64a29d, 32'h3f54f1ce,32'h3f6b5c1a, 32'h3f49bca8,32'h3f769140,// invsqrt(1.3043) = 0.8756 +32'h3f73e235,32'h3f8084aa,32'h3f85c38c, 32'h3f792b00,32'h3f89b2b6, 32'h3f6c0dca,32'h3f904151,// invsqrt(0.9527) = 1.0245 +32'h40ab8cc5,32'h3ed8b55c,32'h3ee18dbe, 32'h3ed21313,32'h3ee83007, 32'h3ec70498,32'h3ef33e82,// invsqrt(5.3609) = 0.4319 +32'h3f87955c,32'h3f73c351,32'h3f7db664, 32'h3f6c4d03,32'h3f829659, 32'h3f5fdd2c,32'h3f88ce45,// invsqrt(1.0592) = 0.9716 +32'h3f87cb1b,32'h3f73930f,32'h3f7d8429, 32'h3f6c1e3b,32'h3f827c7f, 32'h3f5fb0d9,32'h3f88b32f,// invsqrt(1.0609) = 0.9709 +32'h3d84ebee,32'h4076310d,32'h40801ec0, 32'h406ea7b7,32'h4083e36c, 32'h40621826,32'h408a2b34,// invsqrt(0.0649) = 3.9252 +32'h3eee23b9,32'h3fb7ee5f,32'h3fbf7044, 32'h3fb24cf4,32'h3fc511b0, 32'h3fa8ea97,32'h3fce740d,// invsqrt(0.4651) = 1.4663 +32'h3f7b309d,32'h3f7d454c,32'h3f83cddc, 32'h3f75847c,32'h3f87ae44, 32'h3f689875,32'h3f8e2448,// invsqrt(0.9812) = 1.0095 +32'h3f649db3,32'h3f84bd83,32'h3f8a2883, 32'h3f80ad43,32'h3f8e38c3, 32'h3f73cf05,32'h3f94fe83,// invsqrt(0.8930) = 1.0582 +32'h3e52225d,32'h400a7466,32'h40101b1b, 32'h4006375d,32'h40145823, 32'h3ffe4df3,32'h401b6886,// invsqrt(0.2052) = 2.2075 +32'h3fa586ec,32'h3f5c9da3,32'h3f659ed9, 32'h3f55dcbb,32'h3f6c5fc1, 32'h3f4a9b38,32'h3f77a144,// invsqrt(1.2932) = 0.8794 +32'h415cd2d3,32'h3e870fe3,32'h3e8c9325, 32'h3e82ed71,32'h3e90b597, 32'h3e7812ba,32'h3e9799ab,// invsqrt(13.8015) = 0.2692 +32'h3f0af297,32'h3faa445a,32'h3fb13778, 32'h3fa50e04,32'h3fb66dce, 32'h3f9c5e1e,32'h3fbf1db4,// invsqrt(0.5428) = 1.3574 +32'h3e951ef1,32'h3fe86f66,32'h3ff1ec1c, 32'h3fe151de,32'h3ff909a4, 32'h3fd575fa,32'h400272c4,// invsqrt(0.2913) = 1.8530 +32'h3eff01d5,32'h3fb1be74,32'h3fb8ffb2, 32'h3fac4d85,32'h3fbe70a1, 32'h3fa33bf8,32'h3fc7822f,// invsqrt(0.4981) = 1.4170 +32'h3e30a51e,32'h40170288,32'h401d2c6e, 32'h4012631b,32'h4021cbdb, 32'h400aaebc,32'h4029803a,// invsqrt(0.1725) = 2.4077 +32'h3f2bd749,32'h3f991b2e,32'h3f9f5afc, 32'h3f946b54,32'h3fa40ad6, 32'h3f8c9b93,32'h3fabda97,// invsqrt(0.6713) = 1.2206 +32'h3fc19875,32'h3f4bff2e,32'h3f5452bd, 32'h3f45c083,32'h3f5a9169, 32'h3f3b5811,32'h3f64f9db,// invsqrt(1.5125) = 0.8131 +32'h3f9682e5,32'h3f675bea,32'h3f70cd61, 32'h3f6046d0,32'h3f77e27a, 32'h3f5478fb,32'h3f81d828,// invsqrt(1.1759) = 0.9222 +32'h3f0a7161,32'h3faa93bc,32'h3fb18a18, 32'h3fa55af8,32'h3fb6c2dc, 32'h3f9ca706,32'h3fbf76ce,// invsqrt(0.5408) = 1.3598 +32'h3e37ad10,32'h40141765,32'h401a22cd, 32'h400f8ed8,32'h401eab5a, 32'h40080096,32'h4026399c,// invsqrt(0.1794) = 2.3612 +32'h3f758dc3,32'h3f801496,32'h3f854ee6, 32'h3f7851b6,32'h3f893aa1, 32'h3f6b3ff0,32'h3f8fc384,// invsqrt(0.9592) = 1.0210 +32'h3fe4105b,32'h3f3bf33f,32'h3f439f23, 32'h3f363255,32'h3f49600d, 32'h3f2c9b79,32'h3f52f6e9,// invsqrt(1.7817) = 0.7492 +32'h4005580d,32'h3f2dcecf,32'h3f34e6ed, 32'h3f287cb9,32'h3f3a3903, 32'h3f1f9e95,32'h3f431727,// invsqrt(2.0835) = 0.6928 +32'h3f96affc,32'h3f67394a,32'h3f70a957, 32'h3f60253f,32'h3f77bd61, 32'h3f54592e,32'h3f81c4b9,// invsqrt(1.1772) = 0.9217 +32'h40685b00,32'h3f03aaf5,32'h3f090ac1, 32'h3eff463a,32'h3f0d1299, 32'h3ef1d6bd,32'h3f13ca57,// invsqrt(3.6306) = 0.5248 +32'h3ee60b84,32'h3fbb239f,32'h3fc2c709, 32'h3fb56910,32'h3fc88198, 32'h3fabdccc,32'h3fd20ddc,// invsqrt(0.4493) = 1.4919 +32'h3f7c0e14,32'h3f7cd5f0,32'h3f8393e8, 32'h3f751888,32'h3f87729c, 32'h3f683230,32'h3f8de5c8,// invsqrt(0.9846) = 1.0078 +32'h405f3033,32'h3f06583a,32'h3f0bd3fe, 32'h3f023b67,32'h3f0ff0d1, 32'h3ef6c166,32'h3f16cb85,// invsqrt(3.4873) = 0.5355 +32'h3faa11db,32'h3f59a640,32'h3f628876, 32'h3f52fc96,32'h3f693220, 32'h3f47e1d2,32'h3f744ce4,// invsqrt(1.3287) = 0.8675 +32'h3f1ccbbf,32'h3fa04891,32'h3fa6d35d, 32'h3f9b6077,32'h3fabbb77, 32'h3f9332f8,32'h3fb3e8f6,// invsqrt(0.6125) = 1.2778 +32'h3faa8c89,32'h3f5957ea,32'h3f6236ee, 32'h3f52b0a7,32'h3f68de31, 32'h3f4799e1,32'h3f73f4f7,// invsqrt(1.3324) = 0.8663 +32'h3f41a9ee,32'h3f9038dc,32'h3f961bd5, 32'h3f8bcea0,32'h3f9a8610, 32'h3f8472e9,32'h3fa1e1c7,// invsqrt(0.7565) = 1.1497 +32'h3fd1c5a9,32'h3f43f931,32'h3f4bf8ea, 32'h3f3df967,32'h3f51f8b5, 32'h3f33f9c0,32'h3f5bf85c,// invsqrt(1.6388) = 0.7811 +32'h3ebc2ba3,32'h3fceeaa5,32'h3fd75cb7, 32'h3fc89518,32'h3fddb244, 32'h3fbe0683,32'h3fe840d9,// invsqrt(0.3675) = 1.6495 +32'h3e8a48f5,32'h3ff15ec0,32'h3ffb38d2, 32'h3fe9fb32,32'h40014e30, 32'h3fddaa9b,32'h4007767b,// invsqrt(0.2701) = 1.9242 +32'h3d80cf73,32'h407a16f1,32'h40822610, 32'h40726f0e,32'h4085fa01, 32'h4065ac94,32'h408c5b3e,// invsqrt(0.0629) = 3.9874 +32'h3ee963aa,32'h3fb9cb24,32'h3fc1607e, 32'h3fb41b20,32'h3fc71082, 32'h3faaa06f,32'h3fd08b33,// invsqrt(0.4558) = 1.4811 +32'h3f125fb2,32'h3fa5e43e,32'h3faca9a4, 32'h3fa0d032,32'h3fb1bdb0, 32'h3f985972,32'h3fba3470,// invsqrt(0.5718) = 1.3225 +32'h438aa222,32'h3d711112,32'h3d7ae7f9, 32'h3d69afe6,32'h3d812493, 32'h3d5d6345,32'h3d874ae3,// invsqrt(277.2667) = 0.0601 +32'h42ebdbe9,32'h3db8d177,32'h3dc05ca0, 32'h3db32917,32'h3dc604ff, 32'h3da9bb24,32'h3dcf72f3,// invsqrt(117.9295) = 0.0921 +32'h4044a4b7,32'h3f0f2012,32'h3f14f795, 32'h3f0abe6f,32'h3f195937, 32'h3f03710b,32'h3f20a69b,// invsqrt(3.0726) = 0.5705 +32'h3e2b526a,32'h40195681,32'h401f98bb, 32'h4014a4d6,32'h40244a66, 32'h400cd20e,32'h402c1d2e,// invsqrt(0.1673) = 2.4448 +32'h408f80fa,32'h3eecf0c5,32'h3ef69c8e, 32'h3ee5afed,32'h3efddd65, 32'h3ed99932,32'h3f04fa10,// invsqrt(4.4845) = 0.4722 +32'h3e366f4e,32'h40149825,32'h401aa8ce, 32'h40100ba7,32'h401f354d, 32'h400876d4,32'h4026ca20,// invsqrt(0.1782) = 2.3692 +32'h3f3ddaea,32'h3f91a95a,32'h3f979b5e, 32'h3f8d33d7,32'h3f9c10e1, 32'h3f85c553,32'h3fa37f65,// invsqrt(0.7416) = 1.1612 +32'h42a7d6e4,32'h3ddb173b,32'h3de40882, 32'h3dd46247,32'h3deabd77, 32'h3dc934af,32'h3df5eb0f,// invsqrt(83.9197) = 0.1092 +32'h4016b1cb,32'h3f237eff,32'h3f2a2b5d, 32'h3f1e7db8,32'h3f2f2ca4, 32'h3f162643,32'h3f378419,// invsqrt(2.3546) = 0.6517 +32'h3da5a1bd,32'h405c8bc6,32'h40658c42, 32'h4055cb6a,32'h406c4c9e, 32'h404a8ad0,32'h40778d38,// invsqrt(0.0809) = 3.5164 +32'h3f468a47,32'h3f8e70a2,32'h3f9440fc, 32'h3f8a145e,32'h3f989d40, 32'h3f82cfee,32'h3f9fe1b0,// invsqrt(0.7755) = 1.1355 +32'h3ea633b7,32'h3fdc2ad7,32'h3fe5275d, 32'h3fd56d72,32'h3febe4c2, 32'h3fca31cb,32'h3ff72069,// invsqrt(0.3246) = 1.7552 +32'h4032b974,32'h3f1620fa,32'h3f1c41ac, 32'h3f118875,32'h3f20da31, 32'h3f09df98,32'h3f28830e,// invsqrt(2.7926) = 0.5984 +32'h3f2ace0e,32'h3f9991df,32'h3f9fd685, 32'h3f94de63,32'h3fa48a01, 32'h3f8d0893,32'h3fac5fd1,// invsqrt(0.6672) = 1.2242 +32'h3f854a4d,32'h3f75d9d7,32'h3f7fe2bb, 32'h3f6e532c,32'h3f83b4b3, 32'h3f61c80e,32'h3f89fa42,// invsqrt(1.0413) = 0.9800 +32'h4184cd0e,32'h3e764daa,32'h3e802da4, 32'h3e6ec373,32'h3e83f2c0, 32'h3e62326d,32'h3e8a3b43,// invsqrt(16.6001) = 0.2454 +32'h3fade27e,32'h3f573fa3,32'h3f6008c3, 32'h3f50a8ca,32'h3f669f9c, 32'h3f45ad61,32'h3f719b05,// invsqrt(1.3585) = 0.8580 +32'h3f3190d5,32'h3f969e2b,32'h3f9cc3f9, 32'h3f9201d1,32'h3fa16053, 32'h3f8a5290,32'h3fa90f94,// invsqrt(0.6936) = 1.2007 +32'h3ea86a5a,32'h3fdab73c,32'h3fe3a498, 32'h3fd40538,32'h3fea569c, 32'h3fc8dc86,32'h3ff57f4e,// invsqrt(0.3289) = 1.7436 +32'h3faacdf7,32'h3f592e45,32'h3f620b97, 32'h3f528848,32'h3f68b194, 32'h3f4773a3,32'h3f73c639,// invsqrt(1.3344) = 0.8657 +32'h3f398df1,32'h3f935703,32'h3f995a90, 32'h3f8ed459,32'h3f9ddd39, 32'h3f874fe8,32'h3fa561aa,// invsqrt(0.7248) = 1.1746 +32'h40aeac93,32'h3ed6c2fc,32'h3edf8706, 32'h3ed02ff4,32'h3ee61a0e, 32'h3ec53ae7,32'h3ef10f1b,// invsqrt(5.4586) = 0.4280 +32'h3fd167a3,32'h3f44252c,32'h3f4c26b0, 32'h3f3e2408,32'h3f5227d4, 32'h3f342223,32'h3f5c29b9,// invsqrt(1.6360) = 0.7818 +32'h3f399deb,32'h3f9350ab,32'h3f9953f6, 32'h3f8ece33,32'h3f9dd66f, 32'h3f874a16,32'h3fa55a8c,// invsqrt(0.7251) = 1.1744 +32'h4022e3a7,32'h3f1d41c6,32'h3f23acf3, 32'h3f187165,32'h3f287d55, 32'h3f106b6d,32'h3f30834d,// invsqrt(2.5451) = 0.6268 +32'h3ed02d53,32'h3fc4b907,32'h3fccc094, 32'h3fbeb35c,32'h3fd2c63e, 32'h3fb4a9ec,32'h3fdccfae,// invsqrt(0.4066) = 1.5683 +32'h3eaa64d1,32'h3fd9713d,32'h3fe2514a, 32'h3fd2c934,32'h3fe8f954, 32'h3fc7b124,32'h3ff41164,// invsqrt(0.3328) = 1.7334 +32'h3f20d2e4,32'h3f9e4377,32'h3fa4b929, 32'h3f996b32,32'h3fa9916e, 32'h3f915815,32'h3fb1a48b,// invsqrt(0.6282) = 1.2617 +32'h4053039c,32'h3f0a2a6c,32'h3f0fce1d, 32'h3f05efa8,32'h3f1408e2, 32'h3efdc616,32'h3f1b157f,// invsqrt(3.2971) = 0.5507 +32'h410d5483,32'h3ea8d364,32'h3eafb772, 32'h3ea3a859,32'h3eb4e27d, 32'h3e9b0b47,32'h3ebd7f8f,// invsqrt(8.8331) = 0.3365 +32'h3f8ff0ac,32'h3f6c94c4,32'h3f763ccc, 32'h3f6556be,32'h3f7d7ad2, 32'h3f5944b4,32'h3f84c66e,// invsqrt(1.1245) = 0.9430 +32'h3f670a3d,32'h3f840ac8,32'h3f896e7c, 32'h3f800000,32'h3f8d7944, 32'h3f7286bd,32'h3f9435e5,// invsqrt(0.9025) = 1.0526 +32'h3f3a5819,32'h3f930701,32'h3f99074b, 32'h3f8e86ca,32'h3f9d8782, 32'h3f87066f,32'h3fa507dd,// invsqrt(0.7279) = 1.1721 +32'h3ff42d16,32'h3f35a4b1,32'h3f3d0ead, 32'h3f301533,32'h3f429e2b, 32'h3f26d0b7,32'h3f4be2a7,// invsqrt(1.9076) = 0.7240 +32'h3f10e319,32'h3fa6bd93,32'h3fad8bd7, 32'h3fa1a2df,32'h3fb2a68b, 32'h3f992109,32'h3fbb2861,// invsqrt(0.5660) = 1.3292 +32'h3efd7359,32'h3fb249f7,32'h3fb990e7, 32'h3facd4c3,32'h3fbf061b, 32'h3fa3bc17,32'h3fc81ec7,// invsqrt(0.4950) = 1.4213 +32'h3db230d3,32'h4054a1c6,32'h405d4f90, 32'h404e1f6f,32'h4063d1e7, 32'h40434634,32'h406eab23,// invsqrt(0.0870) = 3.3902 +32'h3df9b681,32'h40339e48,32'h403af31c, 32'h402e1ea9,32'h404072bb, 32'h4024f4a0,32'h40499cc4,// invsqrt(0.1219) = 2.8638 +32'h3ff9cc23,32'h3f339680,32'h3f3aeb03, 32'h3f2e171f,32'h3f406a65, 32'h3f24ed7b,32'h3f499409,// invsqrt(1.9515) = 0.7158 +32'h3e2026fc,32'h401e9851,32'h40251179, 32'h4019bd73,32'h4029ec57, 32'h4011a601,32'h403203c9,// invsqrt(0.1564) = 2.5286 +32'h3f61b477,32'h3f8597f3,32'h3f8b0bdd, 32'h3f818103,32'h3f8f22cd, 32'h3f75603b,32'h3f95f3b2,// invsqrt(0.8817) = 1.0650 +32'h3f0ae440,32'h3faa4d24,32'h3fb1409e, 32'h3fa51689,32'h3fb67739, 32'h3f9c6631,32'h3fbf2791,// invsqrt(0.5425) = 1.3576 +32'h402ea731,32'h3f17de5a,32'h3f1e113a, 32'h3f133833,32'h3f22b761, 32'h3f0b789c,32'h3f2a76f8,// invsqrt(2.7290) = 0.6053 +32'h4015b544,32'h3f2408a9,32'h3f2abaa5, 32'h3f1f032b,32'h3f2fc023, 32'h3f16a4b0,32'h3f381e9e,// invsqrt(2.3392) = 0.6538 +32'h3fc86276,32'h3f4882e4,32'h3f50b208, 32'h3f425f8a,32'h3f56d562, 32'h3f38249d,32'h3f61104f,// invsqrt(1.5655) = 0.7992 +32'h4009d9dd,32'h3f2af161,32'h3f31eb8f, 32'h3f25b5bf,32'h3f372731, 32'h3f1cfd06,32'h3f3fdfea,// invsqrt(2.1539) = 0.6814 +32'h3f8215a2,32'h3f78dc9f,32'h3f81827d, 32'h3f713e5b,32'h3f85519e, 32'h3f648beb,32'h3f8baad7,// invsqrt(1.0163) = 0.9920 +32'h3cf6a481,32'h40b4bb99,32'h40bc1c13, 32'h40af333e,32'h40c1a46e, 32'h40a5faa7,32'h40cadd05,// invsqrt(0.0301) = 5.7632 +32'h3f9f8e55,32'h3f60b4b6,32'h3f69e0a8, 32'h3f59d3c0,32'h3f70c19e, 32'h3f4e5cd1,32'h3f7c388d,// invsqrt(1.2465) = 0.8957 +32'h3f44e9d4,32'h3f8f06f2,32'h3f94dd6e, 32'h3f8aa614,32'h3f993e4c, 32'h3f8359f8,32'h3fa08a68,// invsqrt(0.7692) = 1.1402 +32'h42813987,32'h3df9b036,32'h3e01f099, 32'h3df20b78,32'h3e05c2f8, 32'h3de54e3c,32'h3e0c2196,// invsqrt(64.6124) = 0.1244 +32'h3e5571b9,32'h4009607f,32'h400efbf1, 32'h40052be8,32'h40133088, 32'h3ffc5332,32'h401a32d7,// invsqrt(0.2084) = 2.1903 +32'h3ef12ebf,32'h3fb6c461,32'h3fbe3a1c, 32'h3fb12c15,32'h3fc3d269, 32'h3fa7d8ec,32'h3fcd2592,// invsqrt(0.4711) = 1.4570 +32'h401fced7,32'h3f1ec408,32'h3f253ef8, 32'h3f19e7d3,32'h3f2a1b2d, 32'h3f11ce26,32'h3f3234da,// invsqrt(2.4970) = 0.6328 +32'h3f3459d0,32'h3f95734b,32'h3f9b8ce5, 32'h3f90e017,32'h3fa02019, 32'h3f894016,32'h3fa7c01a,// invsqrt(0.7045) = 1.1914 +32'h4069af9e,32'h3f034adc,32'h3f08a6bc, 32'h3efe8bea,32'h3f0caba3, 32'h3ef1263c,32'h3f135e7a,// invsqrt(3.6513) = 0.5233 +32'h3f828283,32'h3f7874bb,32'h3f814c6c, 32'h3f70d9a5,32'h3f8519f6, 32'h3f642c82,32'h3f8b7088,// invsqrt(1.0196) = 0.9903 +32'h401ddb78,32'h3f1fbe62,32'h3f26438a, 32'h3f1ada83,32'h3f2b2769, 32'h3f12b411,32'h3f334ddb,// invsqrt(2.4665) = 0.6367 +32'h3ee4ed1f,32'h3fbb988a,32'h3fc340b9, 32'h3fb5da66,32'h3fc8fedc, 32'h3fac482a,32'h3fd29118,// invsqrt(0.4471) = 1.4955 +32'h3fa059a4,32'h3f602615,32'h3f694c35, 32'h3f59497d,32'h3f7028cd, 32'h3f4dd9d4,32'h3f7b9876,// invsqrt(1.2527) = 0.8935 +32'h3fd2204b,32'h3f43cee9,32'h3f4bcce9, 32'h3f3dd06a,32'h3f51cb68, 32'h3f33d2eb,32'h3f5bc8e7,// invsqrt(1.6416) = 0.7805 +32'h3f563699,32'h3f89214f,32'h3f8eba2e, 32'h3f84eea9,32'h3f92ecd5, 32'h3f7bdf25,32'h3f99ebec,// invsqrt(0.8368) = 1.0932 +32'h3f600430,32'h3f86189a,32'h3f8b91c5, 32'h3f81fdba,32'h3f8faca6, 32'h3f764c8a,32'h3f96841b,// invsqrt(0.8751) = 1.0690 +32'h3f805ae2,32'h3f7a8866,32'h3f82611b, 32'h3f72dd0a,32'h3f8636c9, 32'h3f6614c6,32'h3f8c9aeb,// invsqrt(1.0028) = 0.9986 +32'h3ece77fa,32'h3fc588f3,32'h3fcd98fd, 32'h3fbf7cec,32'h3fd3a504, 32'h3fb568df,32'h3fddb911,// invsqrt(0.4033) = 1.5747 +32'h3fe6cc5a,32'h3f3ad561,32'h3f427599, 32'h3f351d37,32'h3f482dc3, 32'h3f2b94f0,32'h3f51b60a,// invsqrt(1.8031) = 0.7447 +32'h3e6245a6,32'h40056d10,32'h400adf3a, 32'h40015770,32'h400ef4da, 32'h3ff51176,32'h4015c38f,// invsqrt(0.2210) = 2.1273 +32'h3f5d53bf,32'h3f86e887,32'h3f8c6a2f, 32'h3f82c74a,32'h3f908b6c, 32'h3f77ca71,32'h3f976d7e,// invsqrt(0.8646) = 1.0755 +32'h3f6cedf3,32'h3f826402,32'h3f87b676, 32'h3f7ccc58,32'h3f8bb44c, 32'h3f6f7e39,32'h3f925b5c,// invsqrt(0.9255) = 1.0395 +32'h3f8b7d52,32'h3f705361,32'h3f7a2289, 32'h3f68f802,32'h3f80bef4, 32'h3f5cb510,32'h3f86e06d,// invsqrt(1.0898) = 0.9579 +32'h3f03d816,32'h3faecb2e,32'h3fb5ed98, 32'h3fa9715e,32'h3fbb4768, 32'h3fa08659,32'h3fc4326d,// invsqrt(0.5150) = 1.3934 +32'h3f22f548,32'h3f9d3944,32'h3fa3a418, 32'h3f986925,32'h3fa87437, 32'h3f90639d,32'h3fb079bf,// invsqrt(0.6366) = 1.2534 +32'h3e8c1f2d,32'h3fefc86c,32'h3ff991e8, 32'h3fe8714e,32'h40007483, 32'h3fdc3573,32'h40069271,// invsqrt(0.2737) = 1.9115 +32'h4081b707,32'h3ef9374f,32'h3f01b1ae, 32'h3ef19645,32'h3f058234, 32'h3ee4df34,32'h3f0bddbc,// invsqrt(4.0536) = 0.4967 +32'h3f595f98,32'h3f882133,32'h3f8daf9d, 32'h3f83f663,32'h3f91da6d, 32'h3f7a08bb,32'h3f98cc72,// invsqrt(0.8491) = 1.0852 +32'h3f9b792e,32'h3f63a319,32'h3f6cedac, 32'h3f5cab2a,32'h3f73e59a, 32'h3f510df2,32'h3f7f82d2,// invsqrt(1.2146) = 0.9074 +32'h3e91e11c,32'h3feb00df,32'h3ff4986a, 32'h3fe3cf35,32'h3ffbca13, 32'h3fd7d1c7,32'h4003e3c1,// invsqrt(0.2849) = 1.8734 +32'h3f947dc1,32'h3f68ed6c,32'h3f726f46, 32'h3f61cc08,32'h3f7990aa, 32'h3f55e9b6,32'h3f82b97e,// invsqrt(1.1601) = 0.9284 +32'h3fd2c17e,32'h3f4383f9,32'h3f4b7ee9, 32'h3f3d87c5,32'h3f517b1d, 32'h3f338e19,32'h3f5b74c9,// invsqrt(1.6465) = 0.7793 +32'h3f997675,32'h3f651f9c,32'h3f6e79b7, 32'h3f5e1c07,32'h3f757d4b, 32'h3f526b65,32'h3f8096f7,// invsqrt(1.1989) = 0.9133 +32'h3e244ffd,32'h401c930d,32'h4022f717, 32'h4017c804,32'h4027c220, 32'h400fcaf7,32'h402fbf2d,// invsqrt(0.1605) = 2.4964 +32'h40fe45c8,32'h3eb20022,32'h3eb9440e, 32'h3eac8d30,32'h3ebeb700, 32'h3ea37849,32'h3ec7cbe7,// invsqrt(7.9460) = 0.3548 +32'h3f21b0bf,32'h3f9dd6be,32'h3fa44800, 32'h3f9901cd,32'h3fa91cf1, 32'h3f90f43c,32'h3fb12a82,// invsqrt(0.6316) = 1.2583 +32'h3f34d97d,32'h3f953e80,32'h3f9b55f3, 32'h3f90acea,32'h3f9fe78a, 32'h3f890f9b,32'h3fa784d9,// invsqrt(0.7064) = 1.1898 +32'h3ff48d9e,32'h3f3580d4,32'h3f3ce95a, 32'h3f2ff26f,32'h3f4277bf, 32'h3f26afc8,32'h3f4bba66,// invsqrt(1.9106) = 0.7235 +32'h4049cc7d,32'h3f0d4905,32'h3f130d4e, 32'h3f08f5cd,32'h3f176085, 32'h3f01c072,32'h3f1e95e0,// invsqrt(3.1531) = 0.5632 +32'h3fffcba2,32'h3f317849,32'h3f38b6ab, 32'h3f2c0981,32'h3f3e2573, 32'h3f22fb87,32'h3f47336d,// invsqrt(1.9984) = 0.7074 +32'h3f279ddf,32'h3f9b0601,32'h3fa159d7, 32'h3f964720,32'h3fa618b8, 32'h3f8e5e54,32'h3fae0184,// invsqrt(0.6548) = 1.2358 +32'h4088d333,32'h3ef2a78c,32'h3efc8f0a, 32'h3eeb39ee,32'h3f01fe54, 32'h3eded890,32'h3f082f03,// invsqrt(4.2758) = 0.4836 +32'h3ef4e3d9,32'h3fb560dc,32'h3fbcc814, 32'h3fafd372,32'h3fc2557e, 32'h3fa6926c,32'h3fcb9684,// invsqrt(0.4783) = 1.4459 +32'h3fdc435a,32'h3f3f3fdb,32'h3f470e37, 32'h3f396515,32'h3f4ce8fd, 32'h3f2fa322,32'h3f56aaf0,// invsqrt(1.7208) = 0.7623 +32'h3d838263,32'h40778290,32'h4080ce65, 32'h406feee4,32'h4084983b, 32'h40634e1c,32'h408ae89f,// invsqrt(0.0642) = 3.9463 +32'h3c780ee5,32'h40fedd4c,32'h4104a230, 32'h40f70ffe,32'h410888d7, 32'h40ea0f27,32'h410f0942,// invsqrt(0.0151) = 8.1271 +32'h3d4f28b8,32'h408b7203,32'h40912313, 32'h40872d37,32'h409567df, 32'h40800fe4,32'h409c8532,// invsqrt(0.0506) = 4.4466 +32'h420ddb6e,32'h3e288308,32'h3e2f63ce, 32'h3e235a72,32'h3e348c64, 32'h3e1ac17a,32'h3e3d255c,// invsqrt(35.4643) = 0.1679 +32'h3f400ea1,32'h3f90d2f7,32'h3f96bc3b, 32'h3f8c6405,32'h3f9b2b2d, 32'h3f850070,32'h3fa28ec2,// invsqrt(0.7502) = 1.1545 +32'h3f922746,32'h3f6ac86f,32'h3f745dad, 32'h3f639880,32'h3f7b8d9c, 32'h3f579df3,32'h3f83c414,// invsqrt(1.1418) = 0.9358 +32'h3f935798,32'h3f69d57c,32'h3f7360cf, 32'h3f62acfd,32'h3f7a894d, 32'h3f56bed4,32'h3f833bbb,// invsqrt(1.1511) = 0.9321 +32'h3f54bb62,32'h3f899b52,32'h3f8f392c, 32'h3f8564ef,32'h3f936f8f, 32'h3f7cbf3e,32'h3f9a74df,// invsqrt(0.8310) = 1.0970 +32'h40b85800,32'h3ed10d9e,32'h3ed99603, 32'h3ecaa753,32'h3edffc4f, 32'h3ebffcd6,32'h3eeaa6cc,// invsqrt(5.7607) = 0.4166 +32'h3f64d834,32'h3f84ac8a,32'h3f8a16d8, 32'h3f809ccf,32'h3f8e2693, 32'h3f73afd8,32'h3f94eb76,// invsqrt(0.8939) = 1.0577 +32'h40b0517c,32'h3ed5c20b,32'h3ede7b99, 32'h3ecf36e1,32'h3ee506c3, 32'h3ec44ef0,32'h3eefeeb4,// invsqrt(5.5099) = 0.4260 +32'h3f942419,32'h3f6933dd,32'h3f72b898, 32'h3f621052,32'h3f79dc24, 32'h3f562a68,32'h3f82e107,// invsqrt(1.1574) = 0.9295 +32'h40d3e4de,32'h3ec2fd5d,32'h3ecaf2cf, 32'h3ebd0548,32'h3ed0eae4, 32'h3eb3127a,32'h3edaddb2,// invsqrt(6.6217) = 0.3886 +32'h3e2db295,32'h40184924,32'h401e805e, 32'h40139fb7,32'h402329cb, 32'h400bdaae,32'h402aeed4,// invsqrt(0.1696) = 2.4280 +32'h4029f08e,32'h3f19f5d4,32'h3f203e8e, 32'h3f153f48,32'h3f24f51a, 32'h3f0d645f,32'h3f2cd003,// invsqrt(2.6553) = 0.6137 +32'h40483822,32'h3f0dd768,32'h3f13a181, 32'h3f097fd5,32'h3f17f913, 32'h3f024335,32'h3f1f35b3,// invsqrt(3.1284) = 0.5654 +32'h3f506c3f,32'h3f8b059f,32'h3f90b242, 32'h3f86c424,32'h3f94f3bc, 32'h3f7f58b0,32'h3f9c0b88,// invsqrt(0.8142) = 1.1083 +32'h3f068a75,32'h3fad0873,32'h3fb41877, 32'h3fa7bc6f,32'h3fb9647b, 32'h3f9ee869,32'h3fc23881,// invsqrt(0.5256) = 1.3794 +32'h3f11bdea,32'h3fa64038,32'h3fad095e, 32'h3fa1295a,32'h3fb2203c, 32'h3f98adea,32'h3fba9bac,// invsqrt(0.5693) = 1.3253 +32'h3fb7eada,32'h3f514b9e,32'h3f59d68a, 32'h3f4ae36d,32'h3f603ebb, 32'h3f4035c5,32'h3f6aec63,// invsqrt(1.4369) = 0.8342 +32'h3f58111d,32'h3f888a68,32'h3f8e1d1e, 32'h3f845c60,32'h3f924b26, 32'h3f7ac9f9,32'h3f99428a,// invsqrt(0.8440) = 1.0885 +32'h419b2125,32'h3e63e3a7,32'h3e6d30dd, 32'h3e5ce9bf,32'h3e742ac5, 32'h3e51493b,32'h3e7fcb49,// invsqrt(19.3912) = 0.2271 +32'h4080e030,32'h3efa06b2,32'h3f021d9c, 32'h3ef25f4f,32'h3f05f14d, 32'h3ee59da9,32'h3f0c5220,// invsqrt(4.0274) = 0.4983 +32'h41a1f174,32'h3e5f0b27,32'h3e6825bb, 32'h3e583738,32'h3e6ef9aa, 32'h3e4cd5ff,32'h3e7a5ae3,// invsqrt(20.2429) = 0.2223 +32'h407f7e2f,32'h3efb20fc,32'h3f02b084, 32'h3ef370f5,32'h3f068887, 32'h3ee6a0e8,32'h3f0cf08e,// invsqrt(3.9921) = 0.5005 +32'h4057fa12,32'h3f0891b0,32'h3f0e24b2, 32'h3f04636f,32'h3f1252f3, 32'h3efad758,32'h3f194ab6,// invsqrt(3.3746) = 0.5444 +32'h3a83008c,32'h41f7fd19,32'h42010e2a, 32'h41f065ae,32'h4204d9e0, 32'h41e3bea5,32'h420b2d65,// invsqrt(0.0010) = 31.6312 +32'h3f5c4d9e,32'h3f8738b2,32'h3f8cbd9f, 32'h3f831500,32'h3f90e150, 32'h3f785dae,32'h3f97c779,// invsqrt(0.8606) = 1.0780 +32'h3d62a8db,32'h40854fda,32'h408ac0d3, 32'h40813b1e,32'h408ed58e, 32'h4074dbce,32'h4095a2c5,// invsqrt(0.0553) = 4.2510 +32'h3f14e001,32'h3fa47dfc,32'h3fab34c2, 32'h3f9f74e7,32'h3fb03dd7, 32'h3f97106f,32'h3fb8a24f,// invsqrt(0.5815) = 1.3113 +32'h3f84e208,32'h3f763a38,32'h3f802386, 32'h3f6eb09a,32'h3f83e855, 32'h3f622092,32'h3f8a3059,// invsqrt(1.0381) = 0.9815 +32'h406ce49f,32'h3f026693,32'h3f07b921, 32'h3efcd151,32'h3f0bb70b, 32'h3eef82ef,32'h3f125e3d,// invsqrt(3.7015) = 0.5198 +32'h3f6b26d7,32'h3f82e1f3,32'h3f883989, 32'h3f7dc083,32'h3f8c3b3b, 32'h3f706589,32'h3f92e8b7,// invsqrt(0.9186) = 1.0434 +32'h3e03a784,32'h402eeb69,32'h40360f25, 32'h4029909d,32'h403b69f1, 32'h4020a3f3,32'h4044569b,// invsqrt(0.1286) = 2.7889 +32'h3f7e419b,32'h3f7bbd23,32'h3f8301c6, 32'h3f740854,32'h3f86dc2e, 32'h3f67304f,32'h3f8d4830,// invsqrt(0.9932) = 1.0034 +32'h3f823a8d,32'h3f78b956,32'h3f817020, 32'h3f711c27,32'h3f853eb7, 32'h3f646b84,32'h3f8b9709,// invsqrt(1.0174) = 0.9914 +32'h3ed14d72,32'h3fc43171,32'h3fcc3375, 32'h3fbe2fed,32'h3fd234f9, 32'h3fb42d68,32'h3fdc377f,// invsqrt(0.4088) = 1.5640 +32'h3e583625,32'h40087eb6,32'h400e10f2, 32'h40045109,32'h40123e9f, 32'h3ffab47e,32'h40193569,// invsqrt(0.2111) = 2.1763 +32'h3d73cf3d,32'h408089aa,32'h4085c8c0, 32'h407934b2,32'h4089b811, 32'h406c16f9,32'h409046ee,// invsqrt(0.0595) = 4.0988 +32'h3f7d93f9,32'h3f7c1344,32'h3f832e99, 32'h3f745bd3,32'h3f870a53, 32'h3f677f69,32'h3f8d7887,// invsqrt(0.9905) = 1.0048 +32'h3fd73f38,32'h3f41770e,32'h3f495c91, 32'h3f3b8aeb,32'h3f4f48b3, 32'h3f31ac07,32'h3f592797,// invsqrt(1.6816) = 0.7711 +32'h4015ba20,32'h3f240600,32'h3f2ab7e0, 32'h3f1f0097,32'h3f2fbd49, 32'h3f16a23e,32'h3f381ba2,// invsqrt(2.3395) = 0.6538 +32'h407e4db6,32'h3efbb725,32'h3f02fea8, 32'h3ef40284,32'h3f06d8f8, 32'h3ee72ace,32'h3f0d44d3,// invsqrt(3.9735) = 0.5017 +32'h3ef1fa14,32'h3fb67787,32'h3fbdea1f, 32'h3fb0e195,32'h3fc38011, 32'h3fa79258,32'h3fcccf4f,// invsqrt(0.4726) = 1.4546 +32'h3eb1db92,32'h3fd4d4b6,32'h3fdd8494, 32'h3fce50d0,32'h3fe4087a, 32'h3fc374fb,32'h3feee44f,// invsqrt(0.3474) = 1.6967 +32'h3e1fcc8e,32'h401ec52b,32'h40254027, 32'h4019e8ed,32'h402a1c65, 32'h4011cf32,32'h40323620,// invsqrt(0.1561) = 2.5314 +32'h3f801aa7,32'h3f7ac72d,32'h3f8281c6, 32'h3f7319e5,32'h3f86586a, 32'h3f664e6d,32'h3f8cbe26,// invsqrt(1.0008) = 0.9996 +32'h419abecb,32'h3e642c07,32'h3e6d7c31, 32'h3e5d2fe7,32'h3e747851, 32'h3e518bb3,32'h3e800e43,// invsqrt(19.3432) = 0.2274 +32'h3f07237b,32'h3faca660,32'h3fb3b264, 32'h3fa75d5d,32'h3fb8fb67, 32'h3f9e8e58,32'h3fc1ca6c,// invsqrt(0.5279) = 1.3764 +32'h3e31f2f6,32'h4016749e,32'h401c98ba, 32'h4011d98a,32'h402133ce, 32'h400a2c68,32'h4028e0f0,// invsqrt(0.1738) = 2.3988 +32'h4039776c,32'h3f135ff4,32'h3f1963df, 32'h3f0edd05,32'h3f1de6cf, 32'h3f07581f,32'h3f256bb5,// invsqrt(2.8979) = 0.5874 +32'h3f7c5ab2,32'h3f7caf8b,32'h3f837fed, 32'h3f74f350,32'h3f875e0a, 32'h3f680eed,32'h3f8dd03c,// invsqrt(0.9858) = 1.0072 +32'h3e9bbebb,32'h3fe3703f,32'h3fecb8bf, 32'h3fdc79df,32'h3ff3af1f, 32'h3fd0df3f,32'h3fff49bf,// invsqrt(0.3042) = 1.8131 +32'h40255874,32'h3f1c15a3,32'h3f22748f, 32'h3f174e71,32'h3f273bc1, 32'h3f0f57ca,32'h3f2f3268,// invsqrt(2.5835) = 0.6221 +32'h3ee28a3c,32'h3fbc94cf,32'h3fc4474b, 32'h3fb6cef3,32'h3fca0d27, 32'h3fad2fd8,32'h3fd3ac42,// invsqrt(0.4425) = 1.5034 +32'h4115e1c3,32'h3ea3f04e,32'h3eaaa14c, 32'h3e9eeb90,32'h3eafa60a, 32'h3e968e52,32'h3eb80348,// invsqrt(9.3676) = 0.3267 +32'h3f0e104c,32'h3fa863aa,32'h3faf432a, 32'h3fa33c0b,32'h3fb46ac9, 32'h3f9aa4ac,32'h3fbd0228,// invsqrt(0.5549) = 1.3424 +32'h3f1fb979,32'h3f9ecea6,32'h3fa54a06, 32'h3f99f21e,32'h3faa268e, 32'h3f91d7e7,32'h3fb240c5,// invsqrt(0.6239) = 1.2660 +32'h403364fd,32'h3f15d923,32'h3f1bf6e5, 32'h3f1142d1,32'h3f208d37, 32'h3f099d9d,32'h3f28326b,// invsqrt(2.8030) = 0.5973 +32'h40bd9b56,32'h3ece21a2,32'h3ed68b7e, 32'h3ec7d23c,32'h3edcdae4, 32'h3ebd4de8,32'h3ee75f38,// invsqrt(5.9252) = 0.4108 +32'h3f49ac0c,32'h3f8d5461,32'h3f931921, 32'h3f8900d1,32'h3f976cb1, 32'h3f81cae1,32'h3f9ea2a1,// invsqrt(0.7878) = 1.1267 +32'h3fb306a8,32'h3f5422a4,32'h3f5ccb3c, 32'h3f4da431,32'h3f6349af, 32'h3f42d172,32'h3f6e1c6e,// invsqrt(1.3986) = 0.8456 +32'h3f948702,32'h3f68e62a,32'h3f7267b9, 32'h3f61c500,32'h3f7988e4, 32'h3f55e30d,32'h3f82b56c,// invsqrt(1.1604) = 0.9283 +32'h3f4273b7,32'h3f8fedf4,32'h3f95cdde, 32'h3f8b8604,32'h3f9a35ce, 32'h3f842e1e,32'h3fa18db4,// invsqrt(0.7596) = 1.1474 +32'h4018c8a4,32'h3f225fd6,32'h3f29007c, 32'h3f1d675a,32'h3f2df8f8, 32'h3f151e8b,32'h3f3641c7,// invsqrt(2.3872) = 0.6472 +32'h3f3bd154,32'h3f927310,32'h3f986d50, 32'h3f8df761,32'h3f9ce8ff, 32'h3f867e92,32'h3fa461ce,// invsqrt(0.7337) = 1.1675 +32'h4040b313,32'h3f10951f,32'h3f167bdc, 32'h3f0c2810,32'h3f1ae8ea, 32'h3f04c7a4,32'h3f224956,// invsqrt(3.0109) = 0.5763 +32'h3f65736f,32'h3f847fa1,32'h3f89e81b, 32'h3f807146,32'h3f8df676, 32'h3f735d5c,32'h3f94b90e,// invsqrt(0.8963) = 1.0563 +32'h41396186,32'h3e9368a9,32'h3e996cee, 32'h3e8ee574,32'h3e9df022, 32'h3e87601d,32'h3ea57579,// invsqrt(11.5863) = 0.2938 +32'h4081f02a,32'h3ef9007e,32'h3f019527, 32'h3ef16121,32'h3f0564d6, 32'h3ee4acdc,32'h3f0bbef8,// invsqrt(4.0606) = 0.4963 +32'h3f7aa22e,32'h3f7d8d39,32'h3f83f34a, 32'h3f75ca34,32'h3f87d4cc, 32'h3f68da82,32'h3f8e4ca5,// invsqrt(0.9790) = 1.0106 +32'h3e900596,32'h3fec8396,32'h3ff62aeb, 32'h3fe54617,32'h3ffd686b, 32'h3fd934ee,32'h4004bcca,// invsqrt(0.2813) = 1.8855 +32'h3f3e233f,32'h3f918da2,32'h3f977e84, 32'h3f8d18f8,32'h3f9bf32e, 32'h3f85abde,32'h3fa36048,// invsqrt(0.7427) = 1.1603 +32'h401b83b8,32'h3f20f143,32'h3f2782f2, 32'h3f1c03ff,32'h3f2c7035, 32'h3f13cde4,32'h3f34a650,// invsqrt(2.4299) = 0.6415 +32'h3fafd4a5,32'h3f560de0,32'h3f5eca86, 32'h3f4f8064,32'h3f655802, 32'h3f449494,32'h3f7043d2,// invsqrt(1.3737) = 0.8532 +32'h3e9b0aab,32'h3fe3f42b,32'h3fed420d, 32'h3fdcf9c1,32'h3ff43c77, 32'h3fd15866,32'h3fffddd2,// invsqrt(0.3028) = 1.8172 +32'h4010e05c,32'h3f26bf27,32'h3f2d8d7c, 32'h3f21a467,32'h3f32a83b, 32'h3f19227c,32'h3f3b2a26,// invsqrt(2.2637) = 0.6646 +32'h3e42df8d,32'h400fc61b,32'h4015a466, 32'h400b5f64,32'h401a0b1e, 32'h40040987,32'h402160fb,// invsqrt(0.1903) = 2.2923 +32'h3fc67d76,32'h3f497747,32'h3f51b065, 32'h3f434c72,32'h3f57db3a, 32'h3f39050d,32'h3f62229f,// invsqrt(1.5507) = 0.8030 +32'h403aba6b,32'h3f12e047,32'h3f18defb, 32'h3f0e613f,32'h3f1d5e03, 32'h3f06e2de,32'h3f24dc64,// invsqrt(2.9176) = 0.5854 +32'h3f8891b6,32'h3f72e1b3,32'h3f7ccb90, 32'h3f6b724c,32'h3f821d7b, 32'h3f5f0df7,32'h3f884fa5,// invsqrt(1.0669) = 0.9681 +32'h40c36d41,32'h3ecb09ed,32'h3ed3537a, 32'h3ec4d2c5,32'h3ed98aa3, 32'h3eba76d5,32'h3ee3e693,// invsqrt(6.1071) = 0.4047 +32'h3fb5eff7,32'h3f526e61,32'h3f5b052c, 32'h3f4bfd4a,32'h3f617644, 32'h3f4140cd,32'h3f6c32c1,// invsqrt(1.4214) = 0.8388 +32'h3f8ab104,32'h3f710423,32'h3f7ada82, 32'h3f69a35b,32'h3f811da5, 32'h3f5d5763,32'h3f8743a0,// invsqrt(1.0835) = 0.9607 +32'h3e55bf61,32'h40094788,32'h400ee1f6, 32'h400513b5,32'h401315c9, 32'h3ffc2558,32'h401a16d2,// invsqrt(0.2087) = 2.1888 +32'h3f2980f2,32'h3f9a287c,32'h3fa07347, 32'h3f957063,32'h3fa52b5f, 32'h3f8d92e4,32'h3fad08de,// invsqrt(0.6621) = 1.2289 +32'h3d66fcee,32'h40840e95,32'h40897271, 32'h408003b0,32'h408d7d56, 32'h40728db9,32'h40943a2a,// invsqrt(0.0564) = 4.2110 +32'h3f31b0a3,32'h3f9690b0,32'h3f9cb5f0, 32'h3f91f4bf,32'h3fa151e1, 32'h3f8a462e,32'h3fa90072,// invsqrt(0.6941) = 1.2003 +32'h3e4ac93e,32'h400cf0dd,32'h4012b18d, 32'h4008a059,32'h40170211, 32'h40016f7c,32'h401e32ee,// invsqrt(0.1980) = 2.2471 +32'h3ec23b83,32'h3fcba97c,32'h3fd3f98c, 32'h3fc56d71,32'h3fda3597, 32'h3fbb095d,32'h3fe499ab,// invsqrt(0.3794) = 1.6236 +32'h3fff16ed,32'h3f31b71a,32'h3f38f80c, 32'h3f2c4665,32'h3f3e68c1, 32'h3f233538,32'h3f4779ef,// invsqrt(1.9929) = 0.7084 +32'h4160c3bd,32'h3e85df6a,32'h3e8b5640, 32'h3e81c64a,32'h3e8f6f60, 32'h3e75e37f,32'h3e9643ea,// invsqrt(14.0478) = 0.2668 +32'h410c6a6a,32'h3ea95fe4,32'h3eb049af, 32'h3ea4308d,32'h3eb57907, 32'h3e9b8c4f,32'h3ebe1d45,// invsqrt(8.7760) = 0.3376 +32'h3f0628b8,32'h3fad476f,32'h3fb45a05, 32'h3fa7f97e,32'h3fb9a7f6, 32'h3f9f2241,32'h3fc27f33,// invsqrt(0.5241) = 1.3814 +32'h3e722fcb,32'h4000f7ba,32'h40063b4e, 32'h3ffa0a14,32'h400a2dfe, 32'h3fece121,32'h4010c278,// invsqrt(0.2365) = 2.0562 +32'h3eba803b,32'h3fcfd737,32'h3fd852f1, 32'h3fc97a6d,32'h3fdeafbb, 32'h3fbedfc5,32'h3fe94a63,// invsqrt(0.3643) = 1.6569 +32'h429fe9ae,32'h3de0747f,32'h3de99dd2, 32'h3dd99580,32'h3df07cd0, 32'h3dce21d7,32'h3dfbf079,// invsqrt(79.9564) = 0.1118 +32'h3f6bd3f5,32'h3f82b1e0,32'h3f880780, 32'h3f7d634e,32'h3f8c07b9, 32'h3f700d3d,32'h3f92b2c2,// invsqrt(0.9212) = 1.0419 +32'h3f204dab,32'h3f9e852d,32'h3fa4fd8d, 32'h3f99aae5,32'h3fa9d7d5, 32'h3f91946d,32'h3fb1ee4d,// invsqrt(0.6262) = 1.2637 +32'h3e9d247a,32'h3fe26cc7,32'h3febaab0, 32'h3fdb7e59,32'h3ff2991f, 32'h3fcff0f6,32'h3ffe2682,// invsqrt(0.3069) = 1.8050 +32'h3fec3d4c,32'h3f38ab5b,32'h3f4034f6, 32'h3f330426,32'h3f45dc2a, 32'h3f299824,32'h3f4f482c,// invsqrt(1.8456) = 0.7361 +32'h3f500985,32'h3f8b2697,32'h3f90d493, 32'h3f86e41a,32'h3f951710, 32'h3f7f953f,32'h3f9c308a,// invsqrt(0.8126) = 1.1093 +32'h3fe7b013,32'h3f3a7979,32'h3f4215f1, 32'h3f34c41f,32'h3f47cb4b, 32'h3f2b4089,32'h3f514ee1,// invsqrt(1.8101) = 0.7433 +32'h3f0a7298,32'h3faa92fd,32'h3fb18950, 32'h3fa55a3e,32'h3fb6c20e, 32'h3f9ca655,32'h3fbf75f7,// invsqrt(0.5408) = 1.3598 +32'h3f2bf3a1,32'h3f990e8f,32'h3f9f4dd9, 32'h3f945f18,32'h3fa3fd50, 32'h3f8c8ffc,32'h3fabcc6c,// invsqrt(0.6717) = 1.2202 +32'h40298e50,32'h3f1a2268,32'h3f206cf4, 32'h3f156a7f,32'h3f2524dd, 32'h3f0d8d50,32'h3f2d020c,// invsqrt(2.6493) = 0.6144 +32'h3fc342c3,32'h3f4b2004,32'h3f536a77, 32'h3f44e82d,32'h3f59a24d, 32'h3f3a8b1d,32'h3f63ff5d,// invsqrt(1.5255) = 0.8097 +32'h3f90d5b8,32'h3f6bd969,32'h3f7579cb, 32'h3f64a11f,32'h3f7cb215, 32'h3f5898a4,32'h3f845d48,// invsqrt(1.1315) = 0.9401 +32'h3f3b1192,32'h3f92be0c,32'h3f98bb5b, 32'h3f8e4011,32'h3f9d3957, 32'h3f86c36f,32'h3fa4b5f9,// invsqrt(0.7307) = 1.1698 +32'h40ce8dc5,32'h3ec57e87,32'h3ecd8e24, 32'h3ebf72d1,32'h3ed399d9, 32'h3eb55f4c,32'h3eddad5e,// invsqrt(6.4548) = 0.3936 +32'h40a6f03f,32'h3edbae61,32'h3ee4a5d3, 32'h3ed4f4cc,32'h3eeb5f68, 32'h3ec9bf7e,32'h3ef694b6,// invsqrt(5.2168) = 0.4378 +32'h400baaab,32'h3f29d401,32'h3f30c288, 32'h3f24a11a,32'h3f35f56e, 32'h3f1bf6f0,32'h3f3e9f98,// invsqrt(2.1823) = 0.6769 +32'h3ed4fc0c,32'h3fc27d68,32'h3fca6da0, 32'h3fbc893d,32'h3fd061cb, 32'h3fb29cf7,32'h3fda4e11,// invsqrt(0.4160) = 1.5505 +32'h4009baa8,32'h3f2b04bd,32'h3f31ffb5, 32'h3f25c883,32'h3f373bef, 32'h3f1d0ecd,32'h3f3ff5a5,// invsqrt(2.1520) = 0.6817 +32'h3e9eb399,32'h3fe14f5b,32'h3fea819d, 32'h3fda69a9,32'h3ff1674f, 32'h3fceead6,32'h3ffce622,// invsqrt(0.3100) = 1.7962 +32'h3ea3978a,32'h3fddeaaf,32'h3fe6f97d, 32'h3fd71f95,32'h3fedc497, 32'h3fcbcd14,32'h3ff91718,// invsqrt(0.3195) = 1.7691 +32'h3f86acb4,32'h3f749585,32'h3f7e912c, 32'h3f6d18c7,32'h3f8306f5, 32'h3f609e36,32'h3f89443d,// invsqrt(1.0521) = 0.9749 +32'h3f134c6c,32'h3fa55ebb,32'h3fac1ead, 32'h3fa04ec5,32'h3fb12ea3, 32'h3f97ded5,32'h3fb99e93,// invsqrt(0.5754) = 1.3183 +32'h40cd72b0,32'h3ec60668,32'h3ece1b90, 32'h3ebff689,32'h3ed42b6f, 32'h3eb5dc16,32'h3ede45e2,// invsqrt(6.4202) = 0.3947 +32'h3fa40d6e,32'h3f5d9ae5,32'h3f66a671, 32'h3f56d23c,32'h3f6d6f1a, 32'h3f4b83cd,32'h3f78bd89,// invsqrt(1.2817) = 0.8833 +32'h3f812656,32'h3f79c2c2,32'h3f81fa41, 32'h3f721d74,32'h3f85cce8, 32'h3f655f45,32'h3f8c2c00,// invsqrt(1.0090) = 0.9955 +32'h3fab61c6,32'h3f58d089,32'h3f61aa07, 32'h3f522d6b,32'h3f684d25, 32'h3f471d8e,32'h3f735d02,// invsqrt(1.3389) = 0.8642 +32'h3e95a1d9,32'h3fe809a4,32'h3ff18232, 32'h3fe0ef39,32'h3ff89c9d, 32'h3fd51887,32'h400239a8,// invsqrt(0.2923) = 1.8498 +32'h3f74d3f3,32'h3f804528,32'h3f858172, 32'h3f78afdf,32'h3f896eaa, 32'h3f6b9924,32'h3f8ffa08,// invsqrt(0.9564) = 1.0226 +32'h3fad1b87,32'h3f57bb33,32'h3f60895f, 32'h3f512092,32'h3f672400, 32'h3f461edb,32'h3f7225b7,// invsqrt(1.3524) = 0.8599 +32'h3f989faa,32'h3f65c09d,32'h3f6f214b, 32'h3f5eb81b,32'h3f7629cd, 32'h3f52ff42,32'h3f80f153,// invsqrt(1.1924) = 0.9158 +32'h3e3f07be,32'h4011367b,32'h401723cf, 32'h400cc47d,32'h401b95cd, 32'h40055bd4,32'h4022fe76,// invsqrt(0.1866) = 2.3153 +32'h3f6191b6,32'h3f85a23d,32'h3f8b1693, 32'h3f818afc,32'h3f8f2dd4, 32'h3f757322,32'h3f95ff3f,// invsqrt(0.8811) = 1.0653 +32'h3f00fed6,32'h3fb0b690,32'h3fb7ed08, 32'h3fab4db5,32'h3fbd55e3, 32'h3fa2499e,32'h3fc659fa,// invsqrt(0.5039) = 1.4087 +32'h3f3023bd,32'h3f9739f3,32'h3f9d661d, 32'h3f9298d4,32'h3fa2073c, 32'h3f8ae1a1,32'h3fa9be6f,// invsqrt(0.6880) = 1.2056 +32'h3eb0bcbf,32'h3fd58124,32'h3fde380b, 32'h3fcef7f6,32'h3fe4c138, 32'h3fc41355,32'h3fefa5d9,// invsqrt(0.3452) = 1.7020 +32'h3f6d30d2,32'h3f82519f,32'h3f87a352, 32'h3f7ca8b3,32'h3f8ba099, 32'h3f6f5c73,32'h3f9246b8,// invsqrt(0.9265) = 1.0389 +32'h3fbde58a,32'h3f4df958,32'h3f566190, 32'h3f47ab2e,32'h3f5cafba, 32'h3f3d28e8,32'h3f673200,// invsqrt(1.4836) = 0.8210 +32'h3f9a8c9b,32'h3f645110,32'h3f6da2be, 32'h3f5d53cf,32'h3f749fff, 32'h3f51adb6,32'h3f80230c,// invsqrt(1.2074) = 0.9101 +32'h3f96fc84,32'h3f66fea9,32'h3f706c51, 32'h3f5fec6a,32'h3f777e90, 32'h3f542357,32'h3f81a3d2,// invsqrt(1.1796) = 0.9207 +32'h3fe699a2,32'h3f3ae9ec,32'h3f428afa, 32'h3f353120,32'h3f4843c6, 32'h3f2ba7ce,32'h3f51cd18,// invsqrt(1.8016) = 0.7450 +32'h3f37ac95,32'h3f941797,32'h3f9a2300, 32'h3f8f8f07,32'h3f9eab8f, 32'h3f8800c4,32'h3fa639d2,// invsqrt(0.7175) = 1.1806 +32'h3f207c1a,32'h3f9e6e3d,32'h3fa4e5ad, 32'h3f9994a9,32'h3fa9bf41, 32'h3f917f5c,32'h3fb1d48e,// invsqrt(0.6269) = 1.2630 +32'h3e98979b,32'h3fe5c6ae,32'h3fef279c, 32'h3fdebdfd,32'h3ff6304d, 32'h3fd304d4,32'h4000f4bb,// invsqrt(0.2980) = 1.8318 +32'h3f3a5092,32'h3f9309fa,32'h3f990a62, 32'h3f8e89ac,32'h3f9d8ab0, 32'h3f870929,32'h3fa50b33,// invsqrt(0.7278) = 1.1722 +32'h3f046141,32'h3fae7088,32'h3fb58f3f, 32'h3fa9197e,32'h3fbae648, 32'h3fa03319,32'h3fc3ccad,// invsqrt(0.5171) = 1.3906 +32'h3ed7249e,32'h3fc18303,32'h3fc96903, 32'h3fbb9683,32'h3fcf5583, 32'h3fb1b703,32'h3fd93503,// invsqrt(0.4202) = 1.5427 +32'h3fa07f14,32'h3f600bef,32'h3f6930fd, 32'h3f593023,32'h3f700cc9, 32'h3f4dc1d1,32'h3f7b7b1b,// invsqrt(1.2539) = 0.8930 +32'h3f5acf71,32'h3f87ae95,32'h3f8d3852, 32'h3f838748,32'h3f915fa0, 32'h3f793637,32'h3f984bcc,// invsqrt(0.8547) = 1.0816 +32'h3e35bd73,32'h4014e0c9,32'h401af469, 32'h40105211,32'h401f8321, 32'h4008b98a,32'h40271ba8,// invsqrt(0.1775) = 2.3737 +32'h3fa7b7f6,32'h3f5b2b6e,32'h3f641d88, 32'h3f5475db,32'h3f6ad31b, 32'h3f49473c,32'h3f7601bb,// invsqrt(1.3103) = 0.8736 +32'h3f3c7ca4,32'h3f923073,32'h3f9827fb, 32'h3f8db6ce,32'h3f9ca1a0, 32'h3f864165,32'h3fa41709,// invsqrt(0.7363) = 1.1654 +32'h3faa360a,32'h3f598f1c,32'h3f627062, 32'h3f52e629,32'h3f691955, 32'h3f47cc92,32'h3f7432ec,// invsqrt(1.3298) = 0.8672 +32'h3ef188e8,32'h3fb6a241,32'h3fbe1697, 32'h3fb10b00,32'h3fc3add8, 32'h3fa7b994,32'h3fccff44,// invsqrt(0.4717) = 1.4559 +32'h40a3f4ff,32'h3eddab67,32'h3ee6b79f, 32'h3ed6e23c,32'h3eed80ca, 32'h3ecb92f6,32'h3ef8d010,// invsqrt(5.1237) = 0.4418 +32'h3f7592d2,32'h3f801345,32'h3f854d86, 32'h3f784f26,32'h3f893937, 32'h3f6b3d83,32'h3f8fc209,// invsqrt(0.9593) = 1.0210 +32'h3f09259c,32'h3fab6192,32'h3fb26054, 32'h3fa62280,32'h3fb79f66, 32'h3f9d640e,32'h3fc05dd8,// invsqrt(0.5357) = 1.3662 +32'h3f71a605,32'h3f811c79,32'h3f86618d, 32'h3f7a5152,32'h3f8a555d, 32'h3f6d249f,32'h3f90ebb6,// invsqrt(0.9439) = 1.0293 +32'h3f2d37bd,32'h3f987f1a,32'h3f9eb889, 32'h3f93d408,32'h3fa3639c, 32'h3f8c0c3d,32'h3fab2b67,// invsqrt(0.6766) = 1.2157 +32'h3de780db,32'h403a8c7c,32'h404229bb, 32'h4034d68e,32'h4047dfaa, 32'h402b5200,32'h40516438,// invsqrt(0.1130) = 2.9743 +32'h3e7d1506,32'h3ffc5275,32'h40034f7c, 32'h3ff49913,32'h40072c2c, 32'h3fe7b971,32'h400d9bfe,// invsqrt(0.2472) = 2.0115 +32'h4137bc8b,32'h3e941128,32'h3e9a1c4e, 32'h3e8f88cb,32'h3e9ea4ab, 32'h3e87fadc,32'h3ea6329a,// invsqrt(11.4835) = 0.2951 +32'h3ffeae10,32'h3f31dbad,32'h3f391e1d, 32'h3f2c69da,32'h3f3e8ff0, 32'h3f2356ce,32'h3f47a2fc,// invsqrt(1.9897) = 0.7089 +32'h3fb2f904,32'h3f542ab9,32'h3f5cd3a7, 32'h3f4dac07,32'h3f635259, 32'h3f42d8de,32'h3f6e2582,// invsqrt(1.3982) = 0.8457 +32'h3f6770fb,32'h3f83ed75,32'h3f894ff7, 32'h3f7fc727,32'h3f8d59d9, 32'h3f7250e1,32'h3f9414fb,// invsqrt(0.9041) = 1.0517 +32'h3fe24c88,32'h3f3cae83,32'h3f44620b, 32'h3f36e7dd,32'h3f4a28b1, 32'h3f2d4773,32'h3f53c91b,// invsqrt(1.7680) = 0.7521 +32'h3fa0d390,32'h3f5fd10e,32'h3f68f3b6, 32'h3f58f710,32'h3f6fcdb4, 32'h3f4d8bbf,32'h3f7b3905,// invsqrt(1.2565) = 0.8921 +32'h40492aa8,32'h3f0d81ce,32'h3f134868, 32'h3f092cda,32'h3f179d5c, 32'h3f01f498,32'h3f1ed59e,// invsqrt(3.1432) = 0.5640 +32'h3f448ef9,32'h3f8f27fc,32'h3f94ffd2, 32'h3f8ac61b,32'h3f9961b3, 32'h3f837850,32'h3fa0af7e,// invsqrt(0.7678) = 1.1412 +32'h3ec46e55,32'h3fca84e5,32'h3fd2c903, 32'h3fc451ce,32'h3fd8fc1a, 32'h3fb9fca8,32'h3fe35140,// invsqrt(0.3837) = 1.6145 +32'h4004915e,32'h3f2e50dd,32'h3f356e49, 32'h3f28facc,32'h3f3ac45a, 32'h3f201604,32'h3f43a922,// invsqrt(2.0714) = 0.6948 +32'h40255438,32'h3f1c17a2,32'h3f2276a4, 32'h3f175061,32'h3f273de5, 32'h3f0f59a0,32'h3f2f34a6,// invsqrt(2.5833) = 0.6222 +32'h407af141,32'h3efd6543,32'h3f03de7e, 32'h3ef5a378,32'h3f07bf64, 32'h3ee8b5d0,32'h3f0e3638,// invsqrt(3.9210) = 0.5050 +32'h3f0fc98c,32'h3fa76083,32'h3fae356f, 32'h3fa240d3,32'h3fb3551f, 32'h3f99b6ad,32'h3fbbdf45,// invsqrt(0.5617) = 1.3343 +32'h3f903845,32'h3f6c5a04,32'h3f75ffa6, 32'h3f651dca,32'h3f7d3be0, 32'h3f590ec0,32'h3f84a575,// invsqrt(1.1267) = 0.9421 +32'h3ff2beb2,32'h3f362d92,32'h3f3d9d26, 32'h3f3099e4,32'h3f4330d4, 32'h3f274e6c,32'h3f4c7c4c,// invsqrt(1.8964) = 0.7262 +32'h3ed4de45,32'h3fc28b02,32'h3fca7bc8, 32'h3fbc966d,32'h3fd0705d, 32'h3fb2a974,32'h3fda5d56,// invsqrt(0.4158) = 1.5509 +32'h3e9e8f83,32'h3fe168fd,32'h3fea9c4b, 32'h3fda8282,32'h3ff182c6, 32'h3fcf0260,32'h3ffd02e8,// invsqrt(0.3097) = 1.7970 +32'h3eac9a43,32'h3fd80bec,32'h3fe0dd64, 32'h3fd16ed3,32'h3fe77a7d, 32'h3fc668fe,32'h3ff28052,// invsqrt(0.3371) = 1.7223 +32'h405360e8,32'h3f0a0beb,32'h3f0fae5d, 32'h3f05d215,32'h3f13e833, 32'h3efd8e0e,32'h3f1af341,// invsqrt(3.3028) = 0.5502 +32'h3e9f6c40,32'h3fe0ccba,32'h3fe9f9a7, 32'h3fd9eb07,32'h3ff0db59, 32'h3fce72de,32'h3ffc5382,// invsqrt(0.3114) = 1.7921 +32'h3fab6319,32'h3f58cfb3,32'h3f61a928, 32'h3f522c9b,32'h3f684c3f, 32'h3f471cc8,32'h3f735c12,// invsqrt(1.3390) = 0.8642 +32'h3f1b2cb5,32'h3fa11e5c,32'h3fa7b1e2, 32'h3f9c2fb7,32'h3faca087, 32'h3f93f74f,32'h3fb4d8ef,// invsqrt(0.6062) = 1.2844 +32'h3f34bde3,32'h3f9549e5,32'h3f9b61cf, 32'h3f90b7f6,32'h3f9ff3be, 32'h3f891a11,32'h3fa791a3,// invsqrt(0.7060) = 1.1901 +32'h3f4ca32d,32'h3f8c4d49,32'h3f92074b, 32'h3f8801c6,32'h3f9652ce, 32'h3f80d943,32'h3f9d7b51,// invsqrt(0.7994) = 1.1185 +32'h3ee5eaa9,32'h3fbb30fe,32'h3fc2d4f3, 32'h3fb57605,32'h3fc88feb, 32'h3fabe912,32'h3fd21cde,// invsqrt(0.4491) = 1.4923 +32'h401e7c44,32'h3f1f6d44,32'h3f25ef1c, 32'h3f1a8be1,32'h3f2ad07f, 32'h3f126992,32'h3f32f2ce,// invsqrt(2.4763) = 0.6355 +32'h3f9d8842,32'h3f622506,32'h3f6b6002, 32'h3f5b38ca,32'h3f724c3e, 32'h3f4faf10,32'h3f7dd5f8,// invsqrt(1.2307) = 0.9014 +32'h3f0adc81,32'h3faa51e4,32'h3fb1458f, 32'h3fa51b23,32'h3fb67c4f, 32'h3f9c6a8d,32'h3fbf2ce5,// invsqrt(0.5424) = 1.3578 +32'h413eaca6,32'h3e915927,32'h3e9747e5, 32'h3e8ce619,32'h3e9bbaf3, 32'h3e857bac,32'h3ea32560,// invsqrt(11.9172) = 0.2897 +32'h3fa092fc,32'h3f5ffe0b,32'h3f692289, 32'h3f5922ad,32'h3f6ffde7, 32'h3f4db50f,32'h3f7b6b85,// invsqrt(1.2545) = 0.8928 +32'h3e0a15d1,32'h402acc41,32'h4031c4eb, 32'h402591c2,32'h4036ff6a, 32'h401cdaed,32'h403fb63f,// invsqrt(0.1348) = 2.7232 +32'h3e243e3c,32'h401c9b83,32'h4022ffe6, 32'h4017d038,32'h4027cb30, 32'h400fd2bc,32'h402fc8ac,// invsqrt(0.1604) = 2.4969 +32'h3f19916e,32'h3fa1f58c,32'h3fa891db, 32'h3f9d0051,32'h3fad8717, 32'h3f94bcef,32'h3fb5ca79,// invsqrt(0.5999) = 1.2911 +32'h3ef01cc5,32'h3fb72c89,32'h3fbea685, 32'h3fb1910d,32'h3fc44201, 32'h3fa83893,32'h3fcd9a7b,// invsqrt(0.4690) = 1.4603 +32'h3fc4c29c,32'h3f4a5981,32'h3f529bda, 32'h3f4427bf,32'h3f58cd9d, 32'h3f39d4d0,32'h3f63208c,// invsqrt(1.5372) = 0.8066 +32'h3f4d78e2,32'h3f8c043f,32'h3f91bb47, 32'h3f87baf9,32'h3f96048d, 32'h3f809630,32'h3f9d2956,// invsqrt(0.8026) = 1.1162 +32'h3faca27f,32'h3f5806c5,32'h3f60d807, 32'h3f5169d4,32'h3f6774f8, 32'h3f466442,32'h3f727a8a,// invsqrt(1.3487) = 0.8611 +32'h3f3f2677,32'h3f912ad0,32'h3f9717a9, 32'h3f8cb92c,32'h3f9b894c, 32'h3f85511c,32'h3fa2f15c,// invsqrt(0.7467) = 1.1573 +32'h4126d754,32'h3e9b6223,32'h3ea1b9bc, 32'h3e96a071,32'h3ea67b6f, 32'h3e8eb2f2,32'h3eae68ee,// invsqrt(10.4276) = 0.3097 +32'h401ea97d,32'h3f1f568a,32'h3f25d776, 32'h3f1a75da,32'h3f2ab826, 32'h3f1254b3,32'h3f32d94d,// invsqrt(2.4791) = 0.6351 +32'h3ebda0d7,32'h3fce1ea4,32'h3fd68862, 32'h3fc7cf56,32'h3fdcd7b0, 32'h3fbd4b29,32'h3fe75bdd,// invsqrt(0.3704) = 1.6432 +32'h419b6cbb,32'h3e63ac36,32'h3e6cf729, 32'h3e5cb401,32'h3e73ef5f, 32'h3e511652,32'h3e7f8d0e,// invsqrt(19.4281) = 0.2269 +32'h3f0b63e1,32'h3fa9ff1b,32'h3fb0ef65, 32'h3fa4cae3,32'h3fb6239d, 32'h3f9c1e86,32'h3fbecffa,// invsqrt(0.5445) = 1.3552 +32'h400504ef,32'h3f2e0514,32'h3f351f68, 32'h3f28b154,32'h3f3a7328, 32'h3f1fd06b,32'h3f435411,// invsqrt(2.0784) = 0.6936 +32'h401d10e7,32'h3f202543,32'h3f26ae9f, 32'h3f1b3e3f,32'h3f2b95a3, 32'h3f13128c,32'h3f33c156,// invsqrt(2.4542) = 0.6383 +32'h3f838a4e,32'h3f777b1c,32'h3f80ca85, 32'h3f6fe7ac,32'h3f84943d, 32'h3f634744,32'h3f8ae471,// invsqrt(1.0277) = 0.9865 +32'h3f38d1f5,32'h3f93a1de,32'h3f99a87a, 32'h3f8f1cea,32'h3f9e2d6e, 32'h3f8794a8,32'h3fa5b5b0,// invsqrt(0.7220) = 1.1769 +32'h3f99be2a,32'h3f64ea27,32'h3f6e4213, 32'h3f5de835,32'h3f754405, 32'h3f523a4d,32'h3f8078f6,// invsqrt(1.2011) = 0.9124 +32'h3f2a53df,32'h3f99c8ea,32'h3fa00fd0, 32'h3f9513bf,32'h3fa4c4fb, 32'h3f8d3b20,32'h3fac9d9a,// invsqrt(0.6653) = 1.2260 +32'h3f8b0208,32'h3f70bddd,32'h3f7a915e, 32'h3f695f3c,32'h3f80f7ff, 32'h3f5d16da,32'h3f871c30,// invsqrt(1.0860) = 0.9596 +32'h3f38198f,32'h3f93ebbb,32'h3f99f55b, 32'h3f8f6484,32'h3f9e7c92, 32'h3f87d87d,32'h3fa60899,// invsqrt(0.7191) = 1.1792 +32'h3fd4af05,32'h3f42a09c,32'h3f4a9245, 32'h3f3cab5f,32'h3f508783, 32'h3f32bd4c,32'h3f5a7596,// invsqrt(1.6616) = 0.7758 +32'h41ac5a5a,32'h3e5833f7,32'h3e610711, 32'h3e5195a4,32'h3e67a564, 32'h3e468dc4,32'h3e72ad44,// invsqrt(21.5441) = 0.2154 +32'h3ee72a62,32'h3fbaaf5d,32'h3fc24e08, 32'h3fb4f85d,32'h3fc80509, 32'h3fab7208,32'h3fd18b5f,// invsqrt(0.4515) = 1.4882 +32'h3fae179a,32'h3f571ecb,32'h3f5fe695, 32'h3f5088f4,32'h3f667c6c, 32'h3f458f38,32'h3f717628,// invsqrt(1.3601) = 0.8575 +32'h3fd962ae,32'h3f4082d7,32'h3f485e63, 32'h3f3a9e2f,32'h3f4e430b, 32'h3f30cbc0,32'h3f58157a,// invsqrt(1.6983) = 0.7673 +32'h3f4a41dd,32'h3f8d2000,32'h3f92e29c, 32'h3f88ce0a,32'h3f973492, 32'h3f819ac6,32'h3f9e67d6,// invsqrt(0.7901) = 1.1250 +32'h3f80ee0c,32'h3f79f942,32'h3f82169d, 32'h3f725248,32'h3f85ea1a, 32'h3f659151,32'h3f8c4a95,// invsqrt(1.0073) = 0.9964 +32'h40dd1532,32'h3ebee502,32'h3ec6afaa, 32'h3eb90d05,32'h3ecc87a7, 32'h3eaf4fb4,32'h3ed644f8,// invsqrt(6.9088) = 0.3804 +32'h3f311217,32'h3f96d409,32'h3f9cfc09, 32'h3f923609,32'h3fa19a09, 32'h3f8a8408,32'h3fa94c0a,// invsqrt(0.6917) = 1.2024 +32'h3fb69e16,32'h3f5209f7,32'h3f5a9ca9, 32'h3f4b9bf2,32'h3f610aae, 32'h3f40e495,32'h3f6bc20b,// invsqrt(1.4267) = 0.8372 +32'h3e130142,32'h402588fc,32'h402c4aa9, 32'h402077bc,32'h40315bea, 32'h401805a4,32'h4039ce02,// invsqrt(0.1436) = 2.6393 +32'h409d5f83,32'h3ee2424b,32'h3eeb7e78, 32'h3edb552a,32'h3ef26b9a, 32'h3ecfc9f2,32'h3efdf6d2,// invsqrt(4.9179) = 0.4509 +32'h40863462,32'h3ef50310,32'h3eff0330, 32'h3eed82f8,32'h3f0341a4, 32'h3ee102d0,32'h3f0981b8,// invsqrt(4.1939) = 0.4883 +32'h4026d1ae,32'h3f1b64c4,32'h3f21bc79, 32'h3f16a2fd,32'h3f267e41, 32'h3f0eb55c,32'h3f2e6be2,// invsqrt(2.6065) = 0.6194 +32'h401a3631,32'h3f219ef0,32'h3f2837b6, 32'h3f1cac5c,32'h3f2d2a4a, 32'h3f146d64,32'h3f356942,// invsqrt(2.4096) = 0.6442 +32'h3f368917,32'h3f948da6,32'h3f9a9de2, 32'h3f90017a,32'h3f9f2a0e, 32'h3f886d30,32'h3fa6be58,// invsqrt(0.7130) = 1.1843 +32'h3ec8cdae,32'h3fc84d55,32'h3fd07a49, 32'h3fc22b9e,32'h3fd69c00, 32'h3fb7f36e,32'h3fe0d431,// invsqrt(0.3922) = 1.5968 +32'h401f9e93,32'h3f1edc07,32'h3f2557f3, 32'h3f19ff17,32'h3f2a34e3, 32'h3f11e430,32'h3f324fca,// invsqrt(2.4941) = 0.6332 +32'h40004dc4,32'h3f313057,32'h3f386bc8, 32'h3f2bc3c1,32'h3f3dd85d, 32'h3f22b974,32'h3f46e2aa,// invsqrt(2.0047) = 0.7063 +32'h3e11039a,32'h4026aae2,32'h402d7864, 32'h402190c1,32'h40329285, 32'h40190fe0,32'h403b1367,// invsqrt(0.1416) = 2.6573 +32'h3e1291f4,32'h4025c7cb,32'h402c8c07, 32'h4020b49d,32'h40319f35, 32'h40183f52,32'h403a1480,// invsqrt(0.1431) = 2.6432 +32'h3f871345,32'h3f743897,32'h3f7e3073, 32'h3f6cbeb2,32'h3f82d52c, 32'h3f6048de,32'h3f891016,// invsqrt(1.0553) = 0.9735 +32'h3fb99bf3,32'h3f5056e0,32'h3f58d7ce, 32'h3f49f62c,32'h3f5f3882, 32'h3f3f5502,32'h3f69d9ad,// invsqrt(1.4501) = 0.8304 +32'h3faccb9c,32'h3f57ed10,32'h3f60bd45, 32'h3f5150e9,32'h3f67596d, 32'h3f464ca7,32'h3f725daf,// invsqrt(1.3500) = 0.8607 +32'h404c7f22,32'h3f0c59a5,32'h3f121429, 32'h3f080dc2,32'h3f16600c, 32'h3f00e49d,32'h3f1d8931,// invsqrt(3.1953) = 0.5594 +32'h3ec29e38,32'h3fcb75d0,32'h3fd3c3c4, 32'h3fc53b5a,32'h3fd9fe3a, 32'h3fbad9e9,32'h3fe45fab,// invsqrt(0.3801) = 1.6220 +32'h3ecca399,32'h3fc66a81,32'h3fce83c0, 32'h3fc05793,32'h3fd496af, 32'h3fb63804,32'h3fdeb63e,// invsqrt(0.3997) = 1.5818 +32'h3f4e6ac4,32'h3f8bb21e,32'h3f9165cb, 32'h3f876b5b,32'h3f95ac8d, 32'h3f804ac2,32'h3f9ccd26,// invsqrt(0.8063) = 1.1136 +32'h3d0e94b8,32'h40a81566,32'h40aef1b3, 32'h40a2f02b,32'h40b416ed, 32'h409a5ccb,32'h40bcaa4d,// invsqrt(0.0348) = 5.3598 +32'h4116533a,32'h3ea3b264,32'h3eaa60da, 32'h3e9eaf8a,32'h3eaf63b4, 32'h3e965576,32'h3eb7bdc9,// invsqrt(9.3953) = 0.3262 +32'h3ec6061c,32'h3fc9b3f5,32'h3fd1ef8c, 32'h3fc38743,32'h3fd81c3d, 32'h3fb93cc6,32'h3fe266ba,// invsqrt(0.3868) = 1.6080 +32'h3f81df55,32'h3f7910a0,32'h3f819d8d, 32'h3f7170c5,32'h3f856d7a, 32'h3f64bbad,32'h3f8bc806,// invsqrt(1.0146) = 0.9928 +32'h3e5f97d6,32'h40063914,32'h400bb392, 32'h40021d35,32'h400fcf71, 32'h3ff6882f,32'h4016a88e,// invsqrt(0.2184) = 2.1400 +32'h40353808,32'h3f15178d,32'h3f1b2d69, 32'h3f108728,32'h3f1fbdce, 32'h3f08ebd5,32'h3f275921,// invsqrt(2.8315) = 0.5943 +32'h40937bc3,32'h3ee9b8ce,32'h3ef342f6, 32'h3ee29130,32'h3efa6a94, 32'h3ed6a47f,32'h3f032ba3,// invsqrt(4.6089) = 0.4658 +32'h3ff94d8e,32'h3f33c412,32'h3f3b1a71, 32'h3f2e434c,32'h3f409b38, 32'h3f251755,32'h3f49c72f,// invsqrt(1.9477) = 0.7165 +32'h3ff18dfc,32'h3f36a056,32'h3f3e1498, 32'h3f310924,32'h3f43abca, 32'h3f27b7d2,32'h3f4cfd1d,// invsqrt(1.8871) = 0.7279 +32'h4029e0db,32'h3f19fcf1,32'h3f2045f5, 32'h3f15462d,32'h3f24fcb9, 32'h3f0d6ae8,32'h3f2cd7fe,// invsqrt(2.6543) = 0.6138 +32'h3fb4fab4,32'h3f52fcc8,32'h3f5b9962, 32'h3f4c8754,32'h3f620ed6, 32'h3f41c393,32'h3f6cd297,// invsqrt(1.4139) = 0.8410 +32'h3f7f1e60,32'h3f7b501f,32'h3f82c90b, 32'h3f739ea6,32'h3f86a1c7, 32'h3f66cc31,32'h3f8d0b02,// invsqrt(0.9966) = 1.0017 +32'h409d373f,32'h3ee25f43,32'h3eeb9c9f, 32'h3edb713f,32'h3ef28aa3, 32'h3ecfe48c,32'h3efe1756,// invsqrt(4.9130) = 0.4512 +32'h3f31e17f,32'h3f967c01,32'h3f9ca069, 32'h3f91e0b2,32'h3fa13bb8, 32'h3f8a3330,32'h3fa8e93a,// invsqrt(0.6948) = 1.1997 +32'h401b587e,32'h3f2107a6,32'h3f279a3f, 32'h3f1c19b3,32'h3f2c8831, 32'h3f13e273,32'h3f34bf71,// invsqrt(2.4273) = 0.6419 +32'h3f8edc75,32'h3f6d790c,32'h3f772a65, 32'h3f663408,32'h3f7e6f68, 32'h3f5a1659,32'h3f85468c,// invsqrt(1.1161) = 0.9466 +32'h3f92b880,32'h3f6a5420,32'h3f73e49e, 32'h3f6327c1,32'h3f7b10fd, 32'h3f573322,32'h3f8382ce,// invsqrt(1.1463) = 0.9340 +32'h3f2723d1,32'h3f9b3e91,32'h3fa194b7, 32'h3f967df5,32'h3fa65553, 32'h3f8e9247,32'h3fae4101,// invsqrt(0.6529) = 1.2376 +32'h42379fe5,32'h3e141cb4,32'h3e1a2853, 32'h3e0f93fd,32'h3e1eb10b, 32'h3e080577,32'h3e263f91,// invsqrt(45.9061) = 0.1476 +32'h40fe1724,32'h3eb21077,32'h3eb9550f, 32'h3eac9d06,32'h3ebec880, 32'h3ea38749,32'h3ec7de3d,// invsqrt(7.9403) = 0.3549 +32'h3f984e09,32'h3f65fe27,32'h3f6f6157, 32'h3f5ef3c2,32'h3f766bbc, 32'h3f5337c6,32'h3f8113dc,// invsqrt(1.1899) = 0.9167 +32'h3f1f24d9,32'h3f9f18bd,32'h3fa59723, 32'h3f9a39f1,32'h3faa75ef, 32'h3f921bf2,32'h3fb293ee,// invsqrt(0.6217) = 1.2683 +32'h3bf9888f,32'h4133aed0,32'h413b0450, 32'h412e2eaf,32'h41408471, 32'h412503ce,32'h4149af52,// invsqrt(0.0076) = 11.4594 +32'h3fd0de52,32'h3f44659b,32'h3f4c69c1, 32'h3f3e627f,32'h3f526cdd, 32'h3f345d50,32'h3f5c720c,// invsqrt(1.6318) = 0.7828 +32'h3fbb3ba9,32'h3f4f6f15,32'h3f57e68f, 32'h3f49157b,32'h3f5e4029, 32'h3f3e8023,32'h3f68d581,// invsqrt(1.4628) = 0.8268 +32'h3faa721c,32'h3f5968c2,32'h3f624877, 32'h3f52c0fc,32'h3f68f03e, 32'h3f47a95a,32'h3f7407e0,// invsqrt(1.3316) = 0.8666 +32'h40a9c21f,32'h3ed9d957,32'h3ee2bda3, 32'h3ed32e1d,32'h3ee968dd, 32'h3ec810bd,32'h3ef4863d,// invsqrt(5.3049) = 0.4342 +32'h3fd4c045,32'h3f4298b8,32'h3f4a8a0e, 32'h3f3ca3b8,32'h3f507f0e, 32'h3f32b60c,32'h3f5a6cba,// invsqrt(1.6621) = 0.7757 +32'h3fd5399e,32'h3f426151,32'h3f4a5064, 32'h3f3c6e03,32'h3f5043b3, 32'h3f32832c,32'h3f5a2e8b,// invsqrt(1.6658) = 0.7748 +32'h3f476626,32'h3f8e2204,32'h3f93ef28, 32'h3f89c828,32'h3f984904, 32'h3f8287ba,32'h3f9f8972,// invsqrt(0.7789) = 1.1331 +32'h3f809ff2,32'h3f7a451b,32'h3f823e16, 32'h3f729bce,32'h3f8612bc, 32'h3f65d6f9,32'h3f8c7526,// invsqrt(1.0049) = 0.9976 +32'h3ffe2f19,32'h3f320813,32'h3f394c53, 32'h3f2c94e4,32'h3f3ebf82, 32'h3f237f94,32'h3f47d4d2,// invsqrt(1.9858) = 0.7096 +32'h408a9d0d,32'h3ef1157e,32'h3efaec92, 32'h3ee9b42e,32'h3f0126f1, 32'h3edd6754,32'h3f074d5e,// invsqrt(4.3317) = 0.4805 +32'h3f80097d,32'h3f7ad7fc,32'h3f828a86, 32'h3f732a31,32'h3f86616c, 32'h3f665ddd,32'h3f8cc795,// invsqrt(1.0003) = 0.9999 +32'h3d906edb,32'h406c2d56,32'h4075d125, 32'h4064f27a,32'h407d0c00, 32'h4058e5b7,32'h40848c62,// invsqrt(0.0705) = 3.7656 +32'h3f6898bc,32'h3f83997b,32'h3f88f88f, 32'h3f7f2456,32'h3f8cffdf, 32'h3f71b6a3,32'h3f93b6b9,// invsqrt(0.9086) = 1.0491 +32'h3e93cce8,32'h3fe9789c,32'h3ff30026, 32'h3fe252f6,32'h3ffa25cc, 32'h3fd6698a,32'h4003079c,// invsqrt(0.2887) = 1.8612 +32'h3eff119e,32'h3fb1b8f4,32'h3fb8f9f8, 32'h3fac4830,32'h3fbe6abc, 32'h3fa336ea,32'h3fc77c02,// invsqrt(0.4982) = 1.4168 +32'h3f9c0fb4,32'h3f633537,32'h3f6c7b4e, 32'h3f5c40a5,32'h3f736fdf, 32'h3f50a908,32'h3f7f077c,// invsqrt(1.2192) = 0.9056 +32'h3f34c385,32'h3f954792,32'h3f9b5f63, 32'h3f90b5b4,32'h3f9ff140, 32'h3f8917ee,32'h3fa78f06,// invsqrt(0.7061) = 1.1900 +32'h3e5f9baa,32'h400637ee,32'h400bb260, 32'h40021c18,32'h400fce36, 32'h3ff68613,32'h4016a744,// invsqrt(0.2184) = 2.1400 +32'h3f7487dc,32'h3f80591b,32'h3f859637, 32'h3f78d68e,32'h3f89840b, 32'h3f6bbdca,32'h3f90106d,// invsqrt(0.9552) = 1.0232 +32'h3f89ed9a,32'h3f71aea2,32'h3f7b8bf8, 32'h3f6a48a3,32'h3f8178fc, 32'h3f5df3f8,32'h3f87a351,// invsqrt(1.0776) = 0.9633 +32'h3f07108f,32'h3facb277,32'h3fb3bef9, 32'h3fa76915,32'h3fb9085b, 32'h3f9e9972,32'h3fc1d7fe,// invsqrt(0.5276) = 1.3767 +32'h40daab9a,32'h3ebff1d7,32'h3ec7c777, 32'h3eba119f,32'h3ecda7af, 32'h3eb04696,32'h3ed772b8,// invsqrt(6.8334) = 0.3825 +32'h3fee0263,32'h3f37fb40,32'h3f3f7dac, 32'h3f325970,32'h3f451f7c, 32'h3f28f66a,32'h3f4e8282,// invsqrt(1.8594) = 0.7333 +32'h3f86dde4,32'h3f7468e7,32'h3f7e62bc, 32'h3f6ced87,32'h3f82ef0e, 32'h3f60753c,32'h3f892b33,// invsqrt(1.0536) = 0.9742 +32'h3ef5e5a6,32'h3fb501af,32'h3fbc6505, 32'h3faf772f,32'h3fc1ef85, 32'h3fa63b04,32'h3fcb2bb0,// invsqrt(0.4803) = 1.4430 +32'h3f9c8d86,32'h3f62d9d7,32'h3f6c1c33, 32'h3f5be812,32'h3f730df8, 32'h3f50551e,32'h3f7ea0ec,// invsqrt(1.2231) = 0.9042 +32'h3c72229e,32'h4100fb3c,32'h41063ef6, 32'h40fa10e3,32'h410a31c1, 32'h40ece793,32'h4110c668,// invsqrt(0.0148) = 8.2259 +32'h3ec8f4e7,32'h3fc839c8,32'h3fd065f0, 32'h3fc218ab,32'h3fd6870d, 32'h3fb7e179,32'h3fe0be3f,// invsqrt(0.3925) = 1.5962 +32'h3efa2afb,32'h3fb37472,32'h3fbac791, 32'h3fadf61c,32'h3fc045e8, 32'h3fa4ce35,32'h3fc96dcf,// invsqrt(0.4886) = 1.4306 +32'h3f075ad4,32'h3fac8310,32'h3fb38da2, 32'h3fa73b21,32'h3fb8d591, 32'h3f9e6dea,32'h3fc1a2c8,// invsqrt(0.5287) = 1.3753 +32'h41041725,32'h3eaea170,32'h3eb5c226, 32'h3ea948e7,32'h3ebb1aaf, 32'h3ea06004,32'h3ec40393,// invsqrt(8.2557) = 0.3480 +32'h3f86fb66,32'h3f744e2e,32'h3f7e46ec, 32'h3f6cd3a0,32'h3f82e0bd, 32'h3f605cb2,32'h3f891c34,// invsqrt(1.0545) = 0.9738 +32'h3f4274eb,32'h3f8fed82,32'h3f95cd68, 32'h3f8b8596,32'h3f9a3554, 32'h3f842db6,32'h3fa18d34,// invsqrt(0.7596) = 1.1474 +32'h3de0caa5,32'h403d5031,32'h40450a53, 32'h40378498,32'h404ad5ec, 32'h402ddbef,32'h40547e95,// invsqrt(0.1098) = 3.0184 +32'h3fa5cc47,32'h3f5c6f7a,32'h3f656ecd, 32'h3f55affb,32'h3f6c2e4b, 32'h3f4a70d3,32'h3f776d73,// invsqrt(1.2953) = 0.8786 +32'h40af9e85,32'h3ed62ed9,32'h3edeecd8, 32'h3ecfa05b,32'h3ee57b57, 32'h3ec4b2dd,32'h3ef068d5,// invsqrt(5.4881) = 0.4269 +32'h3f9034a4,32'h3f6c5cfd,32'h3f7602be, 32'h3f6520ac,32'h3f7d3f0e, 32'h3f59117a,32'h3f84a720,// invsqrt(1.1266) = 0.9421 +32'h3f7e5420,32'h3f7bb3f9,32'h3f82fd02, 32'h3f73ff71,32'h3f86d745, 32'h3f6727e5,32'h3f8d430c,// invsqrt(0.9935) = 1.0033 +32'h403f8a1b,32'h3f110508,32'h3f16f057, 32'h3f0c948e,32'h3f1b60d2, 32'h3f052e6b,32'h3f22c6f5,// invsqrt(2.9928) = 0.5780 +32'h3e83d253,32'h3ff73778,32'h4000a751, 32'h3fefa619,32'h40047000, 32'h3fe30925,32'h400abe7a,// invsqrt(0.2575) = 1.9708 +32'h3f6cf3de,32'h3f826261,32'h3f87b4c3, 32'h3f7cc92f,32'h3f8bb28c, 32'h3f6f7b3a,32'h3f925987,// invsqrt(0.9256) = 1.0394 +32'h3c0f2241,32'h4127c237,32'h412e9b1f, 32'h41229f89,32'h4133bdcd, 32'h411a1067,32'h413c4cef,// invsqrt(0.0087) = 10.6989 +32'h3f1b420c,32'h3fa11349,32'h3fa7a65b, 32'h3f9c24fb,32'h3fac94a9, 32'h3f93ed23,32'h3fb4cc81,// invsqrt(0.6065) = 1.2841 +32'h41afa0d7,32'h3e562d6f,32'h3e5eeb5f, 32'h3e4f9efb,32'h3e6579d3, 32'h3e44b190,32'h3e70673e,// invsqrt(21.9535) = 0.2134 +32'h3f18e804,32'h3fa24f2c,32'h3fa8ef24, 32'h3f9d5733,32'h3fade71d, 32'h3f950f3e,32'h3fb62f12,// invsqrt(0.5973) = 1.2939 +32'h3f280f6e,32'h3f9ad198,32'h3fa1234b, 32'h3f961453,32'h3fa5e091, 32'h3f8e2e33,32'h3fadc6b1,// invsqrt(0.6565) = 1.2342 +32'h3f95feff,32'h3f67c18c,32'h3f71372a, 32'h3f60a956,32'h3f784f60, 32'h3f54d652,32'h3f821132,// invsqrt(1.1718) = 0.9238 +32'h3fa76b53,32'h3f5b5d92,32'h3f6451b8, 32'h3f54a676,32'h3f6b08d4, 32'h3f497548,32'h3f763a02,// invsqrt(1.3080) = 0.8744 +32'h3db3c44e,32'h4053b2a0,32'h405c56a7, 32'h404d379c,32'h4062d1ac, 32'h40426a94,32'h406d9eb4,// invsqrt(0.0878) = 3.3753 +32'h3e0f5abb,32'h4027a128,32'h402e78b6, 32'h40227f7d,32'h40339a61, 32'h4019f20a,32'h403c27d4,// invsqrt(0.1400) = 2.6727 +32'h3eaf02c2,32'h3fd68e14,32'h3fdf4ff6, 32'h3fcffcab,32'h3fe5e15f, 32'h3fc50a51,32'h3ff0d3b9,// invsqrt(0.3418) = 1.7104 +32'h406a0f6e,32'h3f032ffa,32'h3f088ac1, 32'h3efe57cc,32'h3f0c8ed6, 32'h3ef0f4dc,32'h3f13404e,// invsqrt(3.6572) = 0.5229 +32'h3fdef901,32'h3f3e1577,32'h3f45d7a5, 32'h3f3843d4,32'h3f4ba948, 32'h3f2e9119,32'h3f555c03,// invsqrt(1.7420) = 0.7577 +32'h3dbd0cd8,32'h404e6f42,32'h4056dc4a, 32'h40481d7c,32'h405d2e10, 32'h403d9532,32'h4067b65a,// invsqrt(0.0923) = 3.2914 +32'h3e626082,32'h40056526,32'h400ad6fd, 32'h40014fc3,32'h400eec5f, 32'h3ff502eb,32'h4015baac,// invsqrt(0.2211) = 2.1268 +32'h3f0e5161,32'h3fa83d25,32'h3faf1b11, 32'h3fa316b3,32'h3fb44183, 32'h3f9a814c,32'h3fbcd6eb,// invsqrt(0.5559) = 1.3412 +32'h43d5a969,32'h3d422e70,32'h3d4a1b70, 32'h3d3c3cb1,32'h3d500d2f, 32'h3d325472,32'h3d59f56e,// invsqrt(427.3235) = 0.0484 +32'h40d1ce38,32'h3ec3f532,32'h3ecbf4c2, 32'h3ebdf587,32'h3ed1f46d, 32'h3eb3f614,32'h3edbf3e0,// invsqrt(6.5564) = 0.3905 +32'h3f50fd35,32'h3f8ad55f,32'h3f90800b, 32'h3f86955f,32'h3f94c00b, 32'h3f7f0013,32'h3f9bd561,// invsqrt(0.8164) = 1.1068 +32'h41f183d2,32'h3e36a42e,32'h3e3e1898, 32'h3e310cde,32'h3e43afe8, 32'h3e27bb59,32'h3e4d016d,// invsqrt(30.1894) = 0.1820 +32'h40c5ee2f,32'h3ec9c025,32'h3ed1fc3c, 32'h3ec39315,32'h3ed8294d, 32'h3eb947f9,32'h3ee27469,// invsqrt(6.1853) = 0.4021 +32'h3ffabbb7,32'h3f33409f,32'h3f3a91a1, 32'h3f2dc3de,32'h3f400e62, 32'h3f249e9d,32'h3f4933a3,// invsqrt(1.9589) = 0.7145 +32'h40b8f435,32'h3ed0b544,32'h3ed93a0e, 32'h3eca51ad,32'h3edf9da5, 32'h3ebfabb2,32'h3eea43a0,// invsqrt(5.7798) = 0.4160 +32'h40211b7c,32'h3f1e1fcb,32'h3f249408, 32'h3f19489e,32'h3f296b36, 32'h3f113753,32'h3f317c81,// invsqrt(2.5173) = 0.6303 +32'h3fb1f923,32'h3f54c308,32'h3f5d722c, 32'h3f4e3fac,32'h3f63f588, 32'h3f4364be,32'h3f6ed076,// invsqrt(1.3904) = 0.8481 +32'h3df39456,32'h4035dd9c,32'h403d49ec, 32'h40304c61,32'h4042db27, 32'h402704fd,32'h404c228b,// invsqrt(0.1189) = 2.8996 +32'h3ec8d3e5,32'h3fc84a3c,32'h3fd0770f, 32'h3fc2289d,32'h3fd698ad, 32'h3fb7f094,32'h3fe0d0b6,// invsqrt(0.3922) = 1.5967 +32'h414a42b3,32'h3e8d1fb5,32'h3e92e24f, 32'h3e88cdc2,32'h3e973442, 32'h3e819a82,32'h3e9e6782,// invsqrt(12.6413) = 0.2813 +32'h3e1c4c69,32'h402089ce,32'h40271744, 32'h401b9fb5,32'h402c015d, 32'h40136ee2,32'h40343230,// invsqrt(0.1526) = 2.5596 +32'h4034d158,32'h3f1541dd,32'h3f1b5973, 32'h3f10b02c,32'h3f1feb24, 32'h3f0912b1,32'h3f27889f,// invsqrt(2.8253) = 0.5949 +32'h3f5ff72b,32'h3f861c80,32'h3f8b95d4, 32'h3f820182,32'h3f8fb0d2, 32'h3f7653b2,32'h3f96887b,// invsqrt(0.8749) = 1.0691 +32'h3d08d155,32'h40ab9653,32'h40b2973c, 32'h40a655a3,32'h40b7d7eb, 32'h409d9480,32'h40c0990e,// invsqrt(0.0334) = 5.4715 +32'h3ff7af2e,32'h3f345a33,32'h3f3bb6b3, 32'h3f2ed4d4,32'h3f413c12, 32'h3f25a134,32'h3f4a6fb2,// invsqrt(1.9350) = 0.7189 +32'h3faa2336,32'h3f599b26,32'h3f627ce8, 32'h3f52f1d3,32'h3f69263b, 32'h3f47d7a0,32'h3f74406e,// invsqrt(1.3292) = 0.8674 +32'h4018a6c4,32'h3f2271d9,32'h3f29133b, 32'h3f1d78d0,32'h3f2e0c44, 32'h3f152f16,32'h3f3655fe,// invsqrt(2.3852) = 0.6475 +32'h3f599270,32'h3f88114a,32'h3f8d9f0e, 32'h3f83e6f7,32'h3f91c961, 32'h3f79eb82,32'h3f98ba97,// invsqrt(0.8499) = 1.0847 +32'h3d807ca9,32'h407a6776,32'h40824ff7, 32'h4072bd1c,32'h40862524, 32'h4065f687,32'h408c886f,// invsqrt(0.0627) = 3.9924 +32'h3db2a5d3,32'h40545c1a,32'h405d070c, 32'h404ddbe5,32'h40638741, 32'h40430638,32'h406e5cef,// invsqrt(0.0872) = 3.3858 +32'h406f3ad0,32'h3f01c324,32'h3f070f06, 32'h3efb9475,32'h3f0b07f0, 32'h3eee56bf,32'h3f11a6ca,// invsqrt(3.7380) = 0.5172 +32'h3e6afca3,32'h4002edb3,32'h400845c5, 32'h3ffdd74c,32'h400c47d2, 32'h3ff07b1f,32'h4012f5e8,// invsqrt(0.2295) = 2.0875 +32'h3f1ecbc0,32'h3f9f4559,32'h3fa5c591, 32'h3f9a652f,32'h3faaa5bb, 32'h3f9244e9,32'h3fb2c601,// invsqrt(0.6203) = 1.2697 +32'h3f4721d1,32'h3f8e3a64,32'h3f940888, 32'h3f89dfca,32'h3f986322, 32'h3f829e1d,32'h3f9fa4cf,// invsqrt(0.7779) = 1.1338 +32'h412986f1,32'h3e9a25c2,32'h3ea07071, 32'h3e956dbe,32'h3ea52874, 32'h3e8d9063,32'h3ead05cf,// invsqrt(10.5954) = 0.3072 +32'h408275c0,32'h3ef880e1,32'h3f0152be, 32'h3ef0e56d,32'h3f052079, 32'h3ee437aa,32'h3f0b775a,// invsqrt(4.0769) = 0.4953 +32'h3d79d0dd,32'h407df75c,32'h40842a86, 32'h40763118,32'h40880da8, 32'h40693bfc,32'h408e8836,// invsqrt(0.0610) = 4.0492 +32'h3fb10523,32'h3f555577,32'h3f5e0a96, 32'h3f4ecda0,32'h3f64926e, 32'h3f43eb3a,32'h3f6f74d5,// invsqrt(1.3830) = 0.8503 +32'h4102df8f,32'h3eaf70d7,32'h3eb69a04, 32'h3eaa11f4,32'h3ebbf8e6, 32'h3ea11e7c,32'h3ec4ec5e,// invsqrt(8.1796) = 0.3497 +32'h3d0d8efb,32'h40a8b082,32'h40af9324, 32'h40a38688,32'h40b4bd1e, 32'h409aeb3e,32'h40bd5868,// invsqrt(0.0346) = 5.3791 +32'h3f37f59b,32'h3f93fa2f,32'h3f9a0465, 32'h3f8f7286,32'h3f9e8c0e, 32'h3f87e5c3,32'h3fa618d1,// invsqrt(0.7186) = 1.1797 +32'h3e1954e7,32'h40221580,32'h4028b31d, 32'h401d1f4b,32'h402da953, 32'h4014da47,32'h4035ee57,// invsqrt(0.1497) = 2.5842 +32'h3f752649,32'h3f802f9b,32'h3f856b05, 32'h3f788618,32'h3f895794, 32'h3f6b7190,32'h3f8fe1d8,// invsqrt(0.9576) = 1.0219 +32'h3eb01443,32'h3fd5e731,32'h3fdea243, 32'h3fcf5ae4,32'h3fe52e90, 32'h3fc4710e,32'h3ff01866,// invsqrt(0.3439) = 1.7052 +32'h425eacbe,32'h3e067fdc,32'h3e0bfd3e, 32'h3e0261d3,32'h3e101b47, 32'h3df70a31,32'h3e16f802,// invsqrt(55.6687) = 0.1340 +32'h3fd5ac05,32'h3f422d41,32'h3f4a1a33, 32'h3f3c3b8a,32'h3f500bea, 32'h3f32535b,32'h3f59f419,// invsqrt(1.6693) = 0.7740 +32'h3e333bbb,32'h4015ea61,32'h401c08d7, 32'h40115387,32'h40209fb1, 32'h4009ad73,32'h402845c5,// invsqrt(0.1750) = 2.3902 +32'h3f1bd2a5,32'h3fa0c87b,32'h3fa75881, 32'h3f9bdc78,32'h3fac4484, 32'h3f93a871,32'h3fb4788b,// invsqrt(0.6087) = 1.2818 +32'h3e4c7c5d,32'h400c5a99,32'h40121527, 32'h40080eae,32'h40166112, 32'h4000e57d,32'h401d8a43,// invsqrt(0.1997) = 2.2378 +32'h3f39af66,32'h3f9349bc,32'h3f994cbe, 32'h3f8ec77a,32'h3f9dcf00, 32'h3f8743b7,32'h3fa552c3,// invsqrt(0.7253) = 1.1742 +32'h3f08580c,32'h3fabe293,32'h3fb2e699, 32'h3fa69f8e,32'h3fb8299e, 32'h3f9dda87,32'h3fc0eea5,// invsqrt(0.5326) = 1.3703 +32'h3e3cb617,32'h40121a31,32'h401810cf, 32'h400da13a,32'h401c89c6, 32'h40062cf3,32'h4023fe0d,// invsqrt(0.1843) = 2.3294 +32'h3f1ca6a4,32'h3fa05b8b,32'h3fa6e71e, 32'h3f9b72de,32'h3fabcfcc, 32'h3f934466,32'h3fb3fe44,// invsqrt(0.6119) = 1.2784 +32'h3e52d74a,32'h400a38f1,32'h400fdd39, 32'h4005fdba,32'h40141870, 32'h3ffde0bf,32'h401b25ca,// invsqrt(0.2059) = 2.2038 +32'h3f7faabc,32'h3f7b0b1a,32'h3f82a51f, 32'h3f735bbd,32'h3f867cce, 32'h3f668cce,32'h3f8ce445,// invsqrt(0.9987) = 1.0007 +32'h3da34c6a,32'h405e1db5,32'h40672e98, 32'h4057510b,32'h406dfb43, 32'h404bfbf0,32'h4079505e,// invsqrt(0.0797) = 3.5414 +32'h3ec75a63,32'h3fc90786,32'h3fd13c14, 32'h3fc2e01c,32'h3fd7637e, 32'h3fb89e6c,32'h3fe1a52e,// invsqrt(0.3894) = 1.6026 +32'h408a8294,32'h3ef12c86,32'h3efb048c, 32'h3ee9ca82,32'h3f013348, 32'h3edd7c7b,32'h3f075a4b,// invsqrt(4.3284) = 0.4807 +32'h403af5ad,32'h3f12c8fe,32'h3f18c6c0, 32'h3f0e4aad,32'h3f1d4511, 32'h3f06cd7c,32'h3f24c242,// invsqrt(2.9212) = 0.5851 +32'h3f9d9f76,32'h3f621461,32'h3f6b4eae, 32'h3f5b28a7,32'h3f723a67, 32'h3f4f9fc6,32'h3f7dc348,// invsqrt(1.2314) = 0.9011 +32'h400f6d82,32'h3f27962f,32'h3f2e6d4b, 32'h3f2274da,32'h3f338ea0, 32'h3f19e7f7,32'h3f3c1b83,// invsqrt(2.2411) = 0.6680 +32'h3edc0916,32'h3fbf592c,32'h3fc72891, 32'h3fb97da0,32'h3fcd041c, 32'h3fafba61,32'h3fd6c75b,// invsqrt(0.4298) = 1.5254 +32'h3de7d2d4,32'h403a6b7e,32'h40420764, 32'h4034b692,32'h4047bc50, 32'h402b33b2,32'h40513f30,// invsqrt(0.1132) = 2.9723 +32'h405a6b78,32'h3f07cd9f,32'h3f0d58a1, 32'h3f03a55e,32'h3f1180e2, 32'h3ef96f3a,32'h3f186ea3,// invsqrt(3.4128) = 0.5413 +32'h3faca112,32'h3f5807a9,32'h3f60d8f4, 32'h3f516ab1,32'h3f6775ed, 32'h3f466514,32'h3f727b8a,// invsqrt(1.3487) = 0.8611 +32'h3fffd8a6,32'h3f3173c6,32'h3f38b1f8, 32'h3f2c0521,32'h3f3e209d, 32'h3f22f762,32'h3f472e5c,// invsqrt(1.9988) = 0.7073 +32'h43071af3,32'h3dacabd3,32'h3db3b810, 32'h3da762a6,32'h3db9013e, 32'h3d9e935a,32'h3dc1d08a,// invsqrt(135.1053) = 0.0860 +32'h3f57b1e6,32'h3f88a888,32'h3f8e3c78, 32'h3f847993,32'h3f926b6d, 32'h3f7b014d,32'h3f99645a,// invsqrt(0.8426) = 1.0894 +32'h3f8f732d,32'h3f6cfc2a,32'h3f76a86a, 32'h3f65bafa,32'h3f7de99a, 32'h3f59a3a9,32'h3f850076,// invsqrt(1.1207) = 0.9446 +32'h3ede299b,32'h3fbe6e1c,32'h3fc633e8, 32'h3fb899c2,32'h3fcc0842, 32'h3faee282,32'h3fd5bf82,// invsqrt(0.4339) = 1.5181 +32'h40c15717,32'h3ecc21a8,32'h3ed4769f, 32'h3ec5e1ee,32'h3edab658, 32'h3ebb77b9,32'h3ee5208d,// invsqrt(6.0419) = 0.4068 +32'h412a6b05,32'h3e99be78,32'h3ea004f0, 32'h3e95099e,32'h3ea4b9ca, 32'h3e8d3188,32'h3eac91e0,// invsqrt(10.6511) = 0.3064 +32'h41575058,32'h3e88c77a,32'h3e8e5cad, 32'h3e849792,32'h3e928c94, 32'h3e7b3a22,32'h3e998715,// invsqrt(13.4571) = 0.2726 +32'h3f8e6cad,32'h3f6dd62a,32'h3f778b50, 32'h3f668e4d,32'h3f7ed32d, 32'h3f5a6bdd,32'h3f857ace,// invsqrt(1.1127) = 0.9480 +32'h3f38216c,32'h3f93e893,32'h3f99f211, 32'h3f8f6174,32'h3f9e7930, 32'h3f87d597,32'h3fa6050d,// invsqrt(0.7193) = 1.1791 +32'h41d29802,32'h3e43973a,32'h3e4b92f3, 32'h3e3d9a6e,32'h3e518fbe, 32'h3e339fc7,32'h3e5b8a65,// invsqrt(26.3242) = 0.1949 +32'h3f2df1a1,32'h3f982d88,32'h3f9e63a2, 32'h3f9384f4,32'h3fa30c36, 32'h3f8bc153,32'h3faacfd7,// invsqrt(0.6795) = 1.2132 +32'h3db73349,32'h4051b45f,32'h405a4391, 32'h404b48f8,32'h4060aef8, 32'h404095f9,32'h406b61f7,// invsqrt(0.0895) = 3.3435 +32'h4089e571,32'h3ef1b5c9,32'h3efb9369, 32'h3eea4f91,32'h3f017cd0, 32'h3eddfa8a,32'h3f07a754,// invsqrt(4.3093) = 0.4817 +32'h401f6e36,32'h3f1ef41e,32'h3f257105, 32'h3f1a1670,32'h3f2a4eb2, 32'h3f11fa4f,32'h3f326ad3,// invsqrt(2.4911) = 0.6336 +32'h3e8c2ad4,32'h3fefbe74,32'h3ff98788, 32'h3fe867a4,32'h40006f2c, 32'h3fdc2c4b,32'h40068cd8,// invsqrt(0.2738) = 1.9112 +32'h409f8592,32'h3ee0bae2,32'h3ee9e714, 32'h3ed9d9bb,32'h3ef0c83b, 32'h3ece627c,32'h3efc3f7b,// invsqrt(4.9851) = 0.4479 +32'h400d4216,32'h3f28de66,32'h3f2fc2e8, 32'h3f23b305,32'h3f34ee49, 32'h3f1b1563,32'h3f3d8beb,// invsqrt(2.2072) = 0.6731 +32'h409254f8,32'h3eeaa3c4,32'h3ef43782, 32'h3ee374f4,32'h3efb6652, 32'h3ed77c46,32'h3f03af80,// invsqrt(4.5729) = 0.4676 +32'h400fdb8f,32'h3f275608,32'h3f2e2a86, 32'h3f2236aa,32'h3f3349e4, 32'h3f19ad0d,32'h3f3bd381,// invsqrt(2.2478) = 0.6670 +32'h404c2a6c,32'h3f0c76c0,32'h3f123274, 32'h3f0829f9,32'h3f167f3b, 32'h3f00ff57,32'h3f1da9dd,// invsqrt(3.1901) = 0.5599 +32'h3f47f3d4,32'h3f8defa0,32'h3f93bab6, 32'h3f89974f,32'h3f981307, 32'h3f825974,32'h3f9f50e2,// invsqrt(0.7811) = 1.1315 +32'h3d51e8ee,32'h408a8755,32'h40902ed1, 32'h408649b8,32'h40946c6e, 32'h407e70bc,32'h409b7dc8,// invsqrt(0.0512) = 4.4174 +32'h3f9a0111,32'h3f64b868,32'h3f6e0e4d, 32'h3f5db7fd,32'h3f750eb9, 32'h3f520c9f,32'h3f805d0c,// invsqrt(1.2032) = 0.9117 +32'h3f67d786,32'h3f83d045,32'h3f893197, 32'h3f7f8e91,32'h3f8d3a94, 32'h3f721b46,32'h3f93f439,// invsqrt(0.9056) = 1.0508 +32'h3f724136,32'h3f80f317,32'h3f86367b, 32'h3f7a0118,32'h3f8a2906, 32'h3f6cd89d,32'h3f90bd44,// invsqrt(0.9463) = 1.0280 +32'h3eae9661,32'h3fd6d0a2,32'h3fdf953b, 32'h3fd03d30,32'h3fe628ae, 32'h3fc54771,32'h3ff11e6d,// invsqrt(0.3410) = 1.7125 +32'h4056edef,32'h3f08e6c6,32'h3f0e7d42, 32'h3f04b5ea,32'h3f12ae1e, 32'h3efb73a1,32'h3f19aa38,// invsqrt(3.3583) = 0.5457 +32'h40123f8a,32'h3f25f67a,32'h3f2cbc9e, 32'h3f20e1df,32'h3f31d139, 32'h3f186a31,32'h3f3a48e7,// invsqrt(2.2851) = 0.6615 +32'h3f1a3ac6,32'h3fa19c89,32'h3fa83536, 32'h3f9caa08,32'h3fad27b8, 32'h3f946b30,32'h3fb56690,// invsqrt(0.6025) = 1.2884 +32'h3f50ecc3,32'h3f8adad6,32'h3f9085ba, 32'h3f869aab,32'h3f94c5e5, 32'h3f7f0a1b,32'h3f9bdb82,// invsqrt(0.8161) = 1.1069 +32'h3f28261a,32'h3f9ac728,32'h3fa1186e, 32'h3f960a34,32'h3fa5d562, 32'h3f8e249d,32'h3fadbaf9,// invsqrt(0.6568) = 1.2339 +32'h403ccf0f,32'h3f121088,32'h3f1806c2, 32'h3f0d97dd,32'h3f1c7f6d, 32'h3f062414,32'h3f23f336,// invsqrt(2.9501) = 0.5822 +32'h3e63d01f,32'h4004f959,32'h400a66cb, 32'h4000e744,32'h400e78e0, 32'h3ff43ced,32'h401541ad,// invsqrt(0.2225) = 2.1201 +32'h3f2d72dd,32'h3f98651a,32'h3f9e9d7a, 32'h3f93bad3,32'h3fa347c1, 32'h3f8bf45c,32'h3fab0e38,// invsqrt(0.6775) = 1.2149 +32'h3fb7458b,32'h3f51a9ec,32'h3f5a38b2, 32'h3f4b3ed8,32'h3f60a3c6, 32'h3f408c61,32'h3f6b563d,// invsqrt(1.4318) = 0.8357 +32'h3eb950cd,32'h3fd08119,32'h3fd903c2, 32'h3fca1f1c,32'h3fdf65c0, 32'h3fbf7bc9,32'h3fea0913,// invsqrt(0.3619) = 1.6622 +32'h3f6a1cae,32'h3f832c44,32'h3f8886e4, 32'h3f7e5099,32'h3f8c8adb, 32'h3f70ee0a,32'h3f933c23,// invsqrt(0.9145) = 1.0457 +32'h3ea99259,32'h3fd9f805,32'h3fe2dd92, 32'h3fd34bda,32'h3fe989bc, 32'h3fc82cea,32'h3ff4a8ac,// invsqrt(0.3312) = 1.7376 +32'h3fbf26a2,32'h3f4d4c10,32'h3f55ad35, 32'h3f470334,32'h3f5bf610, 32'h3f3c89c5,32'h3f666f7f,// invsqrt(1.4934) = 0.8183 +32'h3eed7013,32'h3fb833e7,32'h3fbfb8a3, 32'h3fb2905b,32'h3fc55c2f, 32'h3fa92a71,32'h3fcec219,// invsqrt(0.4637) = 1.4685 +32'h408106f6,32'h3ef9e11e,32'h3f020a0d, 32'h3ef23ae1,32'h3f05dd2c, 32'h3ee57b26,32'h3f0c3d09,// invsqrt(4.0321) = 0.4980 +32'h3e1f4538,32'h401f0891,32'h4025864d, 32'h401a2a43,32'h402a649b, 32'h40120d17,32'h403281c7,// invsqrt(0.1555) = 2.5356 +32'h3e0e8ef0,32'h402818ce,32'h402ef53f, 32'h4022f37a,32'h40341a94, 32'h401a5fed,32'h403cae21,// invsqrt(0.1392) = 2.6801 +32'h3ffc412b,32'h3f32b60a,32'h3f3a0164, 32'h3f2d3d87,32'h3f3f79e7, 32'h3f241f58,32'h3f489816,// invsqrt(1.9707) = 0.7123 +32'h40126805,32'h3f25df87,32'h3f2ca4bb, 32'h3f20cb9f,32'h3f31b8a3, 32'h3f18551e,32'h3f3a2f24,// invsqrt(2.2876) = 0.6612 +32'h3fa95517,32'h3f5a1f6e,32'h3f630698, 32'h3f537210,32'h3f69b3f6, 32'h3f48511c,32'h3f74d4ea,// invsqrt(1.3229) = 0.8694 +32'h3f95a647,32'h3f680635,32'h3f717e9f, 32'h3f60ebe5,32'h3f7898ef, 32'h3f55155f,32'h3f8237ba,// invsqrt(1.1691) = 0.9248 +32'h449d3d55,32'h3ce25ae1,32'h3ceb980f, 32'h3cdb6cff,32'h3cf285f1, 32'h3ccfe086,32'h3cfe126a,// invsqrt(1257.9166) = 0.0282 +32'h3f7c04b2,32'h3f7cdaa4,32'h3f83965a, 32'h3f751d17,32'h3f877521, 32'h3f683681,32'h3f8de86b,// invsqrt(0.9844) = 1.0079 +32'h42ca831f,32'h3dc7748a,32'h3dcf98a5, 32'h3dc15977,32'h3dd5b3b9, 32'h3db72c56,32'h3ddfe0da,// invsqrt(101.2561) = 0.0994 +32'h3f2547d4,32'h3f9c1d7c,32'h3fa27cba, 32'h3f97560d,32'h3fa74429, 32'h3f8f5eff,32'h3faf3b37,// invsqrt(0.6456) = 1.2445 +32'h3fa6a2fa,32'h3f5be14a,32'h3f64dad0, 32'h3f552626,32'h3f6b95f4, 32'h3f49ee3f,32'h3f76cddb,// invsqrt(1.3018) = 0.8764 +32'h3f03833a,32'h3faf038a,32'h3fb62841, 32'h3fa9a800,32'h3fbb83ca, 32'h3fa0ba1b,32'h3fc471af,// invsqrt(0.5137) = 1.3952 +32'h3f85483e,32'h3f75dbbd,32'h3f7fe4b5, 32'h3f6e5503,32'h3f83b5b8, 32'h3f61c9cd,32'h3f89fb53,// invsqrt(1.0413) = 0.9800 +32'h40515667,32'h3f0ab7c8,32'h3f10613e, 32'h3f0678b0,32'h3f14a056, 32'h3efec9b9,32'h3f1bb42a,// invsqrt(3.2709) = 0.5529 +32'h3f01129d,32'h3fb0a906,32'h3fb7def1, 32'h3fab4095,32'h3fbd4761, 32'h3fa23d2f,32'h3fc64ac7,// invsqrt(0.5042) = 1.4083 +32'h4067e3b6,32'h3f03ccce,32'h3f092dfc, 32'h3eff87da,32'h3f0d36dd, 32'h3ef214e9,32'h3f13f056,// invsqrt(3.6233) = 0.5254 +32'h3ef3cb99,32'h3fb5c8fe,32'h3fbd3476, 32'h3fb03864,32'h3fc2c510, 32'h3fa6f20e,32'h3fcc0b66,// invsqrt(0.4762) = 1.4492 +32'h40e425ed,32'h3ebbea5d,32'h3ec395e3, 32'h3eb629b8,32'h3ec95688, 32'h3eac9350,32'h3ed2ecf0,// invsqrt(7.1296) = 0.3745 +32'h4010c320,32'h3f26cffc,32'h3f2d9f01, 32'h3f21b4b8,32'h3f32ba44, 32'h3f1931f1,32'h3f3b3d0b,// invsqrt(2.2619) = 0.6649 +32'h3f1e1241,32'h3f9fa2b1,32'h3fa626b9, 32'h3f9abfac,32'h3fab09be, 32'h3f929aa3,32'h3fb32ec7,// invsqrt(0.6175) = 1.2726 +32'h404038ee,32'h3f10c307,32'h3f16aba5, 32'h3f0c5492,32'h3f1b1a1a, 32'h3f04f1cd,32'h3f227cdf,// invsqrt(3.0035) = 0.5770 +32'h3e55a570,32'h40094fdd,32'h400eeaa3, 32'h40051bca,32'h40131eb6, 32'h3ffc34a6,32'h401a202d,// invsqrt(0.2086) = 2.1893 +32'h41981542,32'h3e662911,32'h3e6f8e03, 32'h3e5f1d5d,32'h3e7699b7, 32'h3e535f2f,32'h3e812bf2,// invsqrt(19.0104) = 0.2294 +32'h3eb64e64,32'h3fd237db,32'h3fdacc6c, 32'h3fcbc86f,32'h3fe13bd9, 32'h3fc10eba,32'h3febf58e,// invsqrt(0.3561) = 1.6758 +32'h3f7cef78,32'h3f7c6530,32'h3f83593a, 32'h3f74ab3b,32'h3f873635, 32'h3f67caa3,32'h3f8da680,// invsqrt(0.9880) = 1.0060 +32'h3e857598,32'h3ff5b1f3,32'h3fffb937, 32'h3fee2c81,32'h40039f55, 32'h3fe1a36c,32'h4009e3df,// invsqrt(0.2607) = 1.9587 +32'h3f3df093,32'h3f91a10b,32'h3f9792b8, 32'h3f8d2bca,32'h3f9c07fa, 32'h3f85bdb2,32'h3fa37612,// invsqrt(0.7420) = 1.1609 +32'h3faccc71,32'h3f57ec8b,32'h3f60bcbb, 32'h3f515068,32'h3f6758de, 32'h3f464c2c,32'h3f725d1a,// invsqrt(1.3500) = 0.8607 +32'h3fbf642d,32'h3f4d2b0b,32'h3f558ad7, 32'h3f46e332,32'h3f5bd2b0, 32'h3f3c6b72,32'h3f664a70,// invsqrt(1.4952) = 0.8178 +32'h41481599,32'h3e8de3a5,32'h3e93ae3e, 32'h3e898bb2,32'h3e980630, 32'h3e824e72,32'h3e9f4370,// invsqrt(12.5053) = 0.2828 +32'h3f42944e,32'h3f8fe1e5,32'h3f95c152, 32'h3f8b7a54,32'h3f9a28e4, 32'h3f84230c,32'h3fa1802c,// invsqrt(0.7601) = 1.1470 +32'h3f6db6db,32'h3f822cdd,32'h3f877d0f, 32'h3f7c616d,32'h3f8b7936, 32'h3f6f18ee,32'h3f921d75,// invsqrt(0.9286) = 1.0377 +32'h402e863c,32'h3f17ecb0,32'h3f1e2025, 32'h3f134619,32'h3f22c6bd, 32'h3f0b85c7,32'h3f2a870f,// invsqrt(2.7269) = 0.6056 +32'h3fefcc3d,32'h3f374b48,32'h3f3ec685, 32'h3f31aedb,32'h3f4462f3, 32'h3f2854d0,32'h3f4dbcfe,// invsqrt(1.8734) = 0.7306 +32'h3f8bba25,32'h3f701f0d,32'h3f79ec12, 32'h3f68c548,32'h3f80a2eb, 32'h3f5c8501,32'h3f86c30f,// invsqrt(1.0916) = 0.9571 +32'h3f940566,32'h3f694c0b,32'h3f72d1c3, 32'h3f6227c2,32'h3f79f60c, 32'h3f56409d,32'h3f82ee99,// invsqrt(1.1564) = 0.9299 +32'h40c6f729,32'h3ec939a1,32'h3ed17039, 32'h3ec310ae,32'h3ed7992c, 32'h3eb8cc6f,32'h3ee1dd6b,// invsqrt(6.2177) = 0.4010 +32'h3f96b462,32'h3f6735ea,32'h3f70a5d4, 32'h3f6021fa,32'h3f77b9c4, 32'h3f545616,32'h3f81c2d4,// invsqrt(1.1774) = 0.9216 +32'h3b7eb9d4,32'h417b81b4,32'h4182e2d8, 32'h4173ceb6,32'h4186bc57, 32'h4166f9ba,32'h418d26d5,// invsqrt(0.0039) = 16.0400 +32'h3e8f1501,32'h3fed4a1a,32'h3ff6f989, 32'h3fe60688,32'h3ffe3d1c, 32'h3fd9eb3d,32'h40052c34,// invsqrt(0.2795) = 1.8917 +32'h3ea3fe5a,32'h3fdda514,32'h3fe6b10b, 32'h3fd6dc1c,32'h3fed7a04, 32'h3fcb8d28,32'h3ff8c8f8,// invsqrt(0.3203) = 1.7669 +32'h3f14db48,32'h3fa48098,32'h3fab377a, 32'h3f9f776f,32'h3fb040a3, 32'h3f9712d5,32'h3fb8a53d,// invsqrt(0.5815) = 1.3114 +32'h3f67fa62,32'h3f83c65d,32'h3f892747, 32'h3f7f7b5c,32'h3f8d2ff6, 32'h3f720914,32'h3f93e91a,// invsqrt(0.9062) = 1.0505 +32'h3f3c4bb6,32'h3f924371,32'h3f983bbe, 32'h3f8dc936,32'h3f9cb5f8, 32'h3f8652d5,32'h3fa42c59,// invsqrt(0.7355) = 1.1660 +32'h3f369011,32'h3f948acf,32'h3f9a9aed, 32'h3f8ffeb9,32'h3f9f2703, 32'h3f886a95,32'h3fa6bb27,// invsqrt(0.7131) = 1.1842 +32'h3de2686a,32'h403ca2e5,32'h404455f3, 32'h4036dc9a,32'h404a1c3e, 32'h402d3cc8,32'h4053bc10,// invsqrt(0.1106) = 3.0076 +32'h40c651de,32'h3ec98d6b,32'h3ed1c76f, 32'h3ec361e8,32'h3ed7f2f2, 32'h3eb91962,32'h3ee23b78,// invsqrt(6.1975) = 0.4017 +32'h3f582fef,32'h3f8880ac,32'h3f8e12fc, 32'h3f8452f0,32'h3f9240b8, 32'h3f7ab817,32'h3f99379c,// invsqrt(0.8445) = 1.0882 +32'h408c9b87,32'h3eef5e4d,32'h3ef92374, 32'h3ee80a6e,32'h3f003ba9, 32'h3edbd3fd,32'h3f0656e2,// invsqrt(4.3940) = 0.4771 +32'h3eaf2a1a,32'h3fd675fa,32'h3fdf36e0, 32'h3fcfe54e,32'h3fe5c78c, 32'h3fc4f42f,32'h3ff0b8ab,// invsqrt(0.3421) = 1.7097 +32'h401e03a3,32'h3f1faa13,32'h3f262e67, 32'h3f1ac6d4,32'h3f2b11a6, 32'h3f12a16a,32'h3f333710,// invsqrt(2.4690) = 0.6364 +32'h41488f87,32'h3e8db87d,32'h3e938153, 32'h3e8961dc,32'h3e97d7f4, 32'h3e8226d1,32'h3e9f12ff,// invsqrt(12.5350) = 0.2824 +32'h4191cbc1,32'h3e6b1214,32'h3e74aa54, 32'h3e63dfe5,32'h3e7bdc83, 32'h3e57e195,32'h3e83ed69,// invsqrt(18.2245) = 0.2342 +32'h3fac7448,32'h3f5823b5,32'h3f60f625, 32'h3f5185e1,32'h3f6793f9, 32'h3f467ed5,32'h3f729b05,// invsqrt(1.3473) = 0.8615 +32'h3e2cf8ea,32'h40189ac9,32'h401ed559, 32'h4013eedd,32'h40238145, 32'h400c25a9,32'h402b4a79,// invsqrt(0.1689) = 2.4331 +32'h3d1f26ea,32'h409f17b4,32'h40a5960f, 32'h409a38f0,32'h40aa74d4, 32'h40921aff,32'h40b292c5,// invsqrt(0.0389) = 5.0731 +32'h3ecddaff,32'h3fc5d436,32'h3fcde752, 32'h3fbfc5e1,32'h3fd3f5a7, 32'h3fb5adfd,32'h3fde0d8b,// invsqrt(0.4021) = 1.5771 +32'h3e813cfb,32'h3ff9ace0,32'h4001eedd, 32'h3ff2083c,32'h4005c12f, 32'h3fe54b2c,32'h400c1fb7,// invsqrt(0.2524) = 1.9904 +32'h3efc19bd,32'h3fb2c403,32'h3fba0fef, 32'h3fad4b13,32'h3fbf88df, 32'h3fa42c2d,32'h3fc8a7c5,// invsqrt(0.4924) = 1.4251 +32'h40a93804,32'h3eda322a,32'h3ee31a17, 32'h3ed38439,32'h3ee9c809, 32'h3ec86251,32'h3ef4e9f1,// invsqrt(5.2881) = 0.4349 +32'h3d63bdd4,32'h4084feb1,32'h408a6c5a, 32'h4080ec72,32'h408e7e98, 32'h407446bc,32'h409547ac,// invsqrt(0.0556) = 4.2409 +32'h41033b21,32'h3eaf3396,32'h3eb65a44, 32'h3ea9d694,32'h3ebbb746, 32'h3ea0e63c,32'h3ec4a79e,// invsqrt(8.2019) = 0.3492 +32'h3e32df69,32'h4016110c,32'h401c3116, 32'h40117903,32'h4020c91f, 32'h4009d0f6,32'h4028712c,// invsqrt(0.1747) = 2.3926 +32'h3dc87afb,32'h404876a1,32'h4050a544, 32'h404253a6,32'h4056c83e, 32'h4038195a,32'h4061028a,// invsqrt(0.0979) = 3.1962 +32'h3f5fb8c1,32'h3f862f34,32'h3f8ba94a, 32'h3f8213a2,32'h3f8fc4dc, 32'h3f76760b,32'h3f969d78,// invsqrt(0.8739) = 1.0697 +32'h401dd132,32'h3f1fc395,32'h3f2648f3, 32'h3f1adf8d,32'h3f2b2cfb, 32'h3f12b8d7,32'h3f3353b1,// invsqrt(2.4659) = 0.6368 +32'h3f8ed2f0,32'h3f6d80f6,32'h3f7732a2, 32'h3f663bb5,32'h3f7e77e3, 32'h3f5a1d9e,32'h3f854afd,// invsqrt(1.1158) = 0.9467 +32'h3f23ddab,32'h3f9cc9a1,32'h3fa32fe7, 32'h3f97fced,32'h3fa7fc9b, 32'h3f8ffd17,32'h3faffc71,// invsqrt(0.6401) = 1.2499 +32'h3f4b7490,32'h3f8cb579,32'h3f9273bd, 32'h3f8866c6,32'h3f96c270, 32'h3f8138f2,32'h3f9df044,// invsqrt(0.7947) = 1.1217 +32'h3f864c66,32'h3f74ed27,32'h3f7eec62, 32'h3f6d6dba,32'h3f8335e7, 32'h3f60eeb0,32'h3f89756c,// invsqrt(1.0492) = 0.9763 +32'h3eb3c469,32'h3fd3b291,32'h3fdc5696, 32'h3fcd378c,32'h3fe2d19a, 32'h3fc26a84,32'h3fed9ea2,// invsqrt(0.3511) = 1.6876 +32'h3f5d6f42,32'h3f86e025,32'h3f8c6175, 32'h3f82bf29,32'h3f908271, 32'h3f77bb0b,32'h3f976415,// invsqrt(0.8650) = 1.0752 +32'h3f0463e9,32'h3fae6ec8,32'h3fb58d6c, 32'h3fa917cc,32'h3fbae468, 32'h3fa0317e,32'h3fc3cab6,// invsqrt(0.5171) = 1.3906 +32'h3ff769a7,32'h3f347389,32'h3f3bd111, 32'h3f2eed63,32'h3f415737, 32'h3f25b878,32'h3f4a8c22,// invsqrt(1.9329) = 0.7193 +32'h4027530e,32'h3f1b28a6,32'h3f217de6, 32'h3f1668b6,32'h3f263dd6, 32'h3f0e7e25,32'h3f2e2867,// invsqrt(2.6144) = 0.6185 +32'h3f824c95,32'h3f78a820,32'h3f81672b, 32'h3f710b78,32'h3f85357f, 32'h3f645bb5,32'h3f8b8d60,// invsqrt(1.0180) = 0.9911 +32'h3f076510,32'h3fac7c8a,32'h3fb386d9, 32'h3fa734d0,32'h3fb8ce94, 32'h3f9e67ed,32'h3fc19b77,// invsqrt(0.5289) = 1.3751 +32'h3e53047a,32'h400a2a24,32'h400fcdd2, 32'h4005ef62,32'h40140894, 32'h3ffdc591,32'h401b152e,// invsqrt(0.2061) = 2.2029 +32'h3f8d463b,32'h3f6ecd83,32'h3f788cc2, 32'h3f677e14,32'h3f7fdc32, 32'h3f5b4f06,32'h3f8605a0,// invsqrt(1.1037) = 0.9519 +32'h3f4c4914,32'h3f8c6c36,32'h3f92277c, 32'h3f881fc1,32'h3f9673f1, 32'h3f80f5aa,32'h3f9d9e08,// invsqrt(0.7980) = 1.1194 +32'h3f0bedf2,32'h3fa9ab28,32'h3fb09806, 32'h3fa47983,32'h3fb5c9ab, 32'h3f9bd16e,32'h3fbe71c0,// invsqrt(0.5466) = 1.3526 +32'h40022222,32'h3f2ff059,32'h3f371eba, 32'h3f2a8d8f,32'h3f3c8183, 32'h3f219395,32'h3f457b7d,// invsqrt(2.0333) = 0.7013 +32'h41161886,32'h3ea3d263,32'h3eaa8229, 32'h3e9ece8f,32'h3eaf85fd, 32'h3e9672d9,32'h3eb7e1b3,// invsqrt(9.3810) = 0.3265 +32'h3db81b76,32'h40512ffb,32'h4059b9c6, 32'h404ac8a2,32'h4060211e, 32'h40401c63,32'h406acd5d,// invsqrt(0.0899) = 3.3353 +32'h3ec540ef,32'h3fca18ab,32'h3fd2585f, 32'h3fc3e8e5,32'h3fd88825, 32'h3fb99944,32'h3fe2d7c6,// invsqrt(0.3853) = 1.6111 +32'h4028991b,32'h3f1a9255,32'h3f20e173, 32'h3f15d6ff,32'h3f259cc9, 32'h3f0df41a,32'h3f2d7fae,// invsqrt(2.6343) = 0.6161 +32'h3f480ffa,32'h3f8de5a3,32'h3f93b051, 32'h3f898da1,32'h3f980853, 32'h3f825047,32'h3f9f45ad,// invsqrt(0.7815) = 1.1312 +32'h3eaa54ee,32'h3fd97b61,32'h3fe25bd7, 32'h3fd2d307,32'h3fe90431, 32'h3fc7ba73,32'h3ff41cc5,// invsqrt(0.3327) = 1.7338 +32'h3e54649d,32'h4009b76b,32'h400f566b, 32'h4005802c,32'h40138daa, 32'h3ffcf2da,32'h401a9469,// invsqrt(0.2074) = 2.1957 +32'h405375d7,32'h3f0a0516,32'h3f0fa740, 32'h3f05cb76,32'h3f13e0e0, 32'h3efd8181,32'h3f1aeb96,// invsqrt(3.3041) = 0.5501 +32'h3f182b80,32'h3fa2b397,32'h3fa957a7, 32'h3f9db88a,32'h3fae52b4, 32'h3f956b76,32'h3fb69fc9,// invsqrt(0.5944) = 1.2970 +32'h3f3a91ec,32'h3f92f037,32'h3f98ef92, 32'h3f8e70b2,32'h3f9d6f16, 32'h3f86f180,32'h3fa4ee48,// invsqrt(0.7288) = 1.1714 +32'h3e956e1a,32'h3fe831cd,32'h3ff1ac00, 32'h3fe11628,32'h3ff8c7a6, 32'h3fd53d6a,32'h40025032,// invsqrt(0.2919) = 1.8510 +32'h3f812c96,32'h3f79bcb8,32'h3f81f71b, 32'h3f721797,32'h3f85c9ab, 32'h3f6559b8,32'h3f8c289b,// invsqrt(1.0092) = 0.9954 +32'h3ea8eb0a,32'h3fda63dc,32'h3fe34dd0, 32'h3fd3b465,32'h3fe9fd47, 32'h3fc88ff4,32'h3ff521b8,// invsqrt(0.3299) = 1.7410 +32'h410b711f,32'h3ea9f708,32'h3eb0e6fe, 32'h3ea4c310,32'h3eb61af6, 32'h3e9c171c,32'h3ebec6ea,// invsqrt(8.7151) = 0.3387 +32'h3e8b01e3,32'h3ff0bdfd,32'h3ffa917f, 32'h3fe95f5b,32'h4000f811, 32'h3fdd16f8,32'h40071c42,// invsqrt(0.2715) = 1.9192 +32'h3fb10893,32'h3f555365,32'h3f5e086f, 32'h3f4ecb9e,32'h3f649036, 32'h3f43e953,32'h3f6f7281,// invsqrt(1.3831) = 0.8503 +32'h4035481f,32'h3f1510ef,32'h3f1b2685, 32'h3f1080be,32'h3f1fb6b6, 32'h3f08e5c1,32'h3f2751b3,// invsqrt(2.8325) = 0.5942 +32'h401daae8,32'h3f1fd6fa,32'h3f265d24, 32'h3f1af25b,32'h3f2b41c3, 32'h3f12caa7,32'h3f336977,// invsqrt(2.4636) = 0.6371 +32'h3f95d82a,32'h3f67df92,32'h3f715668, 32'h3f60c670,32'h3f786f8a, 32'h3f54f1e4,32'h3f82220b,// invsqrt(1.1707) = 0.9242 +32'h3fd7935d,32'h3f415148,32'h3f493540, 32'h3f3b664d,32'h3f4f203b, 32'h3f318957,32'h3f58fd31,// invsqrt(1.6842) = 0.7706 +32'h3f78a87f,32'h3f7e8e88,32'h3f847932, 32'h3f76c3a3,32'h3f885ea4, 32'h3f69c6d1,32'h3f8edd0e,// invsqrt(0.9713) = 1.0147 +32'h421b19ab,32'h3e21283f,32'h3e27bc2d, 32'h3e1c394d,32'h3e2cab1f, 32'h3e140064,32'h3e34e408,// invsqrt(38.7751) = 0.1606 +32'h3fd73ad7,32'h3f417905,32'h3f495e9d, 32'h3f3b8cd3,32'h3f4f4acf, 32'h3f31add6,32'h3f5929cc,// invsqrt(1.6815) = 0.7712 +32'h3f2d5f96,32'h3f986d93,32'h3f9ea64b, 32'h3f93c309,32'h3fa350d5, 32'h3f8bfc24,32'h3fab17ba,// invsqrt(0.6772) = 1.2151 +32'h406e2adc,32'h3f020d25,32'h3f075c0d, 32'h3efc23f0,32'h3f0b573a, 32'h3eeedead,32'h3f11f9dc,// invsqrt(3.7214) = 0.5184 +32'h3f172a81,32'h3fa33daa,32'h3fa9e75e, 32'h3f9e3e64,32'h3faee6a4, 32'h3f95ea44,32'h3fb73ac4,// invsqrt(0.5905) = 1.3013 +32'h409c1958,32'h3ee32e32,32'h3eec7400, 32'h3edc39d8,32'h3ef3685a, 32'h3ed0a296,32'h3efeff9c,// invsqrt(4.8781) = 0.4528 +32'h409bc589,32'h3ee36b47,32'h3eecb393, 32'h3edc750e,32'h3ef3a9cc, 32'h3ed0daaf,32'h3eff442b,// invsqrt(4.8679) = 0.4532 +32'h400ddd1f,32'h3f288207,32'h3f2f62c3, 32'h3f23597a,32'h3f348b50, 32'h3f1ac08e,32'h3f3d243c,// invsqrt(2.2166) = 0.6717 +32'h3f9447e2,32'h3f6917b8,32'h3f729b4c, 32'h3f61f508,32'h3f79bdfc, 32'h3f56108f,32'h3f82d13b,// invsqrt(1.1584) = 0.9291 +32'h3e8ba6d3,32'h3ff02fa8,32'h3ff9fd5c, 32'h3fe8d562,32'h4000abd1, 32'h3fdc9442,32'h4006cc61,// invsqrt(0.2728) = 1.9147 +32'h3eff45c8,32'h3fb1a6ca,32'h3fb8e711, 32'h3fac3695,32'h3fbe5747, 32'h3fa3263d,32'h3fc7679f,// invsqrt(0.4986) = 1.4162 +32'h3f33418a,32'h3f95e7f3,32'h3f9c0651, 32'h3f91512d,32'h3fa09d17, 32'h3f89ab38,32'h3fa8430c,// invsqrt(0.7002) = 1.1950 +32'h400ecc2c,32'h3f27f4c0,32'h3f2ecfb8, 32'h3f22d086,32'h3f33f3f2, 32'h3f1a3ed0,32'h3f3c85a8,// invsqrt(2.2312) = 0.6695 +32'h3f64233c,32'h3f84e11e,32'h3f8a4d92, 32'h3f80cfc7,32'h3f8e5ee9, 32'h3f74106b,32'h3f95267a,// invsqrt(0.8912) = 1.0593 +32'h40447345,32'h3f0f3214,32'h3f150a53, 32'h3f0acfe4,32'h3f196c82, 32'h3f038194,32'h3f20bad2,// invsqrt(3.0695) = 0.5708 +32'h3f48956c,32'h3f8db668,32'h3f937f28, 32'h3f895fd8,32'h3f97d5b8, 32'h3f8224e7,32'h3f9f10a9,// invsqrt(0.7835) = 1.1297 +32'h3f02a15e,32'h3faf9a95,32'h3fb6c577, 32'h3faa3a6c,32'h3fbc25a0, 32'h3fa144d2,32'h3fc51b3a,// invsqrt(0.5103) = 1.3999 +32'h3ff3f7fb,32'h3f35b875,32'h3f3d2340, 32'h3f30285c,32'h3f42b358, 32'h3f26e2de,32'h3f4bf8d6,// invsqrt(1.9060) = 0.7243 +32'h40540662,32'h3f09d602,32'h3f0f7640, 32'h3f059dd3,32'h3f13ae6f, 32'h3efd2b08,32'h3f1ab6be,// invsqrt(3.3129) = 0.5494 +32'h3f13e207,32'h3fa50b00,32'h3fabc788, 32'h3f9ffd9a,32'h3fb0d4ee, 32'h3f9791f0,32'h3fb94098,// invsqrt(0.5777) = 1.3157 +32'h3dd0f478,32'h40445b32,32'h404c5eea, 32'h403e5867,32'h405261b5, 32'h403453c0,32'h405c665c,// invsqrt(0.1020) = 3.1307 +32'h3f0c820c,32'h3fa951a5,32'h3fb03adb, 32'h3fa422bd,32'h3fb569c3, 32'h3f9b7f3a,32'h3fbe0d46,// invsqrt(0.5489) = 1.3498 +32'h3d2f982a,32'h40977602,32'h409da49e, 32'h4092d30c,32'h40a24794, 32'h408b18c8,32'h40aa01d8,// invsqrt(0.0429) = 4.8298 +32'h3cc10eea,32'h40cc47cc,32'h40d49e52, 32'h40c606e8,32'h40dadf36, 32'h40bb9ac1,32'h40e54b5d,// invsqrt(0.0236) = 6.5140 +32'h3faaf8e9,32'h3f5912fd,32'h3f61ef31, 32'h3f526dd6,32'h3f689458, 32'h3f475a95,32'h3f73a799,// invsqrt(1.3357) = 0.8653 +32'h3f2ea94b,32'h3f97dd70,32'h3f9e1046, 32'h3f933750,32'h3fa2b666, 32'h3f8b77c5,32'h3faa75f1,// invsqrt(0.6823) = 1.2107 +32'h3e398cbc,32'h4013577d,32'h40195b10, 32'h400ed4d0,32'h401dddbe, 32'h4007505a,32'h40256234,// invsqrt(0.1812) = 2.3492 +32'h3ee98580,32'h3fb9bdad,32'h3fc1527b, 32'h3fb40e13,32'h3fc70215, 32'h3faa9412,32'h3fd07c16,// invsqrt(0.4561) = 1.4807 +32'h3f31b540,32'h3f968ebb,32'h3f9cb3e7, 32'h3f91f2da,32'h3fa14fc8, 32'h3f8a4462,32'h3fa8fe40,// invsqrt(0.6942) = 1.2002 +32'h3ff52200,32'h3f3549dc,32'h3f3cb024, 32'h3f2fbd26,32'h3f423cda, 32'h3f267d4d,32'h3f4b7cb3,// invsqrt(1.9151) = 0.7226 +32'h3fe36844,32'h3f3c38a9,32'h3f43e761, 32'h3f36759e,32'h3f49aa6c, 32'h3f2cdb38,32'h3f5344d2,// invsqrt(1.7766) = 0.7502 +32'h3fc12ee0,32'h3f4c36e6,32'h3f548cbb, 32'h3f45f686,32'h3f5acd1a, 32'h3f3b8b3b,32'h3f653865,// invsqrt(1.5092) = 0.8140 +32'h3ef44f5f,32'h3fb597f1,32'h3fbd0169, 32'h3fb008d8,32'h3fc29082, 32'h3fa6c502,32'h3fcbd458,// invsqrt(0.4772) = 1.4477 +32'h3da38865,32'h405df4f6,32'h4067042f, 32'h4057298b,32'h406dcf99, 32'h404bd683,32'h407922a1,// invsqrt(0.0798) = 3.5389 +32'h3e819016,32'h3ff95cc0,32'h4001c52a, 32'h3ff1ba90,32'h40059642, 32'h3fe50196,32'h400bf2bf,// invsqrt(0.2531) = 1.9879 +32'h3f7f0eb8,32'h3f7b57d6,32'h3f82cd0f, 32'h3f73a621,32'h3f86a5ea, 32'h3f66d347,32'h3f8d0f56,// invsqrt(0.9963) = 1.0018 +32'h3f35b137,32'h3f94e5cc,32'h3f9af9a0, 32'h3f9056ed,32'h3f9f887f, 32'h3f88be24,32'h3fa72148,// invsqrt(0.7097) = 1.1870 +32'h3fbf5dc0,32'h3f4d2e7d,32'h3f558e6d, 32'h3f46e689,32'h3f5bd661, 32'h3f3c6e9c,32'h3f664e4e,// invsqrt(1.4950) = 0.8178 +32'h3fe09063,32'h3f3d68be,32'h3f4523e0, 32'h3f379c65,32'h3f4af039, 32'h3f2df27a,32'h3f549a24,// invsqrt(1.7544) = 0.7550 +32'h3e74f043,32'h40003dbe,32'h400579bb, 32'h3ff8a17f,32'h400966b9, 32'h3feb8b86,32'h400ff1b5,// invsqrt(0.2392) = 2.0447 +32'h41817a07,32'h3e7971fd,32'h3e81d038, 32'h3e71cf26,32'h3e85a1a3, 32'h3e651517,32'h3e8bfeaa,// invsqrt(16.1846) = 0.2486 +32'h3f311a6b,32'h3f96d07d,32'h3f9cf859, 32'h3f923299,32'h3fa1963d, 32'h3f8a80c7,32'h3fa9480f,// invsqrt(0.6918) = 1.2023 +32'h3f8992df,32'h3f71fe47,32'h3f7bdedd, 32'h3f6a95d8,32'h3f81a3a6, 32'h3f5e3d1d,32'h3f87d004,// invsqrt(1.0748) = 0.9646 +32'h3f8ed511,32'h3f6d7f31,32'h3f7730ca, 32'h3f6639fd,32'h3f7e75fd, 32'h3f5a1bfd,32'h3f8549fe,// invsqrt(1.1159) = 0.9467 +32'h4028ada4,32'h3f1a88ec,32'h3f20d7a8, 32'h3f15cde0,32'h3f2592b4, 32'h3f0deb76,32'h3f2d751e,// invsqrt(2.6356) = 0.6160 +32'h3ebdba99,32'h3fce10a5,32'h3fd679d1, 32'h3fc7c1c5,32'h3fdcc8b1, 32'h3fbd3e4f,32'h3fe74c27,// invsqrt(0.3706) = 1.6427 +32'h3c8552dd,32'h40f5d1f1,32'h40ffda83, 32'h40ee4b84,32'h4103b078, 32'h40e1c0ce,32'h4109f5d3,// invsqrt(0.0163) = 7.8387 +32'h3fa4e11e,32'h3f5d0c75,32'h3f661230, 32'h3f564828,32'h3f6cd67c, 32'h3f4b00fd,32'h3f781da7,// invsqrt(1.2881) = 0.8811 +32'h3eb65d52,32'h3fd22f40,32'h3fdac377, 32'h3fcbc017,32'h3fe132a1, 32'h3fc106d3,32'h3febebe5,// invsqrt(0.3562) = 1.6756 +32'h3f0b2ddf,32'h3faa2013,32'h3fb111b6, 32'h3fa4eada,32'h3fb646f0, 32'h3f9c3cce,32'h3fbef4fc,// invsqrt(0.5437) = 1.3562 +32'h3f7f9e13,32'h3f7b1151,32'h3f82a85c, 32'h3f7361c4,32'h3f868022, 32'h3f669284,32'h3f8ce7c2,// invsqrt(0.9985) = 1.0007 +32'h3f88af51,32'h3f72c763,32'h3f7cb02e, 32'h3f6b58cc,32'h3f820f63, 32'h3f5ef5ce,32'h3f8840e2,// invsqrt(1.0679) = 0.9677 +32'h3f832888,32'h3f77d74a,32'h3f80fa7c, 32'h3f704106,32'h3f84c59e, 32'h3f639bea,32'h3f8b182c,// invsqrt(1.0247) = 0.9879 +32'h3e429844,32'h400fe06f,32'h4015bfcc, 32'h400b78e8,32'h401a2752, 32'h400421b4,32'h40217e86,// invsqrt(0.1900) = 2.2940 +32'h3e8666f2,32'h3ff4d4f5,32'h3ffed334, 32'h3fed5647,32'h400328f1, 32'h3fe0d879,32'h400967d8,// invsqrt(0.2625) = 1.9518 +32'h40a12f13,32'h3edf917c,32'h3ee8b18c, 32'h3ed8b971,32'h3eef8997, 32'h3ecd515d,32'h3efaf1ab,// invsqrt(5.0370) = 0.4456 +32'h3e60d96c,32'h4005d8f6,32'h400b4f87, 32'h4001c008,32'h400f6874, 32'h3ff5d7a3,32'h40163cab,// invsqrt(0.2196) = 2.1340 +32'h3f9f6c11,32'h3f60ccdb,32'h3f69f9c9, 32'h3f59eb28,32'h3f70db7c, 32'h3f4e72fd,32'h3f7c53a7,// invsqrt(1.2455) = 0.8960 +32'h3ec7330e,32'h3fc91b5e,32'h3fd150ba, 32'h3fc2f358,32'h3fd778c0, 32'h3fb8b0a4,32'h3fe1bb74,// invsqrt(0.3891) = 1.6032 +32'h3fe6332e,32'h3f3b137f,32'h3f42b641, 32'h3f35596e,32'h3f487052, 32'h3f2bcdfd,32'h3f51fbc3,// invsqrt(1.7984) = 0.7457 +32'h3a446276,32'h420f3834,32'h421510b4, 32'h420ad5d5,32'h42197313, 32'h42038735,32'h4220c1b3,// invsqrt(0.0007) = 36.5356 +32'h3f81d544,32'h3f791a48,32'h3f81a293, 32'h3f717a21,32'h3f8572a6, 32'h3f64c48b,32'h3f8bcd71,// invsqrt(1.0143) = 0.9929 +32'h3f4a2da1,32'h3f8d2710,32'h3f92e9f6, 32'h3f88d4e3,32'h3f973c23, 32'h3f81a143,32'h3f9e6fc3,// invsqrt(0.7898) = 1.1253 +32'h3fdb9051,32'h3f3f8dc4,32'h3f475f4f, 32'h3f39b09d,32'h3f4d3c77, 32'h3f2feaaf,32'h3f570265,// invsqrt(1.7153) = 0.7635 +32'h3f892bfe,32'h3f7258f5,32'h3f7c3d3e, 32'h3f6aedbf,32'h3f81d43a, 32'h3f5e9064,32'h3f8802e8,// invsqrt(1.0717) = 0.9660 +32'h3d182c62,32'h40a2b31e,32'h40a9572a, 32'h409db815,32'h40ae5233, 32'h40956b07,32'h40b69f41,// invsqrt(0.0372) = 5.1881 +32'h3ea9b2be,32'h3fd9e336,32'h3fe2c7ea, 32'h3fd337af,32'h3fe97371, 32'h3fc819ce,32'h3ff49152,// invsqrt(0.3314) = 1.7370 +32'h3ffd459a,32'h3f325a11,32'h3f39a1a9, 32'h3f2ce45f,32'h3f3f175b, 32'h3f23cae0,32'h3f4830da,// invsqrt(1.9787) = 0.7109 +32'h3ee28c3b,32'h3fbc93fb,32'h3fc4466d, 32'h3fb6ce25,32'h3fca0c43, 32'h3fad2f15,32'h3fd3ab53,// invsqrt(0.4425) = 1.5033 +32'h3f9763a5,32'h3f66afed,32'h3f701a5f, 32'h3f5fa017,32'h3f772a35, 32'h3f53db09,32'h3f8177a2,// invsqrt(1.1827) = 0.9195 +32'h3f3a1b4f,32'h3f931f02,32'h3f992046, 32'h3f8e9e0f,32'h3f9da139, 32'h3f871c7a,32'h3fa522ce,// invsqrt(0.7270) = 1.1728 +32'h3f54fa50,32'h3f8986fc,32'h3f8f2402, 32'h3f855139,32'h3f9359c5, 32'h3f7c99e5,32'h3f9a5e0c,// invsqrt(0.8319) = 1.0964 +32'h40f5a6f0,32'h3eb518c8,32'h3ebc7d0f, 32'h3eaf8d93,32'h3ec20845, 32'h3ea6503b,32'h3ecb459d,// invsqrt(7.6766) = 0.3609 +32'h3f71c552,32'h3f81141d,32'h3f8658da, 32'h3f7a411d,32'h3f8a4c68, 32'h3f6d1544,32'h3f90e254,// invsqrt(0.9444) = 1.0290 +32'h3dea3568,32'h403977e0,32'h404109d4, 32'h4033ca69,32'h4046b74b, 32'h402a53f7,32'h40502dbd,// invsqrt(0.1144) = 2.9571 +32'h3f91d2e0,32'h3f6b0c57,32'h3f74a45a, 32'h3f63da54,32'h3f7bd65c, 32'h3f57dc4f,32'h3f83ea30,// invsqrt(1.1392) = 0.9369 +32'h3f3f1133,32'h3f9132e3,32'h3f972011, 32'h3f8cc101,32'h3f9b91f3, 32'h3f855887,32'h3fa2fa6d,// invsqrt(0.7464) = 1.1575 +32'h3f0cb132,32'h3fa93544,32'h3fb01d52, 32'h3fa4073a,32'h3fb54b5c, 32'h3f9b652a,32'h3fbded6c,// invsqrt(0.5496) = 1.3489 +32'h3f9c7fa5,32'h3f62e3e6,32'h3f6c26ab, 32'h3f5bf1d1,32'h3f7318bf, 32'h3f505e5a,32'h3f7eac36,// invsqrt(1.2226) = 0.9044 +32'h3f1bc0e8,32'h3fa0d1a3,32'h3fa76207, 32'h3f9be557,32'h3fac4e53, 32'h3f93b0d9,32'h3fb482d1,// invsqrt(0.6084) = 1.2820 +32'h3fc8d8ed,32'h3f4847b9,32'h3f507473, 32'h3f42262e,32'h3f5695fe, 32'h3f37ee47,32'h3f60cde5,// invsqrt(1.5691) = 0.7983 +32'h40e8738a,32'h3eba2b01,32'h3ec1c445, 32'h3eb4780e,32'h3ec77738, 32'h3eaaf879,32'h3ed0f6cd,// invsqrt(7.2641) = 0.3710 +32'h3fafabc2,32'h3f5626c7,32'h3f5ee471, 32'h3f4f9887,32'h3f6572b1, 32'h3f44ab73,32'h3f705fc5,// invsqrt(1.3724) = 0.8536 +32'h40766b54,32'h3effb5e9,32'h3f0512ea, 32'h3ef7e1f9,32'h3f08fce1, 32'h3eead615,32'h3f0f82d4,// invsqrt(3.8503) = 0.5096 +32'h4066a110,32'h3f0428e0,32'h3f098dce, 32'h3f001d2c,32'h3f0d9982, 32'h3ef2be03,32'h3f1457ac,// invsqrt(3.6036) = 0.5268 +32'h405dc2cf,32'h3f06c6ba,32'h3f0c4701, 32'h3f02a686,32'h3f106736, 32'h3ef78c5c,32'h3f17478e,// invsqrt(3.4650) = 0.5372 +32'h3f543272,32'h3f89c7b2,32'h3f8f675b, 32'h3f858ff2,32'h3f939f1a, 32'h3f7d10be,32'h3f9aa6ad,// invsqrt(0.8289) = 1.0984 +32'h405b7ae6,32'h3f07798c,32'h3f0d011e, 32'h3f0353de,32'h3f1126cc, 32'h3ef8d4cc,32'h3f181044,// invsqrt(3.4294) = 0.5400 +32'h3f9a6355,32'h3f646f93,32'h3f6dc27f, 32'h3f5d7162,32'h3f74c0b0, 32'h3f51c9bb,32'h3f80342b,// invsqrt(1.2062) = 0.9105 +32'h3e0e6c6c,32'h40282d2b,32'h402f0a71, 32'h40230737,32'h40343065, 32'h401a72a0,32'h403cc4fc,// invsqrt(0.1391) = 2.6814 +32'h3f972796,32'h3f66ddbd,32'h3f704a0e, 32'h3f5fcc81,32'h3f775b4b, 32'h3f54051c,32'h3f819158,// invsqrt(1.1809) = 0.9202 +32'h3f207bdf,32'h3f9e6e5a,32'h3fa4e5cc, 32'h3f9994c5,32'h3fa9bf61, 32'h3f917f78,32'h3fb1d4af,// invsqrt(0.6269) = 1.2630 +32'h3ffda39e,32'h3f323900,32'h3f397f3e, 32'h3f2cc451,32'h3f3ef3ed, 32'h3f23ac82,32'h3f480bbc,// invsqrt(1.9816) = 0.7104 +32'h3f88f130,32'h3f728cf8,32'h3f7c7361, 32'h3f6b202b,32'h3f81f018, 32'h3f5ec028,32'h3f882019,// invsqrt(1.0699) = 0.9668 +32'h3e0f089a,32'h4027d141,32'h402eaac7, 32'h4022ae1d,32'h4033cdeb, 32'h401a1e37,32'h403c5dd1,// invsqrt(0.1397) = 2.6757 +32'h3f35360b,32'h3f95185e,32'h3f9b2e42, 32'h3f9087f2,32'h3f9fbeae, 32'h3f88ec95,32'h3fa75a0b,// invsqrt(0.7079) = 1.1886 +32'h40a94ced,32'h3eda24b1,32'h3ee30c11, 32'h3ed37729,32'h3ee9b999, 32'h3ec855f1,32'h3ef4dad1,// invsqrt(5.2906) = 0.4348 +32'h3f1d839b,32'h3f9feae9,32'h3fa671e3, 32'h3f9b05ae,32'h3fab571e, 32'h3f92dcf5,32'h3fb37fd7,// invsqrt(0.6153) = 1.2749 +32'h3f3e4cea,32'h3f917db2,32'h3f976dee, 32'h3f8d0986,32'h3f9be21a, 32'h3f859d3b,32'h3fa34e65,// invsqrt(0.7434) = 1.1598 +32'h3fd426ed,32'h3f42deff,32'h3f4ad334, 32'h3f3ce7d9,32'h3f50ca5b, 32'h3f32f697,32'h3f5abb9d,// invsqrt(1.6574) = 0.7768 +32'h3f810ad2,32'h3f79dd62,32'h3f82081b, 32'h3f723742,32'h3f85db2b, 32'h3f6577b8,32'h3f8c3af0,// invsqrt(1.0081) = 0.9960 +32'h3f1cab76,32'h3fa05914,32'h3fa6e48c, 32'h3f9b7079,32'h3fabcd27, 32'h3f934222,32'h3fb3fb7e,// invsqrt(0.6120) = 1.2783 +32'h4013934c,32'h3f253700,32'h3f2bf554, 32'h3f202842,32'h3f310412, 32'h3f17ba59,32'h3f3971fb,// invsqrt(2.3059) = 0.6585 +32'h3eb55862,32'h3fd2c642,32'h3fdb60a2, 32'h3fcc5279,32'h3fe1d46b, 32'h3fc19180,32'h3fec9564,// invsqrt(0.3542) = 1.6803 +32'h3ed2c3c3,32'h3fc382eb,32'h3fcb7dd1, 32'h3fbd86c0,32'h3fd179fc, 32'h3fb38d21,32'h3fdb739b,// invsqrt(0.4116) = 1.5586 +32'h40a91931,32'h3eda460d,32'h3ee32ec9, 32'h3ed3977f,32'h3ee9dd57, 32'h3ec87494,32'h3ef50043,// invsqrt(5.2843) = 0.4350 +32'h3e4bac15,32'h400ca24a,32'h40125fc6, 32'h4008542e,32'h4016ade2, 32'h40012754,32'h401ddabc,// invsqrt(0.1989) = 2.2423 +32'h3f92f71e,32'h3f6a222f,32'h3f73b0a4, 32'h3f62f758,32'h3f7adb7c, 32'h3f570546,32'h3f8366c7,// invsqrt(1.1482) = 0.9332 +32'h409b8c71,32'h3ee39500,32'h3eecdf00, 32'h3edc9d80,32'h3ef3d680, 32'h3ed10100,32'h3eff7300,// invsqrt(4.8609) = 0.4536 +32'h3fc6d185,32'h3f494cac,32'h3f51840c, 32'h3f432324,32'h3f57ad94, 32'h3f38ddec,32'h3f61f2cc,// invsqrt(1.5533) = 0.8024 +32'h3f60b846,32'h3f85e2d4,32'h3f8b59ce, 32'h3f81c99a,32'h3f8f7308, 32'h3f75e9c5,32'h3f9647c0,// invsqrt(0.8778) = 1.0673 +32'h3f596f45,32'h3f881c4a,32'h3f8daa82, 32'h3f83f1a1,32'h3f91d52b, 32'h3f79ffb8,32'h3f98c6f0,// invsqrt(0.8494) = 1.0851 +32'h3f3b9d53,32'h3f92875b,32'h3f98826e, 32'h3f8e0b0c,32'h3f9cfebc, 32'h3f869134,32'h3fa47894,// invsqrt(0.7329) = 1.1681 +32'h3fc65954,32'h3f4989a0,32'h3f51c37d, 32'h3f435e3b,32'h3f57eee3, 32'h3f3915e7,32'h3f623737,// invsqrt(1.5496) = 0.8033 +32'h3e9bf2ac,32'h3fe34a5c,32'h3fec9150, 32'h3fdc5525,32'h3ff38687, 32'h3fd0bc74,32'h3fff1f38,// invsqrt(0.3046) = 1.8119 +32'h40cba5df,32'h3ec6e5f6,32'h3ecf043e, 32'h3ec0cf3f,32'h3ed51af5, 32'h3eb6a964,32'h3edf40d0,// invsqrt(6.3640) = 0.3964 +32'h3fbb6cbd,32'h3f4f53eb,32'h3f57ca48, 32'h3f48fb25,32'h3f5e230d, 32'h3f3e6730,32'h3f68b702,// invsqrt(1.4643) = 0.8264 +32'h3f680c8e,32'h3f83c134,32'h3f8921e8, 32'h3f7f715b,32'h3f8d2a6f, 32'h3f71ff99,32'h3f93e34f,// invsqrt(0.9064) = 1.0503 +32'h3fdf837c,32'h3f3dda8b,32'h3f459a52, 32'h3f380ab6,32'h3f4b6a28, 32'h3f2e5afe,32'h3f5519e1,// invsqrt(1.7462) = 0.7568 +32'h3eb906c9,32'h3fd0aac9,32'h3fd92f25, 32'h3fca4784,32'h3fdf926a, 32'h3fbfa212,32'h3fea37dd,// invsqrt(0.3614) = 1.6635 +32'h3f059e1b,32'h3fada139,32'h3fb4b77a, 32'h3fa85088,32'h3fba082a, 32'h3f9f74b6,32'h3fc2e3fc,// invsqrt(0.5219) = 1.3842 +32'h3f20369f,32'h3f9e9094,32'h3fa5096a, 32'h3f99b5f2,32'h3fa9e40c, 32'h3f919ee6,32'h3fb1fb19,// invsqrt(0.6258) = 1.2641 +32'h3e35b68d,32'h4014e39c,32'h401af75a, 32'h401054ce,32'h401f8628, 32'h4008bc22,32'h40271ed4,// invsqrt(0.1775) = 2.3739 +32'h3f3071aa,32'h3f97188b,32'h3f9d4357, 32'h3f927872,32'h3fa1e370, 32'h3f8ac2f2,32'h3fa998f0,// invsqrt(0.6892) = 1.2045 +32'h3f86e0a5,32'h3f746668,32'h3f7e6023, 32'h3f6ceb1b,32'h3f82edb7, 32'h3f6072f1,32'h3f8929cc,// invsqrt(1.0537) = 0.9742 +32'h3f8639e6,32'h3f74fe07,32'h3f7efdf3, 32'h3f6d7e17,32'h3f833ef2, 32'h3f60fe30,32'h3f897ee5,// invsqrt(1.0486) = 0.9765 +32'h4061e1c3,32'h3f058a8d,32'h3f0afdeb, 32'h3f017406,32'h3f0f1472, 32'h3ef5479f,32'h3f15e4a8,// invsqrt(3.5294) = 0.5323 +32'h3ffa0689,32'h3f338186,32'h3f3ad52e, 32'h3f2e02c9,32'h3f4053eb, 32'h3f24da37,32'h3f497c7d,// invsqrt(1.9533) = 0.7155 +32'h3ecbc1e4,32'h3fc6d848,32'h3fcef602, 32'h3fc0c1fd,32'h3fd50c4d, 32'h3fb69cd4,32'h3fdf3176,// invsqrt(0.3980) = 1.5852 +32'h3e9ca9fd,32'h3fe2c53a,32'h3fec06be, 32'h3fdbd416,32'h3ff2f7e2, 32'h3fd04230,32'h3ffe89c8,// invsqrt(0.3060) = 1.8078 +32'h3f74ea52,32'h3f803f4c,32'h3f857b59, 32'h3f78a482,32'h3f896863, 32'h3f6b8e60,32'h3f8ff374,// invsqrt(0.9567) = 1.0224 +32'h3f9ab535,32'h3f643318,32'h3f6d838c, 32'h3f5d36c1,32'h3f747fe3, 32'h3f519230,32'h3f80123a,// invsqrt(1.2087) = 0.9096 +32'h41c81ee0,32'h3e48a4bd,32'h3e50d543, 32'h3e42805a,32'h3e56f9a6, 32'h3e3843b3,32'h3e61364d,// invsqrt(25.0151) = 0.1999 +32'h3f6fb7ce,32'h3f81a14c,32'h3f86ebcc, 32'h3f7b52d7,32'h3f8ae3ad, 32'h3f6e1896,32'h3f9180cd,// invsqrt(0.9364) = 1.0334 +32'h3ec97c6d,32'h3fc7f666,32'h3fd01fce, 32'h3fc1d759,32'h3fd63edb, 32'h3fb7a397,32'h3fe0729d,// invsqrt(0.3935) = 1.5941 +32'h3de247d8,32'h403cb077,32'h40446414, 32'h4036e9c2,32'h404a2aca, 32'h402d493f,32'h4053cb4d,// invsqrt(0.1105) = 3.0084 +32'h3e3b346d,32'h4012b062,32'h4018ad22, 32'h400e32d2,32'h401d2ab2, 32'h4006b6e2,32'h4024a6a2,// invsqrt(0.1828) = 2.3388 +32'h42324123,32'h3e16539c,32'h3e1c765f, 32'h3e11b98b,32'h3e211071, 32'h3e0a0e18,32'h3e28bbe4,// invsqrt(44.5636) = 0.1498 +32'h4218603b,32'h3e22976e,32'h3e293a58, 32'h3e1d9d3e,32'h3e2e3488, 32'h3e155199,32'h3e36802d,// invsqrt(38.0940) = 0.1620 +32'h3fa9d9c3,32'h3f59ca2d,32'h3f62addb, 32'h3f531f6a,32'h3f69589e, 32'h3f4802d0,32'h3f747538,// invsqrt(1.3270) = 0.8681 +32'h3f964f69,32'h3f678386,32'h3f70f69b, 32'h3f606d36,32'h3f780cea, 32'h3f549d5b,32'h3f81ee62,// invsqrt(1.1743) = 0.9228 +32'h4088ac67,32'h3ef2c9fa,32'h3efcb2e0, 32'h3eeb5b4e,32'h3f0210c6, 32'h3edef82f,32'h3f084256,// invsqrt(4.2710) = 0.4839 +32'h3ec78fff,32'h3fc8ec84,32'h3fd11ff8, 32'h3fc2c5ee,32'h3fd7468e, 32'h3fb8859e,32'h3fe186de,// invsqrt(0.3898) = 1.6018 +32'h3f9a77b5,32'h3f646082,32'h3f6db2d0, 32'h3f5d62c7,32'h3f74b08b, 32'h3f51bbe5,32'h3f802bb7,// invsqrt(1.2068) = 0.9103 +32'h3f88fa04,32'h3f728527,32'h3f7c6b3e, 32'h3f6b1897,32'h3f81ebe8, 32'h3f5eb8fb,32'h3f881bb6,// invsqrt(1.0701) = 0.9667 +32'h405e65ba,32'h3f069554,32'h3f0c1396, 32'h3f0276a2,32'h3f103248, 32'h3ef7319f,32'h3f17101a,// invsqrt(3.4750) = 0.5364 +32'h3f7fc8ab,32'h3f7afc69,32'h3f829d7a, 32'h3f734d7f,32'h3f8674ef, 32'h3f667f50,32'h3f8cdc06,// invsqrt(0.9992) = 1.0004 +32'h3ff77b0c,32'h3f346d31,32'h3f3bca77, 32'h3f2ee73d,32'h3f41506b, 32'h3f25b2a5,32'h3f4a8503,// invsqrt(1.9334) = 0.7192 +32'h3f20afe6,32'h3f9e54b2,32'h3fa4cb18, 32'h3f997be6,32'h3fa9a3e4, 32'h3f9167e8,32'h3fb1b7e2,// invsqrt(0.6277) = 1.2622 +32'h3fed5cb4,32'h3f383b6b,32'h3f3fc075, 32'h3f3297a4,32'h3f45643c, 32'h3f293158,32'h3f4eca88,// invsqrt(1.8544) = 0.7343 +32'h3da97309,32'h405a0c27,32'h4062f287, 32'h40535f5f,32'h40699f4f, 32'h40483f68,32'h4074bf46,// invsqrt(0.0827) = 3.4765 +32'h40dfe2c2,32'h3ebdb222,32'h3ec57042, 32'h3eb7e389,32'h3ecb3edb, 32'h3eae35e0,32'h3ed4ec84,// invsqrt(6.9964) = 0.3781 +32'h3fceed48,32'h3f4550ed,32'h3f4d5eae, 32'h3f3f469d,32'h3f5368ff, 32'h3f35356d,32'h3f5d7a2f,// invsqrt(1.6166) = 0.7865 +32'h3fada9ad,32'h3f5762d6,32'h3f602d66, 32'h3f50cae9,32'h3f66c553, 32'h3f45cdb5,32'h3f71c287,// invsqrt(1.3567) = 0.8585 +32'h3fa5f51a,32'h3f5c545b,32'h3f655293, 32'h3f5595b1,32'h3f6c113d, 32'h3f4a57eb,32'h3f774f03,// invsqrt(1.2965) = 0.8782 +32'h3f403263,32'h3f90c57e,32'h3f96ae34, 32'h3f8c56f5,32'h3f9b1cbd, 32'h3f84f410,32'h3fa27fa2,// invsqrt(0.7508) = 1.1541 +32'h3f24ac2f,32'h3f9c6732,32'h3fa2c972, 32'h3f979d81,32'h3fa79323, 32'h3f8fa2b0,32'h3faf8df4,// invsqrt(0.6433) = 1.2468 +32'h3f1ac1e8,32'h3fa155eb,32'h3fa7ebb5, 32'h3f9c6592,32'h3facdc0e, 32'h3f942a55,32'h3fb5174b,// invsqrt(0.6045) = 1.2862 +32'h3e6a4d47,32'h40031ea9,32'h400878ba, 32'h3ffe3637,32'h400c7c47, 32'h3ff0d50b,32'h40132cdc,// invsqrt(0.2288) = 2.0906 +32'h40839335,32'h3ef772bd,32'h3f00c629, 32'h3eefdf8d,32'h3f048fc0, 32'h3ee33f93,32'h3f0adfbd,// invsqrt(4.1117) = 0.4932 +32'h4167b759,32'h3e83d96c,32'h3e893b1c, 32'h3e7fa04e,32'h3e8d4461, 32'h3e722c14,32'h3e93fe7e,// invsqrt(14.4823) = 0.2628 +32'h3f81bf23,32'h3f792f85,32'h3f81ada1, 32'h3f718eb8,32'h3f857e07, 32'h3f64d80c,32'h3f8bd95d,// invsqrt(1.0136) = 0.9932 +32'h3f1394ae,32'h3fa5363a,32'h3fabf486, 32'h3fa02782,32'h3fb1033e, 32'h3f97b9a3,32'h3fb9711d,// invsqrt(0.5765) = 1.3171 +32'h3fe3ab29,32'h3f3c1d00,32'h3f43ca98, 32'h3f365acf,32'h3f498cc9, 32'h3f2cc1d1,32'h3f5325c7,// invsqrt(1.7787) = 0.7498 +32'h3f45e6a7,32'h3f8eab78,32'h3f947e38, 32'h3f8a4d67,32'h3f98dc49, 32'h3f8305f6,32'h3fa023ba,// invsqrt(0.7731) = 1.1374 +32'h400351a6,32'h3f2f2490,32'h3f364aa0, 32'h3f29c804,32'h3f3ba72c, 32'h3f20d86f,32'h3f4496c1,// invsqrt(2.0519) = 0.6981 +32'h3f15335c,32'h3fa45003,32'h3fab04e9, 32'h3f9f4856,32'h3fb00c96, 32'h3f96e637,32'h3fb86eb5,// invsqrt(0.5828) = 1.3099 +32'h3b32768a,32'h41963d1d,32'h419c5ef5, 32'h4191a3bc,32'h41a0f856, 32'h4189f96e,32'h41a8a2a4,// invsqrt(0.0027) = 19.1631 +32'h3f559b24,32'h3f89532d,32'h3f8eee14, 32'h3f851efe,32'h3f932242, 32'h3f7c3aba,32'h3f9a23e3,// invsqrt(0.8344) = 1.0947 +32'h3f15e01b,32'h3fa3f136,32'h3faaa23e, 32'h3f9eec71,32'h3fafa703, 32'h3f968f27,32'h3fb8044d,// invsqrt(0.5855) = 1.3069 +32'h4032a763,32'h3f162891,32'h3f1c4992, 32'h3f118fd1,32'h3f20e253, 32'h3f09e690,32'h3f288b94,// invsqrt(2.7915) = 0.5985 +32'h3fc8b2d3,32'h3f485abb,32'h3f50883b, 32'h3f42389b,32'h3f56aa5b, 32'h3f37ffbc,32'h3f60e33b,// invsqrt(1.5680) = 0.7986 +32'h3cebbbe5,32'h40b8de03,32'h40c069af, 32'h40b33541,32'h40c61271, 32'h40a9c6aa,32'h40cf8108,// invsqrt(0.0288) = 5.8950 +32'h3f9eb46e,32'h3f614ec4,32'h3f6a8100, 32'h3f5a6917,32'h3f7166ad, 32'h3f4eea4b,32'h3f7ce579,// invsqrt(1.2399) = 0.8981 +32'h3fc46541,32'h3f4a8993,32'h3f52cde3, 32'h3f445658,32'h3f59011e, 32'h3f3a00f5,32'h3f635681,// invsqrt(1.5343) = 0.8073 +32'h3f367532,32'h3f9495bf,32'h3f9aa64f, 32'h3f900953,32'h3f9f32bb, 32'h3f8874a0,32'h3fa6c76e,// invsqrt(0.7127) = 1.1845 +32'h3f2c621b,32'h3f98dd7b,32'h3f9f1ac4, 32'h3f942f85,32'h3fa3c8bb, 32'h3f8c62ea,32'h3fab9556,// invsqrt(0.6734) = 1.2186 +32'h40c88c53,32'h3ec86df6,32'h3ed09c3e, 32'h3ec24b3f,32'h3ed6bef5, 32'h3eb81164,32'h3ee0f8d0,// invsqrt(6.2671) = 0.3995 +32'h4088e956,32'h3ef293ed,32'h3efc7a9d, 32'h3eeb26e8,32'h3f01f3d1, 32'h3edec68b,32'h3f082400,// invsqrt(4.2785) = 0.4835 +32'h3f96a9aa,32'h3f673e23,32'h3f70ae63, 32'h3f6029f3,32'h3f77c293, 32'h3f545da3,32'h3f81c772,// invsqrt(1.1771) = 0.9217 +32'h3f8ca151,32'h3f6f595f,32'h3f791e53, 32'h3f6805a8,32'h3f803905, 32'h3f5bcf76,32'h3f86541e,// invsqrt(1.0987) = 0.9540 +32'h3fa9fc6e,32'h3f59b3f7,32'h3f6296bd, 32'h3f5309e2,32'h3f6940d2, 32'h3f47ee6b,32'h3f745c49,// invsqrt(1.3280) = 0.8678 +32'h3fca6b59,32'h3f478040,32'h3f4fa4d5, 32'h3f4164d1,32'h3f55c045, 32'h3f373717,32'h3f5fedff,// invsqrt(1.5814) = 0.7952 +32'h3f2cd7da,32'h3f98a961,32'h3f9ee489, 32'h3f93fd02,32'h3fa390e8, 32'h3f8c3310,32'h3fab5ada,// invsqrt(0.6752) = 1.2170 +32'h4080f5b3,32'h3ef9f1d7,32'h3f0212c1, 32'h3ef24b18,32'h3f05e621, 32'h3ee58a82,32'h3f0c466c,// invsqrt(4.0300) = 0.4981 +32'h40c71f64,32'h3ec9254c,32'h3ed15b10, 32'h3ec2fcf8,32'h3ed78364, 32'h3eb8b9c3,32'h3ee1c699,// invsqrt(6.2226) = 0.4009 +32'h3f12ca87,32'h3fa5a7d6,32'h3fac6ac4, 32'h3fa095a3,32'h3fb17cf7, 32'h3f9821f8,32'h3fb9f0a2,// invsqrt(0.5734) = 1.3206 +32'h407e67b4,32'h3efbaa49,32'h3f02f7f7, 32'h3ef3f60e,32'h3f06d215, 32'h3ee71f00,32'h3f0d3d9c,// invsqrt(3.9751) = 0.5016 +32'h3fb0e9f1,32'h3f5565dc,32'h3f5e1ba7, 32'h3f4edd85,32'h3f64a3ff, 32'h3f43fa48,32'h3f6f873c,// invsqrt(1.3821) = 0.8506 +32'h40cab2d7,32'h3ec75d0f,32'h3ecf8034, 32'h3ec142b3,32'h3ed59a8f, 32'h3eb716c4,32'h3edfc67e,// invsqrt(6.3343) = 0.3973 +32'h3f4a8add,32'h3f8d068f,32'h3f92c821, 32'h3f88b560,32'h3f971950, 32'h3f818369,32'h3f9e4b47,// invsqrt(0.7912) = 1.1242 +32'h3e8afbbd,32'h3ff0c350,32'h3ffa970a, 32'h3fe96484,32'h4000faeb, 32'h3fdd1bdc,32'h40071f3f,// invsqrt(0.2715) = 1.9193 +32'h3edbfa6c,32'h3fbf5f8c,32'h3fc72f34, 32'h3fb983ce,32'h3fcd0af2, 32'h3fafc03d,32'h3fd6ce83,// invsqrt(0.4296) = 1.5256 +32'h3ff73d6f,32'h3f3483ab,32'h3f3be1db, 32'h3f2efd06,32'h3f416880, 32'h3f25c749,32'h3f4a9e3d,// invsqrt(1.9316) = 0.7195 +32'h3e279bab,32'h401b0706,32'h40215ae6, 32'h4016481d,32'h402619cf, 32'h400e5f44,32'h402e02a8,// invsqrt(0.1637) = 2.4717 +32'h3f1e9e5a,32'h3f9f5c22,32'h3fa5dd48, 32'h3f9a7b46,32'h3faabe24, 32'h3f9259d6,32'h3fb2df94,// invsqrt(0.6196) = 1.2704 +32'h4016455b,32'h3f23b9f1,32'h3f2a68b7, 32'h3f1eb6dd,32'h3f2f6bcb, 32'h3f165c65,32'h3f37c643,// invsqrt(2.3480) = 0.6526 +32'h3efd37a7,32'h3fb25efa,32'h3fb9a6c6, 32'h3face922,32'h3fbf1c9e, 32'h3fa3cf63,32'h3fc8365d,// invsqrt(0.4946) = 1.4220 +32'h3f396362,32'h3f9367eb,32'h3f996c29, 32'h3f8ee4bd,32'h3f9def57, 32'h3f875f6f,32'h3fa574a5,// invsqrt(0.7242) = 1.1751 +32'h3f119580,32'h3fa6574a,32'h3fad2162, 32'h3fa13fb8,32'h3fb238f4, 32'h3f98c31a,32'h3fbab592,// invsqrt(0.5687) = 1.3261 +32'h3d9b20fb,32'h4063e3c6,32'h406d30fd, 32'h405ce9dc,32'h40742ae6, 32'h40514957,32'h407fcb6b,// invsqrt(0.0757) = 3.6334 +32'h3f9246db,32'h3f6aaf15,32'h3f74434b, 32'h3f637fee,32'h3f7b7272, 32'h3f5786ab,32'h3f83b5da,// invsqrt(1.1428) = 0.9354 +32'h3fda0d6b,32'h3f40376a,32'h3f480fe2, 32'h3f3a5511,32'h3f4df23b, 32'h3f30867c,32'h3f57c0d0,// invsqrt(1.7035) = 0.7662 +32'h3f1e2aa6,32'h3f9f9661,32'h3fa619e7, 32'h3f9ab3bc,32'h3faafc8c, 32'h3f928f54,32'h3fb320f4,// invsqrt(0.6178) = 1.2722 +32'h3f10f394,32'h3fa6b418,32'h3fad81fa, 32'h3fa199af,32'h3fb29c63, 32'h3f991855,32'h3fbb1dbd,// invsqrt(0.5662) = 1.3290 +32'h3f50faa9,32'h3f8ad638,32'h3f9080ec, 32'h3f869631,32'h3f94c0f3, 32'h3f7f01a0,32'h3f9bd654,// invsqrt(0.8163) = 1.1068 +32'h3ffdfc5b,32'h3f3219db,32'h3f395ed4, 32'h3f2ca61f,32'h3f3ed28f, 32'h3f238fe8,32'h3f47e8c6,// invsqrt(1.9843) = 0.7099 +32'h3eea2d2a,32'h3fb97b23,32'h3fc10d39, 32'h3fb3cd92,32'h3fc6baca, 32'h3faa56f6,32'h3fd03166,// invsqrt(0.4574) = 1.4786 +32'h3f468084,32'h3f8e7422,32'h3f9444a1, 32'h3f8a17c4,32'h3f98a100, 32'h3f82d325,32'h3f9fe59f,// invsqrt(0.7754) = 1.1356 +32'h3f4a63a1,32'h3f8d143a,32'h3f92d65c, 32'h3f88c2a1,32'h3f9727f5, 32'h3f818ff7,32'h3f9e5a9f,// invsqrt(0.7906) = 1.1247 +32'h3f1410cf,32'h3fa4f0eb,32'h3fabac63, 32'h3f9fe452,32'h3fb0b8fc, 32'h3f9779fd,32'h3fb92351,// invsqrt(0.5784) = 1.3149 +32'h3fee3d08,32'h3f37e49a,32'h3f3f6618, 32'h3f32437b,32'h3f450737, 32'h3f28e19d,32'h3f4e6915,// invsqrt(1.8612) = 0.7330 +32'h3e425542,32'h400ff93a,32'h4015d99b, 32'h400b90f2,32'h401a41e4, 32'h4004387a,32'h40219a5c,// invsqrt(0.1898) = 2.2955 +32'h3f9844ae,32'h3f660537,32'h3f6f68b1, 32'h3f5efa9b,32'h3f76734d, 32'h3f533e42,32'h3f8117d3,// invsqrt(1.1896) = 0.9169 +32'h3f344617,32'h3f957b77,32'h3f9b9567, 32'h3f90e803,32'h3fa028db, 32'h3f894797,32'h3fa7c947,// invsqrt(0.7042) = 1.1917 +32'h3e5149f7,32'h400abbe7,32'h40106588, 32'h40067caf,32'h4014a4c1, 32'h3ffed14b,32'h401bb8ca,// invsqrt(0.2044) = 2.2120 +32'h3efa2afd,32'h3fb37471,32'h3fbac790, 32'h3fadf61b,32'h3fc045e7, 32'h3fa4ce34,32'h3fc96dce,// invsqrt(0.4886) = 1.4306 +32'h3f932d1a,32'h3f69f73b,32'h3f7383ef, 32'h3f62cdb4,32'h3f7aad76, 32'h3f56ddd3,32'h3f834eac,// invsqrt(1.1498) = 0.9326 +32'h3f277e30,32'h3f9b14aa,32'h3fa1691a, 32'h3f965557,32'h3fa6286d, 32'h3f8e6bcb,32'h3fae11f9,// invsqrt(0.6543) = 1.2363 +32'h40b3c056,32'h3ed3b4f7,32'h3edc5915, 32'h3ecd39df,32'h3ee2d42d, 32'h3ec26cb9,32'h3eeda153,// invsqrt(5.6172) = 0.4219 +32'h3f63cda7,32'h3f84fa12,32'h3f8a678a, 32'h3f80e7f7,32'h3f8e79a5, 32'h3f743e40,32'h3f95427c,// invsqrt(0.8899) = 1.0601 +32'h3eccfb87,32'h3fc63fee,32'h3fce5770, 32'h3fc02e4d,32'h3fd46911, 32'h3fb610ea,32'h3fde8674,// invsqrt(0.4004) = 1.5804 +32'h3e9c7593,32'h3fe2eb33,32'h3fec2e45, 32'h3fdbf8e6,32'h3ff32092, 32'h3fd06510,32'h3ffeb468,// invsqrt(0.3056) = 1.8090 +32'h3fc769ba,32'h3f48ffcb,32'h3f513407, 32'h3f42d89d,32'h3f575b35, 32'h3f389752,32'h3f619c80,// invsqrt(1.5579) = 0.8012 +32'h3edc7447,32'h3fbf2aa1,32'h3fc6f81f, 32'h3fb95082,32'h3fccd23e, 32'h3faf8fa3,32'h3fd6931d,// invsqrt(0.4306) = 1.5240 +32'h3f75befd,32'h3f8007c2,32'h3f85418b, 32'h3f7838d5,32'h3f892ce2, 32'h3f6b285e,32'h3f8fb51d,// invsqrt(0.9599) = 1.0206 +32'h40116a4c,32'h3f266ffe,32'h3f2d3b18, 32'h3f2157aa,32'h3f32536c, 32'h3f18d9ca,32'h3f3ad14c,// invsqrt(2.2721) = 0.6634 +32'h40d61c61,32'h3ec1fa47,32'h3ec9e525, 32'h3ebc0a20,32'h3ecfd54c, 32'h3eb2248a,32'h3ed9bae2,// invsqrt(6.6910) = 0.3866 +32'h3ede28d6,32'h3fbe6e70,32'h3fc63441, 32'h3fb89a15,32'h3fcc089d, 32'h3faee2d0,32'h3fd5bfe2,// invsqrt(0.4339) = 1.5181 +32'h3ff913a0,32'h3f33d8f9,32'h3f3b3032, 32'h3f2e578e,32'h3f40b19c, 32'h3f252a86,32'h3f49dea4,// invsqrt(1.9459) = 0.7169 +32'h3f4690d9,32'h3f8e6e46,32'h3f943e88, 32'h3f8a1215,32'h3f989ab9, 32'h3f82cdc3,32'h3f9fdf0b,// invsqrt(0.7756) = 1.1354 +32'h40c78278,32'h3ec8f354,32'h3ed1270e, 32'h3ec2cc88,32'h3ed74dda, 32'h3eb88bdf,32'h3ee18e83,// invsqrt(6.2347) = 0.4005 +32'h3f4db655,32'h3f8bef54,32'h3f91a582, 32'h3f87a6b2,32'h3f95ee24, 32'h3f8082fa,32'h3f9d11dc,// invsqrt(0.8036) = 1.1156 +32'h4017af6d,32'h3f22f614,32'h3f299cdc, 32'h3f1df8ff,32'h3f2e99f1, 32'h3f15a886,32'h3f36ea6a,// invsqrt(2.3701) = 0.6496 +32'h3f778121,32'h3f7f263f,32'h3f84c826, 32'h3f7756b6,32'h3f88afeb, 32'h3f6a5225,32'h3f8f3233,// invsqrt(0.9668) = 1.0170 +32'h3e80eb72,32'h3ff9fbc8,32'h400217ed, 32'h3ff254ba,32'h4005eb74, 32'h3fe593a2,32'h400c4c00,// invsqrt(0.2518) = 1.9929 +32'h3fa51244,32'h3f5ceb8a,32'h3f65efee, 32'h3f56283f,32'h3f6cb339, 32'h3f4ae2c3,32'h3f77f8b5,// invsqrt(1.2896) = 0.8806 +32'h3f594b77,32'h3f882781,32'h3f8db62d, 32'h3f83fc7f,32'h3f91e12f, 32'h3f7a1450,32'h3f98d386,// invsqrt(0.8488) = 1.0854 +32'h4157df7e,32'h3e889a18,32'h3e8e2d72, 32'h3e846b95,32'h3e925bf5, 32'h3e7ae6c9,32'h3e995426,// invsqrt(13.4921) = 0.2722 +32'h3f9b2741,32'h3f63df2a,32'h3f6d2c31, 32'h3f5ce565,32'h3f7425f7, 32'h3f51451d,32'h3f7fc63f,// invsqrt(1.2121) = 0.9083 +32'h3e4c749d,32'h400c5d42,32'h401217eb, 32'h40081142,32'h401663ea, 32'h4000e7ed,32'h401d8d3f,// invsqrt(0.1997) = 2.2380 +32'h3f3112da,32'h3f96d3b6,32'h3f9cfbb2, 32'h3f9235b8,32'h3fa199b0, 32'h3f8a83bc,32'h3fa94bac,// invsqrt(0.6917) = 1.2024 +32'h4033fa7c,32'h3f159ada,32'h3f1bb612, 32'h3f110670,32'h3f204a7c, 32'h3f09646a,32'h3f27ec82,// invsqrt(2.8122) = 0.5963 +32'h3f88d8d9,32'h3f72a28a,32'h3f7c89d3, 32'h3f6b3512,32'h3f81fba5, 32'h3f5ed3f6,32'h3f882c33,// invsqrt(1.0691) = 0.9671 +32'h3f71d9d9,32'h3f810ea2,32'h3f865326, 32'h3f7a367e,32'h3f8a4689, 32'h3f6d0b34,32'h3f90dc2e,// invsqrt(0.9447) = 1.0288 +32'h4010f4a8,32'h3f26b37a,32'h3f2d8155, 32'h3f219915,32'h3f329bb9, 32'h3f1917c3,32'h3f3b1d0b,// invsqrt(2.2649) = 0.6645 +32'h3f196975,32'h3fa20aa4,32'h3fa8a7d0, 32'h3f9d14c4,32'h3fad9db0, 32'h3f94d04e,32'h3fb5e226,// invsqrt(0.5993) = 1.2918 +32'h4106a08e,32'h3eacfa3f,32'h3eb409af, 32'h3ea7aeab,32'h3eb95543, 32'h3e9edb5e,32'h3ec22890,// invsqrt(8.4142) = 0.3447 +32'h4032ad6b,32'h3f162609,32'h3f1c46ef, 32'h3f118d5c,32'h3f20df9c, 32'h3f09e43c,32'h3f2888bc,// invsqrt(2.7918) = 0.5985 +32'h3eef7975,32'h3fb76af4,32'h3fbee77c, 32'h3fb1cd8f,32'h3fc484e1, 32'h3fa871e6,32'h3fcde08a,// invsqrt(0.4677) = 1.4622 +32'h3e9e18a8,32'h3fe1bda8,32'h3feaf46b, 32'h3fdad496,32'h3ff1dd7e, 32'h3fcf5023,32'h3ffd61f1,// invsqrt(0.3088) = 1.7996 +32'h409441cd,32'h3ee91c80,32'h3ef2a046, 32'h3ee1f9ab,32'h3ef9c31b, 32'h3ed614f3,32'h3f02d3ea,// invsqrt(4.6330) = 0.4646 +32'h420b0654,32'h3e2a3843,32'h3e312ae3, 32'h3e25024c,32'h3e3660da, 32'h3e1c5304,32'h3e3f1022,// invsqrt(34.7562) = 0.1696 +32'h3f1b1a07,32'h3fa12810,32'h3fa7bbfb, 32'h3f9c391e,32'h3facaaec, 32'h3f940038,32'h3fb4e3d2,// invsqrt(0.6059) = 1.2847 +32'h40f4204f,32'h3eb5a972,32'h3ebd13a0, 32'h3eb019cf,32'h3ec2a343, 32'h3ea6d515,32'h3ecbe7fd,// invsqrt(7.6289) = 0.3620 +32'h403fe9fc,32'h3f10e0ca,32'h3f16ca9e, 32'h3f0c716b,32'h3f1b39fd, 32'h3f050d22,32'h3f229e46,// invsqrt(2.9987) = 0.5775 +32'h3f62aa7e,32'h3f854f5f,32'h3f8ac053, 32'h3f813aa8,32'h3f8ed50a, 32'h3f74daed,32'h3f95a23c,// invsqrt(0.8854) = 1.0627 +32'h3ecb1211,32'h3fc72e4b,32'h3fcf4f87, 32'h3fc1155d,32'h3fd56875, 32'h3fb6ebd2,32'h3fdf9200,// invsqrt(0.3966) = 1.5879 +32'h3e9037ca,32'h3fec5a68,32'h3ff6000e, 32'h3fe51e2b,32'h3ffd3c4b, 32'h3fd90f1c,32'h4004a5ad,// invsqrt(0.2817) = 1.8842 +32'h3f23faea,32'h3f9cbba5,32'h3fa32159, 32'h3f97ef5f,32'h3fa7ed9f, 32'h3f8ff03f,32'h3fafecbf,// invsqrt(0.6405) = 1.2495 +32'h3f1052f8,32'h3fa710c0,32'h3fade26a, 32'h3fa1f381,32'h3fb2ffa9, 32'h3f996d6c,32'h3fbb85be,// invsqrt(0.5638) = 1.3318 +32'h3fcb973b,32'h3f46ed1c,32'h3f4f0bb0, 32'h3f40d62e,32'h3f55229e, 32'h3f36aff5,32'h3f5f48d7,// invsqrt(1.5906) = 0.7929 +32'h4024a114,32'h3f1c6c78,32'h3f22cef0, 32'h3f17a29e,32'h3f2798ca, 32'h3f0fa788,32'h3f2f93e0,// invsqrt(2.5723) = 0.6235 +32'h3f7c2c48,32'h3f7cc6cb,32'h3f838c06, 32'h3f7509da,32'h3f876a7f, 32'h3f682448,32'h3f8ddd48,// invsqrt(0.9851) = 1.0076 +32'h3f29a845,32'h3f9a169d,32'h3fa060ad, 32'h3f955f10,32'h3fa5183a, 32'h3f8d827b,32'h3facf4cf,// invsqrt(0.6627) = 1.2284 +32'h431bb3e4,32'h3da0d85b,32'h3da76906, 32'h3d9bebdb,32'h3dac5587, 32'h3d93b706,32'h3db48a5c,// invsqrt(155.7027) = 0.0801 +32'h3ef39e26,32'h3fb5d9f3,32'h3fbd461c, 32'h3fb048d3,32'h3fc2d73b, 32'h3fa701a0,32'h3fcc1e6e,// invsqrt(0.4758) = 1.4497 +32'h3fa69651,32'h3f5be9a5,32'h3f64e381, 32'h3f552e3f,32'h3f6b9ee7, 32'h3f49f5eb,32'h3f76d73b,// invsqrt(1.3015) = 0.8766 +32'h3fb3fbd4,32'h3f5391f7,32'h3f5c34a8, 32'h3f4d17f1,32'h3f62aead, 32'h3f424c94,32'h3f6d7a0a,// invsqrt(1.4061) = 0.8433 +32'h3e42005f,32'h401018b7,32'h4015fa60, 32'h400baf77,32'h401a639f, 32'h40045564,32'h4021bdb2,// invsqrt(0.1895) = 2.2975 +32'h3f8a0127,32'h3f719d83,32'h3f7b7a25, 32'h3f6a3809,32'h3f816fcf, 32'h3f5de43f,32'h3f8799b5,// invsqrt(1.0782) = 0.9631 +32'h3f119126,32'h3fa659c6,32'h3fad23f8, 32'h3fa14221,32'h3fb23b9d, 32'h3f98c562,32'h3fbab85c,// invsqrt(0.5686) = 1.3261 +32'h3f81a815,32'h3f7945ac,32'h3f81b928, 32'h3f71a431,32'h3f8589e5, 32'h3f64ec65,32'h3f8be5cc,// invsqrt(1.0129) = 0.9936 +32'h3e204697,32'h401e88ad,32'h40250132, 32'h4019ae4a,32'h4029db96, 32'h401197a5,32'h4031f23b,// invsqrt(0.1565) = 2.5276 +32'h3f9befaf,32'h3f634c89,32'h3f6c9394, 32'h3f5c5741,32'h3f7388dd, 32'h3f50be74,32'h3f7f21aa,// invsqrt(1.2183) = 0.9060 +32'h41147f54,32'h3ea4b37f,32'h3eab6c74, 32'h3e9fa8c6,32'h3eb0772c, 32'h3e974193,32'h3eb8de5f,// invsqrt(9.2811) = 0.3282 +32'h3edc12b0,32'h3fbf54ff,32'h3fc72439, 32'h3fb97994,32'h3fccffa4, 32'h3fafb68c,32'h3fd6c2ac,// invsqrt(0.4298) = 1.5253 +32'h3f0cb6d2,32'h3fa931e2,32'h3fb019cc, 32'h3fa403f3,32'h3fb547bb, 32'h3f9b620e,32'h3fbde9a0,// invsqrt(0.5497) = 1.3488 +32'h3f41d46f,32'h3f90290b,32'h3f960b5f, 32'h3f8bbf4c,32'h3f9a751e, 32'h3f846463,32'h3fa1d007,// invsqrt(0.7571) = 1.1492 +32'h3fd99c10,32'h3f406974,32'h3f4843f6, 32'h3f3a8592,32'h3f4e27d8, 32'h3f30b470,32'h3f57f8fa,// invsqrt(1.7001) = 0.7669 +32'h4039fdec,32'h3f132aa1,32'h3f192c5f, 32'h3f0ea953,32'h3f1dadad, 32'h3f072726,32'h3f252fda,// invsqrt(2.9061) = 0.5866 +32'h3ffebf72,32'h3f31d59b,32'h3f3917cb, 32'h3f2c63f7,32'h3f3e896f, 32'h3f23513b,32'h3f479c2b,// invsqrt(1.9902) = 0.7088 +32'h4057c419,32'h3f08a2c4,32'h3f0e3678, 32'h3f0473fc,32'h3f126540, 32'h3efaf6b6,32'h3f195de1,// invsqrt(3.3713) = 0.5446 +32'h4000b36d,32'h3f30ea4e,32'h3f3822e3, 32'h3f2b7fdd,32'h3f3d8d53, 32'h3f227922,32'h3f46940e,// invsqrt(2.0110) = 0.7052 +32'h3f6dec28,32'h3f821e47,32'h3f876de1, 32'h3f7c4526,32'h3f8b6995, 32'h3f6efe24,32'h3f920d16,// invsqrt(0.9294) = 1.0373 +32'h3f6bcfd9,32'h3f82b303,32'h3f8808b0, 32'h3f7d6585,32'h3f8c08f2, 32'h3f700f55,32'h3f92b409,// invsqrt(0.9211) = 1.0419 +32'h3f9b2ba5,32'h3f63dbf1,32'h3f6d28d7, 32'h3f5ce245,32'h3f742283, 32'h3f514227,32'h3f7fc2a1,// invsqrt(1.2123) = 0.9082 +32'h40768daa,32'h3effa41a,32'h3f0509a5, 32'h3ef7d0b6,32'h3f08f357, 32'h3eeac5ba,32'h3f0f78d5,// invsqrt(3.8524) = 0.5095 +32'h3f621c87,32'h3f857932,32'h3f8aebdb, 32'h3f816332,32'h3f8f01da, 32'h3f7527be,32'h3f95d12d,// invsqrt(0.8832) = 1.0640 +32'h3f92c5cd,32'h3f6a4982,32'h3f73d992, 32'h3f631d76,32'h3f7b059e, 32'h3f572963,32'h3f837cd9,// invsqrt(1.1467) = 0.9339 +32'h3f7645bd,32'h3f7fc96c,32'h3f851d12, 32'h3f77f4e4,32'h3f890756, 32'h3f6ae801,32'h3f8f8dc8,// invsqrt(0.9620) = 1.0196 +32'h3f6980a9,32'h3f83580f,32'h3f88b479, 32'h3f7ea581,32'h3f8cb9c7, 32'h3f713e7a,32'h3f936d4b,// invsqrt(0.9121) = 1.0471 +32'h3f230a5a,32'h3f9d2f1b,32'h3fa39985, 32'h3f985f4c,32'h3fa86954, 32'h3f905a48,32'h3fb06e58,// invsqrt(0.6369) = 1.2531 +32'h4032d68e,32'h3f1614c3,32'h3f1c34f5, 32'h3f117c9e,32'h3f20cd1a, 32'h3f09d460,32'h3f287558,// invsqrt(2.7943) = 0.5982 +32'h3f7670eb,32'h3f7fb303,32'h3f851167, 32'h3f77df29,32'h3f88fb53, 32'h3f6ad36a,32'h3f8f8133,// invsqrt(0.9627) = 1.0192 +32'h3f41e67a,32'h3f902255,32'h3f960463, 32'h3f8bb8cb,32'h3f9a6ded, 32'h3f845e39,32'h3fa1c87f,// invsqrt(0.7574) = 1.1490 +32'h3f913984,32'h3f6b8851,32'h3f752564, 32'h3f645283,32'h3f7c5b33, 32'h3f584e2c,32'h3f842fc5,// invsqrt(1.1346) = 0.9388 +32'h3e8d8440,32'h3fee992a,32'h3ff85646, 32'h3fe74b55,32'h3fffa41b, 32'h3fdb1ef2,32'h4005e83f,// invsqrt(0.2764) = 1.9021 +32'h3fbe88e1,32'h3f4da0fb,32'h3f560597, 32'h3f475585,32'h3f5c510d, 32'h3f3cd7c2,32'h3f66ced0,// invsqrt(1.4886) = 0.8196 +32'h3e2d9ca5,32'h401852c3,32'h401e8a62, 32'h4013a90b,32'h40233419, 32'h400be383,32'h402af9a1,// invsqrt(0.1695) = 2.4286 +32'h3fa5fc88,32'h3f5c4f6d,32'h3f654d71, 32'h3f5590e9,32'h3f6c0bf5, 32'h3f4a5364,32'h3f77497a,// invsqrt(1.2968) = 0.8781 +32'h4032423c,32'h3f165326,32'h3f1c75e4, 32'h3f11b918,32'h3f210ff2, 32'h3f0a0dab,32'h3f28bb5f,// invsqrt(2.7853) = 0.5992 +32'h3f7b2ea6,32'h3f7d464a,32'h3f83ce5f, 32'h3f758571,32'h3f87aecc, 32'h3f68995d,32'h3f8e24d5,// invsqrt(0.9812) = 1.0095 +32'h3f3abd5d,32'h3f92df1e,32'h3f98ddc7, 32'h3f8e6020,32'h3f9d5cc6, 32'h3f86e1ce,32'h3fa4db18,// invsqrt(0.7295) = 1.1709 +32'h3eb7170d,32'h3fd1c489,32'h3fda5465, 32'h3fcb58a4,32'h3fe0c04a, 32'h3fc0a4d2,32'h3feb741d,// invsqrt(0.3576) = 1.6723 +32'h3f50e3b0,32'h3f8addda,32'h3f9088de, 32'h3f869d97,32'h3f94c921, 32'h3f7f0fa6,32'h3f9bdee5,// invsqrt(0.8160) = 1.1070 +32'h402dead6,32'h3f183081,32'h3f1e66bb, 32'h3f1387d6,32'h3f230f66, 32'h3f0bc40e,32'h3f2ad32e,// invsqrt(2.7175) = 0.6066 +32'h40bc0d41,32'h3ecefb5c,32'h3ed76e1c, 32'h3ec8a54c,32'h3eddc42c, 32'h3ebe15dc,32'h3ee8539c,// invsqrt(5.8766) = 0.4125 +32'h3f66b4d2,32'h3f842337,32'h3f8987eb, 32'h3f8017b0,32'h3f8d9372, 32'h3f72b39f,32'h3f945153,// invsqrt(0.9012) = 1.0534 +32'h3ecdc693,32'h3fc5de07,32'h3fcdf189, 32'h3fbfcf64,32'h3fd4002c, 32'h3fb5b701,32'h3fde188f,// invsqrt(0.4019) = 1.5774 +32'h3f83a9e7,32'h3f775d68,32'h3f80bb0f, 32'h3f6fcae0,32'h3f848453, 32'h3f632bfc,32'h3f8ad3c5,// invsqrt(1.0286) = 0.9860 +32'h404c199b,32'h3f0c7c89,32'h3f12387a, 32'h3f082f95,32'h3f16856f, 32'h3f0104a8,32'h3f1db05c,// invsqrt(3.1891) = 0.5600 +32'h3f796d93,32'h3f7e29e3,32'h3f8444d2, 32'h3f766214,32'h3f8828ba, 32'h3f696a64,32'h3f8ea492,// invsqrt(0.9743) = 1.0131 +32'h3eda7e25,32'h3fc005ce,32'h3fc7dc3e, 32'h3fba24f9,32'h3fcdbd13, 32'h3fb058ec,32'h3fd78920,// invsqrt(0.4267) = 1.5308 +32'h3eacc86c,32'h3fd7ef0e,32'h3fe0bf58, 32'h3fd152d7,32'h3fe75b8f, 32'h3fc64e7b,32'h3ff25feb,// invsqrt(0.3375) = 1.7214 +32'h3f056662,32'h3fadc578,32'h3fb4dd34, 32'h3fa873ab,32'h3fba2f01, 32'h3f9f9601,32'h3fc30cab,// invsqrt(0.5211) = 1.3853 +32'h3e8c2318,32'h3fefc512,32'h3ff98e6c, 32'h3fe86e0f,32'h400072b7, 32'h3fdc325f,32'h4006908f,// invsqrt(0.2737) = 1.9114 +32'h3fd42bed,32'h3f42dcb4,32'h3f4ad0d0, 32'h3f3ce59f,32'h3f50c7e5, 32'h3f32f47b,32'h3f5ab909,// invsqrt(1.6576) = 0.7767 +32'h3e89f5b2,32'h3ff1a78b,32'h3ffb8496, 32'h3fea41c3,32'h4001752f, 32'h3fdded76,32'h40079f56,// invsqrt(0.2695) = 1.9265 +32'h411b5784,32'h3ea10827,32'h3ea79ac5, 32'h3e9c1a30,32'h3eac88bc, 32'h3e93e2ea,32'h3eb4c002,// invsqrt(9.7089) = 0.3209 +32'h3eec3b3c,32'h3fb8ac29,32'h3fc035cd, 32'h3fb304ee,32'h3fc5dd08, 32'h3fa998e2,32'h3fcf4914,// invsqrt(0.4614) = 1.4722 +32'h3e8bc79b,32'h3ff0137c,32'h3ff9e009, 32'h3fe8ba13,32'h40009cba, 32'h3fdc7a63,32'h4006bc92,// invsqrt(0.2730) = 1.9139 +32'h3e2753b4,32'h401b2859,32'h40217d96, 32'h4016686b,32'h40263d83, 32'h400e7dde,32'h402e2810,// invsqrt(0.1634) = 2.4738 +32'h3fc7cdd6,32'h3f48cd6a,32'h3f50ff98, 32'h3f42a7c7,32'h3f57253b, 32'h3f38690e,32'h3f6163f4,// invsqrt(1.5610) = 0.8004 +32'h3ed1776d,32'h3fc41dc7,32'h3fcc1eff, 32'h3fbe1cde,32'h3fd21fe8, 32'h3fb41b59,32'h3fdc216d,// invsqrt(0.4091) = 1.5634 +32'h40af9061,32'h3ed63779,32'h3edef5d2, 32'h3ecfa8b7,32'h3ee58495, 32'h3ec4bac9,32'h3ef07283,// invsqrt(5.4864) = 0.4269 +32'h3f14bc22,32'h3fa491d1,32'h3fab4967, 32'h3f9f8821,32'h3fb05317, 32'h3f9722a6,32'h3fb8b892,// invsqrt(0.5810) = 1.3119 +32'h3f1ca97d,32'h3fa05a16,32'h3fa6e59a, 32'h3f9b7174,32'h3fabce3c, 32'h3f93430f,32'h3fb3fca1,// invsqrt(0.6120) = 1.2783 +32'h3f0b473b,32'h3faa1096,32'h3fb10196, 32'h3fa4dbd5,32'h3fb63657, 32'h3f9c2e94,32'h3fbee398,// invsqrt(0.5441) = 1.3557 +32'h3e65ef44,32'h40045bef,32'h4009c2f3, 32'h40004eab,32'h400dd037, 32'h3ff31bcb,32'h401490fc,// invsqrt(0.2245) = 2.1103 +32'h412593b4,32'h3e9bf9b3,32'h3ea2577b, 32'h3e97335c,32'h3ea71dd2, 32'h3e8f3e22,32'h3eaf130c,// invsqrt(10.3486) = 0.3109 +32'h3ff3be68,32'h3f35cdea,32'h3f3d3995, 32'h3f303d29,32'h3f42ca55, 32'h3f26f692,32'h3f4c10ec,// invsqrt(1.9042) = 0.7247 +32'h3fa3f1c9,32'h3f5dad93,32'h3f66b9e2, 32'h3f56e457,32'h3f6d831d, 32'h3f4b94f4,32'h3f78d280,// invsqrt(1.2808) = 0.8836 +32'h3f4c9356,32'h3f8c52b7,32'h3f920cf3, 32'h3f88070a,32'h3f9658a0, 32'h3f80de40,32'h3f9d816a,// invsqrt(0.7991) = 1.1186 +32'h3eff7e15,32'h3fb19336,32'h3fb8d2b1, 32'h3fac239b,32'h3fbe424d, 32'h3fa31442,32'h3fc751a6,// invsqrt(0.4990) = 1.4156 +32'h4103e46b,32'h3eaec302,32'h3eb5e516, 32'h3ea96972,32'h3ebb3ea6, 32'h3ea07ed8,32'h3ec42940,// invsqrt(8.2433) = 0.3483 +32'h400bcb3c,32'h3f29c037,32'h3f30adf1, 32'h3f248ded,32'h3f35e03b, 32'h3f1be4c5,32'h3f3e8963,// invsqrt(2.1843) = 0.6766 +32'h3f6ff3c8,32'h3f819117,32'h3f86daef, 32'h3f7b336c,32'h3f8ad250, 32'h3f6dfad2,32'h3f916e9d,// invsqrt(0.9373) = 1.0329 +32'h3ee09d07,32'h3fbd6369,32'h3fc51e53, 32'h3fb79739,32'h3fcaea83, 32'h3faded95,32'h3fd49427,// invsqrt(0.4387) = 1.5098 +32'h3fcfe9b1,32'h3f44d903,32'h3f4ce1df, 32'h3f3ed25f,32'h3f52e883, 32'h3f34c74c,32'h3f5cf396,// invsqrt(1.6243) = 0.7846 +32'h3dd5cb10,32'h40421f27,32'h404a0b87, 32'h403c2ddf,32'h404ffccf, 32'h40324668,32'h4059e446,// invsqrt(0.1044) = 3.0951 +32'h3da0b801,32'h405fe43e,32'h406907ae, 32'h405909aa,32'h406fe242, 32'h404d9d5d,32'h407b4e8f,// invsqrt(0.0785) = 3.5697 +32'h402beced,32'h3f19118b,32'h3f1f50f3, 32'h3f1461fc,32'h3f240082, 32'h3f0c92b9,32'h3f2bcfc5,// invsqrt(2.6863) = 0.6101 +32'h3f717839,32'h3f8128b6,32'h3f866e4b, 32'h3f7a690f,32'h3f8a627b, 32'h3f6d3b1b,32'h3f90f974,// invsqrt(0.9432) = 1.0296 +32'h3f325bf5,32'h3f96484f,32'h3f9c6a9b, 32'h3f91ae96,32'h3fa10454, 32'h3f8a03b6,32'h3fa8af34,// invsqrt(0.6967) = 1.1980 +32'h3eb98a31,32'h3fd060d8,32'h3fd8e22f, 32'h3fc9ffd6,32'h3fdf4330, 32'h3fbf5e29,32'h3fe9e4dd,// invsqrt(0.3624) = 1.6612 +32'h3fbdc16b,32'h3f4e0cf1,32'h3f5675f6, 32'h3f47be2e,32'h3f5cc4ba, 32'h3f3d3ae8,32'h3f674800,// invsqrt(1.4825) = 0.8213 +32'h3fa4d167,32'h3f5d16fe,32'h3f661d28, 32'h3f56525f,32'h3f6ce1c7, 32'h3f4b0aab,32'h3f78297b,// invsqrt(1.2876) = 0.8813 +32'h3f04b495,32'h3fae39bb,32'h3fb55635, 32'h3fa8e45f,32'h3fbaab91, 32'h3fa000c6,32'h3fc38f2a,// invsqrt(0.5184) = 1.3889 +32'h40dddcac,32'h3ebe8f1d,32'h3ec65643, 32'h3eb8b9c1,32'h3ecc2b9f, 32'h3eaf00d2,32'h3ed5e48e,// invsqrt(6.9332) = 0.3798 +32'h3cffb55c,32'h40b18004,32'h40b8beb6, 32'h40ac10ff,32'h40be2dbb, 32'h40a302a0,32'h40c73c1a,// invsqrt(0.0312) = 5.6601 +32'h3fa0acb8,32'h3f5fec1a,32'h3f690fdc, 32'h3f591148,32'h3f6feaae, 32'h3f4da495,32'h3f7b5761,// invsqrt(1.2553) = 0.8925 +32'h3fb9fe2b,32'h3f501fd6,32'h3f589e86, 32'h3f49c0d2,32'h3f5efd8a, 32'h3f3f2276,32'h3f699be6,// invsqrt(1.4531) = 0.8296 +32'h3f83e5b6,32'h3f77254d,32'h3f809ddc, 32'h3f6f947c,32'h3f846644, 32'h3f62f875,32'h3f8ab448,// invsqrt(1.0304) = 0.9851 +32'h3f124248,32'h3fa5f4ec,32'h3facbb00, 32'h3fa0e05d,32'h3fb1cf8f, 32'h3f9868c4,32'h3fba4728,// invsqrt(0.5713) = 1.3230 +32'h3fb0b0b7,32'h3f558868,32'h3f5e3f9c, 32'h3f4eff02,32'h3f64c902, 32'h3f441a02,32'h3f6fae02,// invsqrt(1.3804) = 0.8511 +32'h3f66ab63,32'h3f8425eb,32'h3f898abb, 32'h3f801a4f,32'h3f8d9657, 32'h3f72b895,32'h3f94545b,// invsqrt(0.9011) = 1.0535 +32'h3f2961f8,32'h3f9a3693,32'h3fa081f2, 32'h3f957e0c,32'h3fa53a7a, 32'h3f8d9fd6,32'h3fad18b0,// invsqrt(0.6617) = 1.2294 +32'h3fcb6be1,32'h3f47024d,32'h3f4f21be, 32'h3f40eab9,32'h3f553953, 32'h3f36c36c,32'h3f5f60a0,// invsqrt(1.5892) = 0.7932 +32'h40b3c82e,32'h3ed3b058,32'h3edc5447, 32'h3ecd3566,32'h3ee2cf3a, 32'h3ec2687b,32'h3eed9c25,// invsqrt(5.6182) = 0.4219 +32'h3ea6e02d,32'h3fdbb8f4,32'h3fe4b0d5, 32'h3fd4ff0d,32'h3feb6abd, 32'h3fc9c934,32'h3ff6a096,// invsqrt(0.3259) = 1.7516 +32'h3f9e142e,32'h3f61c0db,32'h3f6af7bf, 32'h3f5ad7b0,32'h3f71e0ea, 32'h3f4f5312,32'h3f7d6588,// invsqrt(1.2350) = 0.8998 +32'h40793a8a,32'h3efe43e8,32'h3f04525c, 32'h3ef67b4c,32'h3f0836aa, 32'h3ee98248,32'h3f0eb32c,// invsqrt(3.8942) = 0.5067 +32'h3f26459f,32'h3f9ba629,32'h3fa20089, 32'h3f96e261,32'h3fa6c451, 32'h3f8ef16a,32'h3faeb548,// invsqrt(0.6495) = 1.2408 +32'h402f534a,32'h3f1793bf,32'h3f1dc392, 32'h3f12efe0,32'h3f226770, 32'h3f0b3417,32'h3f2a2339,// invsqrt(2.7395) = 0.6042 +32'h401bd7e2,32'h3f20c5c8,32'h3f2755b0, 32'h3f1bd9d9,32'h3f2c419f, 32'h3f13a5f6,32'h3f347582,// invsqrt(2.4351) = 0.6408 +32'h40023ef3,32'h3f2fdce1,32'h3f370a77, 32'h3f2a7ab0,32'h3f3c6ca8, 32'h3f2181b4,32'h3f4565a4,// invsqrt(2.0351) = 0.7010 +32'h3f896cce,32'h3f721fc9,32'h3f7c01bc, 32'h3f6ab652,32'h3f81b599, 32'h3f5e5be2,32'h3f87e2d1,// invsqrt(1.0736) = 0.9651 +32'h3f490a94,32'h3f8d8d17,32'h3f935428, 32'h3f8937cb,32'h3f97a975, 32'h3f81fef6,32'h3f9ee24a,// invsqrt(0.7853) = 1.1284 +32'h418709af,32'h3e744142,32'h3e7e3978, 32'h3e6cc718,32'h3e82d9d1, 32'h3e6050d4,32'h3e8914f3,// invsqrt(16.8797) = 0.2434 +32'h4090be19,32'h3eebeca6,32'h3ef58dd2, 32'h3ee4b3c6,32'h3efcc6b2, 32'h3ed8aa50,32'h3f046814,// invsqrt(4.5232) = 0.4702 +32'h3e5132e7,32'h400ac38d,32'h40106d7d, 32'h40068418,32'h4014acf2, 32'h3ffedf56,32'h401bc15f,// invsqrt(0.2043) = 2.2124 +32'h3f2e90ff,32'h3f97e802,32'h3f9e1b46, 32'h3f93418f,32'h3fa2c1b9, 32'h3f8b817a,32'h3faa81ce,// invsqrt(0.6819) = 1.2110 +32'h3f8a6b75,32'h3f7140aa,32'h3f7b1982, 32'h3f69de08,32'h3f813e12, 32'h3f5d8efa,32'h3f876599,// invsqrt(1.0814) = 0.9616 +32'h3ef35c6c,32'h3fb5f27f,32'h3fbd5fa9, 32'h3fb060a0,32'h3fc2f188, 32'h3fa7182c,32'h3fcc39fc,// invsqrt(0.4753) = 1.4505 +32'h40530ae3,32'h3f0a280a,32'h3f0fcba2, 32'h3f05ed58,32'h3f140654, 32'h3efdc1b4,32'h3f1b12d2,// invsqrt(3.2975) = 0.5507 +32'h402f8cf0,32'h3f177ad9,32'h3f1da9a9, 32'h3f12d7be,32'h3f224cc4, 32'h3f0b1d3a,32'h3f2a0748,// invsqrt(2.7430) = 0.6038 +32'h3fba03fc,32'h3f501c95,32'h3f589b23, 32'h3f49bdab,32'h3f5efa0d, 32'h3f3f1f79,32'h3f69983f,// invsqrt(1.4532) = 0.8295 +32'h409429f0,32'h3ee92f45,32'h3ef2b3cf, 32'h3ee20bdd,32'h3ef9d737, 32'h3ed6262f,32'h3f02de72,// invsqrt(4.6301) = 0.4647 +32'h3f50b532,32'h3f8aed51,32'h3f9098f6, 32'h3f86ac94,32'h3f94d9b2, 32'h3f7f2c0c,32'h3f9bf040,// invsqrt(0.8153) = 1.1075 +32'h3f370e8d,32'h3f945775,32'h3f9a6579, 32'h3f8fccf1,32'h3f9eeffd, 32'h3f883b6b,32'h3fa68183,// invsqrt(0.7151) = 1.1826 +32'h3ee32e08,32'h3fbc50c7,32'h3fc4007c, 32'h3fb68d00,32'h3fc9c444, 32'h3facf15f,32'h3fd35fe5,// invsqrt(0.4437) = 1.5012 +32'h3fd69732,32'h3f41c2bd,32'h3f49ab57, 32'h3f3bd44a,32'h3f4f99ca, 32'h3f31f189,32'h3f597c8b,// invsqrt(1.6765) = 0.7723 +32'h3fb5885c,32'h3f52aa65,32'h3f5b43a3, 32'h3f4c3777,32'h3f61b691, 32'h3f4177ea,32'h3f6c761e,// invsqrt(1.4182) = 0.8397 +32'h40e6e550,32'h3ebacb47,32'h3ec26b16, 32'h3eb5136d,32'h3ec822f1, 32'h3eab8baa,32'h3ed1aab4,// invsqrt(7.2155) = 0.3723 +32'h405744ec,32'h3f08cb1a,32'h3f0e6074, 32'h3f049b17,32'h3f129077, 32'h3efb40cd,32'h3f198b28,// invsqrt(3.3636) = 0.5453 +32'h40da7c37,32'h3ec006a7,32'h3ec7dd21, 32'h3eba25cc,32'h3ecdbdfc, 32'h3eb059b4,32'h3ed78a14,// invsqrt(6.8277) = 0.3827 +32'h409236b2,32'h3eeabc0d,32'h3ef450c9, 32'h3ee38c7f,32'h3efb8057, 32'h3ed79294,32'h3f03bd21,// invsqrt(4.5692) = 0.4678 +32'h40039152,32'h3f2efa2a,32'h3f361e7f, 32'h3f299ee9,32'h3f3b79bf, 32'h3f20b17f,32'h3f446729,// invsqrt(2.0557) = 0.6975 +32'h3f0f67e4,32'h3fa79977,32'h3fae70b5, 32'h3fa27808,32'h3fb39224, 32'h3f99eafa,32'h3fbc1f32,// invsqrt(0.5602) = 1.3361 +32'h3f9fd04f,32'h3f60864f,32'h3f69b05d, 32'h3f59a6c5,32'h3f708fe7, 32'h3f4e3234,32'h3f7c0478,// invsqrt(1.2485) = 0.8949 +32'h41a9eeef,32'h3e59bc9c,32'h3e629fbc, 32'h3e531243,32'h3e694a15, 32'h3e47f65b,32'h3e7465fd,// invsqrt(21.2417) = 0.2170 +32'h3fc7d711,32'h3f48c8c6,32'h3f50fac4, 32'h3f42a348,32'h3f572042, 32'h3f3864cb,32'h3f615ebf,// invsqrt(1.5613) = 0.8003 +32'h3f96ca86,32'h3f6724f0,32'h3f709428, 32'h3f601185,32'h3f77a793, 32'h3f54467e,32'h3f81b94d,// invsqrt(1.1781) = 0.9213 +32'h3dd59128,32'h40423977,32'h404a26e9, 32'h403c4761,32'h405018ff, 32'h40325e92,32'h405a01ce,// invsqrt(0.1043) = 3.0967 +32'h3ef72be8,32'h3fb48a11,32'h3fbbe885, 32'h3faf033a,32'h3fc16f5c, 32'h3fa5cd2a,32'h3fcaa56c,// invsqrt(0.4828) = 1.4392 +32'h3f4b792d,32'h3f8cb3e1,32'h3f927213, 32'h3f88653a,32'h3f96c0ba, 32'h3f81377b,32'h3f9dee79,// invsqrt(0.7948) = 1.1217 +32'h3eea1450,32'h3fb984fc,32'h3fc11779, 32'h3fb3d71e,32'h3fc6c556, 32'h3faa6001,32'h3fd03c73,// invsqrt(0.4572) = 1.4789 +32'h3fde5278,32'h3f3e5c9b,32'h3f4621b1, 32'h3f3888ca,32'h3f4bf582, 32'h3f2ed26f,32'h3f55abdd,// invsqrt(1.7369) = 0.7588 +32'h3f699f12,32'h3f834f83,32'h3f88ab93, 32'h3f7e94ee,32'h3f8cb09f, 32'h3f712ec7,32'h3f9363b2,// invsqrt(0.9126) = 1.0468 +32'h3fb29bf1,32'h3f5461fa,32'h3f5d0d28, 32'h3f4de197,32'h3f638d8b, 32'h3f430b9c,32'h3f6e6386,// invsqrt(1.3954) = 0.8466 +32'h3f3914e1,32'h3f93872b,32'h3f998caf, 32'h3f8f0308,32'h3f9e10d2, 32'h3f877c22,32'h3fa597b8,// invsqrt(0.7230) = 1.1761 +32'h3df485af,32'h403583c5,32'h403cec6a, 32'h402ff54a,32'h40427ae6, 32'h4026b27c,32'h404bbdb4,// invsqrt(0.1194) = 2.8940 +32'h3f1b26fd,32'h3fa12154,32'h3fa7b4fa, 32'h3f9c3298,32'h3faca3b6, 32'h3f93fa0a,32'h3fb4dc45,// invsqrt(0.6061) = 1.2845 +32'h3f84881c,32'h3f768db2,32'h3f804ef7, 32'h3f6f0186,32'h3f84150d, 32'h3f626d3b,32'h3f8a5f32,// invsqrt(1.0354) = 0.9828 +32'h3f270db2,32'h3f9b48d8,32'h3fa19f68, 32'h3f9687eb,32'h3fa66055, 32'h3f8e9bb6,32'h3fae4c8a,// invsqrt(0.6526) = 1.2379 +32'h401b6b04,32'h3f20fe0d,32'h3f279041, 32'h3f1c1065,32'h3f2c7de9, 32'h3f13d9a3,32'h3f34b4ab,// invsqrt(2.4284) = 0.6417 +32'h3fb618c1,32'h3f5256cf,32'h3f5aeca3, 32'h3f4be670,32'h3f615d02, 32'h3f412b26,32'h3f6c184c,// invsqrt(1.4226) = 0.8384 +32'h3ecf986a,32'h3fc4ff88,32'h3fcd09f6, 32'h3fbef7b5,32'h3fd311c9, 32'h3fb4eaac,32'h3fdd1ed2,// invsqrt(0.4055) = 1.5705 +32'h3e2b347e,32'h401963e7,32'h401fa6ad, 32'h4014b1d3,32'h402458c1, 32'h400cde5c,32'h402c2c38,// invsqrt(0.1672) = 2.4456 +32'h3f8b1909,32'h3f70a9f4,32'h3f7a7ca5, 32'h3f694bef,32'h3f80ed55, 32'h3f5d0491,32'h3f871103,// invsqrt(1.0867) = 0.9593 +32'h3f906fd6,32'h3f6c2c89,32'h3f75d04f, 32'h3f64f1b3,32'h3f7d0b25, 32'h3f58e4fb,32'h3f848bef,// invsqrt(1.1284) = 0.9414 +32'h3f52aa95,32'h3f8a479b,32'h3f8fec7d, 32'h3f860bf2,32'h3f942826, 32'h3f7dfbaf,32'h3f9b3640,// invsqrt(0.8229) = 1.1024 +32'h43350000,32'h3d952e9f,32'h3d9b456c, 32'h3d909d85,32'h3d9fd685, 32'h3d890104,32'h3da77306,// invsqrt(181.0000) = 0.0743 +32'h3f8e3761,32'h3f6e02b7,32'h3f77b9af, 32'h3f66b97d,32'h3f7f02e9, 32'h3f5a94c8,32'h3f8593cf,// invsqrt(1.1111) = 0.9487 +32'h3f999339,32'h3f650a25,32'h3f6e6360, 32'h3f5e0739,32'h3f75664d, 32'h3f5257b0,32'h3f808aeb,// invsqrt(1.1998) = 0.9129 +32'h3f2f684c,32'h3f978aab,32'h3f9dba1f, 32'h3f92e713,32'h3fa25db7, 32'h3f8b2bc1,32'h3faa1909,// invsqrt(0.6852) = 1.2081 +32'h3faf1eeb,32'h3f567cd3,32'h3f5f3e01, 32'h3f4febf2,32'h3f65cee2, 32'h3f44fa79,32'h3f70c05b,// invsqrt(1.3681) = 0.8549 +32'h3fe62d9b,32'h3f3b15c3,32'h3f42b89b, 32'h3f355ba0,32'h3f4872be, 32'h3f2bd011,32'h3f51fe4d,// invsqrt(1.7983) = 0.7457 +32'h3fb29eb6,32'h3f546054,32'h3f5d0b72, 32'h3f4ddffe,32'h3f638bc8, 32'h3f430a19,32'h3f6e61ad,// invsqrt(1.3955) = 0.8465 +32'h3f7756d8,32'h3f7f3c0e,32'h3f84d37f, 32'h3f776bd9,32'h3f88bb9a, 32'h3f6a662c,32'h3f8f3e70,// invsqrt(0.9662) = 1.0174 +32'h401908a2,32'h3f223ddf,32'h3f28dd22, 32'h3f1d466e,32'h3f2dd494, 32'h3f14ff5a,32'h3f361ba8,// invsqrt(2.3912) = 0.6467 +32'h3e6ddeb4,32'h400221f5,32'h400771b5, 32'h3ffc4c48,32'h400b6d86, 32'h3fef04e6,32'h40121137,// invsqrt(0.2323) = 2.0748 +32'h3f256112,32'h3f9c1192,32'h3fa27054, 32'h3f974a80,32'h3fa73766, 32'h3f8f540e,32'h3faf2dd8,// invsqrt(0.6460) = 1.2442 +32'h3da4c72b,32'h405d1ddc,32'h4066244d, 32'h40565906,32'h406ce922, 32'h404b10f8,32'h40783130,// invsqrt(0.0805) = 3.5255 +32'h3f430e69,32'h3f8fb4d5,32'h3f95926b, 32'h3f8b4ea5,32'h3f99f89b, 32'h3f83f9aa,32'h3fa14d96,// invsqrt(0.7619) = 1.1456 +32'h3f7cc5cf,32'h3f7c79fb,32'h3f83640e, 32'h3f74bf65,32'h3f87415a, 32'h3f67ddbe,32'h3f8db22d,// invsqrt(0.9874) = 1.0064 +32'h4009caf6,32'h3f2afa9f,32'h3f31f52d, 32'h3f25beb4,32'h3f373118, 32'h3f1d0582,32'h3f3fea4a,// invsqrt(2.1530) = 0.6815 +32'h3f4bbcb1,32'h3f8c9c8f,32'h3f9259ce, 32'h3f884e9f,32'h3f96a7bd, 32'h3f812210,32'h3f9dd44c,// invsqrt(0.7958) = 1.1209 +32'h3d91340c,32'h406b8cc1,32'h40752a02, 32'h406456cf,32'h407c5ff3, 32'h4058523e,32'h40843242,// invsqrt(0.0709) = 3.7556 +32'h41289490,32'h3e9a946a,32'h3ea0e39e, 32'h3e95d904,32'h3ea59f04, 32'h3e8df604,32'h3ead8204,// invsqrt(10.5363) = 0.3081 +32'h4085cfb8,32'h3ef55f28,32'h3eff630a, 32'h3eeddc3e,32'h3f0372fa, 32'h3ee15763,32'h3f09b567,// invsqrt(4.1816) = 0.4890 +32'h3f84cb54,32'h3f764f44,32'h3f802e79, 32'h3f6ec500,32'h3f83f39b, 32'h3f6233e5,32'h3f8a3c28,// invsqrt(1.0375) = 0.9818 +32'h406d6a6b,32'h3f0241d0,32'h3f0792dd, 32'h3efc8a0a,32'h3f0b8fa7, 32'h3eef3f68,32'h3f1234f8,// invsqrt(3.7096) = 0.5192 +32'h3f0a4a5e,32'h3faaabca,32'h3fb1a320, 32'h3fa57249,32'h3fb6dca1, 32'h3f9cbd1c,32'h3fbf91ce,// invsqrt(0.5402) = 1.3606 +32'h3d90ddbb,32'h406bd2e3,32'h40757301, 32'h40649acc,32'h407cab18, 32'h405892a7,32'h4084599f,// invsqrt(0.0707) = 3.7599 +32'h3f8c685e,32'h3f6f89e5,32'h3f7950d4, 32'h3f6834b1,32'h3f805304, 32'h3f5bfc06,32'h3f866f59,// invsqrt(1.0969) = 0.9548 +32'h3f49c71a,32'h3f8d4ae7,32'h3f930f45, 32'h3f88f7a2,32'h3f97628a, 32'h3f81c22d,32'h3f9e97ff,// invsqrt(0.7882) = 1.1264 +32'h3f544631,32'h3f89c149,32'h3f8f60af, 32'h3f8589bc,32'h3f93983c, 32'h3f7d04f9,32'h3f9a9f7c,// invsqrt(0.8292) = 1.0982 +32'h40514fcb,32'h3f0ab9f9,32'h3f106385, 32'h3f067acf,32'h3f14a2af, 32'h3efecdbe,32'h3f1bb69f,// invsqrt(3.2705) = 0.5530 +32'h3e74e6d3,32'h40004036,32'h40057c4e, 32'h3ff8a64a,32'h4009695f, 32'h3feb9010,32'h400ff47c,// invsqrt(0.2392) = 2.0448 +32'h40a2888b,32'h3edea364,32'h3ee7b9bb, 32'h3ed7d2a1,32'h3eee8a7d, 32'h3ecc76b4,32'h3ef9e66a,// invsqrt(5.0792) = 0.4437 +32'h3f984985,32'h3f66018f,32'h3f6f64e4, 32'h3f5ef711,32'h3f766f63, 32'h3f533ae7,32'h3f8115c6,// invsqrt(1.1897) = 0.9168 +32'h3efa398e,32'h3fb36f38,32'h3fbac220, 32'h3fadf10a,32'h3fc0404e, 32'h3fa4c968,32'h3fc967f0,// invsqrt(0.4887) = 1.4304 +32'h404d1ae7,32'h3f0c2450,32'h3f11dca6, 32'h3f07da0e,32'h3f1626e8, 32'h3f00b3a2,32'h3f1d4d54,// invsqrt(3.2048) = 0.5586 +32'h3ed28460,32'h3fc3a058,32'h3fcb9c71, 32'h3fbda346,32'h3fd19984, 32'h3fb3a828,32'h3fdb94a2,// invsqrt(0.4112) = 1.5595 +32'h3f0e9557,32'h3fa81508,32'h3faef152, 32'h3fa2efd1,32'h3fb41689, 32'h3f9a5c75,32'h3fbca9e5,// invsqrt(0.5570) = 1.3399 +32'h3d870224,32'h40744815,32'h407e4093, 32'h406ccdb6,32'h4082dd79, 32'h40605718,32'h408918c8,// invsqrt(0.0659) = 3.8948 +32'h3e8351dc,32'h3ff7b047,32'h4000e62f, 32'h3ff01b35,32'h4004b0b8, 32'h3fe37818,32'h400b0247,// invsqrt(0.2565) = 1.9746 +32'h3f6dbb33,32'h3f822bac,32'h3f877bd2, 32'h3f7c5f1e,32'h3f8b77ef, 32'h3f6f16be,32'h3f921c1f,// invsqrt(0.9286) = 1.0377 +32'h3f0d8b1b,32'h3fa8b2d2,32'h3faf958c, 32'h3fa388c6,32'h3fb4bf98, 32'h3f9aed5e,32'h3fbd5b01,// invsqrt(0.5529) = 1.3449 +32'h411dcc55,32'h3e9fc60b,32'h3ea64b84, 32'h3e9ae1f1,32'h3eab2f9f, 32'h3e92bb1a,32'h3eb35676,// invsqrt(9.8624) = 0.3184 +32'h42913704,32'h3deb8a58,32'h3df52780, 32'h3de4547a,32'h3dfc5d5e, 32'h3dd85008,32'h3e0430e8,// invsqrt(72.6075) = 0.1174 +32'h3feda48c,32'h3f381f90,32'h3f3fa376, 32'h3f327ca3,32'h3f454663, 32'h3f2917c3,32'h3f4eab43,// invsqrt(1.8566) = 0.7339 +32'h410144d7,32'h3eb086b0,32'h3eb7bb35, 32'h3eab1f4d,32'h3ebd2299, 32'h3ea21da8,32'h3ec6243f,// invsqrt(8.0793) = 0.3518 +32'h403eeaa7,32'h3f11418b,32'h3f172f52, 32'h3f0ccf36,32'h3f1ba1a8, 32'h3f0565fe,32'h3f230ae0,// invsqrt(2.9831) = 0.5790 +32'h3ee3c286,32'h3fbc135a,32'h3fc3c08c, 32'h3fb65174,32'h3fc98272, 32'h3facb8f4,32'h3fd31af2,// invsqrt(0.4448) = 1.4993 +32'h3f5f15fc,32'h3f86601f,32'h3f8bdc35, 32'h3f82430e,32'h3f8ff946, 32'h3f76cfe5,32'h3f96d461,// invsqrt(0.8714) = 1.0712 +32'h3c9425b9,32'h40e93296,32'h40f2b744, 32'h40e20f14,32'h40f9dac6, 32'h40d6293c,32'h4102e04f,// invsqrt(0.0181) = 7.4361 +32'h3f3088f1,32'h3f970e94,32'h3f9d38f8, 32'h3f926ec9,32'h3fa1d8c3, 32'h3f8ab9cc,32'h3fa98dc0,// invsqrt(0.6896) = 1.2042 +32'h3fcc4705,32'h3f469772,32'h3f4eb286, 32'h3f408323,32'h3f54c6d5, 32'h3f366149,32'h3f5ee8af,// invsqrt(1.5959) = 0.7916 +32'h3f3d209f,32'h3f91f105,32'h3f97e5f6, 32'h3f8d7951,32'h3f9c5dab, 32'h3f860725,32'h3fa3cfd7,// invsqrt(0.7388) = 1.1634 +32'h3fa1af71,32'h3f5f38aa,32'h3f68551a, 32'h3f586357,32'h3f6f2a6d, 32'h3f4cffcb,32'h3f7a8df9,// invsqrt(1.2632) = 0.8898 +32'h3e8a54d3,32'h3ff15466,32'h3ffb2e0c, 32'h3fe9f129,32'h400148a4, 32'h3fdda11a,32'h400770ac,// invsqrt(0.2702) = 1.9239 +32'h3e63038c,32'h40053536,32'h400aa519, 32'h4001214c,32'h400eb904, 32'h3ff4aae2,32'h401584df,// invsqrt(0.2217) = 2.1238 +32'h40b656e3,32'h3ed232f5,32'h3edac753, 32'h3ecbc3af,32'h3ee13699, 32'h3ec10a3a,32'h3eebf00e,// invsqrt(5.6981) = 0.4189 +32'h3ef5a92a,32'h3fb517f6,32'h3fbc7c34, 32'h3faf8cc7,32'h3fc20763, 32'h3fa64f79,32'h3fcb44b1,// invsqrt(0.4798) = 1.4437 +32'h3ec6251d,32'h3fc9a42c,32'h3fd1df1f, 32'h3fc377f7,32'h3fd80b55, 32'h3fb92e49,32'h3fe25503,// invsqrt(0.3870) = 1.6075 +32'h402e1cbb,32'h3f181ab1,32'h3f1e5007, 32'h3f1372b1,32'h3f22f807, 32'h3f0bb006,32'h3f2abab2,// invsqrt(2.7205) = 0.6063 +32'h401c6a0e,32'h3f207a97,32'h3f27076e, 32'h3f1b90f5,32'h3f2bf10f, 32'h3f1360e8,32'h3f34211c,// invsqrt(2.4440) = 0.6397 +32'h3ea43e23,32'h3fdd7a06,32'h3fe6843a, 32'h3fd6b25e,32'h3fed4be2, 32'h3fcb659d,32'h3ff898a3,// invsqrt(0.3208) = 1.7656 +32'h3ee5b14a,32'h3fbb485d,32'h3fc2ed47, 32'h3fb58cae,32'h3fc8a8f6, 32'h3fabfe8a,32'h3fd2371a,// invsqrt(0.4486) = 1.4930 +32'h3f64c638,32'h3f84b1c1,32'h3f8a1c46, 32'h3f80a1dc,32'h3f8e2c2a, 32'h3f73b96c,32'h3f94f150,// invsqrt(0.8936) = 1.0578 +32'h3fa2a37c,32'h3f5e90f2,32'h3f67a689, 32'h3f57c0c1,32'h3f6e76bb, 32'h3f4c65c5,32'h3f79d1b7,// invsqrt(1.2706) = 0.8871 +32'h40737485,32'h3f00a19b,32'h3f05e1ab, 32'h3ef9631c,32'h3f09d1b8, 32'h3eec42f2,32'h3f1061cd,// invsqrt(3.8040) = 0.5127 +32'h3f59c0ae,32'h3f8802d6,32'h3f8d9004, 32'h3f83d8f4,32'h3f91b9e6, 32'h3f79d0f7,32'h3f98aa5e,// invsqrt(0.8506) = 1.0843 +32'h3f013710,32'h3fb09019,32'h3fb7c500, 32'h3fab286c,32'h3fbd2cae, 32'h3fa2264c,32'h3fc62ece,// invsqrt(0.5047) = 1.4075 +32'h3fb09f44,32'h3f5592f4,32'h3f5e4a96, 32'h3f4f093b,32'h3f64d44f, 32'h3f4423b1,32'h3f6fb9d9,// invsqrt(1.3799) = 0.8513 +32'h41597e22,32'h3e8817a4,32'h3e8da5aa, 32'h3e83ed1f,32'h3e91d02f, 32'h3e79f72d,32'h3e98c1b8,// invsqrt(13.5933) = 0.2712 +32'h4074c5e7,32'h3f0048d6,32'h3f058547, 32'h3ef8b701,32'h3f09729c, 32'h3eeb9fe6,32'h3f0ffe29,// invsqrt(3.8246) = 0.5113 +32'h405c6326,32'h3f073217,32'h3f0cb6bf, 32'h3f030e99,32'h3f10da3d, 32'h3ef8518d,32'h3f17c00f,// invsqrt(3.4436) = 0.5389 +32'h3e30064f,32'h40174697,32'h401d7345, 32'h4012a515,32'h402214c7, 32'h400aed3d,32'h4029cc9f,// invsqrt(0.1719) = 2.4119 +32'h3f7837fc,32'h3f7ec833,32'h3f849735, 32'h3f76fb8a,32'h3f887d89, 32'h3f69fbc6,32'h3f8efd6b,// invsqrt(0.9696) = 1.0156 +32'h3fa1c194,32'h3f5f2c27,32'h3f684813, 32'h3f585735,32'h3f6f1d05, 32'h3f4cf44d,32'h3f7a7fed,// invsqrt(1.2637) = 0.8896 +32'h3f697e5b,32'h3f8358b5,32'h3f88b525, 32'h3f7ea6c2,32'h3f8cba79, 32'h3f713fab,32'h3f936e05,// invsqrt(0.9121) = 1.0471 +32'h4058bf0b,32'h3f085394,32'h3f0de40e, 32'h3f04273a,32'h3f121068, 32'h3efa6545,32'h3f190500,// invsqrt(3.3867) = 0.5434 +32'h3f52b478,32'h3f8a445c,32'h3f8fe91c, 32'h3f8608cc,32'h3f9424ac, 32'h3f7df5b9,32'h3f9b329c,// invsqrt(0.8231) = 1.1023 +32'h3f103638,32'h3fa72167,32'h3fadf3bf, 32'h3fa203a5,32'h3fb31181, 32'h3f997cb8,32'h3fbb986f,// invsqrt(0.5633) = 1.3324 +32'h3f88fb61,32'h3f7283f2,32'h3f7c69fc, 32'h3f6b176b,32'h3f81eb42, 32'h3f5eb7de,32'h3f881b08,// invsqrt(1.0702) = 0.9667 +32'h3f23b0ae,32'h3f9cdf2b,32'h3fa34651, 32'h3f9811ce,32'h3fa813ae, 32'h3f9010de,32'h3fb0149e,// invsqrt(0.6394) = 1.2506 +32'h411012ca,32'h3ea735f2,32'h3eae0920, 32'h3ea2178f,32'h3eb32783, 32'h3e998f95,32'h3ebbaf7d,// invsqrt(9.0046) = 0.3332 +32'h3f71a648,32'h3f811c67,32'h3f86617b, 32'h3f7a5130,32'h3f8a554a, 32'h3f6d247f,32'h3f90eba3,// invsqrt(0.9439) = 1.0293 +32'h3f1beeed,32'h3fa0b9e6,32'h3fa74952, 32'h3f9bce54,32'h3fac34e4, 32'h3f939b0c,32'h3fb4682c,// invsqrt(0.6091) = 1.2813 +32'h3f9e1374,32'h3f61c15f,32'h3f6af849, 32'h3f5ad830,32'h3f71e178, 32'h3f4f538c,32'h3f7d661c,// invsqrt(1.2350) = 0.8999 +32'h3dadee5f,32'h40573849,32'h4060011d, 32'h4050a1aa,32'h406697bc, 32'h4045a6a1,32'h407192c5,// invsqrt(0.0849) = 3.4314 +32'h3faf6d27,32'h3f564cfa,32'h3f5f0c34, 32'h3f4fbd8f,32'h3f659b9f, 32'h3f44ce88,32'h3f708aa6,// invsqrt(1.3705) = 0.8542 +32'h3f84fc9d,32'h3f76219b,32'h3f8016b7, 32'h3f6e98bd,32'h3f83db25, 32'h3f6209f7,32'h3f8a2289,// invsqrt(1.0390) = 0.9811 +32'h3fccf4ff,32'h3f464317,32'h3f4e5ab9, 32'h3f40315c,32'h3f546c74, 32'h3f3613d1,32'h3f5e89ff,// invsqrt(1.6012) = 0.7903 +32'h3f53ab05,32'h3f89f3be,32'h3f8f9534, 32'h3f85baa6,32'h3f93ce4c, 32'h3f7d61a7,32'h3f9ad81f,// invsqrt(0.8268) = 1.0997 +32'h3ecca1b9,32'h3fc66b6a,32'h3fce84b2, 32'h3fc05874,32'h3fd497a8, 32'h3fb638d9,32'h3fdeb743,// invsqrt(0.3997) = 1.5818 +32'h400c4e0b,32'h3f297103,32'h3f305b81, 32'h3f244125,32'h3f358b5f, 32'h3f1b9c08,32'h3f3e307c,// invsqrt(2.1923) = 0.6754 +32'h41a859d7,32'h3e5ac1f6,32'h3e63afc1, 32'h3e540f9d,32'h3e6a6219, 32'h3e48e65f,32'h3e758b57,// invsqrt(21.0439) = 0.2180 +32'h3f2eeea8,32'h3f97bf52,32'h3f9df0ec, 32'h3f931a1d,32'h3fa29621, 32'h3f8b5c1c,32'h3faa5422,// invsqrt(0.6833) = 1.2097 +32'h3eb3b70e,32'h3fd3ba6e,32'h3fdc5ec6, 32'h3fcd3f2c,32'h3fe2da08, 32'h3fc271be,32'h3feda776,// invsqrt(0.3510) = 1.6879 +32'h3f86f89f,32'h3f7450b2,32'h3f7e498a, 32'h3f6cd610,32'h3f82e216, 32'h3f605f01,32'h3f891d9d,// invsqrt(1.0545) = 0.9738 +32'h3f07aa9b,32'h3fac504f,32'h3fb358d0, 32'h3fa709ef,32'h3fb89f31, 32'h3f9e3f4e,32'h3fc169d2,// invsqrt(0.5299) = 1.3737 +32'h3f9c0961,32'h3f6339d1,32'h3f6c8019, 32'h3f5c451c,32'h3f7374ce, 32'h3f50ad43,32'h3f7f0ca7,// invsqrt(1.2190) = 0.9057 +32'h4247aea7,32'h3e0e0834,32'h3e13d44a, 32'h3e09af22,32'h3e182d5c, 32'h3e027006,32'h3e1f6c78,// invsqrt(49.9206) = 0.1415 +32'h3f8813e7,32'h3f7351df,32'h3f7d4051, 32'h3f6bdf0a,32'h3f825993, 32'h3f5f74fc,32'h3f888e9a,// invsqrt(1.0631) = 0.9699 +32'h400012d2,32'h3f315918,32'h3f389634, 32'h3f2beb44,32'h3f3e0408, 32'h3f22dee2,32'h3f47106a,// invsqrt(2.0011) = 0.7069 +32'h3dcf8957,32'h404506b0,32'h404d1168, 32'h403efea5,32'h40531973, 32'h4034f13e,32'h405d26da,// invsqrt(0.1013) = 3.1414 +32'h3fb09ded,32'h3f5593c4,32'h3f5e4b6e, 32'h3f4f0a05,32'h3f64d52d, 32'h3f442470,32'h3f6fbac2,// invsqrt(1.3798) = 0.8513 +32'h3ea8f78e,32'h3fda5bc5,32'h3fe34565, 32'h3fd3ac8e,32'h3fe9f49c, 32'h3fc88886,32'h3ff518a4,// invsqrt(0.3300) = 1.7407 +32'h40813dae,32'h3ef9ac33,32'h3f01ee83, 32'h3ef20795,32'h3f05c0d2, 32'h3ee54a8d,32'h3f0c1f56,// invsqrt(4.0388) = 0.4976 +32'h3fbf88e6,32'h3f4d175f,32'h3f55765d, 32'h3f46d020,32'h3f5bbd9c, 32'h3f3c5961,32'h3f66345b,// invsqrt(1.4964) = 0.8175 +32'h3f0d536c,32'h3fa8d40a,32'h3fafb820, 32'h3fa3a8fa,32'h3fb4e330, 32'h3f9b0be0,32'h3fbd804a,// invsqrt(0.5521) = 1.3459 +32'h3f0c2f4c,32'h3fa98397,32'h3fb06ed7, 32'h3fa45327,32'h3fb59f47, 32'h3f9bad18,32'h3fbe4556,// invsqrt(0.5476) = 1.3514 +32'h3fef7c6b,32'h3f3769d2,32'h3f3ee64d, 32'h3f31cc75,32'h3f4483a9, 32'h3f2870da,32'h3f4ddf44,// invsqrt(1.8710) = 0.7311 +32'h3ede97ae,32'h3fbe3f00,32'h3fc602e1, 32'h3fb86c18,32'h3fcbd5ca, 32'h3faeb73f,32'h3fd58aa3,// invsqrt(0.4348) = 1.5166 +32'h3f14beb3,32'h3fa49065,32'h3fab47ec, 32'h3f9f86c1,32'h3fb05191, 32'h3f972158,32'h3fb8b6fa,// invsqrt(0.5810) = 1.3119 +32'h42023178,32'h3e2fe5fc,32'h3e3713f1, 32'h3e2a8383,32'h3e3c7669, 32'h3e218a11,32'h3e456fdb,// invsqrt(32.5483) = 0.1753 +32'h3f068ba0,32'h3fad07b3,32'h3fb417af, 32'h3fa7bbb5,32'h3fb963ad, 32'h3f9ee7b9,32'h3fc237a9,// invsqrt(0.5256) = 1.3794 +32'h3f6a0ab1,32'h3f83314e,32'h3f888c22, 32'h3f7e5a5e,32'h3f8c9041, 32'h3f70f74b,32'h3f9341ca,// invsqrt(0.9142) = 1.0459 +32'h3f8e0b2f,32'h3f6e27bb,32'h3f77e035, 32'h3f66dd5f,32'h3f7f2a91, 32'h3f5ab6c6,32'h3f85a895,// invsqrt(1.1097) = 0.9493 +32'h415cab62,32'h3e871bf4,32'h3e8c9fb5, 32'h3e82f924,32'h3e90c286, 32'h3e7828e6,32'h3e97a737,// invsqrt(13.7918) = 0.2693 +32'h3f515e9f,32'h3f8ab50f,32'h3f905e69, 32'h3f86760c,32'h3f949d6c, 32'h3f7ec4b9,32'h3f9bb11c,// invsqrt(0.8179) = 1.1058 +32'h3e036217,32'h402f199a,32'h40363f38, 32'h4029bd64,32'h403b9b6e, 32'h4020ce5e,32'h40448a74,// invsqrt(0.1283) = 2.7918 +32'h3eb1cf74,32'h3fd4dbf7,32'h3fdd8c20, 32'h3fce57d7,32'h3fe4103f, 32'h3fc37ba4,32'h3feeec73,// invsqrt(0.3473) = 1.6969 +32'h3f72974e,32'h3f80dc33,32'h3f861ea9, 32'h3f79d4b7,32'h3f8a1080, 32'h3f6cae93,32'h3f90a393,// invsqrt(0.9476) = 1.0273 +32'h4007681d,32'h3f2c7a99,32'h3f3384d3, 32'h3f2732ed,32'h3f38cc7f, 32'h3f1e6624,32'h3f419948,// invsqrt(2.1157) = 0.6875 +32'h4042632b,32'h3f0ff414,32'h3f15d43e, 32'h3f0b8bf4,32'h3f1a3c5e, 32'h3f0433be,32'h3f219494,// invsqrt(3.0373) = 0.5738 +32'h3f17b7b1,32'h3fa2f1a4,32'h3fa9983c, 32'h3f9df4b1,32'h3fae952f, 32'h3f95a472,32'h3fb6e56e,// invsqrt(0.5926) = 1.2990 +32'h3f645c22,32'h3f84d090,32'h3f8a3c57, 32'h3f80bfba,32'h3f8e4d2c, 32'h3f73f202,32'h3f9513e5,// invsqrt(0.8920) = 1.0588 +32'h3f6ca2e4,32'h3f8278ae,32'h3f87cbfa, 32'h3f7cf46c,32'h3f8bca72, 32'h3f6fa431,32'h3f927290,// invsqrt(0.9244) = 1.0401 +32'h3f2ebba2,32'h3f97d578,32'h3f9e07fa, 32'h3f932f96,32'h3fa2addc, 32'h3f8b7073,32'h3faa6cff,// invsqrt(0.6826) = 1.2104 +32'h4092688e,32'h3eea9411,32'h3ef4272c, 32'h3ee365bd,32'h3efb5581, 32'h3ed76ddc,32'h3f03a6b1,// invsqrt(4.5753) = 0.4675 +32'h3f5ab0fa,32'h3f87b808,32'h3f8d4228, 32'h3f839070,32'h3f9169c0, 32'h3f794792,32'h3f985667,// invsqrt(0.8543) = 1.0819 +32'h40d4d6ea,32'h3ec28e5e,32'h3eca7f48, 32'h3ebc99af,32'h3ed073f7, 32'h3eb2ac8b,32'h3eda611b,// invsqrt(6.6512) = 0.3877 +32'h3fc19c89,32'h3f4bfd08,32'h3f545081, 32'h3f45be6e,32'h3f5a8f1c, 32'h3f3b5618,32'h3f64f772,// invsqrt(1.5126) = 0.8131 +32'h3d41970e,32'h40903fe3,32'h40962326, 32'h408bd572,32'h409a8d98, 32'h4084795e,32'h40a1e9ac,// invsqrt(0.0473) = 4.5998 +32'h3f5bf02f,32'h3f875568,32'h3f8cdb81, 32'h3f8330d5,32'h3f910013, 32'h3f78926a,32'h3f97e7b3,// invsqrt(0.8591) = 1.0789 +32'h3f7df939,32'h3f7be100,32'h3f831470, 32'h3f742b17,32'h3f86ef64, 32'h3f67513e,32'h3f8d5c51,// invsqrt(0.9921) = 1.0040 +32'h41091736,32'h3eab6a92,32'h3eb269b2, 32'h3ea62b3a,32'h3eb7a90a, 32'h3e9d6c52,32'h3ec067f2,// invsqrt(8.5682) = 0.3416 +32'h4004f710,32'h3f2e0e27,32'h3f3528db, 32'h3f28ba21,32'h3f3a7ce1, 32'h3f1fd8c1,32'h3f435e41,// invsqrt(2.0776) = 0.6938 +32'h3fbe0dce,32'h3f4de385,32'h3f564ad9, 32'h3f479606,32'h3f5c9858, 32'h3f3d14de,32'h3f671981,// invsqrt(1.4848) = 0.8207 +32'h3f3f8bcd,32'h3f910464,32'h3f96efac, 32'h3f8c93ee,32'h3f9b6022, 32'h3f852dd4,32'h3fa2c63c,// invsqrt(0.7482) = 1.1561 +32'h3f420a7a,32'h3f9014f6,32'h3f95f678, 32'h3f8babd4,32'h3f9a5f9a, 32'h3f8451f2,32'h3fa1b97c,// invsqrt(0.7580) = 1.1486 +32'h3f6d5381,32'h3f824819,32'h3f879969, 32'h3f7c963c,32'h3f8b9664, 32'h3f6f4af5,32'h3f923c08,// invsqrt(0.9271) = 1.0386 +32'h3f5b28a1,32'h3f8792f7,32'h3f8d1b93, 32'h3f836c82,32'h3f914208, 32'h3f79037c,32'h3f982ccc,// invsqrt(0.8561) = 1.0808 +32'h3f99e45f,32'h3f64cdba,32'h3f6e247e, 32'h3f5dcca7,32'h3f752591, 32'h3f522033,32'h3f806903,// invsqrt(1.2023) = 0.9120 +32'h401cbea1,32'h3f204f45,32'h3f26da58, 32'h3f1b66f8,32'h3f2bc2a6, 32'h3f133921,32'h3f33f07d,// invsqrt(2.4491) = 0.6390 +32'h3f3a465f,32'h3f930e00,32'h3f990e92, 32'h3f8e8d92,32'h3f9d8f00, 32'h3f870cdb,32'h3fa50fb7,// invsqrt(0.7276) = 1.1723 +32'h3e78d3db,32'h3ffe7859,32'h40046da7, 32'h3ff6ae23,32'h400852c2, 32'h3fe9b272,32'h400ed09b,// invsqrt(0.2430) = 2.0286 +32'h3f94e0ee,32'h3f689fca,32'h3f721e79, 32'h3f6180c6,32'h3f793d7c, 32'h3f55a26a,32'h3f828dec,// invsqrt(1.1631) = 0.9272 +32'h3fc7a6bd,32'h3f48e112,32'h3f51140e, 32'h3f42bad6,32'h3f573a4a, 32'h3f387b1b,32'h3f617a05,// invsqrt(1.5598) = 0.8007 +32'h3fad0d98,32'h3f57c3e2,32'h3f609268, 32'h3f5128fd,32'h3f672d4d, 32'h3f4626d4,32'h3f722f76,// invsqrt(1.3520) = 0.8600 +32'h3e968280,32'h3fe75c37,32'h3ff0cdb2, 32'h3fe0471c,32'h3ff7e2ce, 32'h3fd47943,32'h4001d854,// invsqrt(0.2940) = 1.8444 +32'h40b7bcae,32'h3ed165e8,32'h3ed9f1e8, 32'h3ecafce9,32'h3ee05ae7, 32'h3ec04dea,32'h3eeb09e6,// invsqrt(5.7418) = 0.4173 +32'h3ef1d41f,32'h3fb685d8,32'h3fbdf906, 32'h3fb0ef76,32'h3fc38f68, 32'h3fa79f7e,32'h3fccdf61,// invsqrt(0.4723) = 1.4551 +32'h3f86ea9a,32'h3f745d63,32'h3f7e56bf, 32'h3f6ce25d,32'h3f82e8e3, 32'h3f606aa9,32'h3f8924bd,// invsqrt(1.0540) = 0.9740 +32'h3f899bc1,32'h3f71f678,32'h3f7bd6bb, 32'h3f6a8e45,32'h3f819f77, 32'h3f5e35f0,32'h3f87cba1,// invsqrt(1.0751) = 0.9645 +32'h4001f5fe,32'h3f300e37,32'h3f373dd1, 32'h3f2aaa84,32'h3f3ca184, 32'h3f21af04,32'h3f459d04,// invsqrt(2.0306) = 0.7018 +32'h3d9c3fa3,32'h4063125a,32'h406c5704, 32'h405c1ed9,32'h40734a85, 32'h40508904,32'h407ee05a,// invsqrt(0.0763) = 3.6204 +32'h3e12fdfa,32'h40258ad5,32'h402c4c95, 32'h40207986,32'h40315de4, 32'h40180756,32'h4039d014,// invsqrt(0.1435) = 2.6394 +32'h3f84ac55,32'h3f766c08,32'h3f803d72, 32'h3f6ee0e3,32'h3f840304, 32'h3f624e51,32'h3f8a4c4e,// invsqrt(1.0365) = 0.9822 +32'h3fc366ae,32'h3f4b0d58,32'h3f535708, 32'h3f44d614,32'h3f598e4c, 32'h3f3a79f8,32'h3f63ea68,// invsqrt(1.5266) = 0.8094 +32'h3ef2acd7,32'h3fb63446,32'h3fbda420, 32'h3fb0a064,32'h3fc33802, 32'h3fa75494,32'h3fcc83d2,// invsqrt(0.4740) = 1.4525 +32'h3fc7e14b,32'h3f48c3a3,32'h3f50f56b, 32'h3f429e4d,32'h3f571ac1, 32'h3f386013,32'h3f6158fb,// invsqrt(1.5616) = 0.8002 +32'h3f52acd6,32'h3f8a46de,32'h3f8febb8, 32'h3f860b3a,32'h3f94275c, 32'h3f7dfa54,32'h3f9b356c,// invsqrt(0.8229) = 1.1023 +32'h3fde540e,32'h3f3e5bed,32'h3f4620fc, 32'h3f388822,32'h3f4bf4c8, 32'h3f2ed1d0,32'h3f55ab1a,// invsqrt(1.7369) = 0.7588 +32'h3fa1abf7,32'h3f5f3b11,32'h3f685799, 32'h3f5865aa,32'h3f6f2d00, 32'h3f4d0200,32'h3f7a90aa,// invsqrt(1.2631) = 0.8898 +32'h3fd61b4d,32'h3f41fac4,32'h3f49e5a8, 32'h3f3c0a9a,32'h3f4fd5d2, 32'h3f3224fd,32'h3f59bb6f,// invsqrt(1.6727) = 0.7732 +32'h3f8fee43,32'h3f6c96c0,32'h3f763edc, 32'h3f6558aa,32'h3f7d7cf2, 32'h3f594686,32'h3f84c78b,// invsqrt(1.1245) = 0.9430 +32'h3e7f2eba,32'h3ffb4812,32'h4002c4db, 32'h3ff396d9,32'h40069d78, 32'h3fe6c4cd,32'h400d067d,// invsqrt(0.2492) = 2.0032 +32'h3f0012cd,32'h3fb1591c,32'h3fb89637, 32'h3fabeb47,32'h3fbe040b, 32'h3fa2dee5,32'h3fc7106d,// invsqrt(0.5003) = 1.4138 +32'h3ff7090f,32'h3f3496cd,32'h3f3bf5c5, 32'h3f2f0f92,32'h3f417d00, 32'h3f25d8db,32'h3f4ab3b7,// invsqrt(1.9300) = 0.7198 +32'h3f7808d9,32'h3f7ee067,32'h3f84a3cd, 32'h3f771300,32'h3f888a80, 32'h3f6a1200,32'h3f8f0b00,// invsqrt(0.9689) = 1.0159 +32'h3d9cee83,32'h406293b2,32'h406bd332, 32'h405ba413,32'h4072c2d1, 32'h405014b3,32'h407e5231,// invsqrt(0.0766) = 3.6125 +32'h3f29f5af,32'h3f99f381,32'h3fa03c23, 32'h3f953d08,32'h3fa4f29c, 32'h3f8d623d,32'h3faccd67,// invsqrt(0.6639) = 1.2273 +32'h3f6cf800,32'h3f82613e,32'h3f87b394, 32'h3f7cc6fb,32'h3f8bb155, 32'h3f6f7923,32'h3f925840,// invsqrt(0.9257) = 1.0394 +32'h3f47360c,32'h3f8e332c,32'h3f940104, 32'h3f89d8ca,32'h3f985b66, 32'h3f82977c,32'h3f9f9cb4,// invsqrt(0.7782) = 1.1336 +32'h4098056f,32'h3ee6350b,32'h3eef9a79, 32'h3edf28f8,32'h3ef6a68c, 32'h3ed36a2f,32'h3f0132ab,// invsqrt(4.7507) = 0.4588 +32'h3f2603ef,32'h3f9bc4f1,32'h3fa22093, 32'h3f970038,32'h3fa6e54c, 32'h3f8f0daf,32'h3faed7d5,// invsqrt(0.6485) = 1.2418 +32'h4335a492,32'h3d94eafb,32'h3d9aff05, 32'h3d905bf3,32'h3d9f8e0d, 32'h3d88c2e6,32'h3da7271a,// invsqrt(181.6429) = 0.0742 +32'h3f69fe61,32'h3f8334c2,32'h3f888fba, 32'h3f7e610f,32'h3f8c93f4, 32'h3f70fda3,32'h3f9345ab,// invsqrt(0.9140) = 1.0460 +32'h40a28ba3,32'h3edea145,32'h3ee7b787, 32'h3ed7d094,32'h3eee8838, 32'h3ecc74c2,32'h3ef9e40a,// invsqrt(5.0795) = 0.4437 +32'h3ea87fc4,32'h3fdaa956,32'h3fe39620, 32'h3fd3f7be,32'h3fea47b8, 32'h3fc8cfc2,32'h3ff56fb4,// invsqrt(0.3291) = 1.7432 +32'h3da5608d,32'h405cb73a,32'h4065b97b, 32'h4055f589,32'h406c7b2b, 32'h404ab2b7,32'h4077bdfd,// invsqrt(0.0808) = 3.5191 +32'h3f64d65c,32'h3f84ad13,32'h3f8a1767, 32'h3f809d54,32'h3f8e2726, 32'h3f73b0d4,32'h3f94ec10,// invsqrt(0.8939) = 1.0577 +32'h3e908850,32'h3fec1888,32'h3ff5bb7e, 32'h3fe4de50,32'h3ffcf5b6, 32'h3fd8d29c,32'h400480b5,// invsqrt(0.2823) = 1.8821 +32'h4028d720,32'h3f1a75ef,32'h3f20c3e3, 32'h3f15bb77,32'h3f257e5b, 32'h3f0dda05,32'h3f2d5fcd,// invsqrt(2.6381) = 0.6157 +32'h3f85b322,32'h3f757961,32'h3f7f7e55, 32'h3f6df5aa,32'h3f838106, 32'h3f616f78,32'h3f89c41f,// invsqrt(1.0445) = 0.9785 +32'h3fa50737,32'h3f5cf2ef,32'h3f65f7a0, 32'h3f562f6b,32'h3f6cbb25, 32'h3f4ae98e,32'h3f780102,// invsqrt(1.2893) = 0.8807 +32'h3f41643c,32'h3f9052d6,32'h3f9636de, 32'h3f8be7cf,32'h3f9aa1e5, 32'h3f848ac4,32'h3fa1fef0,// invsqrt(0.7554) = 1.1505 +32'h40ddc1b7,32'h3ebe9ab2,32'h3ec66251, 32'h3eb8c4fc,32'h3ecc3808, 32'h3eaf0b75,32'h3ed5f18f,// invsqrt(6.9299) = 0.3799 +32'h3f841905,32'h3f76f549,32'h3f8084df, 32'h3f6f65f1,32'h3f844c8c, 32'h3f62cc5d,32'h3f8a9955,// invsqrt(1.0320) = 0.9844 +32'h40935643,32'h3ee9d68a,32'h3ef361e8, 32'h3ee2ae03,32'h3efa8a6f, 32'h3ed6bfcd,32'h3f033c53,// invsqrt(4.6043) = 0.4660 +32'h3f16d025,32'h3fa36e8a,32'h3faa1a3c, 32'h3f9e6dc4,32'h3faf1b02, 32'h3f961726,32'h3fb771a0,// invsqrt(0.5891) = 1.3029 +32'h3fcdc0da,32'h3f45e0c7,32'h3f4df467, 32'h3f3fd20f,32'h3f54031f, 32'h3f35b988,32'h3f5e1ba6,// invsqrt(1.6074) = 0.7887 +32'h3f446030,32'h3f8f3909,32'h3f951191, 32'h3f8ad6a3,32'h3f9973f7, 32'h3f8387f8,32'h3fa0c2a2,// invsqrt(0.7671) = 1.1418 +32'h3ff3ce93,32'h3f35c7e2,32'h3f3d334f, 32'h3f303751,32'h3f42c3e1, 32'h3f26f10a,32'h3f4c0a28,// invsqrt(1.9047) = 0.7246 +32'h3dd80dcd,32'h40411a7a,32'h4048fc36, 32'h403b312d,32'h404ee583, 32'h40315702,32'h4058bfae,// invsqrt(0.1055) = 3.0788 +32'h3f387ec1,32'h3f93c325,32'h3f99cb1c, 32'h3f8f3d2b,32'h3f9e5115, 32'h3f87b336,32'h3fa5db0a,// invsqrt(0.7207) = 1.1780 +32'h3e11a446,32'h40264eda,32'h402d189a, 32'h4021378a,32'h40322fea, 32'h4018bb5b,32'h403aac19,// invsqrt(0.1422) = 2.6516 +32'h3d0405a7,32'h40aead01,32'h40b5ce31, 32'h40a9541e,32'h40bb2714, 32'h40a06aa3,32'h40c4108f,// invsqrt(0.0322) = 5.5700 +32'h3f0674f0,32'h3fad164b,32'h3fb426e1, 32'h3fa7c9db,32'h3fb97351, 32'h3f9ef521,32'h3fc2480b,// invsqrt(0.5252) = 1.3798 +32'h403b3cfe,32'h3f12ad07,32'h3f18a9a5, 32'h3f0e2f92,32'h3f1d271a, 32'h3f06b3cd,32'h3f24a2df,// invsqrt(2.9256) = 0.5846 +32'h40a460ae,32'h3edd62c0,32'h3ee66c01, 32'h3ed69bce,32'h3eed32f2, 32'h3ecb503d,32'h3ef87e83,// invsqrt(5.1368) = 0.4412 +32'h3fe13617,32'h3f3d2303,32'h3f44db4c, 32'h3f3758cb,32'h3f4aa583, 32'h3f2db270,32'h3f544bde,// invsqrt(1.7595) = 0.7539 +32'h3fd33024,32'h3f4350ba,32'h3f4b4992, 32'h3f3d5617,32'h3f514435, 32'h3f335f09,32'h3f5b3b43,// invsqrt(1.6499) = 0.7785 +32'h3f9fec97,32'h3f607274,32'h3f699bb2, 32'h3f599385,32'h3f707aa1, 32'h3f4e1ff8,32'h3f7bee2f,// invsqrt(1.2494) = 0.8946 +32'h3f8f93ae,32'h3f6ce155,32'h3f768c7d, 32'h3f65a0f7,32'h3f7dccdb, 32'h3f598b05,32'h3f84f167,// invsqrt(1.1217) = 0.9442 +32'h41006ada,32'h3eb11c44,32'h3eb856e4, 32'h3eabb04d,32'h3ebdc2db, 32'h3ea2a705,32'h3ec6cc23,// invsqrt(8.0261) = 0.3530 +32'h4011df50,32'h3f262d2f,32'h3f2cf58f, 32'h3f2116e7,32'h3f320bd7, 32'h3f189c6f,32'h3f3a864f,// invsqrt(2.2793) = 0.6624 +32'h3d91df69,32'h406b023d,32'h407499d7, 32'h4063d08a,32'h407bcb8a, 32'h4057d309,32'h4083e486,// invsqrt(0.0712) = 3.7470 +32'h3b3a9451,32'h4192ef45,32'h4198ee97, 32'h418e6fc8,32'h419d6e14, 32'h4186f0a3,32'h41a4ed39,// invsqrt(0.0028) = 18.7417 +32'h407bfc6f,32'h3efcdec9,32'h3f039883, 32'h3ef5211c,32'h3f07775a, 32'h3ee83a51,32'h3f0deac0,// invsqrt(3.9373) = 0.5040 +32'h3eaacf20,32'h3fd92d88,32'h3fe20ad2, 32'h3fd28791,32'h3fe8b0c9, 32'h3fc772f5,32'h3ff3c565,// invsqrt(0.3336) = 1.7313 +32'h3f025d0c,32'h3fafc893,32'h3fb6f555, 32'h3faa6701,32'h3fbc56e7, 32'h3fa16f0f,32'h3fc54ed9,// invsqrt(0.5092) = 1.4013 +32'h40466907,32'h3f0e7c90,32'h3f144d67, 32'h3f0a1fef,32'h3f18aa09, 32'h3f02dae3,32'h3f1fef15,// invsqrt(3.1002) = 0.5679 +32'h3eda5202,32'h3fc01936,32'h3fc7f072, 32'h3fba37c9,32'h3fcdd1df, 32'h3fb06abf,32'h3fd79ee9,// invsqrt(0.4264) = 1.5314 +32'h3d8e46cb,32'h406df5d2,32'h4077ac43, 32'h4066acfd,32'h407ef517, 32'h405a88ef,32'h40858c92,// invsqrt(0.0695) = 3.7940 +32'h41c5fc5d,32'h3e49b8ec,32'h3e51f4b6, 32'h3e438c13,32'h3e58218f, 32'h3e394156,32'h3e626c4c,// invsqrt(24.7482) = 0.2010 +32'h411e4588,32'h3e9f88d3,32'h3ea60bcb, 32'h3e9aa698,32'h3eaaee06, 32'h3e9282e1,32'h3eb311bd,// invsqrt(9.8920) = 0.3179 +32'h411ea4a9,32'h3e9f58f7,32'h3ea5d9fb, 32'h3e9a7833,32'h3eaababf, 32'h3e9256ed,32'h3eb2dc05,// invsqrt(9.9152) = 0.3176 +32'h41d7e339,32'h3e412d83,32'h3e491006, 32'h3e3b43a2,32'h3e4ef9e8, 32'h3e31687e,32'h3e58d50c,// invsqrt(26.9859) = 0.1925 +32'h3edb0621,32'h3fbfca28,32'h3fc79e2a, 32'h3fb9eb27,32'h3fcd7d2b, 32'h3fb02225,32'h3fd7462d,// invsqrt(0.4278) = 1.5289 +32'h403f6f62,32'h3f110f27,32'h3f16fadf, 32'h3f0c9e5c,32'h3f1b6baa, 32'h3f0537b6,32'h3f22d250,// invsqrt(2.9912) = 0.5782 +32'h3f560c13,32'h3f892eee,32'h3f8ec85a, 32'h3f84fbdc,32'h3f92fb6c, 32'h3f7bf827,32'h3f99fb34,// invsqrt(0.8361) = 1.0936 +32'h3f63fc99,32'h3f84ec60,32'h3f8a594a, 32'h3f80dab1,32'h3f8e6af9, 32'h3f742519,32'h3f95331e,// invsqrt(0.8906) = 1.0597 +32'h3f9d7955,32'h3f622fbe,32'h3f6b6b28, 32'h3f5b432d,32'h3f7257b9, 32'h3f4fb8e8,32'h3f7de1ff,// invsqrt(1.2303) = 0.9016 +32'h3f8ec0fe,32'h3f6d8fe3,32'h3f77422b, 32'h3f664a2d,32'h3f7e87e1, 32'h3f5a2b53,32'h3f85535d,// invsqrt(1.1153) = 0.9469 +32'h3f49017e,32'h3f8d904a,32'h3f93577c, 32'h3f893ae4,32'h3f97ace2, 32'h3f8201e6,32'h3f9ee5e0,// invsqrt(0.7852) = 1.1285 +32'h3f74d730,32'h3f80444e,32'h3f858090, 32'h3f78ae39,32'h3f896dc1, 32'h3f6b9795,32'h3f8ff914,// invsqrt(0.9564) = 1.0225 +32'h3fccfe24,32'h3f463eab,32'h3f4e561f, 32'h3f402d13,32'h3f5467b7, 32'h3f360fc1,32'h3f5e8509,// invsqrt(1.6015) = 0.7902 +32'h3fbac8aa,32'h3f4faee6,32'h3f5828fa, 32'h3f495357,32'h3f5e8489, 32'h3f3ebabe,32'h3f691d22,// invsqrt(1.4592) = 0.8278 +32'h412b7662,32'h3e99466b,32'h3e9f87fd, 32'h3e94953e,32'h3ea4392a, 32'h3e8cc348,32'h3eac0b20,// invsqrt(10.7164) = 0.3055 +32'h3f557f3a,32'h3f895c26,32'h3f8ef76c, 32'h3f8527b2,32'h3f932be0, 32'h3f7c4b37,32'h3f9a2df7,// invsqrt(0.8340) = 1.0950 +32'h3da2e6d8,32'h405e62e9,32'h4067769f, 32'h40579421,32'h406e4567, 32'h404c3b7d,32'h40799e0b,// invsqrt(0.0795) = 3.5457 +32'h404d20e9,32'h3f0c2242,32'h3f11da84, 32'h3f07d811,32'h3f1624b5, 32'h3f00b1c0,32'h3f1d4b06,// invsqrt(3.2051) = 0.5586 +32'h403853e5,32'h3f13d451,32'h3f19dcfb, 32'h3f0f4dd1,32'h3f1e637b, 32'h3f07c2fc,32'h3f25ee50,// invsqrt(2.8801) = 0.5892 +32'h3fcd0c1f,32'h3f4637e9,32'h3f4e4f17, 32'h3f402686,32'h3f54607a, 32'h3f36098d,32'h3f5e7d73,// invsqrt(1.6019) = 0.7901 +32'h40adc01c,32'h3ed754ee,32'h3ee01eee, 32'h3ed0bd6f,32'h3ee6b66d, 32'h3ec5c0f0,32'h3ef1b2ec,// invsqrt(5.4297) = 0.4292 +32'h3f2d1ca6,32'h3f988b08,32'h3f9ec4f4, 32'h3f93df98,32'h3fa37064, 32'h3f8c1731,32'h3fab38cb,// invsqrt(0.6762) = 1.2161 +32'h3f386851,32'h3f93cc22,32'h3f99d476, 32'h3f8f45e2,32'h3f9e5ab6, 32'h3f87bb78,32'h3fa5e520,// invsqrt(0.7203) = 1.1782 +32'h4088163f,32'h3ef34fc7,32'h3efd3e23, 32'h3eebdd02,32'h3f025874, 32'h3edf7310,32'h3f088d6d,// invsqrt(4.2527) = 0.4849 +32'h3f99a47d,32'h3f64fd46,32'h3f6e55fb, 32'h3f5dfabf,32'h3f755883, 32'h3f524bde,32'h3f8083b2,// invsqrt(1.2003) = 0.9127 +32'h3ef5dc64,32'h3fb50517,32'h3fbc6891, 32'h3faf7a7d,32'h3fc1f32b, 32'h3fa63e25,32'h3fcb2f83,// invsqrt(0.4802) = 1.4431 +32'h3f883448,32'h3f7334f2,32'h3f7d2235, 32'h3f6bc2ff,32'h3f824a14, 32'h3f5f5a6b,32'h3f887e5e,// invsqrt(1.0641) = 0.9694 +32'h3f4173f5,32'h3f904cf9,32'h3f9630c4, 32'h3f8be220,32'h3f9a9b9c, 32'h3f848561,32'h3fa1f85b,// invsqrt(0.7557) = 1.1504 +32'h3f905795,32'h3f6c405f,32'h3f75e4f5, 32'h3f6504ee,32'h3f7d2066, 32'h3f58f733,32'h3f849711,// invsqrt(1.1277) = 0.9417 +32'h3f4e13f7,32'h3f8bcf86,32'h3f918467, 32'h3f8787de,32'h3f95cc10, 32'h3f8065c5,32'h3f9cee29,// invsqrt(0.8050) = 1.1146 +32'h40923604,32'h3eeabc99,32'h3ef4515b, 32'h3ee38d07,32'h3efb80ed, 32'h3ed79314,32'h3f03bd70,// invsqrt(4.5691) = 0.4678 +32'h3f382dde,32'h3f93e393,32'h3f99ecdd, 32'h3f8f5c9c,32'h3f9e73d4, 32'h3f87d0ff,32'h3fa5ff71,// invsqrt(0.7194) = 1.1790 +32'h3fad26af,32'h3f57b440,32'h3f608223, 32'h3f5119d5,32'h3f671c8d, 32'h3f461879,32'h3f721de9,// invsqrt(1.3527) = 0.8598 +32'h3f571533,32'h3f88da47,32'h3f8e703f, 32'h3f84a9cc,32'h3f92a0ba, 32'h3f7b5cac,32'h3f999c30,// invsqrt(0.8402) = 1.0910 +32'h3f58456b,32'h3f8879e4,32'h3f8e0bee, 32'h3f844c5d,32'h3f923975, 32'h3f7aaba3,32'h3f993000,// invsqrt(0.8448) = 1.0880 +32'h3f81b2ef,32'h3f793b3e,32'h3f81b3ba, 32'h3f719a15,32'h3f85844f, 32'h3f64e2d0,32'h3f8bdff1,// invsqrt(1.0133) = 0.9934 +32'h3f2cfeff,32'h3f98981b,32'h3f9ed28f, 32'h3f93ec44,32'h3fa37e66, 32'h3f8c2333,32'h3fab4777,// invsqrt(0.6758) = 1.2165 +32'h3fbcba3f,32'h3f4e9c69,32'h3f570b49, 32'h3f484941,32'h3f5d5e71, 32'h3f3dbeaa,32'h3f67e908,// invsqrt(1.4744) = 0.8235 +32'h3f9d61fe,32'h3f624083,32'h3f6b7c9d, 32'h3f5b536f,32'h3f7269b1, 32'h3f4fc84e,32'h3f7df4d2,// invsqrt(1.2296) = 0.9018 +32'h3e5c68e9,32'h40073052,32'h400cb4e8, 32'h40030ce2,32'h4010d858, 32'h3ff84e4e,32'h4017be13,// invsqrt(0.2152) = 2.1554 +32'h3e202325,32'h401e9a38,32'h40251374, 32'h4019bf4b,32'h4029ee61, 32'h4011a7c1,32'h403205eb,// invsqrt(0.1564) = 2.5287 +32'h3f4c57d9,32'h3f8c6722,32'h3f922234, 32'h3f881ad6,32'h3f966e80, 32'h3f80f100,32'h3f9d9856,// invsqrt(0.7982) = 1.1193 +32'h3f4378e0,32'h3f8f8dad,32'h3f9569aa, 32'h3f8b28b0,32'h3f99cea8, 32'h3f83d5b4,32'h3fa121a4,// invsqrt(0.7636) = 1.1444 +32'h3ef2bb6d,32'h3fb62ecc,32'h3fbd9e6c, 32'h3fb09b14,32'h3fc33224, 32'h3fa74f8c,32'h3fcc7dac,// invsqrt(0.4741) = 1.4524 +32'h3ecaf008,32'h3fc73efe,32'h3fcf60e8, 32'h3fc1258d,32'h3fd57a59, 32'h3fb6fb28,32'h3fdfa4bf,// invsqrt(0.3964) = 1.5884 +32'h40a7da1c,32'h3edb1522,32'h3ee40652, 32'h3ed4603e,32'h3eeabb36, 32'h3ec932c1,32'h3ef5e8b3,// invsqrt(5.2454) = 0.4366 +32'h3d99c739,32'h4064e369,32'h406e3b0f, 32'h405de1ac,32'h40753ccc, 32'h4052341c,32'h4080752e,// invsqrt(0.0751) = 3.6494 +32'h3e819f58,32'h3ff94e12,32'h4001bd87, 32'h3ff1ac56,32'h40058e65, 32'h3fe4f41b,32'h400bea82,// invsqrt(0.2532) = 1.9874 +32'h403b8a55,32'h3f128ec6,32'h3f188a26, 32'h3f0e123d,32'h3f1d06af, 32'h3f069804,32'h3f2480e8,// invsqrt(2.9303) = 0.5842 +32'h3f8756ba,32'h3f73fbb2,32'h3f7df112, 32'h3f6c83aa,32'h3f82b48d, 32'h3f6010f2,32'h3f88ede9,// invsqrt(1.0573) = 0.9725 +32'h3f76e554,32'h3f7f76b4,32'h3f84f204, 32'h3f77a4b3,32'h3f88db05, 32'h3f6a9c08,32'h3f8f5f5a,// invsqrt(0.9644) = 1.0183 +32'h3df049c3,32'h40371b62,32'h403e94aa, 32'h4031806c,32'h40442fa0, 32'h402828d2,32'h404d873a,// invsqrt(0.1173) = 2.9194 +32'h4171d04f,32'h3e81112e,32'h3e8655cc, 32'h3e7a3b6e,32'h3e8a4943, 32'h3e6d0fe1,32'h3e90df0a,// invsqrt(15.1134) = 0.2572 +32'h3f8f8804,32'h3f6ceaf5,32'h3f769682, 32'h3f65aa4c,32'h3f7dd72c, 32'h3f5993dc,32'h3f84f6ce,// invsqrt(1.1213) = 0.9443 +32'h3f7a4a6d,32'h3f7db9a8,32'h3f840a6a, 32'h3f75f548,32'h3f87ec9a, 32'h3f690351,32'h3f8e6595,// invsqrt(0.9777) = 1.0113 +32'h3f580b9a,32'h3f888c26,32'h3f8e1eee, 32'h3f845e10,32'h3f924d04, 32'h3f7acd2c,32'h3f99447e,// invsqrt(0.8439) = 1.0885 +32'h3f30c069,32'h3f96f6de,32'h3f9d204b, 32'h3f9257ce,32'h3fa1bf5c, 32'h3f8aa406,32'h3fa97324,// invsqrt(0.6904) = 1.2035 +32'h407b0ee3,32'h3efd564f,32'h3f03d6b6, 32'h3ef594f9,32'h3f07b761, 32'h3ee8a815,32'h3f0e2dd4,// invsqrt(3.9228) = 0.5049 +32'h4031d75b,32'h3f16804b,32'h3f1ca4e1, 32'h3f11e4db,32'h3f214051, 32'h3f0a3721,32'h3f28ee0b,// invsqrt(2.7788) = 0.5999 +32'h3ff15886,32'h3f36b48f,32'h3f3e29a5, 32'h3f311cbf,32'h3f43c175, 32'h3f27ca64,32'h3f4d13d0,// invsqrt(1.8855) = 0.7283 +32'h400bca5a,32'h3f29c0c1,32'h3f30ae7f, 32'h3f248e72,32'h3f35e0ce, 32'h3f1be543,32'h3f3e89fd,// invsqrt(2.1842) = 0.6766 +32'h3e23f8de,32'h401cbc9f,32'h4023225d, 32'h4017f051,32'h4027eeab, 32'h400ff125,32'h402fedd7,// invsqrt(0.1601) = 2.4990 +32'h4084d92c,32'h3ef6426e,32'h3f0027cc, 32'h3eeeb890,32'h3f03ecbb, 32'h3ee2281c,32'h3f0a34f5,// invsqrt(4.1515) = 0.4908 +32'h3f840128,32'h3f770b9a,32'h3f80907d, 32'h3f6f7b93,32'h3f845880, 32'h3f62e0dc,32'h3f8aa5dc,// invsqrt(1.0313) = 0.9847 +32'h408125e5,32'h3ef9c330,32'h3f01fa79, 32'h3ef21ddd,32'h3f05cd23, 32'h3ee55fa9,32'h3f0c2c3d,// invsqrt(4.0359) = 0.4978 +32'h3e1fa5ae,32'h401ed87e,32'h40255444, 32'h4019fba9,32'h402a3119, 32'h4011e0f1,32'h40324bd1,// invsqrt(0.1559) = 2.5326 +32'h3ec71ebc,32'h3fc925a1,32'h3fd15b69, 32'h3fc2fd4b,32'h3fd783bf, 32'h3fb8ba11,32'h3fe1c6f9,// invsqrt(0.3889) = 1.6035 +32'h3f28e523,32'h3f9a6f86,32'h3fa0bd38, 32'h3f95b541,32'h3fa5777d, 32'h3f8dd422,32'h3fad589c,// invsqrt(0.6597) = 1.2312 +32'h3e9a14ff,32'h3fe4a99d,32'h3fedfee7, 32'h3fdda9a5,32'h3ff4fedf, 32'h3fd1ff08,32'h400054be,// invsqrt(0.3009) = 1.8229 +32'h3e33ffdd,32'h4015989d,32'h401bb3be, 32'h40110445,32'h40204817, 32'h4009625d,32'h4027e9ff,// invsqrt(0.1758) = 2.3851 +32'h3e86fc27,32'h3ff44d80,32'h3ffe4636, 32'h3fecd2f6,32'h4002e060, 32'h3fe05c12,32'h40091bd2,// invsqrt(0.2636) = 1.9476 +32'h3d341e9c,32'h40958bd8,32'h409ba674, 32'h4090f7e4,32'h40a03a68, 32'h408956a2,32'h40a7dbaa,// invsqrt(0.0440) = 4.7687 +32'h3eb87ecc,32'h3fd0f7a2,32'h3fd97f21, 32'h3fca9204,32'h3fdfe4c0, 32'h3fbfe8a5,32'h3fea8e1f,// invsqrt(0.3603) = 1.6659 +32'h3facbb8e,32'h3f57f719,32'h3f60c7b7, 32'h3f515aa3,32'h3f67642d, 32'h3f4655de,32'h3f7268f2,// invsqrt(1.3495) = 0.8608 +32'h3fdd56fa,32'h3f3ec8a2,32'h3f469220, 32'h3f38f183,32'h3f4c693f, 32'h3f2f35a4,32'h3f56251e,// invsqrt(1.7292) = 0.7605 +32'h40467f9d,32'h3f0e7475,32'h3f1444f7, 32'h3f0a1813,32'h3f18a159, 32'h3f02d371,32'h3f1fe5fb,// invsqrt(3.1015) = 0.5678 +32'h3c82a22f,32'h40f8569b,32'h41013cbe, 32'h40f0bc71,32'h410509d3, 32'h40e410d7,32'h410b5fa0,// invsqrt(0.0159) = 7.9190 +32'h3f858c74,32'h3f759ceb,32'h3f7fa353, 32'h3f6e181d,32'h3f839410, 32'h3f61901c,32'h3f89d811,// invsqrt(1.0433) = 0.9790 +32'h3e537f5b,32'h400a01fb,32'h400fa405, 32'h4005c873,32'h4013dd8d, 32'h3ffd7bcd,32'h401ae81a,// invsqrt(0.2065) = 2.2004 +32'h400e6abf,32'h3f282e29,32'h3f2f0b79, 32'h3f23082d,32'h3f343175, 32'h3f1a7389,32'h3f3cc619,// invsqrt(2.2253) = 0.6704 +32'h3f1e101c,32'h3f9fa3c6,32'h3fa627d8, 32'h3f9ac0b8,32'h3fab0ae6, 32'h3f929ba1,32'h3fb32ffd,// invsqrt(0.6174) = 1.2726 +32'h4042fcbd,32'h3f0fbb58,32'h3f159932, 32'h3f0b54f5,32'h3f19ff95, 32'h3f03ffa4,32'h3f2154e6,// invsqrt(3.0467) = 0.5729 +32'h3f3b6e1c,32'h3f9299ce,32'h3f9895a2, 32'h3f8e1cef,32'h3f9d1281, 32'h3f86a226,32'h3fa48d4a,// invsqrt(0.7321) = 1.1687 +32'h42766307,32'h3dffba38,32'h3e051528, 32'h3df7e627,32'h3e08ff30, 32'h3deada0a,32'h3e0f853f,// invsqrt(61.5967) = 0.1274 +32'h3f0362c1,32'h3faf1929,32'h3fb63ec3, 32'h3fa9bcf6,32'h3fbb9af6, 32'h3fa0cdf7,32'h3fc489f5,// invsqrt(0.5132) = 1.3959 +32'h3f966a40,32'h3f676edd,32'h3f70e11b, 32'h3f60592f,32'h3f77f6c9, 32'h3f548a63,32'h3f81e2cb,// invsqrt(1.1751) = 0.9225 +32'h3dfdf92e,32'h40321af8,32'h40395ffc, 32'h402ca734,32'h403ed3c0, 32'h402390ee,32'h4047ea06,// invsqrt(0.1240) = 2.8397 +32'h3ff1ee4e,32'h3f367bf7,32'h3f3deebe, 32'h3f30e5e3,32'h3f4384d3, 32'h3f27966c,32'h3f4cd44b,// invsqrt(1.8901) = 0.7274 +32'h4183685c,32'h3e779b11,32'h3e80db26, 32'h3e7006a5,32'h3e84a55b, 32'h3e63649d,32'h3e8af660,// invsqrt(16.4260) = 0.2467 +32'h3d87369a,32'h407418ac,32'h407e0f3b, 32'h406c9fc1,32'h4082c413, 32'h40602b8f,32'h4088fe2d,// invsqrt(0.0660) = 3.8918 +32'h3fb1693c,32'h3f551940,32'h3f5dcbea, 32'h3f4e9341,32'h3f6451e9, 32'h3f43b3ec,32'h3f6f313e,// invsqrt(1.3860) = 0.8494 +32'h3fcd8447,32'h3f45fdee,32'h3f4e12be, 32'h3f3fee52,32'h3f54225a, 32'h3f35d44d,32'h3f5e3c5f,// invsqrt(1.6056) = 0.7892 +32'h3f33e3de,32'h3f95a441,32'h3f9bbfdb, 32'h3f910f8d,32'h3fa0548f, 32'h3f896d0d,32'h3fa7f70f,// invsqrt(0.7027) = 1.1929 +32'h3ece2077,32'h3fc5b2dd,32'h3fcdc49d, 32'h3fbfa58d,32'h3fd3d1ed, 32'h3fb58f5d,32'h3fdde81d,// invsqrt(0.4026) = 1.5760 +32'h3eb0bfe4,32'h3fd57f3d,32'h3fde3611, 32'h3fcef61f,32'h3fe4bf2f, 32'h3fc41196,32'h3fefa3b8,// invsqrt(0.3452) = 1.7020 +32'h4128818c,32'h3e9a9d23,32'h3ea0ecb1, 32'h3e95e178,32'h3ea5a85c, 32'h3e8dfe06,32'h3ead8bce,// invsqrt(10.5316) = 0.3081 +32'h406d05d5,32'h3f025d70,32'h3f07af9e, 32'h3efcbf9a,32'h3f0bad41, 32'h3eef7226,32'h3f1253fb,// invsqrt(3.7035) = 0.5196 +32'h3ee30455,32'h3fbc6212,32'h3fc4127c, 32'h3fb69dc3,32'h3fc9d6cb, 32'h3fad0140,32'h3fd3734e,// invsqrt(0.4434) = 1.5018 +32'h40656352,32'h3f048448,32'h3f09ecf2, 32'h3f0075c8,32'h3f0dfb72, 32'h3ef365e7,32'h3f14be46,// invsqrt(3.5842) = 0.5282 +32'h3ff4c4c9,32'h3f356c5e,32'h3f3cd40e, 32'h3f2fde9a,32'h3f4261d2, 32'h3f269cfd,32'h3f4ba36f,// invsqrt(1.9123) = 0.7231 +32'h3e5e6f51,32'h4006926d,32'h400c1091, 32'h400273d2,32'h40102f2c, 32'h3ff72c4b,32'h40170cd8,// invsqrt(0.2172) = 2.1456 +32'h3ee83fb7,32'h3fba3fc6,32'h3fc1d9e2, 32'h3fb48c30,32'h3fc78d78, 32'h3fab0b8c,32'h3fd10e1c,// invsqrt(0.4536) = 1.4848 +32'h40210ffa,32'h3f1e2571,32'h3f2499e9, 32'h3f194e18,32'h3f297142, 32'h3f113c82,32'h3f3182d8,// invsqrt(2.5166) = 0.6304 +32'h3f89de7e,32'h3f71bbe0,32'h3f7b99c0, 32'h3f6a5579,32'h3f818014, 32'h3f5e0022,32'h3f87aabf,// invsqrt(1.0771) = 0.9635 +32'h3ef2bb73,32'h3fb62eca,32'h3fbd9e6a, 32'h3fb09b12,32'h3fc33222, 32'h3fa74f8b,32'h3fcc7da9,// invsqrt(0.4741) = 1.4523 +32'h3ea2d071,32'h3fde7236,32'h3fe7868b, 32'h3fd7a2f5,32'h3fee55cb, 32'h3fcc498a,32'h3ff9af36,// invsqrt(0.3180) = 1.7733 +32'h3f660719,32'h3f845513,32'h3f89bbd0, 32'h3f804806,32'h3f8dc8de, 32'h3f730f34,32'h3f94894a,// invsqrt(0.8985) = 1.0549 +32'h3fa24317,32'h3f5ed304,32'h3f67eb4e, 32'h3f5800cd,32'h3f6ebd85, 32'h3f4ca272,32'h3f7a1be0,// invsqrt(1.2677) = 0.8882 +32'h3f96309d,32'h3f679b41,32'h3f710f4e, 32'h3f608437,32'h3f782657, 32'h3f54b326,32'h3f81fbb4,// invsqrt(1.1734) = 0.9232 +32'h3f91e43a,32'h3f6afe5c,32'h3f7495ce, 32'h3f63ccc7,32'h3f7bc763, 32'h3f57cf79,32'h3f83e258,// invsqrt(1.1398) = 0.9367 +32'h405a76ef,32'h3f07ca0f,32'h3f0d54eb, 32'h3f03a1ea,32'h3f117d10, 32'h3ef968ae,32'h3f186aa3,// invsqrt(3.4135) = 0.5413 +32'h3f49ee52,32'h3f8d3d2e,32'h3f9300fc, 32'h3f88ea54,32'h3f9753d6, 32'h3f81b593,32'h3f9e8897,// invsqrt(0.7888) = 1.1259 +32'h3fe12b7c,32'h3f3d2777,32'h3f44dfef, 32'h3f375d1d,32'h3f4aaa49, 32'h3f2db688,32'h3f5450df,// invsqrt(1.7591) = 0.7540 +32'h3f4586cb,32'h3f8ece12,32'h3f94a23c, 32'h3f8a6ef2,32'h3f99015c, 32'h3f8325bd,32'h3fa04a91,// invsqrt(0.7716) = 1.1384 +32'h3f606821,32'h3f85fabb,32'h3f8b72ad, 32'h3f81e0c5,32'h3f8f8ca3, 32'h3f7615aa,32'h3f966293,// invsqrt(0.8766) = 1.0681 +32'h3de81df9,32'h403a4d4f,32'h4041e7f9, 32'h4034994f,32'h40479bf9, 32'h402b17fa,32'h40511d4e,// invsqrt(0.1133) = 2.9704 +32'h3e53a0e7,32'h4009f70a,32'h400f98a2, 32'h4005bdd8,32'h4013d1d4, 32'h3ffd67b4,32'h401adbd2,// invsqrt(0.2067) = 2.1997 +32'h3ec780fd,32'h3fc8f412,32'h3fd127d5, 32'h3fc2cd41,32'h3fd74ea7, 32'h3fb88c8f,32'h3fe18f59,// invsqrt(0.3897) = 1.6020 +32'h3fbd74d1,32'h3f4e3695,32'h3f56a14d, 32'h3f47e68b,32'h3f5cf157, 32'h3f3d6126,32'h3f6776bc,// invsqrt(1.4801) = 0.8220 +32'h40c9b1ba,32'h3ec7dbf8,32'h3ed0044c, 32'h3ec1bdba,32'h3ed6228a, 32'h3eb78b52,32'h3ee054f2,// invsqrt(6.3029) = 0.3983 +32'h3e178fa5,32'h40230729,32'h4029aea3, 32'h401e098e,32'h402eac3e, 32'h4015b835,32'h4036fd97,// invsqrt(0.1480) = 2.5993 +32'h400cebfe,32'h3f2911f4,32'h3f2ff890, 32'h3f23e4ff,32'h3f352585, 32'h3f1b44bb,32'h3f3dc5c9,// invsqrt(2.2019) = 0.6739 +32'h4034a6b3,32'h3f155379,32'h3f1b6bc7, 32'h3f10c13e,32'h3f1ffe02, 32'h3f0922dd,32'h3f279c63,// invsqrt(2.8227) = 0.5952 +32'h3f33c58c,32'h3f95b0df,32'h3f9bccfd, 32'h3f911bc8,32'h3fa06214, 32'h3f8978a3,32'h3fa80539,// invsqrt(0.7022) = 1.1933 +32'h3f8e2e4a,32'h3f6e0a52,32'h3f77c19a, 32'h3f66c0dd,32'h3f7f0b0f, 32'h3f5a9bc4,32'h3f859814,// invsqrt(1.1108) = 0.9488 +32'h40ebd77e,32'h3eb8d332,32'h3ec05e6e, 32'h3eb32ac6,32'h3ec606da, 32'h3ea9bcbb,32'h3ecf74e5,// invsqrt(7.3701) = 0.3684 +32'h4020d5c1,32'h3f1e420f,32'h3f24b7b1, 32'h3f1969d5,32'h3f298feb, 32'h3f1156ca,32'h3f31a2f6,// invsqrt(2.5130) = 0.6308 +32'h3f40c795,32'h3f908d6e,32'h3f9673da, 32'h3f8c209c,32'h3f9ae0ac, 32'h3f84c094,32'h3fa240b4,// invsqrt(0.7530) = 1.1524 +32'h3fc1c8de,32'h3f4be5b2,32'h3f543836, 32'h3f45a7ce,32'h3f5a761a, 32'h3f3b40a8,32'h3f64dd40,// invsqrt(1.5139) = 0.8127 +32'h3f2b7e29,32'h3f9942f2,32'h3f9f845f, 32'h3f9491e0,32'h3fa43570, 32'h3f8cc017,32'h3fac0739,// invsqrt(0.6699) = 1.2218 +32'h3f22b8fc,32'h3f9d5663,32'h3fa3c267, 32'h3f988560,32'h3fa8936a, 32'h3f907e5b,32'h3fb09a6f,// invsqrt(0.6356) = 1.2543 +32'h41117123,32'h3ea66c14,32'h3ead3705, 32'h3ea153df,32'h3eb24f39, 32'h3e98d631,32'h3ebacce7,// invsqrt(9.0901) = 0.3317 +32'h418f2eb3,32'h3e6d34cf,32'h3e76e35f, 32'h3e65f1e3,32'h3e7e264b, 32'h3e59d7ae,32'h3e852040,// invsqrt(17.8978) = 0.2364 +32'h40948b17,32'h3ee8e2f7,32'h3ef26465, 32'h3ee1c1e5,32'h3ef98577, 32'h3ed5e01d,32'h3f02b3a0,// invsqrt(4.6420) = 0.4641 +32'h3f86c673,32'h3f747e27,32'h3f7e78db, 32'h3f6d0221,32'h3f82fa71, 32'h3f6088c1,32'h3f893721,// invsqrt(1.0529) = 0.9745 +32'h3f93ffa0,32'h3f695098,32'h3f72d67e, 32'h3f622c2a,32'h3f79faec, 32'h3f5644ca,32'h3f82f126,// invsqrt(1.1562) = 0.9300 +32'h3ed1cd59,32'h3fc3f59a,32'h3fcbf52e, 32'h3fbdf5ec,32'h3fd1f4dc, 32'h3fb3f674,32'h3fdbf454,// invsqrt(0.4098) = 1.5622 +32'h40ef244d,32'h3eb78b99,32'h3ebf0975, 32'h3eb1ed33,32'h3ec4a7db, 32'h3ea88fe0,32'h3ece052e,// invsqrt(7.4732) = 0.3658 +32'h3f2f93e2,32'h3f9777da,32'h3f9da68a, 32'h3f92d4d6,32'h3fa2498e, 32'h3f8b1a7a,32'h3faa03ea,// invsqrt(0.6859) = 1.2075 +32'h3f5de022,32'h3f86bdd2,32'h3f8c3dbc, 32'h3f829de3,32'h3f905dab, 32'h3f777c00,32'h3f973d8e,// invsqrt(0.8667) = 1.0742 +32'h40883da6,32'h3ef32c95,32'h3efd1981, 32'h3eebbae4,32'h3f024599, 32'h3edf52bd,32'h3f0879ac,// invsqrt(4.2575) = 0.4846 +32'h4214b0bb,32'h3e249820,32'h3e2b4ff8, 32'h3e1f8e3f,32'h3e3059d9, 32'h3e172871,32'h3e38bfa7,// invsqrt(37.1726) = 0.1640 +32'h3f42711a,32'h3f8feeeb,32'h3f95cedf, 32'h3f8b86f3,32'h3f9a36d7, 32'h3f842f01,32'h3fa18ec9,// invsqrt(0.7595) = 1.1474 +32'h4069177f,32'h3f0375ad,32'h3f08d34b, 32'h3efedeec,32'h3f0cd982, 32'h3ef174df,32'h3f138e88,// invsqrt(3.6421) = 0.5240 +32'h3e1ac4b1,32'h40215477,32'h4027ea33, 32'h401c642a,32'h402cda80, 32'h40142900,32'h403515aa,// invsqrt(0.1511) = 2.5722 +32'h3f33cdf6,32'h3f95ad5e,32'h3f9bc958, 32'h3f911863,32'h3fa05e53, 32'h3f89756c,32'h3fa8014a,// invsqrt(0.7024) = 1.1932 +32'h3faddb03,32'h3f574444,32'h3f600d96, 32'h3f50ad48,32'h3f66a492, 32'h3f45b1a2,32'h3f71a038,// invsqrt(1.3582) = 0.8580 +32'h400185f2,32'h3f305a4d,32'h3f378d01, 32'h3f2af445,32'h3f3cf309, 32'h3f21f4e3,32'h3f45f26b,// invsqrt(2.0238) = 0.7029 +32'h40089840,32'h3f2bba29,32'h3f32bc89, 32'h3f267861,32'h3f37fe51, 32'h3f1db56a,32'h3f40c148,// invsqrt(2.1343) = 0.6845 +32'h3f592714,32'h3f8832e8,32'h3f8dc20c, 32'h3f84078e,32'h3f91ed66, 32'h3f7a2942,32'h3f98e053,// invsqrt(0.8483) = 1.0858 +32'h3f2f837b,32'h3f977eee,32'h3f9dade8, 32'h3f92dbb2,32'h3fa25124, 32'h3f8b20fa,32'h3faa0bdc,// invsqrt(0.6856) = 1.2077 +32'h4091b57a,32'h3eeb240c,32'h3ef4bd07, 32'h3ee3f14f,32'h3efbefc3, 32'h3ed7f215,32'h3f03f77f,// invsqrt(4.5534) = 0.4686 +32'h3f8f5e26,32'h3f6d0d8b,32'h3f76ba81, 32'h3f65cbd2,32'h3f7dfc3a, 32'h3f59b39f,32'h3f850a36,// invsqrt(1.1201) = 0.9449 +32'h3f047fbf,32'h3fae5c74,32'h3fb57a5a, 32'h3fa90608,32'h3fbad0c6, 32'h3fa020aa,32'h3fc3b625,// invsqrt(0.5176) = 1.3900 +32'h3ea7f594,32'h3fdb0337,32'h3fe3f3ac, 32'h3fd44edf,32'h3feaa803, 32'h3fc9224c,32'h3ff5d496,// invsqrt(0.3280) = 1.7460 +32'h3f98b97d,32'h3f65ad30,32'h3f6f0d12, 32'h3f5ea546,32'h3f7614fc, 32'h3f52ed6a,32'h3f80e66c,// invsqrt(1.1932) = 0.9155 +32'h40e65c39,32'h3ebb02d4,32'h3ec2a4e7, 32'h3eb54945,32'h3ec85e75, 32'h3eabbead,32'h3ed1e90d,// invsqrt(7.1988) = 0.3727 +32'h40b00200,32'h3ed5f24a,32'h3edeadcf, 32'h3ecf65a5,32'h3ee53a73, 32'h3ec47b3e,32'h3ef024da,// invsqrt(5.5002) = 0.4264 +32'h3f215f27,32'h3f9dfea1,32'h3fa47183, 32'h3f992878,32'h3fa947ac, 32'h3f9118dd,32'h3fb15747,// invsqrt(0.6304) = 1.2595 +32'h3f848f57,32'h3f7686f9,32'h3f804b77, 32'h3f6efb01,32'h3f841173, 32'h3f62670f,32'h3f8a5b6d,// invsqrt(1.0356) = 0.9827 +32'h3e52231d,32'h400a7426,32'h40101ada, 32'h40063720,32'h401457e0, 32'h3ffe4d80,32'h401b6840,// invsqrt(0.2052) = 2.2075 +32'h3f00f052,32'h3fb0c082,32'h3fb7f762, 32'h3fab5759,32'h3fbd608b, 32'h3fa252c0,32'h3fc66524,// invsqrt(0.5037) = 1.4091 +32'h3f0a6abc,32'h3faa97d4,32'h3fb18e5a, 32'h3fa55eef,32'h3fb6c73f, 32'h3f9caac8,32'h3fbf7b66,// invsqrt(0.5407) = 1.3600 +32'h4101f1fe,32'h3eb010ed,32'h3eb740a3, 32'h3eaaad24,32'h3ebca46c, 32'h3ea1b181,32'h3ec5a00f,// invsqrt(8.1216) = 0.3509 +32'h3e1482b6,32'h4024b19f,32'h402b6a80, 32'h401fa6f5,32'h40307529, 32'h40173fda,32'h4038dc44,// invsqrt(0.1450) = 2.6259 +32'h4099ad3c,32'h3ee4f6c2,32'h3eee4f32, 32'h3eddf46e,32'h3ef55186, 32'h3ed245e1,32'h3f00800a,// invsqrt(4.8024) = 0.4563 +32'h3f69bc9c,32'h3f834736,32'h3f88a2f0, 32'h3f7e84d7,32'h3f8ca7ba, 32'h3f711f89,32'h3f935a62,// invsqrt(0.9130) = 1.0465 +32'h3c8730db,32'h40f41ddc,32'h40fe14a2, 32'h40eca4c9,32'h4102c6db, 32'h40e03052,32'h41090116,// invsqrt(0.0165) = 7.7843 +32'h3eaf1e3c,32'h3fd67d3f,32'h3fdf3e70, 32'h3fcfec59,32'h3fe5cf55, 32'h3fc4fadb,32'h3ff0c0d3,// invsqrt(0.3420) = 1.7099 +32'h411de78f,32'h3e9fb844,32'h3ea63d2d, 32'h3e9ad496,32'h3eab20dc, 32'h3e92ae73,32'h3eb346ff,// invsqrt(9.8690) = 0.3183 +32'h3e93952b,32'h3fe9a4af,32'h3ff32e05, 32'h3fe27daf,32'h3ffa5505, 32'h3fd69204,32'h40032058,// invsqrt(0.2882) = 1.8626 +32'h3e660bfc,32'h400453ab,32'h4009ba59, 32'h400046a8,32'h400dc75c, 32'h3ff30c9d,32'h401487b5,// invsqrt(0.2247) = 2.1098 +32'h3f4bb00e,32'h3f8ca0eb,32'h3f925e57, 32'h3f8852d9,32'h3f96ac69, 32'h3f812611,32'h3f9dd931,// invsqrt(0.7957) = 1.1211 +32'h40937e48,32'h3ee9b6cf,32'h3ef340e1, 32'h3ee28f40,32'h3efa6870, 32'h3ed6a2a9,32'h3f032a84,// invsqrt(4.6092) = 0.4658 +32'h3f2430b5,32'h3f9ca1f6,32'h3fa3069d, 32'h3f97d67a,32'h3fa7d21a, 32'h3f8fd8a9,32'h3fafcfeb,// invsqrt(0.6414) = 1.2487 +32'h3df16874,32'h4036ae88,32'h403e235e, 32'h403116e7,32'h4043baff, 32'h4027c4db,32'h404d0d0b,// invsqrt(0.1179) = 2.9127 +32'h3f5add05,32'h3f87aa60,32'h3f8d33f0, 32'h3f838333,32'h3f915b1d, 32'h3f792e7b,32'h3f984712,// invsqrt(0.8549) = 1.0815 +32'h418627ee,32'h3e750e6f,32'h3e7f0f05, 32'h3e6d8dfe,32'h3e8347bb, 32'h3e610d41,32'h3e89881a,// invsqrt(16.7695) = 0.2442 +32'h3e8e5d18,32'h3fede32e,32'h3ff798dc, 32'h3fe69aeb,32'h3ffee11f, 32'h3fda77d1,32'h4005821c,// invsqrt(0.2781) = 1.8964 +32'h40704e80,32'h3f0178a0,32'h3f06c178, 32'h3efb03fd,32'h3f0ab819, 32'h3eedcde2,32'h3f115327,// invsqrt(3.7548) = 0.5161 +32'h3ea5ec96,32'h3fdc5a02,32'h3fe55876, 32'h3fd59b2c,32'h3fec174c, 32'h3fca5d1c,32'h3ff7555c,// invsqrt(0.3241) = 1.7566 +32'h3f642bb0,32'h3f84dea8,32'h3f8a4b02, 32'h3f80cd64,32'h3f8e5c46, 32'h3f740be6,32'h3f9523b7,// invsqrt(0.8913) = 1.0592 +32'h3f111fbf,32'h3fa69ab8,32'h3fad6790, 32'h3fa18115,32'h3fb28133, 32'h3f990107,32'h3fbb0141,// invsqrt(0.5669) = 1.3282 +32'h3fbc92c4,32'h3f4eb209,32'h3f5721cb, 32'h3f485e38,32'h3f5d759c, 32'h3f3dd286,32'h3f68014e,// invsqrt(1.4732) = 0.8239 +32'h3eb926a1,32'h3fd098d7,32'h3fd91c77, 32'h3fca361f,32'h3fdf7f2f, 32'h3fbf9196,32'h3fea23b8,// invsqrt(0.3616) = 1.6629 +32'h412b49fd,32'h3e995a47,32'h3e9f9ca7, 32'h3e94a87e,32'h3ea44e70, 32'h3e8cd585,32'h3eac2169,// invsqrt(10.7056) = 0.3056 +32'h40171e1a,32'h3f23445d,32'h3f29ee57, 32'h3f1e44e2,32'h3f2eedd2, 32'h3f15f06b,32'h3f374249,// invsqrt(2.3612) = 0.6508 +32'h3d357fb6,32'h4094fa19,32'h409b0ec1, 32'h40906a9b,32'h409f9e3f, 32'h4088d0c8,32'h40a73812,// invsqrt(0.0443) = 4.7505 +32'h3f620667,32'h3f857fba,32'h3f8af2a8, 32'h3f816988,32'h3f8f08da, 32'h3f7533bf,32'h3f95d883,// invsqrt(0.8829) = 1.0642 +32'h3f2bad14,32'h3f992dff,32'h3f9f6e91, 32'h3f947d91,32'h3fa41eff, 32'h3f8cacda,32'h3fabefb6,// invsqrt(0.6706) = 1.2211 +32'h40c21bf7,32'h3ecbba08,32'h3ed40ac4, 32'h3ec57d7a,32'h3eda4752, 32'h3ebb188f,32'h3ee4ac3d,// invsqrt(6.0659) = 0.4060 +32'h41719603,32'h3e8120bf,32'h3e866601, 32'h3e7a599d,32'h3e8a59f2, 32'h3e6d2c7a,32'h3e90f083,// invsqrt(15.0991) = 0.2573 +32'h41167ede,32'h3ea39aa6,32'h3eaa4824, 32'h3e9e9886,32'h3eaf4a44, 32'h3e963fa8,32'h3eb7a322,// invsqrt(9.4060) = 0.3261 +32'h3f72b8d7,32'h3f80d34c,32'h3f861564, 32'h3f79c374,32'h3f8a06f6, 32'h3f6c9e38,32'h3f909994,// invsqrt(0.9481) = 1.0270 +32'h40a6ded3,32'h3edbb9d8,32'h3ee4b1c2, 32'h3ed4ffe9,32'h3eeb6bb1, 32'h3ec9ca05,32'h3ef6a195,// invsqrt(5.2147) = 0.4379 +32'h40698648,32'h3f03567b,32'h3f08b2d3, 32'h3efea270,32'h3f0cb816, 32'h3ef13b93,32'h3f136b84,// invsqrt(3.6488) = 0.5235 +32'h40e77bee,32'h3eba8e78,32'h3ec22bcc, 32'h3eb4d87a,32'h3ec7e1ca, 32'h3eab53d2,32'h3ed16672,// invsqrt(7.2339) = 0.3718 +32'h3d0540e0,32'h40adddec,32'h40b4f6a7, 32'h40a88b5f,32'h40ba4933, 32'h409fac75,32'h40c3281d,// invsqrt(0.0325) = 5.5442 +32'h3e1cd90b,32'h402041c5,32'h4026cc4b, 32'h401b59e1,32'h402bb42f, 32'h40132cba,32'h4033e156,// invsqrt(0.1532) = 2.5551 +32'h3f1253e7,32'h3fa5eaee,32'h3facb09a, 32'h3fa0d6ad,32'h3fb1c4db, 32'h3f985f97,32'h3fba3bf1,// invsqrt(0.5716) = 1.3227 +32'h3f96dfc8,32'h3f6714a7,32'h3f708335, 32'h3f6001bc,32'h3f779620, 32'h3f543789,32'h3f81b029,// invsqrt(1.1787) = 0.9211 +32'h3e6ec7d4,32'h4001e25f,32'h40072f87, 32'h3ffbd101,32'h400b2966, 32'h3fee901c,32'h4011c9d8,// invsqrt(0.2332) = 2.0709 +32'h3f86618a,32'h3f74d9e2,32'h3f7ed854, 32'h3f6d5b0d,32'h3f832b95, 32'h3f60dcff,32'h3f896a9c,// invsqrt(1.0499) = 0.9760 +32'h400343c2,32'h3f2f2dd4,32'h3f365446, 32'h3f29d100,32'h3f3bb11a, 32'h3f20e0f2,32'h3f44a128,// invsqrt(2.0510) = 0.6983 +32'h3fc6cf16,32'h3f494de8,32'h3f518554, 32'h3f432456,32'h3f57aee6, 32'h3f38df0e,32'h3f61f42e,// invsqrt(1.5532) = 0.8024 +32'h3fd95b13,32'h3f408636,32'h3f4861e4, 32'h3f3aa173,32'h3f4e46a7, 32'h3f30ced8,32'h3f581942,// invsqrt(1.6981) = 0.7674 +32'h3f8453a4,32'h3f76be8f,32'h3f806865, 32'h3f6f30e3,32'h3f842f3a, 32'h3f629a1b,32'h3f8a7a9f,// invsqrt(1.0338) = 0.9835 +32'h3f2adf4c,32'h3f998a20,32'h3f9fce74, 32'h3f94d6e0,32'h3fa481b4, 32'h3f8d0176,32'h3fac571e,// invsqrt(0.6675) = 1.2240 +32'h439e4a00,32'h3d619a76,32'h3d6acfca, 32'h3d5ab278,32'h3d71b7c8, 32'h3d4f2fd0,32'h3d7d3a70,// invsqrt(316.5781) = 0.0562 +32'h401ae17a,32'h3f214579,32'h3f27da98, 32'h3f1c55a1,32'h3f2cca6f, 32'h3f141b3a,32'h3f3504d6,// invsqrt(2.4200) = 0.6428 +32'h400c18a7,32'h3f29914a,32'h3f307d18, 32'h3f24606f,32'h3f35adf3, 32'h3f1bb9ac,32'h3f3e54b6,// invsqrt(2.1890) = 0.6759 +32'h41082564,32'h3eac028a,32'h3eb307de, 32'h3ea6be8b,32'h3eb84bdd, 32'h3e9df7e2,32'h3ec11286,// invsqrt(8.5091) = 0.3428 +32'h3f853509,32'h3f75ed76,32'h3f7ff727, 32'h3f6e6630,32'h3f83bf36, 32'h3f61da13,32'h3f8a0544,// invsqrt(1.0407) = 0.9803 +32'h3f8ed9f0,32'h3f6d7b24,32'h3f772c93, 32'h3f663610,32'h3f7e71a6, 32'h3f5a1845,32'h3f8547b8,// invsqrt(1.1160) = 0.9466 +32'h3f091c6f,32'h3fab674e,32'h3fb2664c, 32'h3fa62810,32'h3fb7a58a, 32'h3f9d6952,32'h3fc06448,// invsqrt(0.5356) = 1.3664 +32'h43c34530,32'h3d4b1ec1,32'h3d536927, 32'h3d44e6f5,32'h3d59a0f3, 32'h3d3a89f5,32'h3d63fdf3,// invsqrt(390.5405) = 0.0506 +32'h3f573d26,32'h3f88cd93,32'h3f8e6307, 32'h3f849d7c,32'h3f92931e, 32'h3f7b4557,32'h3f998dee,// invsqrt(0.8408) = 1.0906 +32'h3e9792ab,32'h3fe68c22,32'h3feff51e, 32'h3fdf7d65,32'h3ff703db, 32'h3fd3ba2a,32'h4001638b,// invsqrt(0.2960) = 1.8379 +32'h3f28078a,32'h3f9ad53b,32'h3fa12713, 32'h3f9617d8,32'h3fa5e476, 32'h3f8e318a,32'h3fadcac4,// invsqrt(0.6564) = 1.2343 +32'h3e1ff315,32'h401eb20a,32'h40252c3f, 32'h4019d663,32'h402a07e7, 32'h4011bda1,32'h403220a9,// invsqrt(0.1562) = 2.5302 +32'h3ee24342,32'h3fbcb261,32'h3fc46611, 32'h3fb6eb9d,32'h3fca2cd5, 32'h3fad4b00,32'h3fd3cd72,// invsqrt(0.4419) = 1.5043 +32'h3f3d93fd,32'h3f91c497,32'h3f97b7b7, 32'h3f8d4e3f,32'h3f9c2e0f, 32'h3f85de56,32'h3fa39df8,// invsqrt(0.7405) = 1.1621 +32'h4000495f,32'h3f31335f,32'h3f386ef0, 32'h3f2bc6b3,32'h3f3ddb9d, 32'h3f22bc3e,32'h3f46e612,// invsqrt(2.0045) = 0.7063 +32'h3f60a7fb,32'h3f85e7af,32'h3f8b5edb, 32'h3f81ce4e,32'h3f8f783c, 32'h3f75f2af,32'h3f964d32,// invsqrt(0.8776) = 1.0675 +32'h3f2f520f,32'h3f979447,32'h3f9dc420, 32'h3f92f064,32'h3fa26802, 32'h3f8b3494,32'h3faa23d2,// invsqrt(0.6848) = 1.2084 +32'h4073105f,32'h3f00bc18,32'h3f05fd3e, 32'h3ef99678,32'h3f09ee1a, 32'h3eec739a,32'h3f107f89,// invsqrt(3.7979) = 0.5131 +32'h40698b90,32'h3f0354ff,32'h3f08b148, 32'h3efe9f8f,32'h3f0cb67e, 32'h3ef138d9,32'h3f1369da,// invsqrt(3.6491) = 0.5235 +32'h3fbf8c0b,32'h3f4d15b0,32'h3f55749e, 32'h3f46ce7f,32'h3f5bbbcf, 32'h3f3c57d6,32'h3f663278,// invsqrt(1.4965) = 0.8175 +32'h3f155c8b,32'h3fa4395a,32'h3faaed54, 32'h3f9f325f,32'h3faff44f, 32'h3f96d168,32'h3fb85546,// invsqrt(0.5834) = 1.3092 +32'h3ea69243,32'h3fdbec52,32'h3fe4e64a, 32'h3fd530d7,32'h3feba1c5, 32'h3fc9f860,32'h3ff6da3c,// invsqrt(0.3253) = 1.7532 +32'h402015c2,32'h3f1ea0d9,32'h3f251a5b, 32'h3f19c5b9,32'h3f29f57b, 32'h3f11add7,32'h3f320d5d,// invsqrt(2.5013) = 0.6323 +32'h4038e8a0,32'h3f1398d1,32'h3f199f0e, 32'h3f0f1424,32'h3f1e23bc, 32'h3f078c58,32'h3f25ab88,// invsqrt(2.8892) = 0.5883 +32'h3e1365fb,32'h40255064,32'h402c0fc0, 32'h402040de,32'h40311f46, 32'h4017d1aa,32'h40398e7a,// invsqrt(0.1439) = 2.6357 +32'h3f15dfe4,32'h3fa3f154,32'h3faaa25c, 32'h3f9eec8d,32'h3fafa723, 32'h3f968f42,32'h3fb8046e,// invsqrt(0.5854) = 1.3069 +32'h3eef4c9f,32'h3fb77c22,32'h3fbef95c, 32'h3fb1de35,32'h3fc49749, 32'h3fa881ac,32'h3fcdf3d2,// invsqrt(0.4674) = 1.4627 +32'h3eb42457,32'h3fd37a2b,32'h3fdc1be3, 32'h3fcd00e0,32'h3fe2952e, 32'h3fc236ba,32'h3fed5f55,// invsqrt(0.3518) = 1.6859 +32'h3f87fd61,32'h3f736605,32'h3f7d5549, 32'h3f6bf292,32'h3f82645e, 32'h3f5f877d,32'h3f8899e9,// invsqrt(1.0624) = 0.9702 +32'h404affda,32'h3f0cdde6,32'h3f129dd0, 32'h3f088df6,32'h3f16edc0, 32'h3f015e12,32'h3f1e1da4,// invsqrt(3.1719) = 0.5615 +32'h4035f54e,32'h3f14c9ee,32'h3f1adc9e, 32'h3f103be9,32'h3f1f6aa3, 32'h3f08a48c,32'h3f270200,// invsqrt(2.8431) = 0.5931 +32'h3e03126f,32'h402f4ec7,32'h40367691, 32'h4029f0f0,32'h403bd468, 32'h4020ff34,32'h4044c624,// invsqrt(0.1280) = 2.7951 +32'h4027c704,32'h3f1af2fe,32'h3f21460d, 32'h3f1634b2,32'h3f260458, 32'h3f0e4cde,32'h3f2dec2c,// invsqrt(2.6215) = 0.6176 +32'h41e09595,32'h3e3d668d,32'h3e452197, 32'h3e379a44,32'h3e4aede0, 32'h3e2df077,32'h3e5497ad,// invsqrt(28.0730) = 0.1887 +32'h3dd02422,32'h4044bd5f,32'h404cc519, 32'h403eb793,32'h4052cae5, 32'h4034ade9,32'h405cd48f,// invsqrt(0.1016) = 3.1368 +32'h407f5e8c,32'h3efb308a,32'h3f02b89b, 32'h3ef38008,32'h3f0690dc, 32'h3ee6af30,32'h3f0cf948,// invsqrt(3.9901) = 0.5006 +32'h3fafa29b,32'h3f562c5c,32'h3f5eea40, 32'h3f4f9df0,32'h3f6578ac, 32'h3f44b093,32'h3f706609,// invsqrt(1.3721) = 0.8537 +32'h3f2bd288,32'h3f991d4c,32'h3f9f5d30, 32'h3f946d61,32'h3fa40d1b, 32'h3f8c9d85,32'h3fabdcf7,// invsqrt(0.6712) = 1.2206 +32'h421b86a6,32'h3e20efbf,32'h3e27815e, 32'h3e1c0287,32'h3e2c6e95, 32'h3e13cc80,32'h3e34a49c,// invsqrt(38.8815) = 0.1604 +32'h3fdb11dd,32'h3f3fc505,32'h3f4798d1, 32'h3f39e62c,32'h3f4d77aa, 32'h3f301d6d,32'h3f574069,// invsqrt(1.7115) = 0.7644 +32'h41d5f7e9,32'h3e420ace,32'h3e49f65a, 32'h3e3c1a26,32'h3e4fe702, 32'h3e3233b8,32'h3e59cd70,// invsqrt(26.7460) = 0.1934 +32'h3f50a607,32'h3f8af25d,32'h3f909e37, 32'h3f86b17a,32'h3f94df1a, 32'h3f7f3552,32'h3f9bf5eb,// invsqrt(0.8150) = 1.1077 +32'h3f1662e2,32'h3fa3a9de,32'h3faa57fc, 32'h3f9ea748,32'h3faf5a92, 32'h3f964da2,32'h3fb7b438,// invsqrt(0.5874) = 1.3047 +32'h3ec30c31,32'h3fcb3c6c,32'h3fd38808, 32'h3fc503b7,32'h3fd9c0bd, 32'h3fbaa534,32'h3fe41f40,// invsqrt(0.3810) = 1.6202 +32'h3cd980ff,32'h40c0756c,32'h40c8506c, 32'h40ba912d,32'h40ce34ab, 32'h40b0bf6e,32'h40d8066a,// invsqrt(0.0266) = 6.1371 +32'h3ea76276,32'h3fdb6361,32'h3fe457c3, 32'h3fd4ac18,32'h3feb0f0c, 32'h3fc97a9d,32'h3ff64087,// invsqrt(0.3269) = 1.7489 +32'h4080c30c,32'h3efa22fc,32'h3f022c54, 32'h3ef27abb,32'h3f060075, 32'h3ee5b7a3,32'h3f0c6200,// invsqrt(4.0238) = 0.4985 +32'h4149fe41,32'h3e8d379c,32'h3e92fb30, 32'h3e88e4ee,32'h3e974dde, 32'h3e81b075,32'h3e9e8257,// invsqrt(12.6246) = 0.2814 +32'h3f66b0e9,32'h3f842456,32'h3f898915, 32'h3f8018c6,32'h3f8d94a4, 32'h3f72b5ac,32'h3f945294,// invsqrt(0.9011) = 1.0534 +32'h3fdacfd8,32'h3f3fe1f1,32'h3f47b6eb, 32'h3f3a0235,32'h3f4d96a7, 32'h3f3037fd,32'h3f5760df,// invsqrt(1.7095) = 0.7648 +32'h3ec3e37f,32'h3fcacc9d,32'h3fd313a9, 32'h3fc49754,32'h3fd948f2, 32'h3fba3e86,32'h3fe3a1c0,// invsqrt(0.3826) = 1.6167 +32'h400f705b,32'h3f279485,32'h3f2e6b8f, 32'h3f22733d,32'h3f338cd7, 32'h3f19e66f,32'h3f3c19a5,// invsqrt(2.2412) = 0.6680 +32'h3f365090,32'h3f94a4ac,32'h3f9ab5d8, 32'h3f9017cc,32'h3f9f42b8, 32'h3f888255,32'h3fa6d82f,// invsqrt(0.7122) = 1.1850 +32'h3f5e69c4,32'h3f86941b,32'h3f8c1251, 32'h3f827573,32'h3f9030f9, 32'h3f772f61,32'h3f970ebb,// invsqrt(0.8688) = 1.0729 +32'h3fc5cef6,32'h3f49d011,32'h3f520ccd, 32'h3f43a283,32'h3f583a5b, 32'h3f395697,32'h3f628647,// invsqrt(1.5454) = 0.8044 +32'h3f089a87,32'h3fabb8bb,32'h3fb2bb0b, 32'h3fa676fe,32'h3fb7fcc8, 32'h3f9db419,32'h3fc0bfad,// invsqrt(0.5336) = 1.3690 +32'h3ea722b6,32'h3fdb8d34,32'h3fe4834c, 32'h3fd4d4a3,32'h3feb3bdd, 32'h3fc9a106,32'h3ff66f7a,// invsqrt(0.3264) = 1.7503 +32'h4011d4b0,32'h3f26333c,32'h3f2cfbdc, 32'h3f211cc5,32'h3f321253, 32'h3f18a1fe,32'h3f3a8d1a,// invsqrt(2.2786) = 0.6625 +32'h3a47d8af,32'h420df943,32'h4213c4be, 32'h4209a0a7,32'h42181d5b, 32'h4202624e,32'h421f5bb4,// invsqrt(0.0008) = 36.2178 +32'h3f878cc7,32'h3f73cb08,32'h3f7dbe6c, 32'h3f6c547e,32'h3f829a7b, 32'h3f5fe441,32'h3f88d29a,// invsqrt(1.0590) = 0.9718 +32'h3f24a8e0,32'h3f9c68c4,32'h3fa2cb16, 32'h3f979f08,32'h3fa794d2, 32'h3f8fa422,32'h3faf8fb8,// invsqrt(0.6432) = 1.2469 +32'h3f82a3ac,32'h3f785530,32'h3f813c02, 32'h3f70bb12,32'h3f850911, 32'h3f640f8b,32'h3f8b5ed5,// invsqrt(1.0206) = 0.9898 +32'h3dbd9d39,32'h404e209b,32'h40568a6d, 32'h4047d13d,32'h405cd9cb, 32'h403d4cf7,32'h40675e11,// invsqrt(0.0926) = 3.2865 +32'h3fa9468e,32'h3f5a28cb,32'h3f631056, 32'h3f537b23,32'h3f69bdff, 32'h3f4859b6,32'h3f74df6c,// invsqrt(1.3225) = 0.8696 +32'h3fc92001,32'h3f482452,32'h3f504f9a, 32'h3f4203dd,32'h3f56700f, 32'h3f37cdc4,32'h3f60a628,// invsqrt(1.5713) = 0.7978 +32'h3f922675,32'h3f6ac917,32'h3f745e5b, 32'h3f639923,32'h3f7b8e4f, 32'h3f579e8d,32'h3f83c473,// invsqrt(1.1418) = 0.9358 +32'h3f65ac4c,32'h3f846f39,32'h3f89d707, 32'h3f80615e,32'h3f8de4e2, 32'h3f733f3a,32'h3f94a6a3,// invsqrt(0.8972) = 1.0558 +32'h40c95792,32'h3ec808b2,32'h3ed032d9, 32'h3ec1e916,32'h3ed65276, 32'h3eb7b465,32'h3ee08727,// invsqrt(6.2919) = 0.3987 +32'h3eccf221,32'h3fc6447a,32'h3fce5c2c, 32'h3fc032b5,32'h3fd46df1, 32'h3fb61518,32'h3fde8b8f,// invsqrt(0.4003) = 1.5806 +32'h3d4bd939,32'h408c92b7,32'h40924f8f, 32'h40884514,32'h40969d32, 32'h40811906,32'h409dc940,// invsqrt(0.0498) = 4.4826 +32'h3ee74b4c,32'h3fbaa214,32'h3fc24034, 32'h3fb4eb7c,32'h3fc7f6cc, 32'h3fab65d4,32'h3fd17c74,// invsqrt(0.4517) = 1.4878 +32'h3f883dcc,32'h3f732c73,32'h3f7d195e, 32'h3f6bbac4,32'h3f824587, 32'h3f5f529e,32'h3f88799a,// invsqrt(1.0644) = 0.9693 +32'h3ddc30fc,32'h403f47d5,32'h40471685, 32'h40396cd1,32'h404cf189, 32'h402faa75,32'h4056b3e5,// invsqrt(0.1075) = 3.0498 +32'h3f82725d,32'h3f78841b,32'h3f81546c, 32'h3f70e88e,32'h3f852233, 32'h3f643aa1,32'h3f8b792a,// invsqrt(1.0191) = 0.9906 +32'h40275a1a,32'h3f1b2561,32'h3f217a7f, 32'h3f16658a,32'h3f263a56, 32'h3f0e7b25,32'h3f2e24bb,// invsqrt(2.6149) = 0.6184 +32'h3f869de2,32'h3f74a2fb,32'h3f7e9f2f, 32'h3f6d25d4,32'h3f830e2b, 32'h3f60aa93,32'h3f894bcc,// invsqrt(1.0517) = 0.9751 +32'h3d4ebed9,32'h408b95b3,32'h40914837, 32'h40874fcf,32'h40958e1b, 32'h408030a9,32'h409cad41,// invsqrt(0.0505) = 4.4510 +32'h3efef1f6,32'h3fb1c3fc,32'h3fb90574, 32'h3fac52e2,32'h3fbe768e, 32'h3fa3410c,32'h3fc78864,// invsqrt(0.4979) = 1.4171 +32'h3f9d74de,32'h3f6232f3,32'h3f6b6e7f, 32'h3f5b4649,32'h3f725b29, 32'h3f4fbbda,32'h3f7de598,// invsqrt(1.2301) = 0.9016 +32'h3f8abb3e,32'h3f70fb40,32'h3f7ad143, 32'h3f699abf,32'h3f8118e3, 32'h3f5d4f3b,32'h3f873ea4,// invsqrt(1.0838) = 0.9605 +32'h3fddb9fd,32'h3f3e9e04,32'h3f4665c6, 32'h3f38c833,32'h3f4c3b97, 32'h3f2f0e81,32'h3f55f549,// invsqrt(1.7322) = 0.7598 +32'h3f75183a,32'h3f803348,32'h3f856ed8, 32'h3f788d38,32'h3f895b84, 32'h3f6b7850,32'h3f8fe5f8,// invsqrt(0.9574) = 1.0220 +32'h3e611b85,32'h4005c54e,32'h400b3b12, 32'h4001acfa,32'h400f5366, 32'h3ff5b38a,32'h4016269b,// invsqrt(0.2198) = 2.1328 +32'h3f31f390,32'h3f96745d,32'h3f9c9875, 32'h3f91d94a,32'h3fa13388, 32'h3f8a2c2b,32'h3fa8e0a7,// invsqrt(0.6951) = 1.1994 +32'h3ebb0c3d,32'h3fcf895f,32'h3fd801eb, 32'h3fc92ef6,32'h3fde5c54, 32'h3fbe9848,32'h3fe8f302,// invsqrt(0.3653) = 1.6545 +32'h3fb17227,32'h3f5513e5,32'h3f5dc657, 32'h3f4e8e10,32'h3f644c2c, 32'h3f43af01,32'h3f6f2b3b,// invsqrt(1.3863) = 0.8493 +32'h3f5dd270,32'h3f86c1fb,32'h3f8c420f, 32'h3f82a1eb,32'h3f90621f, 32'h3f7783a3,32'h3f974239,// invsqrt(0.8665) = 1.0743 +32'h3f412892,32'h3f90691f,32'h3f964e10, 32'h3f8bfd69,32'h3f9ab9c5, 32'h3f849f3b,32'h3fa217f3,// invsqrt(0.7545) = 1.1512 +32'h3f540e92,32'h3f89d359,32'h3f8f737b, 32'h3f859b3e,32'h3f93ab96, 32'h3f7d2625,32'h3f9ab3c1,// invsqrt(0.8283) = 1.0987 +32'h3f676153,32'h3f83f1ec,32'h3f89549c, 32'h3f7fcfce,32'h3f8d5ea1, 32'h3f725914,32'h3f9419fe,// invsqrt(0.9038) = 1.0519 +32'h40669e1f,32'h3f0429b8,32'h3f098eaf, 32'h3f001dfd,32'h3f0d9a69, 32'h3ef2bf8f,32'h3f14589e,// invsqrt(3.6034) = 0.5268 +32'h3f284775,32'h3f9ab7d0,32'h3fa10876, 32'h3f95fb54,32'h3fa5c4f2, 32'h3f8e1686,32'h3fada9c0,// invsqrt(0.6573) = 1.2334 +32'h3e570916,32'h4008de21,32'h400e7442, 32'h4004ad89,32'h4012a4db, 32'h3ffb63c0,32'h4019a084,// invsqrt(0.2100) = 2.1822 +32'h3dc92fb8,32'h40481c81,32'h40504777, 32'h4041fc49,32'h405667af, 32'h4037c696,32'h40609d62,// invsqrt(0.0982) = 3.1906 +32'h3dbf4b42,32'h404d3867,32'h405598bf, 32'h4046f025,32'h405be101, 32'h403c77b8,32'h4066596f,// invsqrt(0.0934) = 3.2720 +32'h3dedfc73,32'h4037fd8c,32'h403f800f, 32'h40325ba9,32'h404521f1, 32'h4028f885,32'h404e8515,// invsqrt(0.1162) = 2.9335 +32'h40053d7a,32'h3f2de023,32'h3f34f8f5, 32'h3f288d85,32'h3f3a4b93, 32'h3f1fae7e,32'h3f432a9a,// invsqrt(2.0819) = 0.6931 +32'h41b33d2d,32'h3e54025e,32'h3e5ca9a6, 32'h3e4d84e8,32'h3e63271c, 32'h3e42b3cf,32'h3e6df835,// invsqrt(22.4049) = 0.2113 +32'h3f8ba0fa,32'h3f7034b0,32'h3f7a0298, 32'h3f68da42,32'h3f80ae83, 32'h3f5c98e0,32'h3f86cf34,// invsqrt(1.0909) = 0.9575 +32'h3ef887df,32'h3fb40b82,32'h3fbb64cc, 32'h3fae888b,32'h3fc0e7c3, 32'h3fa558f0,32'h3fca175e,// invsqrt(0.4854) = 1.4353 +32'h4062d2a6,32'h3f054391,32'h3f0ab40a, 32'h3f012f37,32'h3f0ec865, 32'h3ef4c540,32'h3f1594fc,// invsqrt(3.5441) = 0.5312 +32'h3f8e313b,32'h3f6e07dc,32'h3f77bf0a, 32'h3f66be7a,32'h3f7f086c, 32'h3f5a9981,32'h3f8596b2,// invsqrt(1.1109) = 0.9488 +32'h3f6fa5cd,32'h3f81a62a,32'h3f86f0de, 32'h3f7b5c48,32'h3f8ae8e4, 32'h3f6e2187,32'h3f918644,// invsqrt(0.9361) = 1.0336 +32'h3ea38974,32'h3fddf43e,32'h3fe70370, 32'h3fd728d9,32'h3fedced5, 32'h3fcbd5db,32'h3ff921d3,// invsqrt(0.3194) = 1.7694 +32'h3f98abe9,32'h3f65b766,32'h3f6f17b4, 32'h3f5eaf2c,32'h3f761fee, 32'h3f52f6cc,32'h3f80ec27,// invsqrt(1.1927) = 0.9156 +32'h3f70c4de,32'h3f8158c9,32'h3f86a053, 32'h3f7ac641,32'h3f8a95fc, 32'h3f6d9366,32'h3f912f69,// invsqrt(0.9405) = 1.0311 +32'h406402d3,32'h3f04ea90,32'h3f0a5766, 32'h3f00d8ee,32'h3f0e6908, 32'h3ef421c4,32'h3f153114,// invsqrt(3.5627) = 0.5298 +32'h3e14c96d,32'h40248a77,32'h402b41bf, 32'h401f8100,32'h40304b36, 32'h40171be5,32'h4038b051,// invsqrt(0.1453) = 2.6234 +32'h403a825f,32'h3f12f657,32'h3f18f5f2, 32'h3f0e76a2,32'h3f1d75a6, 32'h3f06f720,32'h3f24f528,// invsqrt(2.9142) = 0.5858 +32'h3ef7a3ef,32'h3fb45e4c,32'h3fbbbaf6, 32'h3faed8cc,32'h3fc14076, 32'h3fa5a4f7,32'h3fca744b,// invsqrt(0.4837) = 1.4379 +32'h3fc97164,32'h3f47fbe0,32'h3f502580, 32'h3f41dca7,32'h3f5644b9, 32'h3f37a89e,32'h3f6078c2,// invsqrt(1.5738) = 0.7971 +32'h3ed12e19,32'h3fc44024,32'h3fcc42c2, 32'h3fbe3e2d,32'h3fd244b9, 32'h3fb43ae8,32'h3fdc47ff,// invsqrt(0.4086) = 1.5645 +32'h3e9edf07,32'h3fe1308d,32'h3fea618e, 32'h3fda4bcd,32'h3ff1464f, 32'h3fcece8d,32'h3ffcc38f,// invsqrt(0.3103) = 1.7952 +32'h3f0296b8,32'h3fafa1be,32'h3fb6ccea, 32'h3faa415d,32'h3fbc2d4b, 32'h3fa14b65,32'h3fc52343,// invsqrt(0.5101) = 1.4001 +32'h3f705bb5,32'h3f817511,32'h3f86bdc3, 32'h3f7afd16,32'h3f8ab449, 32'h3f6dc758,32'h3f914f28,// invsqrt(0.9389) = 1.0320 +32'h3f8b9b1e,32'h3f7039ba,32'h3f7a07d6, 32'h3f68df24,32'h3f80b136, 32'h3f5c9d81,32'h3f86d208,// invsqrt(1.0907) = 0.9575 +32'h401d75dc,32'h3f1ff1e4,32'h3f267927, 32'h3f1b0c72,32'h3f2b5e9a, 32'h3f12e35f,32'h3f3387ad,// invsqrt(2.4603) = 0.6375 +32'h3e68e6e4,32'h40038364,32'h4008e192, 32'h3ffef983,32'h400ce834, 32'h3ff18e11,32'h40139dee,// invsqrt(0.2274) = 2.0968 +32'h3ecb3b3a,32'h3fc71a1e,32'h3fcf3a88, 32'h3fc101cf,32'h3fd552d7, 32'h3fb6d94b,32'h3fdf7b5b,// invsqrt(0.3969) = 1.5872 +32'h3eeecb57,32'h3fb7adc6,32'h3fbf2d08, 32'h3fb20e55,32'h3fc4cc79, 32'h3fa8af43,32'h3fce2b8b,// invsqrt(0.4664) = 1.4643 +32'h3f5ff0e2,32'h3f861e62,32'h3f8b97c9, 32'h3f820354,32'h3f8fb2d6, 32'h3f765726,32'h3f968a97,// invsqrt(0.8748) = 1.0692 +32'h3e501a2b,32'h400b2106,32'h4010cec8, 32'h4006deb5,32'h40151119, 32'h3fff8b06,32'h401c2a4b,// invsqrt(0.2032) = 2.2183 +32'h3e8f9c45,32'h3fecda40,32'h3ff6851e, 32'h3fe59a19,32'h3ffdc545, 32'h3fd98484,32'h4004ed6d,// invsqrt(0.2805) = 1.8882 +32'h40300fed,32'h3f174275,32'h3f1d6ef7, 32'h3f12a113,32'h3f221059, 32'h3f0ae971,32'h3f29c7fb,// invsqrt(2.7510) = 0.6029 +32'h3d057f8d,32'h40adb516,32'h40b4cc27, 32'h40a863ca,32'h40ba1d74, 32'h409f86f6,32'h40c2fa49,// invsqrt(0.0326) = 5.5391 +32'h3f437e15,32'h3f8f8bc4,32'h3f9567ac, 32'h3f8b26d5,32'h3f99cc9b, 32'h3f83d3f2,32'h3fa11f7e,// invsqrt(0.7636) = 1.1443 +32'h3ed78ee4,32'h3fc1534a,32'h3fc93757, 32'h3fbb683f,32'h3fcf2261, 32'h3fb18b2e,32'h3fd8ff72,// invsqrt(0.4210) = 1.5412 +32'h3ee8c632,32'h3fba09f1,32'h3fc1a1db, 32'h3fb45801,32'h3fc753cb, 32'h3faada1c,32'h3fd0d1b0,// invsqrt(0.4546) = 1.4831 +32'h40a7a364,32'h3edb38e0,32'h3ee42b86, 32'h3ed482e4,32'h3eeae182, 32'h3ec95394,32'h3ef610d2,// invsqrt(5.2387) = 0.4369 +32'h3fbe64e1,32'h3f4db46b,32'h3f5619d3, 32'h3f47685d,32'h3f5c65e1, 32'h3f3ce99c,32'h3f66e4a2,// invsqrt(1.4875) = 0.8199 +32'h3eab02f6,32'h3fd90c9b,32'h3fe1e88d, 32'h3fd267a6,32'h3fe88d82, 32'h3fc754b8,32'h3ff3a070,// invsqrt(0.3340) = 1.7303 +32'h3e92fb76,32'h3fea1eba,32'h3ff3ad0a, 32'h3fe2f3fd,32'h3ffad7c7, 32'h3fd70218,32'h400364d6,// invsqrt(0.2871) = 1.8664 +32'h4029f403,32'h3f19f443,32'h3f203ced, 32'h3f153dc4,32'h3f24f36c, 32'h3f0d62ef,32'h3f2cce41,// invsqrt(2.6555) = 0.6137 +32'h40d55942,32'h3ec252e7,32'h3eca4163, 32'h3ebc600a,32'h3ed03440, 32'h3eb275ee,32'h3eda1e5c,// invsqrt(6.6671) = 0.3873 +32'h402519f3,32'h3f1c332b,32'h3f22934d, 32'h3f176b13,32'h3f275b65, 32'h3f0f72e9,32'h3f2f538f,// invsqrt(2.5797) = 0.6226 +32'h3f7975fc,32'h3f7e259b,32'h3f844297, 32'h3f765dec,32'h3f88266e, 32'h3f696674,32'h3f8ea22a,// invsqrt(0.9745) = 1.0130 +32'h3fe713f6,32'h3f3ab86c,32'h3f425775, 32'h3f350124,32'h3f480ebc, 32'h3f2b7a58,32'h3f519588,// invsqrt(1.8053) = 0.7443 +32'h3e6ca959,32'h400276e7,32'h4007ca1f, 32'h3ffcf0f9,32'h400bc88a, 32'h3fefa0ec,32'h40127090,// invsqrt(0.2311) = 2.0801 +32'h3fbc3c3c,32'h3f4ee186,32'h3f575338, 32'h3f488c41,32'h3f5da87d, 32'h3f3dfe22,32'h3f68369c,// invsqrt(1.4706) = 0.8246 +32'h3ec2cd63,32'h3fcb5d2d,32'h3fd3aa1f, 32'h3fc52377,32'h3fd9e3d5, 32'h3fbac349,32'h3fe44403,// invsqrt(0.3805) = 1.6212 +32'h408e0b70,32'h3eee2784,32'h3ef7dffd, 32'h3ee6dd2b,32'h3eff2a57, 32'h3edab694,32'h3f05a877,// invsqrt(4.4389) = 0.4746 +32'h40a709db,32'h3edb9d89,32'h3ee4944b, 32'h3ed4e478,32'h3eeb4d5c, 32'h3ec9b006,32'h3ef681ce,// invsqrt(5.2200) = 0.4377 +32'h3f92bfe8,32'h3f6a4e36,32'h3f73de77, 32'h3f632206,32'h3f7b0aa8, 32'h3f572db5,32'h3f837f7d,// invsqrt(1.1465) = 0.9339 +32'h3f85a68d,32'h3f7584ef,32'h3f7f8a5d, 32'h3f6e00de,32'h3f838737, 32'h3f617a15,32'h3f89ca9b,// invsqrt(1.0441) = 0.9786 +32'h3eded561,32'h3fbe24a8,32'h3fc5e776, 32'h3fb8528e,32'h3fcbb990, 32'h3fae9f0e,32'h3fd56d11,// invsqrt(0.4352) = 1.5158 +32'h402dfa4f,32'h3f1829bc,32'h3f1e5faf, 32'h3f138146,32'h3f230826, 32'h3f0bbdd7,32'h3f2acb95,// invsqrt(2.7184) = 0.6065 +32'h3fa859ea,32'h3f5ac1e9,32'h3f63afb4, 32'h3f540f91,32'h3f6a620d, 32'h3f48e654,32'h3f758b4a,// invsqrt(1.3152) = 0.8720 +32'h3f5a24fc,32'h3f87e38e,32'h3f8d6f74, 32'h3f83baa1,32'h3f919861, 32'h3f799782,32'h3f988741,// invsqrt(0.8521) = 1.0833 +32'h3fff2583,32'h3f31b206,32'h3f38f2c2, 32'h3f2c4179,32'h3f3e634f, 32'h3f23308d,32'h3f47743b,// invsqrt(1.9933) = 0.7083 +32'h402420c1,32'h3f1ca993,32'h3f230e89, 32'h3f17ddda,32'h3f27da42, 32'h3f0fdfa6,32'h3f2fd876,// invsqrt(2.5645) = 0.6245 +32'h3e3b2c99,32'h4012b374,32'h4018b054, 32'h400e35cc,32'h401d2dfc, 32'h4006b9b4,32'h4024aa14,// invsqrt(0.1828) = 2.3390 +32'h3f39765c,32'h3f936061,32'h3f996450, 32'h3f8edd6d,32'h3f9de743, 32'h3f875882,32'h3fa56c2e,// invsqrt(0.7245) = 1.1749 +32'h3fa95ec7,32'h3f5a1931,32'h3f630019, 32'h3f536c03,32'h3f69ad47, 32'h3f484b61,32'h3f74cde9,// invsqrt(1.3232) = 0.8693 +32'h3f20c212,32'h3f9e4bbf,32'h3fa4c1c7, 32'h3f997339,32'h3fa99a4d, 32'h3f915fb0,32'h3fb1add7,// invsqrt(0.6280) = 1.2619 +32'h3ea9b7a8,32'h3fd9e00e,32'h3fe2c4a1, 32'h3fd334a0,32'h3fe97010, 32'h3fc816e9,32'h3ff48dc7,// invsqrt(0.3315) = 1.7369 +32'h3ee86a6f,32'h3fba2ea7,32'h3fc1c811, 32'h3fb47b97,32'h3fc77b21, 32'h3faafbd3,32'h3fd0fae5,// invsqrt(0.4539) = 1.4842 +32'h40507b93,32'h3f0b0082,32'h3f10acf0, 32'h3f06bf30,32'h3f14ee42, 32'h3eff4f4d,32'h3f1c05cc,// invsqrt(3.2575) = 0.5541 +32'h3ff277c7,32'h3f364835,32'h3f3db8de, 32'h3f30b3b5,32'h3f434d5d, 32'h3f2766e2,32'h3f4c9a30,// invsqrt(1.8943) = 0.7266 +32'h3f9ff177,32'h3f606f08,32'h3f699822, 32'h3f599034,32'h3f7076f6, 32'h3f4e1cd3,32'h3f7bea57,// invsqrt(1.2496) = 0.8946 +32'h3f7c2362,32'h3f7ccb41,32'h3f838e58, 32'h3f750e2c,32'h3f876ce2, 32'h3f68285f,32'h3f8ddfc8,// invsqrt(0.9849) = 1.0076 +32'h400d5ab8,32'h3f28cfaf,32'h3f2fb397, 32'h3f23a4c1,32'h3f34de85, 32'h3f1b07e0,32'h3f3d7b67,// invsqrt(2.2087) = 0.6729 +32'h4121f97c,32'h3e9db34a,32'h3ea42318, 32'h3e98df6f,32'h3ea8f6f3, 32'h3e90d3ac,32'h3eb102b6,// invsqrt(10.1234) = 0.3143 +32'h3fd3a80e,32'h3f43195e,32'h3f4b0ff4, 32'h3f3d206d,32'h3f5108e5, 32'h3f332c32,32'h3f5afd20,// invsqrt(1.6536) = 0.7777 +32'h3f372129,32'h3f944feb,32'h3f9a5da1, 32'h3f8fc5a2,32'h3f9ee7ea, 32'h3f88347f,32'h3fa6790d,// invsqrt(0.7153) = 1.1823 +32'h3fb3049d,32'h3f5423da,32'h3f5ccc80, 32'h3f4da55e,32'h3f634afc, 32'h3f42d28f,32'h3f6e1dcb,// invsqrt(1.3986) = 0.8456 +32'h3f469946,32'h3f8e6b41,32'h3f943b63, 32'h3f8a0f28,32'h3f98977c, 32'h3f82cafd,32'h3f9fdba7,// invsqrt(0.7758) = 1.1354 +32'h3f1a7860,32'h3fa17c4d,32'h3fa813a9, 32'h3f9c8ac8,32'h3fad052e, 32'h3f944d95,32'h3fb54261,// invsqrt(0.6034) = 1.2874 +32'h3f095726,32'h3fab42a7,32'h3fb24025, 32'h3fa60487,32'h3fb77e45, 32'h3f9d47a9,32'h3fc03b23,// invsqrt(0.5365) = 1.3653 +32'h3e1d2db6,32'h40201696,32'h40269f58, 32'h401b3004,32'h402b85ea, 32'h40130512,32'h4033b0dd,// invsqrt(0.1535) = 2.5524 +32'h3e8945ac,32'h3ff24249,32'h3ffc25a5, 32'h3fead7c4,32'h4001c815, 32'h3fde7b92,32'h4007f62e,// invsqrt(0.2681) = 1.9313 +32'h404eff45,32'h3f0b7ff8,32'h3f11319a, 32'h3f073abf,32'h3f1576d3, 32'h3f001cb5,32'h3f1c94dd,// invsqrt(3.2343) = 0.5560 +32'h403a525d,32'h3f130944,32'h3f1909a5, 32'h3f0e88fc,32'h3f1d89ee, 32'h3f070883,32'h3f250a67,// invsqrt(2.9113) = 0.5861 +32'h4032bc1c,32'h3f161fdd,32'h3f1c4083, 32'h3f118761,32'h3f20d8ff, 32'h3f09de92,32'h3f2881ce,// invsqrt(2.7927) = 0.5984 +32'h3e604712,32'h4006049a,32'h400b7cf4, 32'h4001ea57,32'h400f9737, 32'h3ff627cd,32'h40166da8,// invsqrt(0.2190) = 2.1368 +32'h3f66670f,32'h3f843981,32'h3f899f1e, 32'h3f802d4c,32'h3f8dab54, 32'h3f72dc90,32'h3f946a58,// invsqrt(0.9000) = 1.0541 +32'h3c3a810e,32'h4112f6dc,32'h4118f67c, 32'h410e7723,32'h411d7635, 32'h4106f79b,32'h4124f5bd,// invsqrt(0.0114) = 9.3727 +32'h3f67f762,32'h3f83c738,32'h3f89282a, 32'h3f7f7d04,32'h3f8d30e0, 32'h3f720aa5,32'h3f93ea10,// invsqrt(0.9061) = 1.0505 +32'h3fa4bc2e,32'h3f5d253b,32'h3f662bfa, 32'h3f56602d,32'h3f6cf109, 32'h3f4b17bf,32'h3f783977,// invsqrt(1.2870) = 0.8815 +32'h3f1974d0,32'h3fa204a5,32'h3fa8a192, 32'h3f9d0ef4,32'h3fad9744, 32'h3f94cacc,32'h3fb5db6c,// invsqrt(0.5994) = 1.2916 +32'h3feca42a,32'h3f388333,32'h3f400b2b, 32'h3f32dd39,32'h3f45b125, 32'h3f297344,32'h3f4f1b1a,// invsqrt(1.8488) = 0.7355 +32'h3f6f7af5,32'h3f81b1c2,32'h3f86fcef, 32'h3f7b72c3,32'h3f8af551, 32'h3f6e36d3,32'h3f919348,// invsqrt(0.9355) = 1.0339 +32'h3ffaea18,32'h3f33300d,32'h3f3a8062, 32'h3f2db3cf,32'h3f3ffca1, 32'h3f248f66,32'h3f49210a,// invsqrt(1.9603) = 0.7142 +32'h3f4b4aee,32'h3f8cc3e1,32'h3f9282bb, 32'h3f8874bd,32'h3f96d1df, 32'h3f81462d,32'h3f9e006f,// invsqrt(0.7941) = 1.1222 +32'h3f903059,32'h3f6c6082,32'h3f760668, 32'h3f652416,32'h3f7d42d4, 32'h3f5914b6,32'h3f84a91a,// invsqrt(1.1265) = 0.9422 +32'h414e4aa2,32'h3e8bbcfe,32'h3e91711e, 32'h3e8775e7,32'h3e95b835, 32'h3e8054c0,32'h3e9cd95c,// invsqrt(12.8932) = 0.2785 +32'h3e8e61df,32'h3feddf30,32'h3ff794b4, 32'h3fe6970c,32'h3ffedcd8, 32'h3fda7427,32'h40057fdf,// invsqrt(0.2781) = 1.8963 +32'h3e0b3cae,32'h402a1707,32'h4031084b, 32'h4024e214,32'h40363d3e, 32'h401c347e,32'h403eead4,// invsqrt(0.1360) = 2.7119 +32'h41847449,32'h3e76a025,32'h3e805891, 32'h3e6f1368,32'h3e841eef, 32'h3e627e2c,32'h3e8a698d,// invsqrt(16.5568) = 0.2458 +32'h4065b2af,32'h3f046d62,32'h3f09d51c, 32'h3f005f95,32'h3f0de2e9, 32'h3ef33bd8,32'h3f14a492,// invsqrt(3.5890) = 0.5279 +32'h402ccfe4,32'h3f18ace5,32'h3f1ee833, 32'h3f14006b,32'h3f2394ad, 32'h3f0c364b,32'h3f2b5ecd,// invsqrt(2.7002) = 0.6086 +32'h3ead73ec,32'h3fd78433,32'h3fe05021, 32'h3fd0eb42,32'h3fe6e912, 32'h3fc5ec59,32'h3ff1e7fb,// invsqrt(0.3388) = 1.7181 +32'h408a9080,32'h3ef12068,32'h3efaf7ef, 32'h3ee9bec3,32'h3f012cca, 32'h3edd715b,32'h3f07537f,// invsqrt(4.3301) = 0.4806 +32'h405a0e75,32'h3f07ea92,32'h3f0d76c2, 32'h3f03c16e,32'h3f119fe6, 32'h3ef9a465,32'h3f188f21,// invsqrt(3.4071) = 0.5418 +32'h3e3907b0,32'h40138c6d,32'h40199229, 32'h400f0821,32'h401e1675, 32'h400780f7,32'h40259d9f,// invsqrt(0.1807) = 2.3525 +32'h40144147,32'h3f24d5f3,32'h3f2b9050, 32'h3f1fca2c,32'h3f309c16, 32'h3f176137,32'h3f39050b,// invsqrt(2.3165) = 0.6570 +32'h3d6186e5,32'h4085a572,32'h408b19e9, 32'h40818e18,32'h408f3142, 32'h40757904,32'h409602d8,// invsqrt(0.0551) = 4.2617 +32'h40554c88,32'h3f096c78,32'h3f0f0868, 32'h3f053784,32'h3f133d5c, 32'h3efc6930,32'h3f1a4048,// invsqrt(3.3328) = 0.5478 +32'h3f4f9a7a,32'h3f8b4bc9,32'h3f90fb4a, 32'h3f87082a,32'h3f953eea, 32'h3f7fd992,32'h3f9c5a4b,// invsqrt(0.8110) = 1.1105 +32'h408415e4,32'h3ef6f835,32'h3f008665, 32'h3eef68c6,32'h3f044e1d, 32'h3ee2cf0d,32'h3f0a9afa,// invsqrt(4.1277) = 0.4922 +32'h3f44d2b5,32'h3f8f0f58,32'h3f94e62c, 32'h3f8aae38,32'h3f99474c, 32'h3f8361af,32'h3fa093d5,// invsqrt(0.7688) = 1.1405 +32'h3ed54f1e,32'h3fc25785,32'h3fca4631, 32'h3fbc6483,32'h3fd03933, 32'h3fb27a2c,32'h3fda238b,// invsqrt(0.4166) = 1.5493 +32'h403cb680,32'h3f121a08,32'h3f1810a6, 32'h3f0da113,32'h3f1c899b, 32'h3f062cce,32'h3f23fde0,// invsqrt(2.9486) = 0.5824 +32'h3a8c317e,32'h41efb8c1,32'h41f9819a, 32'h41e8621f,32'h42006c1f, 32'h41dc2710,32'h420689a6,// invsqrt(0.0011) = 30.5767 +32'h3f9e8716,32'h3f616efb,32'h3f6aa287, 32'h3f5a8851,32'h3f718931, 32'h3f4f07e1,32'h3f7d09a1,// invsqrt(1.2385) = 0.8986 +32'h3fe33a19,32'h3f3c4bc7,32'h3f43fb47, 32'h3f368827,32'h3f49bee7, 32'h3f2cecc6,32'h3f535a48,// invsqrt(1.7752) = 0.7505 +32'h3f25ffbd,32'h3f9bc6e9,32'h3fa2229f, 32'h3f970221,32'h3fa6e767, 32'h3f8f0f7d,32'h3faeda0b,// invsqrt(0.6484) = 1.2418 +32'h3ebd6859,32'h3fce3d5e,32'h3fd6a85d, 32'h3fc7ed20,32'h3fdcf89c, 32'h3fbd6761,32'h3fe77e5b,// invsqrt(0.3699) = 1.6441 +32'h3eb2d7d7,32'h3fd43e66,32'h3fdce822, 32'h3fcdbf1a,32'h3fe3676e, 32'h3fc2eaf0,32'h3fee3b98,// invsqrt(0.3493) = 1.6920 +32'h412cfaf5,32'h3e9899e3,32'h3e9ed469, 32'h3e93edfe,32'h3ea3804e, 32'h3e8c24d5,32'h3eab4977,// invsqrt(10.8113) = 0.3041 +32'h3ea41835,32'h3fdd939d,32'h3fe69edd, 32'h3fd6cb2d,32'h3fed674d, 32'h3fcb7d1d,32'h3ff8b55d,// invsqrt(0.3205) = 1.7664 +32'h3f375dfd,32'h3f943750,32'h3f9a4404, 32'h3f8fadc8,32'h3f9ecd8c, 32'h3f881de6,32'h3fa65d6e,// invsqrt(0.7163) = 1.1816 +32'h3f3f9530,32'h3f9100d7,32'h3f96ebf9, 32'h3f8c907c,32'h3f9b5c54, 32'h3f852a91,32'h3fa2c23f,// invsqrt(0.7484) = 1.1560 +32'h3d3e0655,32'h409198b5,32'h40978a0b, 32'h408d23b5,32'h409bff0b, 32'h4085b60a,32'h40a36cb6,// invsqrt(0.0464) = 4.6427 +32'h40b27099,32'h3ed47bc4,32'h3edd2800, 32'h3ecdfa97,32'h3ee3a92d, 32'h3ec3234b,32'h3eee8079,// invsqrt(5.5762) = 0.4235 +32'h3ec56822,32'h3fca049a,32'h3fd2437c, 32'h3fc3d571,32'h3fd872a5, 32'h3fb986d7,32'h3fe2c13f,// invsqrt(0.3856) = 1.6105 +32'h3fe8c4d3,32'h3f3a0a7d,32'h3f41a26d, 32'h3f345889,32'h3f475461, 32'h3f2ada9c,32'h3f50d24e,// invsqrt(1.8185) = 0.7416 +32'h3e5bd6ac,32'h40075d42,32'h400ce3ad, 32'h40033871,32'h4011087d, 32'h3ff8a0d6,32'h4017f083,// invsqrt(0.2147) = 2.1582 +32'h3dcd3916,32'h40462230,32'h404e387c, 32'h40401178,32'h40544934, 32'h4035f59a,32'h405e6512,// invsqrt(0.1002) = 3.1590 +32'h3f2730d5,32'h3f9b3886,32'h3fa18e6c, 32'h3f967819,32'h3fa64ed9, 32'h3f8e8cba,32'h3fae3a38,// invsqrt(0.6531) = 1.2374 +32'h415a485f,32'h3e87d88a,32'h3e8d63fd, 32'h3e83aff3,32'h3e918c93, 32'h3e798345,32'h3e987ae3,// invsqrt(13.6427) = 0.2707 +32'h3fadfc62,32'h3f572f9e,32'h3f5ff818, 32'h3f509943,32'h3f668e73, 32'h3f459eac,32'h3f71890b,// invsqrt(1.3593) = 0.8577 +32'h3f9f9fe5,32'h3f60a859,32'h3f69d3cb, 32'h3f59c7c4,32'h3f70b460, 32'h3f4e5177,32'h3f7c2aad,// invsqrt(1.2471) = 0.8955 +32'h3fdc8133,32'h3f3f2507,32'h3f46f24b, 32'h3f394b14,32'h3f4ccc3e, 32'h3f2f8a7e,32'h3f568cd4,// invsqrt(1.7227) = 0.7619 +32'h3e5bdbac,32'h40075bb8,32'h400ce213, 32'h400336f3,32'h401106d7, 32'h3ff89e03,32'h4017eec9,// invsqrt(0.2147) = 2.1581 +32'h3f06fa17,32'h3facc0d6,32'h3fb3cdef, 32'h3fa77704,32'h3fb917c2, 32'h3f9ea6a6,32'h3fc1e820,// invsqrt(0.5273) = 1.3772 +32'h3fcce9f0,32'h3f464870,32'h3f4e604b, 32'h3f40368c,32'h3f547230, 32'h3f3618bb,32'h3f5e9001,// invsqrt(1.6009) = 0.7903 +32'h40a6042c,32'h3edc4a5b,32'h3ee5482b, 32'h3ed58c00,32'h3eec0686, 32'h3eca4ebc,32'h3ef743ca,// invsqrt(5.1880) = 0.4390 +32'h3faf6569,32'h3f5651b5,32'h3f5f111f, 32'h3f4fc225,32'h3f65a0af, 32'h3f44d2df,32'h3f708ff5,// invsqrt(1.3703) = 0.8543 +32'h3f4002ac,32'h3f90d77a,32'h3f96c0ec, 32'h3f8c6864,32'h3f9b3002, 32'h3f850494,32'h3fa293d2,// invsqrt(0.7500) = 1.1547 +32'h3f4c16c6,32'h3f8c7d83,32'h3f92397d, 32'h3f883086,32'h3f96867a, 32'h3f81058d,32'h3f9db173,// invsqrt(0.7972) = 1.1200 +32'h3f2a9c51,32'h3f99a840,32'h3f9fedd0, 32'h3f94f414,32'h3fa4a1fc, 32'h3f8d1d21,32'h3fac78ef,// invsqrt(0.6664) = 1.2249 +32'h3fa8ea9a,32'h3f5a6425,32'h3f634e1c, 32'h3f53b4ab,32'h3f69fd95, 32'h3f489036,32'h3f75220a,// invsqrt(1.3197) = 0.8705 +32'h3f76d93c,32'h3f7f7cf6,32'h3f84f547, 32'h3f77aac5,32'h3f88de5f, 32'h3f6aa1c8,32'h3f8f62de,// invsqrt(0.9643) = 1.0184 +32'h409b9691,32'h3ee38d98,32'h3eecd74b, 32'h3edc9653,32'h3ef3ce91, 32'h3ed0fa33,32'h3eff6ab1,// invsqrt(4.8621) = 0.4535 +32'h40884cc8,32'h3ef31f15,32'h3efd0b73, 32'h3eebadcd,32'h3f023e5d, 32'h3edf4657,32'h3f087219,// invsqrt(4.2594) = 0.4845 +32'h3f55e2d4,32'h3f893c27,32'h3f8ed61f, 32'h3f8508ae,32'h3f930998, 32'h3f7c1072,32'h3f9a0a0d,// invsqrt(0.8355) = 1.0940 +32'h3e6827ea,32'h4003b971,32'h400919d3, 32'h3fff624d,32'h400d221d, 32'h3ff1f157,32'h4013da99,// invsqrt(0.2267) = 2.1002 +32'h3e4f898e,32'h400b5177,32'h40110133, 32'h40070daa,32'h40154500, 32'h3fffe3ff,32'h401c60aa,// invsqrt(0.2027) = 2.2213 +32'h400a7d66,32'h3f2a8c55,32'h3f318263, 32'h3f2553cb,32'h3f36baed, 32'h3f1ca039,32'h3f3f6e7f,// invsqrt(2.1639) = 0.6798 +32'h3ef4e06f,32'h3fb56220,32'h3fbcc966, 32'h3fafd4ac,32'h3fc256da, 32'h3fa69396,32'h3fcb97f0,// invsqrt(0.4783) = 1.4460 +32'h3f9ea233,32'h3f615bb6,32'h3f6a8e7a, 32'h3f5a75a4,32'h3f71748c, 32'h3f4ef62f,32'h3f7cf401,// invsqrt(1.2393) = 0.8983 +32'h3e97d6c9,32'h3fe65865,32'h3fefbf45, 32'h3fdf4b3e,32'h3ff6cc6c, 32'h3fd38aa6,32'h40014682,// invsqrt(0.2966) = 1.8363 +32'h3ff59f53,32'h3f351b97,32'h3f3c7ffb, 32'h3f2f904c,32'h3f420b46, 32'h3f2652ce,32'h3f4b48c4,// invsqrt(1.9189) = 0.7219 +32'h3f8da5b4,32'h3f6e7cfb,32'h3f7838f1, 32'h3f673003,32'h3f7f85e9, 32'h3f5b0511,32'h3f85d86e,// invsqrt(1.1066) = 0.9506 +32'h4107cf3d,32'h3eac3910,32'h3eb3409e, 32'h3ea6f366,32'h3eb88648, 32'h3e9e29f5,32'h3ec14fb9,// invsqrt(8.4881) = 0.3432 +32'h3eda258d,32'h3fc02cc8,32'h3fc804d0, 32'h3fba4ac2,32'h3fcde6d6, 32'h3fb07cb8,32'h3fd7b4e0,// invsqrt(0.4261) = 1.5320 +32'h3f4a3e09,32'h3f8d2156,32'h3f92e400, 32'h3f88cf56,32'h3f973600, 32'h3f819c00,32'h3f9e6956,// invsqrt(0.7900) = 1.1251 +32'h3f33eb16,32'h3f95a140,32'h3f9bbcbb, 32'h3f910ca4,32'h3fa05158, 32'h3f896a4b,32'h3fa7f3b1,// invsqrt(0.7028) = 1.1928 +32'h3e496454,32'h400d6d89,32'h40133350, 32'h40091934,32'h401787a6, 32'h4001e1fc,32'h401ebede,// invsqrt(0.1967) = 2.2549 +32'h3f6060b3,32'h3f85fcf3,32'h3f8b74fd, 32'h3f81e2ec,32'h3f8f8f04, 32'h3f7619be,32'h3f966511,// invsqrt(0.8765) = 1.0681 +32'h405051e5,32'h3f0b0e69,32'h3f10bb69, 32'h3f06ccaa,32'h3f14fd28, 32'h3eff68d7,32'h3f1c1567,// invsqrt(3.2550) = 0.5543 +32'h3f40e052,32'h3f908428,32'h3f966a34, 32'h3f8c179f,32'h3f9ad6bd, 32'h3f84b810,32'h3fa2364c,// invsqrt(0.7534) = 1.1521 +32'h3fa05bc9,32'h3f602495,32'h3f694aa5, 32'h3f594808,32'h3f702732, 32'h3f4dd874,32'h3f7b96c6,// invsqrt(1.2528) = 0.8934 +32'h3f3fd13a,32'h3f90ea23,32'h3f96d459, 32'h3f8c7a7b,32'h3f9b4401, 32'h3f8515b8,32'h3fa2a8c4,// invsqrt(0.7493) = 1.1553 +32'h4012377a,32'h3f25fb0e,32'h3f2cc162, 32'h3f20e64f,32'h3f31d621, 32'h3f186e66,32'h3f3a4e0a,// invsqrt(2.2846) = 0.6616 +32'h3f5af44e,32'h3f87a329,32'h3f8d2c6f, 32'h3f837c35,32'h3f915363, 32'h3f79213c,32'h3f983efa,// invsqrt(0.8553) = 1.0813 +32'h3f3fbca7,32'h3f90f1ea,32'h3f96dc70, 32'h3f8c8204,32'h3f9b4c56, 32'h3f851cdc,32'h3fa2b17e,// invsqrt(0.7490) = 1.1555 +32'h3f424c4e,32'h3f8ffc8c,32'h3f95dd0f, 32'h3f8b9429,32'h3f9a4571, 32'h3f843b85,32'h3fa19e15,// invsqrt(0.7590) = 1.1479 +32'h3ec248eb,32'h3fcba275,32'h3fd3f23b, 32'h3fc566a0,32'h3fda2e10, 32'h3fbb02e9,32'h3fe491c7,// invsqrt(0.3795) = 1.6234 +32'h4064bf51,32'h3f04b3c1,32'h3f0a1e5b, 32'h3f00a3cd,32'h3f0e2e4f, 32'h3ef3bd19,32'h3f14f38f,// invsqrt(3.5742) = 0.5289 +32'h40c0e51d,32'h3ecc5dee,32'h3ed4b55a, 32'h3ec61c5c,32'h3edaf6ec, 32'h3ebbaf14,32'h3ee56434,// invsqrt(6.0280) = 0.4073 +32'h3f29bf64,32'h3f9a0c1e,32'h3fa055c2, 32'h3f9554e4,32'h3fa50cfc, 32'h3f8d78d8,32'h3face908,// invsqrt(0.6631) = 1.2281 +32'h417e2983,32'h3e7bc911,32'h3e8307fc, 32'h3e7413e4,32'h3e86e292, 32'h3e673b44,32'h3e8d4ee2,// invsqrt(15.8851) = 0.2509 +32'h3fb741ae,32'h3f51ac22,32'h3f5a3aff, 32'h3f4b40fd,32'h3f60a625, 32'h3f408e69,32'h3f6b58b9,// invsqrt(1.4317) = 0.8357 +32'h3fc2f204,32'h3f4b4a11,32'h3f53963b, 32'h3f4510f1,32'h3f59cf5b, 32'h3f3ab1bc,32'h3f642e90,// invsqrt(1.5230) = 0.8103 +32'h3e6e7a5f,32'h4001f775,32'h40074579, 32'h3ffbf9e2,32'h400b3ffd, 32'h3feeb6d6,32'h4011e183,// invsqrt(0.2329) = 2.0722 +32'h3fc112a7,32'h3f4c45d2,32'h3f549c44, 32'h3f4604fe,32'h3f5add18, 32'h3f3b98f0,32'h3f654926,// invsqrt(1.5084) = 0.8142 +32'h412ba1c9,32'h3e993309,32'h3e9f73cf, 32'h3e948273,32'h3ea42465, 32'h3e8cb17b,32'h3eabf55d,// invsqrt(10.7270) = 0.3053 +32'h3f128288,32'h3fa5d084,32'h3fac951c, 32'h3fa0bd12,32'h3fb1a88e, 32'h3f984755,32'h3fba1e4b,// invsqrt(0.5723) = 1.3219 +32'h3f5835ba,32'h3f887ed8,32'h3f8e1115, 32'h3f84512a,32'h3f923ec2, 32'h3f7ab4bb,32'h3f99358f,// invsqrt(0.8446) = 1.0881 +32'h3d3a3275,32'h409315dd,32'h409916c1, 32'h408e9531,32'h409d976d, 32'h40871414,32'h40a5188a,// invsqrt(0.0455) = 4.6902 +32'h3f28fb6f,32'h3f9a6556,32'h3fa0b29e, 32'h3f95ab61,32'h3fa56c93, 32'h3f8dcac7,32'h3fad4d2d,// invsqrt(0.6601) = 1.2308 +32'h3fe09610,32'h3f3d6659,32'h3f452162, 32'h3f379a13,32'h3f4aeda9, 32'h3f2df048,32'h3f549774,// invsqrt(1.7546) = 0.7549 +32'h3d8bd6fd,32'h40700648,32'h4079d24a, 32'h4068ad45,32'h408095a6, 32'h405c6e42,32'h4086b528,// invsqrt(0.0683) = 3.8269 +32'h405b787a,32'h3f077a4b,32'h3f0d01e5, 32'h3f035497,32'h3f112799, 32'h3ef8d62b,32'h3f18111a,// invsqrt(3.4292) = 0.5400 +32'h430f1153,32'h3da7cc24,32'h3daea573, 32'h3da2a927,32'h3db3c86f, 32'h3d9a1984,32'h3dbc5813,// invsqrt(143.0677) = 0.0836 +32'h3e284bec,32'h401ab5c3,32'h40210653, 32'h4015f957,32'h4025c2bf, 32'h400e14a4,32'h402da772,// invsqrt(0.1644) = 2.4667 +32'h3edf2762,32'h3fbe01b5,32'h3fc5c315, 32'h3fb830ad,32'h3fcb941d, 32'h3fae7ef4,32'h3fd545d6,// invsqrt(0.4358) = 1.5147 +32'h3f3073fb,32'h3f97178d,32'h3f9d424f, 32'h3f92777c,32'h3fa1e260, 32'h3f8ac209,32'h3fa997d3,// invsqrt(0.6893) = 1.2045 +32'h4020849f,32'h3f1e6a09,32'h3f24e14d, 32'h3f199096,32'h3f29bac0, 32'h3f117b80,32'h3f31cfd6,// invsqrt(2.5081) = 0.6314 +32'h3eceb6b2,32'h3fc56af9,32'h3fcd79c9, 32'h3fbf5fdc,32'h3fd384e6, 32'h3fb54d57,32'h3fdd976b,// invsqrt(0.4037) = 1.5738 +32'h3ffc2cc4,32'h3f32bd45,32'h3f3a08e9, 32'h3f2d4489,32'h3f3f81a5, 32'h3f2425fb,32'h3f48a033,// invsqrt(1.9701) = 0.7124 +32'h41b30812,32'h3e5421cd,32'h3e5cca5e, 32'h3e4da362,32'h3e6348ca, 32'h3e42d0ad,32'h3e6e1b7f,// invsqrt(22.3789) = 0.2114 +32'h3f279a5c,32'h3f9b07a1,32'h3fa15b88, 32'h3f9648b3,32'h3fa61a75, 32'h3f8e5fd2,32'h3fae0356,// invsqrt(0.6547) = 1.2359 +32'h3dc897c2,32'h4048683f,32'h4050964d, 32'h404245b6,32'h4056b8d6, 32'h40380c25,32'h4060f267,// invsqrt(0.0979) = 3.1953 +32'h3e0b3cc8,32'h402a16f7,32'h4031083b, 32'h4024e205,32'h40363d2d, 32'h401c3470,32'h403eeac2,// invsqrt(0.1360) = 2.7119 +32'h3fff97f4,32'h3f318a39,32'h3f38c956, 32'h3f2c1ae4,32'h3f3e38ac, 32'h3f230c01,32'h3f47478f,// invsqrt(1.9968) = 0.7077 +32'h3f4b0689,32'h3f8cdb95,32'h3f929b66, 32'h3f888bb7,32'h3f96eb43, 32'h3f815bf0,32'h3f9e1b0a,// invsqrt(0.7931) = 1.1229 +32'h3cea5eeb,32'h40b96772,32'h40c0f8ba, 32'h40b3ba7b,32'h40c6a5b1, 32'h40aa44e1,32'h40d01b4b,// invsqrt(0.0286) = 5.9121 +32'h3e8dfc95,32'h3fee33f9,32'h3ff7ecf4, 32'h3fe6e93e,32'h3fff37b0, 32'h3fdac205,32'h4005af75,// invsqrt(0.2773) = 1.8989 +32'h3e863cb4,32'h3ff4fb78,32'h3ffefb48, 32'h3fed7b9b,32'h40033d92, 32'h3fe0fbd6,32'h40097d75,// invsqrt(0.2622) = 1.9530 +32'h3f541546,32'h3f89d12b,32'h3f8f7137, 32'h3f859922,32'h3f93a940, 32'h3f7d2225,32'h3f9ab150,// invsqrt(0.8284) = 1.0987 +32'h3f7fd423,32'h3f7af6c9,32'h3f829a8d, 32'h3f73480c,32'h3f8671ec, 32'h3f667a27,32'h3f8cd8df,// invsqrt(0.9993) = 1.0003 +32'h3f2ab96d,32'h3f999b26,32'h3f9fe02d, 32'h3f94e762,32'h3fa493f2, 32'h3f8d1119,32'h3fac6a3b,// invsqrt(0.6669) = 1.2245 +32'h40ed2651,32'h3eb8508a,32'h3ebfd670, 32'h3eb2ac1d,32'h3ec57add, 32'h3ea944bd,32'h3ecee23d,// invsqrt(7.4109) = 0.3673 +32'h417d1423,32'h3e7c52e6,32'h3e834fb7, 32'h3e749982,32'h3e872c69, 32'h3e67b9d9,32'h3e8d9c3e,// invsqrt(15.8174) = 0.2514 +32'h3f048698,32'h3fae57f3,32'h3fb575a9, 32'h3fa901aa,32'h3fbacbf2, 32'h3fa01c86,32'h3fc3b116,// invsqrt(0.5177) = 1.3899 +32'h3faebd11,32'h3f56b8d9,32'h3f5f7c79, 32'h3f502621,32'h3f660f31, 32'h3f453198,32'h3f7103ba,// invsqrt(1.3651) = 0.8559 +32'h3fdd2ef6,32'h3f3ed9e3,32'h3f46a417, 32'h3f39023d,32'h3f4c7bbd, 32'h3f2f457d,32'h3f56387d,// invsqrt(1.7280) = 0.7607 +32'h3e395a33,32'h40136b92,32'h40196ff6, 32'h400ee847,32'h401df341, 32'h400762ca,32'h402578be,// invsqrt(0.1810) = 2.3504 +32'h3fdd0f0f,32'h3f3ee7a8,32'h3f46b26b, 32'h3f390f96,32'h3f4c8a7e, 32'h3f2f5223,32'h3f5647f1,// invsqrt(1.7270) = 0.7609 +32'h3ea9c8ed,32'h3fd9d4f9,32'h3fe2b919, 32'h3fd329e2,32'h3fe96430, 32'h3fc80cbb,32'h3ff48157,// invsqrt(0.3316) = 1.7365 +32'h41bea08f,32'h3e4d9435,32'h3e55f84d, 32'h3e474924,32'h3e5c435e, 32'h3e3ccc07,32'h3e66c07b,// invsqrt(23.8284) = 0.2049 +32'h3fdcb757,32'h3f3f0d94,32'h3f46d9e4, 32'h3f393459,32'h3f4cb31f, 32'h3f2f74f6,32'h3f567282,// invsqrt(1.7243) = 0.7615 +32'h3ec4261e,32'h3fcaaa29,32'h3fd2efcd, 32'h3fc475ee,32'h3fd92408, 32'h3fba1ee2,32'h3fe37b14,// invsqrt(0.3831) = 1.6156 +32'h40defc65,32'h3ebe1405,32'h3ec5d625, 32'h3eb8426e,32'h3ecba7bc, 32'h3eae8fc6,32'h3ed55a64,// invsqrt(6.9683) = 0.3788 +32'h3f8e4cc7,32'h3f6df0d1,32'h3f77a70d, 32'h3f66a823,32'h3f7eefbb, 32'h3f5a8457,32'h3f8589c3,// invsqrt(1.1117) = 0.9484 +32'h3fcf1047,32'h3f454040,32'h3f4d4d52, 32'h3f3f3672,32'h3f535720, 32'h3f35261b,32'h3f5d6777,// invsqrt(1.6177) = 0.7862 +32'h3f3b2a47,32'h3f92b45c,32'h3f98b146, 32'h3f8e36ad,32'h3f9d2ef5, 32'h3f86ba89,32'h3fa4ab19,// invsqrt(0.7311) = 1.1695 +32'h408f7b82,32'h3eecf549,32'h3ef6a141, 32'h3ee5b44e,32'h3efde23c, 32'h3ed99d58,32'h3f04fc99,// invsqrt(4.4838) = 0.4723 +32'h403513cd,32'h3f152676,32'h3f1b3cee, 32'h3f10959c,32'h3f1fcdc8, 32'h3f08f987,32'h3f2769dd,// invsqrt(2.8293) = 0.5945 +32'h3f82323e,32'h3f78c146,32'h3f817441, 32'h3f7123d9,32'h3f8542f8, 32'h3f6472cd,32'h3f8b9b7d,// invsqrt(1.0172) = 0.9915 +32'h3f2a9558,32'h3f99ab64,32'h3f9ff115, 32'h3f94f720,32'h3fa4a55a, 32'h3f8d2004,32'h3fac7c76,// invsqrt(0.6663) = 1.2250 +32'h4050182a,32'h3f0b21b2,32'h3f10cf7a, 32'h3f06df5b,32'h3f1511d1, 32'h3eff8c41,32'h3f1c2b0b,// invsqrt(3.2515) = 0.5546 +32'h4089152e,32'h3ef26d1f,32'h3efc523b, 32'h3eeb014b,32'h3f01df08, 32'h3edea2e8,32'h3f080e39,// invsqrt(4.2838) = 0.4832 +32'h400a4fc2,32'h3f2aa876,32'h3f319faa, 32'h3f256f0f,32'h3f36d911, 32'h3f1cba0e,32'h3f3f8e12,// invsqrt(2.1611) = 0.6802 +32'h3fe9aeed,32'h3f39ad36,32'h3f414158, 32'h3f33fe1d,32'h3f46f071, 32'h3f2a84f3,32'h3f50699b,// invsqrt(1.8257) = 0.7401 +32'h3e14fa1a,32'h40246f93,32'h402b25c3, 32'h401f66ef,32'h40302e67, 32'h40170334,32'h40389223,// invsqrt(0.1455) = 2.6217 +32'h3f777753,32'h3f7f2b4d,32'h3f84cac7, 32'h3f775b9c,32'h3f88b2a0, 32'h3f6a56c9,32'h3f8f3509,// invsqrt(0.9667) = 1.0171 +32'h400b561a,32'h3f2a0782,32'h3f30f824, 32'h3f24d308,32'h3f362c9e, 32'h3f1c263e,32'h3f3ed968,// invsqrt(2.1771) = 0.6777 +32'h3fa39b8e,32'h3f5de7f6,32'h3f66f6a8, 32'h3f571cf1,32'h3f6dc1ad, 32'h3f4bca94,32'h3f79140a,// invsqrt(1.2782) = 0.8845 +32'h3ee028d4,32'h3fbd9479,32'h3fc55164, 32'h3fb7c6c9,32'h3fcb1f15, 32'h3fae1aa4,32'h3fd4cb3a,// invsqrt(0.4378) = 1.5113 +32'h3d309dd4,32'h409705a5,32'h409d2fac, 32'h40926621,32'h40a1cf31, 32'h408ab198,32'h40a983ba,// invsqrt(0.0431) = 4.8158 +32'h40112684,32'h3f2696d6,32'h3f2d6385, 32'h3f217d51,32'h3f327d09, 32'h3f18fd75,32'h3f3afce5,// invsqrt(2.2680) = 0.6640 +32'h3d36234a,32'h4094b724,32'h409ac910, 32'h409029b2,32'h409f5682, 32'h4088934b,32'h40a6ece9,// invsqrt(0.0445) = 4.7422 +32'h3eee8db5,32'h3fb7c57f,32'h3fbf45b9, 32'h3fb22554,32'h3fc4e5e4, 32'h3fa8c50c,32'h3fce462c,// invsqrt(0.4659) = 1.4650 +32'h3f928ce0,32'h3f6a76fe,32'h3f7408ea, 32'h3f63498e,32'h3f7b365a, 32'h3f575328,32'h3f839660,// invsqrt(1.1449) = 0.9346 +32'h3f3c8b5b,32'h3f922abf,32'h3f98220b, 32'h3f8db146,32'h3f9c9b84, 32'h3f863c28,32'h3fa410a2,// invsqrt(0.7365) = 1.1652 +32'h3dc10f1f,32'h404c47b0,32'h40549e35, 32'h404606cd,32'h405adf19, 32'h403b9aa8,32'h40654b3f,// invsqrt(0.0943) = 3.2570 +32'h3dd6f876,32'h404196e2,32'h40497db2, 32'h403ba9c6,32'h404f6ace, 32'h4031c943,32'h40594b51,// invsqrt(0.1050) = 3.0866 +32'h40894915,32'h3ef23f47,32'h3efc2283, 32'h3eead4da,32'h3f01c678, 32'h3ede78ce,32'h3f07f47e,// invsqrt(4.2902) = 0.4828 +32'h3f5a8c11,32'h3f87c37e,32'h3f8d4e16, 32'h3f839b8d,32'h3f917607, 32'h3f795c9f,32'h3f986345,// invsqrt(0.8537) = 1.0823 +32'h3f328979,32'h3f963525,32'h3f9c56a9, 32'h3f919c02,32'h3fa0efcc, 32'h3f89f21d,32'h3fa899b1,// invsqrt(0.6974) = 1.1974 +32'h3f907c58,32'h3f6c224f,32'h3f75c5ab, 32'h3f64e7ca,32'h3f7d0030, 32'h3f58db97,32'h3f848632,// invsqrt(1.1288) = 0.9412 +32'h3ed09a1a,32'h3fc485b5,32'h3fcc8b2b, 32'h3fbe819e,32'h3fd28f42, 32'h3fb47acb,32'h3fdc9615,// invsqrt(0.4074) = 1.5667 +32'h4019bb69,32'h3f21df6e,32'h3f287ad5, 32'h3f1ceadf,32'h3f2d6f63, 32'h3f14a89e,32'h3f35b1a4,// invsqrt(2.4021) = 0.6452 +32'h3f71f999,32'h3f81062a,32'h3f864a56, 32'h3f7a2613,32'h3f8a3d76, 32'h3f6cfba6,32'h3f90d2ad,// invsqrt(0.9452) = 1.0286 +32'h4196ddbb,32'h3e671639,32'h3e7084d8, 32'h3e600341,32'h3e7797cf, 32'h3e5438fa,32'h3e81b10b,// invsqrt(18.8583) = 0.2303 +32'h3ed1e293,32'h3fc3ebb1,32'h3fcbeadd, 32'h3fbdec50,32'h3fd1ea3e, 32'h3fb3ed5a,32'h3fdbe935,// invsqrt(0.4099) = 1.5619 +32'h40207389,32'h3f1e7278,32'h3f24ea14, 32'h3f1998c2,32'h3f29c3ca, 32'h3f11833f,32'h3f31d94d,// invsqrt(2.5071) = 0.6316 +32'h3f836390,32'h3f779f96,32'h3f80dd80, 32'h3f700b07,32'h3f84a7c7, 32'h3f6368c4,32'h3f8af8e9,// invsqrt(1.0265) = 0.9870 +32'h3f1618e6,32'h3fa3d22f,32'h3faa81f2, 32'h3f9ece5c,32'h3faf85c4, 32'h3f9672a8,32'h3fb7e178,// invsqrt(0.5863) = 1.3060 +32'h3fe2f92d,32'h3f3c66b3,32'h3f44174d, 32'h3f36a240,32'h3f49dbc0, 32'h3f2d0580,32'h3f537880,// invsqrt(1.7732) = 0.7510 +32'h400113ef,32'h3f30a81e,32'h3f37de00, 32'h3f2b3fb5,32'h3f3d4669, 32'h3f223c5a,32'h3f4649c4,// invsqrt(2.0168) = 0.7041 +32'h3e93f66c,32'h3fe957d9,32'h3ff2de0b, 32'h3fe23333,32'h3ffa02b1, 32'h3fd64b73,32'h4002f538,// invsqrt(0.2890) = 1.8602 +32'h3fd26fab,32'h3f43a9f8,32'h3f4ba676, 32'h3f3dac9b,32'h3f51a3d3, 32'h3f33b0fe,32'h3f5b9f70,// invsqrt(1.6440) = 0.7799 +32'h3d7c6bff,32'h407ca6e2,32'h40837b6b, 32'h4074eaeb,32'h40875967, 32'h406806f9,32'h408dcb5f,// invsqrt(0.0616) = 4.0283 +32'h3fad36dc,32'h3f57aa2d,32'h3f6077a7, 32'h3f511012,32'h3f6711c2, 32'h3f460f39,32'h3f72129b,// invsqrt(1.3532) = 0.8596 +32'h41365b3d,32'h3e94a052,32'h3e9ab150, 32'h3e901393,32'h3e9f3e0f, 32'h3e887e56,32'h3ea6d34c,// invsqrt(11.3973) = 0.2962 +32'h3f266e3f,32'h3f9b9329,32'h3fa1ecc3, 32'h3f96cff6,32'h3fa6aff6, 32'h3f8edff7,32'h3fae9ff5,// invsqrt(0.6501) = 1.2402 +32'h3f774b0a,32'h3f7f4225,32'h3f84d6ab, 32'h3f7771c1,32'h3f88bedd, 32'h3f6a6bc5,32'h3f8f41dc,// invsqrt(0.9660) = 1.0175 +32'h418001d2,32'h3e7adf7f,32'h3e828e6e, 32'h3e733178,32'h3e866572, 32'h3e6664c3,32'h3e8ccbcc,// invsqrt(16.0009) = 0.2500 +32'h3f9416e0,32'h3f693e46,32'h3f72c36e, 32'h3f621a69,32'h3f79e74b, 32'h3f5633f7,32'h3f82e6de,// invsqrt(1.1569) = 0.9297 +32'h3fcf8850,32'h3f45072c,32'h3f4d11ea, 32'h3f3eff1e,32'h3f5319f8, 32'h3f34f1b0,32'h3f5d2766,// invsqrt(1.6213) = 0.7853 +32'h3f8668ee,32'h3f74d327,32'h3f7ed152, 32'h3f6d5486,32'h3f8327f9, 32'h3f60d6d0,32'h3f8966d4,// invsqrt(1.0501) = 0.9759 +32'h408b2a60,32'h3ef09af5,32'h3efa6d09, 32'h3ee93d65,32'h3f00e54c, 32'h3edcf6cc,32'h3f070899,// invsqrt(4.3489) = 0.4795 +32'h3f849e0b,32'h3f76794e,32'h3f80445a, 32'h3f6eedc1,32'h3f840a21, 32'h3f625a81,32'h3f8a53c1,// invsqrt(1.0361) = 0.9824 +32'h3f867993,32'h3f74c400,32'h3f7ec18d, 32'h3f6d45d6,32'h3f831fdb, 32'h3f60c8e5,32'h3f895e53,// invsqrt(1.0506) = 0.9756 +32'h3f2eed1b,32'h3f97bffe,32'h3f9df1a0, 32'h3f931ac5,32'h3fa296d9, 32'h3f8b5cba,32'h3faa54e4,// invsqrt(0.6833) = 1.2097 +32'h4022c773,32'h3f1d4f65,32'h3f23bb20, 32'h3f187e99,32'h3f288bed, 32'h3f1077f0,32'h3f309297,// invsqrt(2.5434) = 0.6270 +32'h3f89d107,32'h3f71c7af,32'h3f7ba609, 32'h3f6a60eb,32'h3f818667, 32'h3f5e0af9,32'h3f87b15f,// invsqrt(1.0767) = 0.9637 +32'h3f5860b3,32'h3f887149,32'h3f8e02f9, 32'h3f844406,32'h3f92303c, 32'h3f7a9bd5,32'h3f992658,// invsqrt(0.8452) = 1.0877 +32'h4103fe29,32'h3eaeb1f6,32'h3eb5d359, 32'h3ea958ec,32'h3ebb2c64, 32'h3ea06f31,32'h3ec4161f,// invsqrt(8.2496) = 0.3482 +32'h3f8024ba,32'h3f7abd51,32'h3f827ca5, 32'h3f731057,32'h3f865323, 32'h3f664560,32'h3f8cb89e,// invsqrt(1.0011) = 0.9994 +32'h3de1520a,32'h403d1747,32'h4044cf16, 32'h40374d6d,32'h404a98f1, 32'h402da7aa,32'h40543eb4,// invsqrt(0.1100) = 3.0148 +32'h3f9bb230,32'h3f637968,32'h3f6cc248, 32'h3f5c82c0,32'h3f73b8f0, 32'h3f50e7a9,32'h3f7f5407,// invsqrt(1.2164) = 0.9067 +32'h3dd85a57,32'h4040f84e,32'h4048d8a5, 32'h403b100e,32'h404ec0e6, 32'h403137a1,32'h40589953,// invsqrt(0.1056) = 3.0767 +32'h3e21f53e,32'h401db55a,32'h4024253f, 32'h4018e170,32'h4028f92a, 32'h4010d592,32'h40310508,// invsqrt(0.1582) = 2.5145 +32'h3f05734f,32'h3fadbd0e,32'h3fb4d472, 32'h3fa86b83,32'h3fba25fd, 32'h3f9f8e46,32'h3fc3033a,// invsqrt(0.5213) = 1.3850 +32'h406a11fc,32'h3f032f43,32'h3f088a02, 32'h3efe5669,32'h3f0c8e12, 32'h3ef0f38c,32'h3f133f80,// invsqrt(3.6573) = 0.5229 +32'h40582521,32'h3f088415,32'h3f0e1689, 32'h3f04563e,32'h3f124460, 32'h3efabe5b,32'h3f193b70,// invsqrt(3.3773) = 0.5441 +32'h4048456b,32'h3f0dd2b3,32'h3f139c9b, 32'h3f097b45,32'h3f17f409, 32'h3f023ee3,32'h3f1f306b,// invsqrt(3.1292) = 0.5653 +32'h3fe88e35,32'h3f3a2055,32'h3f41b929, 32'h3f346db5,32'h3f476bc9, 32'h3f2aeeac,32'h3f50ead2,// invsqrt(1.8168) = 0.7419 +32'h3c4a3981,32'h410d22eb,32'h4112e5a6, 32'h4108d0de,32'h411737b2, 32'h41019d74,32'h411e6b1c,// invsqrt(0.0123) = 9.0010 +32'h3fed6356,32'h3f3838d8,32'h3f3fbdc7, 32'h3f329526,32'h3f45617a, 32'h3f292efb,32'h3f4ec7a5,// invsqrt(1.8546) = 0.7343 +32'h422d7763,32'h3e18631e,32'h3e1e9b68, 32'h3e13b8e6,32'h3e2345a0, 32'h3e0bf289,32'h3e2b0bfd,// invsqrt(43.3666) = 0.1519 +32'h3e45d8a5,32'h400eb085,32'h4014837b, 32'h400a524d,32'h4018e1b3, 32'h40030a9a,32'h40202966,// invsqrt(0.1932) = 2.2750 +32'h3ef00429,32'h3fb735ed,32'h3fbeb04a, 32'h3fb19a26,32'h3fc44c10, 32'h3fa84132,32'h3fcda504,// invsqrt(0.4688) = 1.4605 +32'h3faefb7e,32'h3f569289,32'h3f5f5499, 32'h3f5000fd,32'h3f65e625, 32'h3f450e69,32'h3f70d8b9,// invsqrt(1.3670) = 0.8553 +32'h3fadb27e,32'h3f575d5f,32'h3f6027b6, 32'h3f50c59d,32'h3f66bf77, 32'h3f45c8af,32'h3f71bc65,// invsqrt(1.3570) = 0.8584 +32'h3e250f43,32'h401c383a,32'h40229890, 32'h40176ffa,32'h402760d0, 32'h400f778e,32'h402f593c,// invsqrt(0.1612) = 2.4907 +32'h3f5b7c8a,32'h3f87790a,32'h3f8d0098, 32'h3f835360,32'h3f912642, 32'h3f78d3df,32'h3f980fb3,// invsqrt(0.8574) = 1.0800 +32'h3f5d4a89,32'h3f86eb56,32'h3f8c6d1a, 32'h3f82ca02,32'h3f908e6e, 32'h3f77cf98,32'h3f9770a4,// invsqrt(0.8644) = 1.0756 +32'h41013e3b,32'h3eb08b34,32'h3eb7bfe8, 32'h3eab23ad,32'h3ebd276f, 32'h3ea221cd,32'h3ec6294f,// invsqrt(8.0777) = 0.3518 +32'h3f7f6421,32'h3f7b2dcb,32'h3f82b72e, 32'h3f737d60,32'h3f868f64, 32'h3f66acac,32'h3f8cf7be,// invsqrt(0.9976) = 1.0012 +32'h3f435f10,32'h3f8f9729,32'h3f957389, 32'h3f8b31e1,32'h3f99d8d1, 32'h3f83de6a,32'h3fa12c48,// invsqrt(0.7632) = 1.1447 +32'h3f165250,32'h3fa3b2e3,32'h3faa615f, 32'h3f9eb006,32'h3faf643c, 32'h3f9655ea,32'h3fb7be58,// invsqrt(0.5872) = 1.3050 +32'h3fa7c57c,32'h3f5b2299,32'h3f641456, 32'h3f546d4b,32'h3f6ac9a3, 32'h3f493f1e,32'h3f75f7d0,// invsqrt(1.3107) = 0.8735 +32'h3efb1fd8,32'h3fb31cdf,32'h3fba6c6b, 32'h3fada136,32'h3fbfe814, 32'h3fa47dc8,32'h3fc90b82,// invsqrt(0.4905) = 1.4279 +32'h3fc9fc96,32'h3f47b6ec,32'h3f4fddbc, 32'h3f4199d0,32'h3f55fad8, 32'h3f37694c,32'h3f602b5c,// invsqrt(1.5780) = 0.7961 +32'h401f2ef6,32'h3f1f13af,32'h3f2591df, 32'h3f1a350a,32'h3f2a7084, 32'h3f12174d,32'h3f328e41,// invsqrt(2.4872) = 0.6341 +32'h3f555342,32'h3f896a4e,32'h3f8f0627, 32'h3f85356a,32'h3f933b0a, 32'h3f7c6535,32'h3f9a3dd9,// invsqrt(0.8333) = 1.0955 +32'h3ef922d2,32'h3fb3d37c,32'h3fbb2a7c, 32'h3fae523c,32'h3fc0abbc, 32'h3fa5257c,32'h3fc9d87c,// invsqrt(0.4866) = 1.4336 +32'h3e872767,32'h3ff42666,32'h3ffe1d84, 32'h3fecad0f,32'h4002cb6d, 32'h3fe03829,32'h400905e0,// invsqrt(0.2640) = 1.9463 +32'h3f54138b,32'h3f89d1bb,32'h3f8f71cd, 32'h3f8599ad,32'h3f93a9db, 32'h3f7d232e,32'h3f9ab1f1,// invsqrt(0.8284) = 1.0987 +32'h3f89c85d,32'h3f71cf49,32'h3f7badf3, 32'h3f6a6849,32'h3f818a79, 32'h3f5e11f5,32'h3f87b5a4,// invsqrt(1.0764) = 0.9638 +32'h403b5a9c,32'h3f12a16f,32'h3f189d93, 32'h3f0e2454,32'h3f1d1aae, 32'h3f06a927,32'h3f2495db,// invsqrt(2.9274) = 0.5845 +32'h3f04a80d,32'h3fae41f5,32'h3fb55ec5, 32'h3fa8ec58,32'h3fbab462, 32'h3fa00854,32'h3fc39866,// invsqrt(0.5182) = 1.3892 +32'h439abb14,32'h3d642ec4,32'h3d6d7f0a, 32'h3d5d328f,32'h3d747b3f, 32'h3d518e36,32'h3d800fcc,// invsqrt(309.4615) = 0.0568 +32'h3e805b22,32'h3ffa8828,32'h400260fb, 32'h3ff2dcce,32'h400636a8, 32'h3fe6148e,32'h400c9ac8,// invsqrt(0.2507) = 1.9972 +32'h40854516,32'h3ef5dea6,32'h3effe7bc, 32'h3eee57d5,32'h3f03b747, 32'h3ee1cc79,32'h3f09fcf5,// invsqrt(4.1647) = 0.4900 +32'h3f264cb9,32'h3f9ba2d6,32'h3fa1fd14, 32'h3f96df29,32'h3fa6c0c1, 32'h3f8eee5c,32'h3faeb18e,// invsqrt(0.6496) = 1.2407 +32'h3ffd3022,32'h3f3261a0,32'h3f39a988, 32'h3f2cebb3,32'h3f3f1f75, 32'h3f23d1d2,32'h3f483956,// invsqrt(1.9780) = 0.7110 +32'h3f6fd170,32'h3f819a5e,32'h3f86e496, 32'h3f7b4568,32'h3f8adc40, 32'h3f6e0bdc,32'h3f917906,// invsqrt(0.9368) = 1.0332 +32'h404bd4cf,32'h3f0c943d,32'h3f125125, 32'h3f08468f,32'h3f169ed3, 32'h3f011a6c,32'h3f1dcaf6,// invsqrt(3.1849) = 0.5603 +32'h3f559ff1,32'h3f8951a2,32'h3f8eec79, 32'h3f851d80,32'h3f93209a, 32'h3f7c37e4,32'h3f9a2228,// invsqrt(0.8345) = 1.0947 +32'h3ff3a5c7,32'h3f35d71a,32'h3f3d4325, 32'h3f304611,32'h3f42d42d, 32'h3f26ff02,32'h3f4c1b3c,// invsqrt(1.9035) = 0.7248 +32'h3f844c73,32'h3f76c544,32'h3f806be2, 32'h3f6f3764,32'h3f8432d2, 32'h3f62a044,32'h3f8a7e62,// invsqrt(1.0336) = 0.9836 +32'h3d9b814d,32'h40639d27,32'h406ce77d, 32'h405ca568,32'h4073df3c, 32'h4051087d,32'h407f7c27,// invsqrt(0.0759) = 3.6290 +32'h4062e389,32'h3f053e9c,32'h3f0aaee1, 32'h3f012a68,32'h3f0ec314, 32'h3ef4bc23,32'h3f158f6b,// invsqrt(3.5451) = 0.5311 +32'h3fa056a9,32'h3f60282a,32'h3f694e60, 32'h3f594b82,32'h3f702b08, 32'h3f4ddbbe,32'h3f7b9acc,// invsqrt(1.2526) = 0.8935 +32'h3fd011cc,32'h3f44c60a,32'h3f4cce1f, 32'h3f3ebff9,32'h3f52d42f, 32'h3f34b5df,32'h3f5cde49,// invsqrt(1.6255) = 0.7843 +32'h42418191,32'h3e1047e5,32'h3e162b7c, 32'h3e0bdd35,32'h3e1a962d, 32'h3e0480b9,32'h3e21f2a9,// invsqrt(48.3765) = 0.1438 +32'h3f8904cb,32'h3f727b9e,32'h3f7c6150, 32'h3f6b0f57,32'h3f81e6cb, 32'h3f5eb038,32'h3f88165b,// invsqrt(1.0705) = 0.9665 +32'h3e4d7f7c,32'h400c01ff,32'h4011b8f0, 32'h4007b8cc,32'h40160224, 32'h4000941f,32'h401d26d1,// invsqrt(0.2007) = 2.2323 +32'h403e9f27,32'h3f115e4c,32'h3f174d40, 32'h3f0ceb16,32'h3f1bc076, 32'h3f058065,32'h3f232b27,// invsqrt(2.9785) = 0.5794 +32'h3fe00d1b,32'h3f3da034,32'h3f455d99, 32'h3f37d227,32'h3f4b2ba5, 32'h3f2e2569,32'h3f54d863,// invsqrt(1.7504) = 0.7558 +32'h3f6bdee1,32'h3f82aed9,32'h3f88045b, 32'h3f7d5d71,32'h3f8c047b, 32'h3f7007af,32'h3f92af5d,// invsqrt(0.9214) = 1.0418 +32'h3e2f3b96,32'h40179dff,32'h401dce3d, 32'h4012f9d0,32'h4022726c, 32'h400b3d81,32'h402a2ebb,// invsqrt(0.1711) = 2.4174 +32'h3f8e4851,32'h3f6df48c,32'h3f77aaf0, 32'h3f66abc1,32'h3f7ef3bb, 32'h3f5a87c5,32'h3f858bdc,// invsqrt(1.1116) = 0.9485 +32'h41bf045a,32'h3e4d5e7b,32'h3e55c061, 32'h3e47150f,32'h3e5c09cd, 32'h3e3c9ab0,32'h3e66842c,// invsqrt(23.8771) = 0.2046 +32'h3e268ae7,32'h401b85c6,32'h4021ded4, 32'h4016c2fc,32'h4026a19e, 32'h400ed3ac,32'h402e90ee,// invsqrt(0.1626) = 2.4796 +32'h3f628dd8,32'h3f8557cc,32'h3f8ac918, 32'h3f8142d3,32'h3f8ede11, 32'h3f74ea67,32'h3f95abb1,// invsqrt(0.8850) = 1.0630 +32'h3c98ed4c,32'h40e58645,32'h40eee491, 32'h40de7f8c,32'h40f5eb4a, 32'h40d2c9ad,32'h4100d094,// invsqrt(0.0187) = 7.3190 +32'h3ffb9844,32'h3f32f1fd,32'h3f3a3fc9, 32'h3f2d77a4,32'h3f3fba22, 32'h3f245666,32'h3f48db60,// invsqrt(1.9656) = 0.7133 +32'h40097c95,32'h3f2b2b54,32'h3f3227e0, 32'h3f25edec,32'h3f376548, 32'h3f1d323e,32'h3f4020f6,// invsqrt(2.1482) = 0.6823 +32'h40105fa6,32'h3f27096a,32'h3f2ddac8, 32'h3f21ec65,32'h3f32f7cd, 32'h3f1966b0,32'h3f3b7d82,// invsqrt(2.2558) = 0.6658 +32'h3eaccb30,32'h3fd7ed54,32'h3fe0bd8c, 32'h3fd1512a,32'h3fe759b6, 32'h3fc64ce5,32'h3ff25dfb,// invsqrt(0.3375) = 1.7214 +32'h41152cb3,32'h3ea453ae,32'h3eab08ba, 32'h3e9f4be5,32'h3eb01083, 32'h3e96e995,32'h3eb872d3,// invsqrt(9.3234) = 0.3275 +32'h3ed44f37,32'h3fc2cc81,32'h3fcabff4, 32'h3fbcd5eb,32'h3fd0b68b, 32'h3fb2e59c,32'h3fdaa6db,// invsqrt(0.4147) = 1.5529 +32'h40edfe5e,32'h3eb7fcce,32'h3ebf7f4a, 32'h3eb25af2,32'h3ec52126, 32'h3ea8f7d8,32'h3ece8440,// invsqrt(7.4373) = 0.3667 +32'h3e6df1b3,32'h40021cc3,32'h40076c4d, 32'h3ffc4236,32'h400b67f5, 32'h3feefb5b,32'h40120b62,// invsqrt(0.2324) = 2.0745 +32'h4061699c,32'h3f05ae20,32'h3f0b22f2, 32'h3f019682,32'h3f0f3a90, 32'h3ef588f7,32'h3f160c97,// invsqrt(3.5221) = 0.5328 +32'h3e185685,32'h40229c9c,32'h40293fbd, 32'h401da244,32'h402e3a16, 32'h4015565c,32'h403685fe,// invsqrt(0.1488) = 2.5927 +32'h3e3db092,32'h4011b99b,32'h4017ac49, 32'h400d4399,32'h401c224b, 32'h4005d440,32'h402391a4,// invsqrt(0.1852) = 2.3234 +32'h40c816ba,32'h3ec8a8d3,32'h3ed0d983, 32'h3ec2844f,32'h3ed6fe07, 32'h3eb84774,32'h3ee13ae3,// invsqrt(6.2528) = 0.3999 +32'h3f5047cf,32'h3f8b11c7,32'h3f90bee9, 32'h3f86cfed,32'h3f9500c3, 32'h3f7f6f05,32'h3f9c192e,// invsqrt(0.8136) = 1.1087 +32'h3f1cf113,32'h3fa03580,32'h3fa6bf85, 32'h3f9b4dfc,32'h3faba708, 32'h3f932175,32'h3fb3d38f,// invsqrt(0.6131) = 1.2772 +32'h42a805d1,32'h3ddaf8a1,32'h3de3e8a8, 32'h3dd4449d,32'h3dea9cad, 32'h3dc91894,32'h3df5c8b6,// invsqrt(84.0114) = 0.1091 +32'h3f2ae7be,32'h3f998655,32'h3f9fca82, 32'h3f94d332,32'h3fa47da4, 32'h3f8cfdfa,32'h3fac52dc,// invsqrt(0.6676) = 1.2239 +32'h402127e0,32'h3f1e19b7,32'h3f248db5, 32'h3f1942ba,32'h3f2964b2, 32'h3f1131bd,32'h3f3175af,// invsqrt(2.5181) = 0.6302 +32'h3f3948fe,32'h3f93726a,32'h3f997716, 32'h3f8eeeea,32'h3f9dfa96, 32'h3f876913,32'h3fa5806d,// invsqrt(0.7238) = 1.1754 +32'h3f100fd3,32'h3fa737ab,32'h3fae0aeb, 32'h3fa2193a,32'h3fb3295c, 32'h3f99912a,32'h3fbbb16c,// invsqrt(0.5627) = 1.3330 +32'h40b1cfa4,32'h3ed4dbda,32'h3edd8c02, 32'h3ece57bc,32'h3ee41020, 32'h3ec37b89,32'h3eeeec53,// invsqrt(5.5566) = 0.4242 +32'h3ec91cb3,32'h3fc825f7,32'h3fd05150, 32'h3fc20575,32'h3fd671d3, 32'h3fb7cf47,32'h3fe0a801,// invsqrt(0.3928) = 1.5956 +32'h402bb37f,32'h3f192b22,32'h3f1f6b96, 32'h3f147acb,32'h3f241bed, 32'h3f0caa39,32'h3f2bec7f,// invsqrt(2.6828) = 0.6105 +32'h3fb77176,32'h3f5190d2,32'h3f5a1e92, 32'h3f4b2683,32'h3f6088e1, 32'h3f407553,32'h3f6b3a11,// invsqrt(1.4332) = 0.8353 +32'h40ab2ab9,32'h3ed8f364,32'h3ee1ce4e, 32'h3ed24f35,32'h3ee8727d, 32'h3ec73d90,32'h3ef38422,// invsqrt(5.3490) = 0.4324 +32'h40623dc5,32'h3f056f63,32'h3f0ae1a5, 32'h3f0159b1,32'h3f0ef757, 32'h3ef515ba,32'h3f15c62b,// invsqrt(3.5350) = 0.5319 +32'h41c2bac9,32'h3e4b66e3,32'h3e53b43b, 32'h3e452ce1,32'h3e59ee3d, 32'h3e3acc34,32'h3e644eea,// invsqrt(24.3412) = 0.2027 +32'h3f6ceef0,32'h3f8263bc,32'h3f87b62c, 32'h3f7ccbd0,32'h3f8bb400, 32'h3f6f7db7,32'h3f925b0c,// invsqrt(0.9255) = 1.0395 +32'h3fec2001,32'h3f38b6cf,32'h3f4040e1, 32'h3f330f40,32'h3f45e870, 32'h3f29a2a9,32'h3f4f5507,// invsqrt(1.8447) = 0.7363 +32'h401510bf,32'h3f246315,32'h3f2b18c3, 32'h3f1f5ad3,32'h3f302105, 32'h3f16f7bb,32'h3f38841d,// invsqrt(2.3291) = 0.6552 +32'h3faac393,32'h3f5934e0,32'h3f621276, 32'h3f528eaf,32'h3f68b8a7, 32'h3f4779b4,32'h3f73cda3,// invsqrt(1.3341) = 0.8658 +32'h3fcbddda,32'h3f46caa5,32'h3f4ee7d0, 32'h3f40b4c4,32'h3f54fdb0, 32'h3f36904e,32'h3f5f2226,// invsqrt(1.5927) = 0.7924 +32'h3fbc615f,32'h3f4ecd21,32'h3f573dfd, 32'h3f48787b,32'h3f5d92a3, 32'h3f3deb67,32'h3f681fb7,// invsqrt(1.4717) = 0.8243 +32'h3e052940,32'h402ded57,32'h403506b3, 32'h40289a52,32'h403a59b8, 32'h401fba9e,32'h4043396c,// invsqrt(0.1300) = 2.7731 +32'h3e0aaa4f,32'h402a70b5,32'h403165a2, 32'h40253902,32'h40369d54, 32'h401c86da,32'h403f4f7c,// invsqrt(0.1354) = 2.7175 +32'h3f9da3c7,32'h3f621148,32'h3f6b4b75, 32'h3f5b25a7,32'h3f723717, 32'h3f4f9cef,32'h3f7dbfcf,// invsqrt(1.2316) = 0.9011 +32'h3f1fbef5,32'h3f9ecbec,32'h3fa54730, 32'h3f99ef7a,32'h3faa23a2, 32'h3f91d566,32'h3fb23db6,// invsqrt(0.6240) = 1.2659 +32'h40dd86a0,32'h3ebeb41c,32'h3ec67cc4, 32'h3eb8dd9e,32'h3ecc5342, 32'h3eaf22cb,32'h3ed60e15,// invsqrt(6.9227) = 0.3801 +32'h4044e2da,32'h3f0f097a,32'h3f14e012, 32'h3f0aa889,32'h3f194103, 32'h3f035c4c,32'h3f208d40,// invsqrt(3.0763) = 0.5701 +32'h3f2f96da,32'h3f977692,32'h3f9da535, 32'h3f92d399,32'h3fa2482f, 32'h3f8b194d,32'h3faa027b,// invsqrt(0.6859) = 1.2075 +32'h3f277ccb,32'h3f9b154f,32'h3fa169c5, 32'h3f9655f6,32'h3fa6291e, 32'h3f8e6c63,32'h3fae12b1,// invsqrt(0.6542) = 1.2363 +32'h3eed4c57,32'h3fb841c5,32'h3fbfc711, 32'h3fb29dcc,32'h3fc56b0a, 32'h3fa9372d,32'h3fced1a9,// invsqrt(0.4635) = 1.4689 +32'h40364719,32'h3f14a888,32'h3f1ab9dc, 32'h3f101b89,32'h3f1f46db, 32'h3f0885e0,32'h3f26dc84,// invsqrt(2.8481) = 0.5925 +32'h3eb9c58d,32'h3fd03f8a,32'h3fd8bf86, 32'h3fc9df8e,32'h3fdf1f82, 32'h3fbf3f94,32'h3fe9bf7c,// invsqrt(0.3628) = 1.6601 +32'h3f4fe555,32'h3f8b32b3,32'h3f90e12d, 32'h3f86efd7,32'h3f952409, 32'h3f7fab7d,32'h3f9c3e22,// invsqrt(0.8121) = 1.1097 +32'h3f793ff8,32'h3f7e4123,32'h3f8450eb, 32'h3f76789c,32'h3f88352e, 32'h3f697fbc,32'h3f8eb19e,// invsqrt(0.9736) = 1.0135 +32'h3faf6878,32'h3f564fd7,32'h3f5f0f2e, 32'h3f4fc055,32'h3f659eaf, 32'h3f44d128,32'h3f708ddc,// invsqrt(1.3704) = 0.8542 +32'h3f566e87,32'h3f890f6c,32'h3f8ea790, 32'h3f84dd51,32'h3f92d9ab, 32'h3f7bbe49,32'h3f99d7d7,// invsqrt(0.8376) = 1.0926 +32'h40c0ac6d,32'h3ecc7bfc,32'h3ed4d4a2, 32'h3ec6397e,32'h3edb1720, 32'h3ebbcaae,32'h3ee585f1,// invsqrt(6.0210) = 0.4075 +32'h402413b9,32'h3f1cafcb,32'h3f231503, 32'h3f17e3e2,32'h3f27e0ec, 32'h3f0fe55d,32'h3f2fdf71,// invsqrt(2.5637) = 0.6245 +32'h40750c62,32'h3f003662,32'h3f057212, 32'h3ef8933b,32'h3f095ed6, 32'h3eeb7e02,32'h3f0fe973,// invsqrt(3.8289) = 0.5111 +32'h4009dbc1,32'h3f2af035,32'h3f31ea56, 32'h3f25b49b,32'h3f3725ef, 32'h3f1cfbf1,32'h3f3fde99,// invsqrt(2.1540) = 0.6814 +32'h3f8433eb,32'h3f76dc28,32'h3f8077cb, 32'h3f6f4d94,32'h3f843f15, 32'h3f62b549,32'h3f8a8b3b,// invsqrt(1.0328) = 0.9840 +32'h3f74b805,32'h3f804c79,32'h3f858911, 32'h3f78be10,32'h3f897682, 32'h3f6ba696,32'h3f90023f,// invsqrt(0.9559) = 1.0228 +32'h3e0aaafa,32'h402a704c,32'h40316534, 32'h4025389d,32'h40369ce3, 32'h401c867a,32'h403f4f06,// invsqrt(0.1354) = 2.7175 +32'h3f336126,32'h3f95dabd,32'h3f9bf891, 32'h3f91445f,32'h3fa08eef, 32'h3f899f16,32'h3fa83438,// invsqrt(0.7007) = 1.1946 +32'h3ec95a90,32'h3fc80736,32'h3fd0314d, 32'h3fc1e7a4,32'h3fd650de, 32'h3fb7b307,32'h3fe0857b,// invsqrt(0.3933) = 1.5946 +32'h3f8ab541,32'h3f710074,32'h3f7ad6ae, 32'h3f699fca,32'h3f811bac, 32'h3f5d5402,32'h3f874190,// invsqrt(1.0837) = 0.9606 +32'h409b36d9,32'h3ee3d3b8,32'h3eed2047, 32'h3edcda4c,32'h3ef419b2, 32'h3ed13a99,32'h3effb965,// invsqrt(4.8504) = 0.4541 +32'h40038346,32'h3f2f0382,32'h3f362838, 32'h3f29a7f8,32'h3f3b83c2, 32'h3f20ba14,32'h3f4471a6,// invsqrt(2.0549) = 0.6976 +32'h40e2bf95,32'h3ebc7e9f,32'h3ec43033, 32'h3eb6b971,32'h3ec9f561, 32'h3ead1b78,32'h3ed3935a,// invsqrt(7.0859) = 0.3757 +32'h42df57cf,32'h3dbded1b,32'h3dc5ada3, 32'h3db81cb4,32'h3dcb7e0a, 32'h3dae6c09,32'h3dd52eb5,// invsqrt(111.6715) = 0.0946 +32'h3eaf6c76,32'h3fd64d66,32'h3fdf0ca4, 32'h3fcfbdf8,32'h3fe59c12, 32'h3fc4ceeb,32'h3ff08b1f,// invsqrt(0.3426) = 1.7084 +32'h3f1cdf07,32'h3fa03eb7,32'h3fa6c91c, 32'h3f9b56ea,32'h3fabb0e8, 32'h3f9329eb,32'h3fb3dde7,// invsqrt(0.6128) = 1.2775 +32'h3eb26b24,32'h3fd47f04,32'h3fdd2b62, 32'h3fcdfdbd,32'h3fe3aca9, 32'h3fc32648,32'h3fee841f,// invsqrt(0.3485) = 1.6940 +32'h3f3874bf,32'h3f93c727,32'h3f99cf47, 32'h3f8f410e,32'h3f9e5560, 32'h3f87b6e5,32'h3fa5df89,// invsqrt(0.7205) = 1.1781 +32'h3e9fc0f5,32'h3fe09118,32'h3fe9bb97, 32'h3fd9b13a,32'h3ff09b76, 32'h3fce3c1c,32'h3ffc1094,// invsqrt(0.3120) = 1.7902 +32'h3f7516fd,32'h3f80339b,32'h3f856f2f, 32'h3f788dda,32'h3f895bdd, 32'h3f6b78e9,32'h3f8fe656,// invsqrt(0.9574) = 1.0220 +32'h404148a8,32'h3f105d22,32'h3f164196, 32'h3f0bf1cb,32'h3f1aaced, 32'h3f049439,32'h3f220a7f,// invsqrt(3.0201) = 0.5754 +32'h3f689fd5,32'h3f839779,32'h3f88f679, 32'h3f7f2073,32'h3f8cfdb9, 32'h3f71b2f3,32'h3f93b478,// invsqrt(0.9087) = 1.0490 +32'h3defaf2b,32'h40375666,32'h403ed216, 32'h4031b9a1,32'h40446edb, 32'h40285f05,32'h404dc977,// invsqrt(0.1170) = 2.9231 +32'h3e43bdf2,32'h400f7457,32'h40154f4b, 32'h400b1020,32'h4019b382, 32'h4003be6f,32'h40210533,// invsqrt(0.1912) = 2.2872 +32'h3f71e496,32'h3f810bc5,32'h3f86502b, 32'h3f7a30f1,32'h3f8a4378, 32'h3f6d05f2,32'h3f90d8f7,// invsqrt(0.9449) = 1.0287 +32'h3faf0715,32'h3f568b6e,32'h3f5f4d34, 32'h3f4ffa1a,32'h3f65de88, 32'h3f4507e2,32'h3f70d0c0,// invsqrt(1.3674) = 0.8552 +32'h3f5d83c8,32'h3f86d9e6,32'h3f8c5af4, 32'h3f82b91b,32'h3f907bbf, 32'h3f77af91,32'h3f975d12,// invsqrt(0.8653) = 1.0750 +32'h3f5bd3aa,32'h3f875e2f,32'h3f8ce4a3, 32'h3f833957,32'h3f91097b, 32'h3f78a28a,32'h3f97f18d,// invsqrt(0.8587) = 1.0791 +32'h3feb89ed,32'h3f38f19e,32'h3f407e18, 32'h3f334843,32'h3f462773, 32'h3f29d8ac,32'h3f4f970b,// invsqrt(1.8401) = 0.7372 +32'h3fc41d6d,32'h3f4aaea7,32'h3f52f479, 32'h3f447a49,32'h3f5928d7, 32'h3f3a2302,32'h3f63801e,// invsqrt(1.5321) = 0.8079 +32'h3f68e1dd,32'h3f8384cf,32'h3f88e30c, 32'h3f7efc44,32'h3f8ce9ba, 32'h3f7190ac,32'h3f939f86,// invsqrt(0.9097) = 1.0485 +32'h3e59996f,32'h40080f1a,32'h400d9cc7, 32'h4003e4d7,32'h4011c709, 32'h3ff9e77d,32'h4018b822,// invsqrt(0.2125) = 2.1693 +32'h3e8eceec,32'h3fed844c,32'h3ff7361b, 32'h3fe63ef1,32'h3ffe7b77, 32'h3fda20af,32'h40054cdd,// invsqrt(0.2789) = 1.8935 +32'h3f809689,32'h3f7a4e43,32'h3f8242da, 32'h3f72a4ae,32'h3f8617a4, 32'h3f65df62,32'h3f8c7a4a,// invsqrt(1.0046) = 0.9977 +32'h3f814650,32'h3f79a3dd,32'h3f81ea2c, 32'h3f71ff80,32'h3f85bc5b, 32'h3f6542e5,32'h3f8c1aa8,// invsqrt(1.0100) = 0.9951 +32'h3f980dff,32'h3f662e90,32'h3f6f93ba, 32'h3f5f22b0,32'h3f769f9a, 32'h3f53643b,32'h3f812f08,// invsqrt(1.1879) = 0.9175 +32'h407d4c10,32'h3efc370a,32'h3f034137, 32'h3ef47e7f,32'h3f071d7c, 32'h3ee7a043,32'h3f0d8c9b,// invsqrt(3.9578) = 0.5027 +32'h3f85044c,32'h3f761a7f,32'h3f801303, 32'h3f6e91d9,32'h3f83d756, 32'h3f620370,32'h3f8a1e8b,// invsqrt(1.0392) = 0.9810 +32'h3ea46d92,32'h3fdd5a12,32'h3fe662f8, 32'h3fd69365,32'h3fed29a5, 32'h3fcb4844,32'h3ff874c6,// invsqrt(0.3211) = 1.7646 +32'h3f4edbcb,32'h3f8b8bee,32'h3f913e0d, 32'h3f874658,32'h3f9583a4, 32'h3f8027b1,32'h3f9ca24b,// invsqrt(0.8080) = 1.1125 +32'h3f15aa10,32'h3fa40ecd,32'h3faac109, 32'h3f9f091f,32'h3fafc6b7, 32'h3f96aa54,32'h3fb82583,// invsqrt(0.5846) = 1.3079 +32'h3f81db91,32'h3f79143d,32'h3f819f6e, 32'h3f717445,32'h3f856f6a, 32'h3f64befe,32'h3f8bca0d,// invsqrt(1.0145) = 0.9928 +32'h3f98cdc9,32'h3f659dee,32'h3f6efd32, 32'h3f5e967c,32'h3f7604a4, 32'h3f52df68,32'h3f80dddc,// invsqrt(1.1938) = 0.9152 +32'h3f3e5774,32'h3f9179ab,32'h3f9769bd, 32'h3f8d059e,32'h3f9bddca, 32'h3f859988,32'h3fa349e0,// invsqrt(0.7435) = 1.1597 +32'h3dd02504,32'h4044bcf4,32'h404cc4aa, 32'h403eb72b,32'h4052ca73, 32'h4034ad87,32'h405cd417,// invsqrt(0.1016) = 3.1368 +32'h3e2d5cb2,32'h40186ed8,32'h401ea79d, 32'h4013c445,32'h40235231, 32'h400bfd4f,32'h402b1927,// invsqrt(0.1693) = 2.4304 +32'h3ea0f14d,32'h3fdfbc60,32'h3fe8de2f, 32'h3fd8e303,32'h3fefb78b, 32'h3fcd78c0,32'h3ffb21ce,// invsqrt(0.3143) = 1.7836 +32'h3eae959c,32'h3fd6d11b,32'h3fdf95b9, 32'h3fd03da5,32'h3fe6292f, 32'h3fc547df,32'h3ff11ef5,// invsqrt(0.3410) = 1.7125 +32'h3fe05046,32'h3f3d83cd,32'h3f45400a, 32'h3f37b6a0,32'h3f4b0d38, 32'h3f2e0b54,32'h3f54b884,// invsqrt(1.7524) = 0.7554 +32'h3f7016cb,32'h3f8187a4,32'h3f86d119, 32'h3f7b211b,32'h3f8ac831, 32'h3f6de978,32'h3f916402,// invsqrt(0.9378) = 1.0326 +32'h3f15359d,32'h3fa44ec5,32'h3fab039e, 32'h3f9f4722,32'h3fb00b40, 32'h3f96e512,32'h3fb86d50,// invsqrt(0.5828) = 1.3099 +32'h3fbc5999,32'h3f4ed165,32'h3f57426f, 32'h3f487c9e,32'h3f5d9736, 32'h3f3def53,32'h3f682481,// invsqrt(1.4715) = 0.8244 +32'h3eb5cca7,32'h3fd282d0,32'h3fdb1a70, 32'h3fcc1118,32'h3fe18c28, 32'h3fc15390,32'h3fec49b0,// invsqrt(0.3551) = 1.6782 +32'h40337a6f,32'h3f15d02e,32'h3f1bed94, 32'h3f113a23,32'h3f20839f, 32'h3f099564,32'h3f28285e,// invsqrt(2.8043) = 0.5972 +32'h3f23c4ab,32'h3f9cd598,32'h3fa33c5a, 32'h3f980886,32'h3fa8096c, 32'h3f900813,32'h3fb009df,// invsqrt(0.6397) = 1.2503 +32'h40ff5d74,32'h3eb19e8e,32'h3eb8de7f, 32'h3eac2e9a,32'h3ebe4e74, 32'h3ea31ead,32'h3ec75e61,// invsqrt(7.9802) = 0.3540 +32'h3ede2f1b,32'h3fbe6bc1,32'h3fc63175, 32'h3fb8977a,32'h3fcc05bc, 32'h3faee058,32'h3fd5bcde,// invsqrt(0.4340) = 1.5180 +32'h4128b1ca,32'h3e9a8706,32'h3ea0d5ad, 32'h3e95cc08,32'h3ea590aa, 32'h3e8de9b6,32'h3ead72fc,// invsqrt(10.5434) = 0.3080 +32'h3f2c8019,32'h3f98d031,32'h3f9f0cef, 32'h3f9422a2,32'h3fa3ba7e, 32'h3f8c56b5,32'h3fab866b,// invsqrt(0.6738) = 1.2182 +32'h3fadd54a,32'h3f5747cf,32'h3f601146, 32'h3f50b0b7,32'h3f66a85f, 32'h3f45b4e4,32'h3f71a433,// invsqrt(1.3581) = 0.8581 +32'h3f047c6c,32'h3fae5ea4,32'h3fb57ca0, 32'h3fa90827,32'h3fbad31d, 32'h3fa022ab,32'h3fc3b899,// invsqrt(0.5175) = 1.3901 +32'h4066e8bf,32'h3f04145b,32'h3f097873, 32'h3f000948,32'h3f0d8386, 32'h3ef29853,32'h3f1440a4,// invsqrt(3.6080) = 0.5265 +32'h4022f48a,32'h3f1d39a0,32'h3f23a478, 32'h3f18697f,32'h3f287499, 32'h3f1063f1,32'h3f307a27,// invsqrt(2.5462) = 0.6267 +32'h3f5337d4,32'h3f8a1957,32'h3f8fbc55, 32'h3f85df18,32'h3f93f694, 32'h3f7da6b4,32'h3f9b0252,// invsqrt(0.8251) = 1.1009 +32'h3f3667cc,32'h3f949b34,32'h3f9aabfc, 32'h3f900e9d,32'h3f9f3893, 32'h3f8879a2,32'h3fa6cd8e,// invsqrt(0.7125) = 1.1847 +32'h3f789779,32'h3f7e973f,32'h3f847dbb, 32'h3f76cc16,32'h3f88634f, 32'h3f69ced1,32'h3f8ee1f2,// invsqrt(0.9711) = 1.0148 +32'h3f7dc7e0,32'h3f7bf97c,32'h3f83212e, 32'h3f7442d3,32'h3f86fc82, 32'h3f6767bb,32'h3f8d6a0f,// invsqrt(0.9913) = 1.0044 +32'h3f12fe59,32'h3fa58aa0,32'h3fac4c5e, 32'h3fa07952,32'h3fb15dac, 32'h3f980726,32'h3fb9cfd9,// invsqrt(0.5742) = 1.3197 +32'h3f3ec931,32'h3f914e48,32'h3f973c94, 32'h3f8cdb8f,32'h3f9baf4d, 32'h3f8571b0,32'h3fa3192c,// invsqrt(0.7453) = 1.1584 +32'h3f85c751,32'h3f7566dc,32'h3f7f6b0e, 32'h3f6de3b6,32'h3f83771a, 32'h3f615e76,32'h3f89b9ba,// invsqrt(1.0451) = 0.9782 +32'h4184bea8,32'h3e765b05,32'h3e803498, 32'h3e6ed066,32'h3e83f9e7, 32'h3e623eb1,32'h3e8a42c2,// invsqrt(16.5931) = 0.2455 +32'h3fb64ccc,32'h3f5238c6,32'h3f5acd61, 32'h3f4bc953,32'h3f613cd5, 32'h3f410f92,32'h3f6bf696,// invsqrt(1.4242) = 0.8379 +32'h4003be15,32'h3f2edc6d,32'h3f35ff8c, 32'h3f298217,32'h3f3b59e3, 32'h3f209630,32'h3f4445ca,// invsqrt(2.0585) = 0.6970 +32'h3dd723e0,32'h40418359,32'h4049695c, 32'h403b96d6,32'h404f55de, 32'h4031b751,32'h40593563,// invsqrt(0.1050) = 3.0853 +32'h4099832b,32'h3ee5161f,32'h3eee6fd7, 32'h3ede12d5,32'h3ef57321, 32'h3ed262af,32'h3f0091a4,// invsqrt(4.7973) = 0.4566 +32'h3f94fb13,32'h3f688b60,32'h3f72093a, 32'h3f616cfc,32'h3f79279e, 32'h3f558fac,32'h3f828277,// invsqrt(1.1639) = 0.9269 +32'h3f9f6aac,32'h3f60cdd6,32'h3f69fad0, 32'h3f59ec1c,32'h3f70dc8a, 32'h3f4e73e4,32'h3f7c54c2,// invsqrt(1.2454) = 0.8961 +32'h3b6354f8,32'h41851d59,32'h418a8c43, 32'h41810a2a,32'h418e9f72, 32'h41747f0c,32'h41956a16,// invsqrt(0.0035) = 16.9789 +32'h409c917b,32'h3ee2d6f9,32'h3eec1937, 32'h3edbe54a,32'h3ef30ae6, 32'h3ed0527c,32'h3efe9db4,// invsqrt(4.8928) = 0.4521 +32'h3fea00ed,32'h3f398cab,32'h3f411f78, 32'h3f33de90,32'h3f46cd92, 32'h3f2a670f,32'h3f504513,// invsqrt(1.8282) = 0.7396 +32'h3e5b6ab4,32'h40077e8c,32'h400d0652, 32'h400358b6,32'h40112c28, 32'h3ff8ddfb,32'h401815e0,// invsqrt(0.2143) = 2.1603 +32'h4016f3ca,32'h3f235b3d,32'h3f2a0625, 32'h3f1e5b0f,32'h3f2f0653, 32'h3f16056c,32'h3f375bf6,// invsqrt(2.3586) = 0.6511 +32'h3fc09777,32'h3f4c871c,32'h3f54e038, 32'h3f464448,32'h3f5b230c, 32'h3f3bd4e6,32'h3f65926e,// invsqrt(1.5046) = 0.8152 +32'h3f266629,32'h3f9b96f1,32'h3fa1f0b1, 32'h3f96d3a0,32'h3fa6b402, 32'h3f8ee36f,32'h3faea433,// invsqrt(0.6500) = 1.2404 +32'h3fc4d5d9,32'h3f4a4f9d,32'h3f52918f, 32'h3f441e28,32'h3f58c304, 32'h3f39cbba,32'h3f631572,// invsqrt(1.5378) = 0.8064 +32'h3d8fc1ef,32'h406cbb37,32'h407664d1, 32'h40657c04,32'h407da404, 32'h40596804,32'h4084dc02,// invsqrt(0.0702) = 3.7744 +32'h40266015,32'h3f1b99c8,32'h3f21f3a6, 32'h3f16d661,32'h3f26b70d, 32'h3f0ee60b,32'h3f2ea763,// invsqrt(2.5996) = 0.6202 +32'h3f95a261,32'h3f68093a,32'h3f7181c4, 32'h3f60eed2,32'h3f789c2c, 32'h3f551826,32'h3f82396c,// invsqrt(1.1690) = 0.9249 +32'h401add23,32'h3f2147bb,32'h3f27dcf1, 32'h3f1c57d2,32'h3f2cccda, 32'h3f141d4d,32'h3f35075f,// invsqrt(2.4197) = 0.6429 +32'h3f2d621d,32'h3f986c77,32'h3f9ea523, 32'h3f93c1f6,32'h3fa34fa4, 32'h3f8bfb1f,32'h3fab167b,// invsqrt(0.6773) = 1.2151 +32'h3e865d0b,32'h3ff4ddfb,32'h3ffedc97, 32'h3fed5f05,32'h40032dc6, 32'h3fe0e0c2,32'h40096ce8,// invsqrt(0.2624) = 1.9521 +32'h4074b06c,32'h3f004e77,32'h3f058b23, 32'h3ef8c1ec,32'h3f0978a4, 32'h3eebaa3e,32'h3f10047b,// invsqrt(3.8233) = 0.5114 +32'h4085dcf8,32'h3ef55302,32'h3eff5666, 32'h3eedd078,32'h3f036c78, 32'h3ee14c3c,32'h3f09ae96,// invsqrt(4.1832) = 0.4889 +32'h3f1ad5d9,32'h3fa14b87,32'h3fa7e0e5, 32'h3f9c5b80,32'h3facd0ec, 32'h3f9420ca,32'h3fb50ba2,// invsqrt(0.6048) = 1.2858 +32'h3e9cb586,32'h3fe2bce1,32'h3febfe0f, 32'h3fdbcbff,32'h3ff2eef1, 32'h3fd03a86,32'h3ffe806a,// invsqrt(0.3061) = 1.8075 +32'h3fde177b,32'h3f3e75e1,32'h3f463bff, 32'h3f38a14a,32'h3f4c1096, 32'h3f2ee9a5,32'h3f55c83b,// invsqrt(1.7351) = 0.7592 +32'h3fb15fd1,32'h3f551ee8,32'h3f5dd1cd, 32'h3f4e98bd,32'h3f6457f9, 32'h3f43b91f,32'h3f6f3797,// invsqrt(1.3857) = 0.8495 +32'h3f98f238,32'h3f658293,32'h3f6ee0b9, 32'h3f5e7bf7,32'h3f75e755, 32'h3f52c649,32'h3f80ce82,// invsqrt(1.1949) = 0.9148 +32'h3ff7d28d,32'h3f344d54,32'h3f3ba94c, 32'h3f2ec859,32'h3f412e47, 32'h3f259562,32'h3f4a613e,// invsqrt(1.9361) = 0.7187 +32'h404c814f,32'h3f0c58e6,32'h3f121362, 32'h3f080d09,32'h3f165f3f, 32'h3f00e3ed,32'h3f1d885b,// invsqrt(3.1954) = 0.5594 +32'h40835c1d,32'h3ef7a69c,32'h3f00e127, 32'h3ef011d6,32'h3f04ab8a, 32'h3ee36f36,32'h3f0afcda,// invsqrt(4.1050) = 0.4936 +32'h3f80c1ab,32'h3f7a2453,32'h3f822d07, 32'h3f727c07,32'h3f86012c, 32'h3f65b8de,32'h3f8c62c1,// invsqrt(1.0059) = 0.9971 +32'h3edb0f4f,32'h3fbfc624,32'h3fc799fb, 32'h3fb9e741,32'h3fcd78dd, 32'h3fb01e74,32'h3fd741aa,// invsqrt(0.4279) = 1.5288 +32'h3f81c7d6,32'h3f79272b,32'h3f81a948, 32'h3f7186a0,32'h3f85798e, 32'h3f64d061,32'h3f8bd4ad,// invsqrt(1.0139) = 0.9931 +32'h3fcd14cf,32'h3f4633b6,32'h3f4e4ab8, 32'h3f402274,32'h3f545bfa, 32'h3f3605b2,32'h3f5e78bd,// invsqrt(1.6022) = 0.7900 +32'h404b4aea,32'h3f0cc3e2,32'h3f1282bc, 32'h3f0874be,32'h3f16d1e0, 32'h3f01462e,32'h3f1e0070,// invsqrt(3.1764) = 0.5611 +32'h3f0807ff,32'h3fac151f,32'h3fb31b35, 32'h3fa6d08e,32'h3fb85fc6, 32'h3f9e08f3,32'h3fc12761,// invsqrt(0.5314) = 1.3718 +32'h3f98439e,32'h3f660605,32'h3f6f6987, 32'h3f5efb62,32'h3f76742a, 32'h3f533eff,32'h3f811846,// invsqrt(1.1896) = 0.9169 +32'h3f142889,32'h3fa4e3b6,32'h3fab9ea3, 32'h3f9fd783,32'h3fb0aad5, 32'h3f976ddb,32'h3fb9147d,// invsqrt(0.5787) = 1.3145 +32'h3f7f306e,32'h3f7b473b,32'h3f82c46a, 32'h3f739608,32'h3f869d04, 32'h3f66c407,32'h3f8d0604,// invsqrt(0.9968) = 1.0016 +32'h3f509ef3,32'h3f8af4b9,32'h3f90a0ab, 32'h3f86b3c3,32'h3f94e1a1, 32'h3f7f39a7,32'h3f9bf891,// invsqrt(0.8149) = 1.1077 +32'h3f41ace5,32'h3f9037c1,32'h3f961aaf, 32'h3f8bcd8f,32'h3f9a84e1, 32'h3f8471e6,32'h3fa1e08a,// invsqrt(0.7565) = 1.1497 +32'h40121d07,32'h3f260a13,32'h3f2cd104, 32'h3f20f4de,32'h3f31e638, 32'h3f187c30,32'h3f3a5ee6,// invsqrt(2.2830) = 0.6618 +32'h40b5dd77,32'h3ed27915,32'h3edb104f, 32'h3ecc07a9,32'h3ee181bb, 32'h3ec14aa0,32'h3eec3ec4,// invsqrt(5.6833) = 0.4195 +32'h4257602d,32'h3e08c272,32'h3e0e5772, 32'h3e0492b3,32'h3e128731, 32'h3dfb30e7,32'h3e198171,// invsqrt(53.8439) = 0.1363 +32'h40a4aae3,32'h3edd30d8,32'h3ee63810, 32'h3ed66b6e,32'h3eecfd7a, 32'h3ecb2268,32'h3ef84680,// invsqrt(5.1459) = 0.4408 +32'h3e3ba16a,32'h401285c2,32'h401880c4, 32'h400e0980,32'h401cfd06, 32'h40068fbc,32'h402476ca,// invsqrt(0.1832) = 2.3361 +32'h3f9b2db8,32'h3f63da6b,32'h3f6d2741, 32'h3f5ce0cb,32'h3f7420e1, 32'h3f5140c1,32'h3f7fc0eb,// invsqrt(1.2123) = 0.9082 +32'h3fa27f8f,32'h3f5ea98b,32'h3f67c023, 32'h3f57d899,32'h3f6e9115, 32'h3f4c7c5b,32'h3f79ed53,// invsqrt(1.2695) = 0.8875 +32'h3cf16b1a,32'h40b6ad87,32'h40be2253, 32'h40b115ee,32'h40c3b9ec, 32'h40a7c3ef,32'h40cd0beb,// invsqrt(0.0295) = 5.8252 +32'h3f86a681,32'h3f749b26,32'h3f7e9708, 32'h3f6d1e3c,32'h3f8309f9, 32'h3f60a362,32'h3f894766,// invsqrt(1.0520) = 0.9750 +32'h412653f6,32'h3e9b9f73,32'h3ea1f98d, 32'h3e96dbe0,32'h3ea6bd20, 32'h3e8eeb40,32'h3eaeadc0,// invsqrt(10.3955) = 0.3102 +32'h40aaecc8,32'h3ed91ab0,32'h3ee1f734, 32'h3ed2754c,32'h3ee89c98, 32'h3ec761a7,32'h3ef3b03d,// invsqrt(5.3414) = 0.4327 +32'h3f954f3d,32'h3f6849cc,32'h3f71c4f8, 32'h3f612d6a,32'h3f78e15a, 32'h3f555372,32'h3f825da9,// invsqrt(1.1665) = 0.9259 +32'h3f236703,32'h3f9d0284,32'h3fa36b1c, 32'h3f983412,32'h3fa8398e, 32'h3f903155,32'h3fb03c4b,// invsqrt(0.6383) = 1.2517 +32'h3f0861cf,32'h3fabdc6c,32'h3fb2e032, 32'h3fa69998,32'h3fb82306, 32'h3f9dd4e1,32'h3fc0e7bd,// invsqrt(0.5327) = 1.3701 +32'h3fcb2fe5,32'h3f471fab,32'h3f4f404f, 32'h3f410730,32'h3f5558ca, 32'h3f36de64,32'h3f5f8196,// invsqrt(1.5874) = 0.7937 +32'h3f033c59,32'h3faf32c6,32'h3fb6596b, 32'h3fa9d5cb,32'h3fbbb667, 32'h3fa0e57d,32'h3fc4a6b5,// invsqrt(0.5126) = 1.3967 +32'h3f7edc2f,32'h3f7b70c0,32'h3f82da06, 32'h3f73be47,32'h3f86b342, 32'h3f66ea29,32'h3f8d1d52,// invsqrt(0.9955) = 1.0022 +32'h3f98a688,32'h3f65bb72,32'h3f6f1bea, 32'h3f5eb318,32'h3f762444, 32'h3f52fa83,32'h3f80ee6c,// invsqrt(1.1926) = 0.9157 +32'h3f6466c1,32'h3f84cd79,32'h3f8a391f, 32'h3f80bcbb,32'h3f8e49dd, 32'h3f73ec56,32'h3f95106d,// invsqrt(0.8922) = 1.0587 +32'h40e12b5d,32'h3ebd2784,32'h3ec4dffc, 32'h3eb75d2a,32'h3ecaaa56, 32'h3eadb693,32'h3ed450ed,// invsqrt(7.0365) = 0.3770 +32'h3f9dceed,32'h3f61f25e,32'h3f6b2b48, 32'h3f5b07af,32'h3f7215f7, 32'h3f4f808b,32'h3f7d9d1b,// invsqrt(1.2329) = 0.9006 +32'h3f66bacd,32'h3f842181,32'h3f898623, 32'h3f801608,32'h3f8d919c, 32'h3f72b07a,32'h3f944f67,// invsqrt(0.9013) = 1.0533 +32'h3ecd16bf,32'h3fc632c6,32'h3fce49be, 32'h3fc0218c,32'h3fd45af8, 32'h3fb604d5,32'h3fde77af,// invsqrt(0.4006) = 1.5800 +32'h4006cbe1,32'h3f2cde70,32'h3f33ecbe, 32'h3f2793b6,32'h3f393778, 32'h3f1ec1d5,32'h3f420959,// invsqrt(2.1062) = 0.6891 +32'h3f73b53e,32'h3f809085,32'h3f85cfe3, 32'h3f7941fc,32'h3f89bf6a, 32'h3f6c2391,32'h3f904ea0,// invsqrt(0.9520) = 1.0249 +32'h3cf0e4fe,32'h40b6e05a,32'h40be5739, 32'h40b14732,32'h40c3f060, 32'h40a7f29b,32'h40cd44f7,// invsqrt(0.0294) = 5.8315 +32'h3ed06f32,32'h3fc499ee,32'h3fcca037, 32'h3fbe9538,32'h3fd2a4ee, 32'h3fb48d5e,32'h3fdcacc8,// invsqrt(0.4071) = 1.5673 +32'h3f402853,32'h3f90c948,32'h3f96b226, 32'h3f8c5aa1,32'h3f9b20cd, 32'h3f84f78b,32'h3fa283e3,// invsqrt(0.7506) = 1.1542 +32'h3f20ab8d,32'h3f9e56d6,32'h3fa4cd52, 32'h3f997df9,32'h3fa9a62f, 32'h3f9169df,32'h3fb1ba49,// invsqrt(0.6276) = 1.2623 +32'h3fc2b67b,32'h3f4b6923,32'h3f53b692, 32'h3f452f0f,32'h3f59f0a5, 32'h3f3ace44,32'h3f645170,// invsqrt(1.5212) = 0.8108 +32'h3f83318b,32'h3f77cec6,32'h3f80f60f, 32'h3f7038c6,32'h3f84c10f, 32'h3f63941a,32'h3f8b1365,// invsqrt(1.0249) = 0.9878 +32'h3eda213b,32'h3fc02eaf,32'h3fc806cb, 32'h3fba4c9a,32'h3fcde8e0, 32'h3fb07e77,32'h3fd7b703,// invsqrt(0.4260) = 1.5321 +32'h413d197c,32'h3e91f3c6,32'h3e97e8d4, 32'h3e8d7bfc,32'h3e9c609e, 32'h3e8609ac,32'h3ea3d2ee,// invsqrt(11.8187) = 0.2909 +32'h3ecfa461,32'h3fc4f9db,32'h3fcd040e, 32'h3fbef236,32'h3fd30bb4, 32'h3fb4e576,32'h3fdd1874,// invsqrt(0.4056) = 1.5703 +32'h3e21d918,32'h401dc311,32'h40243384, 32'h4018eeba,32'h402907da, 32'h4010e229,32'h4031146b,// invsqrt(0.1581) = 2.5153 +32'h3f791384,32'h3f7e57d2,32'h3f845cb9, 32'h3f768e9a,32'h3f884155, 32'h3f699492,32'h3f8ebe59,// invsqrt(0.9730) = 1.0138 +32'h3eca8c7b,32'h3fc76fef,32'h3fcf93d9, 32'h3fc154ff,32'h3fd5aec9, 32'h3fb7281a,32'h3fdfdbae,// invsqrt(0.3956) = 1.5899 +32'h4096b592,32'h3ee73501,32'h3ef0a4e1, 32'h3ee02118,32'h3ef7b8ca, 32'h3ed4553f,32'h3f01c251,// invsqrt(4.7097) = 0.4608 +32'h3f10281f,32'h3fa72993,32'h3fadfc40, 32'h3fa20b91,32'h3fb31a41, 32'h3f998438,32'h3fbba19a,// invsqrt(0.5631) = 1.3326 +32'h3dc74691,32'h40491185,32'h4051467b, 32'h4042e9cd,32'h40576e33, 32'h4038a79a,32'h4061b066,// invsqrt(0.0973) = 3.2058 +32'h3fc6fdfd,32'h3f49362d,32'h3f516ca1, 32'h3f430d55,32'h3f579579, 32'h3f38c943,32'h3f61d98b,// invsqrt(1.5546) = 0.8020 +32'h419f46b8,32'h3e60e734,32'h3e6a1536, 32'h3e5a04b2,32'h3e70f7b8, 32'h3e4e8b30,32'h3e7c713a,// invsqrt(19.9095) = 0.2241 +32'h3e38b96c,32'h4013abac,32'h4019b2ae, 32'h400f266b,32'h401e37ef, 32'h40079da8,32'h4025c0b2,// invsqrt(0.1804) = 2.3544 +32'h3e8b8054,32'h3ff050ca,32'h3ffa1fd8, 32'h3fe8f580,32'h4000bd91, 32'h3fdcb2af,32'h4006def9,// invsqrt(0.2725) = 1.9158 +32'h4024e9d2,32'h3f1c49f5,32'h3f22ab04, 32'h3f178129,32'h3f2773cf, 32'h3f0f87d6,32'h3f2f6d22,// invsqrt(2.5768) = 0.6230 +32'h3c48085c,32'h410de857,32'h4113b321, 32'h4109903f,32'h41180b39, 32'h410252c3,32'h411f48b5,// invsqrt(0.0122) = 9.0502 +32'h40948e6e,32'h3ee8e059,32'h3ef261ab, 32'h3ee1bf5c,32'h3ef982a8, 32'h3ed5ddb5,32'h3f02b228,// invsqrt(4.6424) = 0.4641 +32'h3f9be58c,32'h3f6353ed,32'h3f6c9b45, 32'h3f5c5e6b,32'h3f7390c7, 32'h3f50c53d,32'h3f7f29f5,// invsqrt(1.2179) = 0.9061 +32'h401db58a,32'h3f1fd196,32'h3f265788, 32'h3f1aed21,32'h3f2b3bfd, 32'h3f12c5b4,32'h3f33636a,// invsqrt(2.4642) = 0.6370 +32'h3ed9705f,32'h3fc07cc8,32'h3fc85814, 32'h3fba984f,32'h3fce3c8d, 32'h3fb0c630,32'h3fd80eac,// invsqrt(0.4247) = 1.5345 +32'h405337ce,32'h3f0a1959,32'h3f0fbc57, 32'h3f05df1a,32'h3f13f696, 32'h3efda6b8,32'h3f1b0254,// invsqrt(3.3003) = 0.5505 +32'h3f724c5f,32'h3f80f01f,32'h3f863364, 32'h3f79fb55,32'h3f8a25d7, 32'h3f6cd328,32'h3f90b9ee,// invsqrt(0.9465) = 1.0279 +32'h40332c64,32'h3f15f0cc,32'h3f1c0f85, 32'h3f1159c0,32'h3f20a690, 32'h3f09b357,32'h3f284cf9,// invsqrt(2.7996) = 0.5977 +32'h3f27acc0,32'h3f9aff20,32'h3fa152ae, 32'h3f964075,32'h3fa61159, 32'h3f8e5803,32'h3fadf9cb,// invsqrt(0.6550) = 1.2356 +32'h3d9f46be,32'h4060e730,32'h406a1532, 32'h405a04af,32'h4070f7b3, 32'h404e8b2c,32'h407c7136,// invsqrt(0.0778) = 3.5858 +32'h3f2843d6,32'h3f9ab97a,32'h3fa10a31, 32'h3f95fcf2,32'h3fa5c6ba, 32'h3f8e180d,32'h3fadab9f,// invsqrt(0.6573) = 1.2335 +32'h3f1757cc,32'h3fa3253b,32'h3fa9cdef, 32'h3f9e26b4,32'h3faecc76, 32'h3f95d3d3,32'h3fb71f57,// invsqrt(0.5912) = 1.3006 +32'h3e7b9bbd,32'h3ffd0f5c,32'h4003b1ca, 32'h3ff55032,32'h4007915f, 32'h3fe866ec,32'h400e0602,// invsqrt(0.2457) = 2.0174 +32'h3f0d9254,32'h3fa8ae84,32'h3faf9111, 32'h3fa3849a,32'h3fb4bafa, 32'h3f9ae969,32'h3fbd562b,// invsqrt(0.5530) = 1.3447 +32'h3e16909a,32'h40239103,32'h402a3e1d, 32'h401e8f2f,32'h402f3ff1, 32'h401636ce,32'h40379852,// invsqrt(0.1470) = 2.6079 +32'h408fbadf,32'h3eecc108,32'h3ef66ade, 32'h3ee581a7,32'h3efdaa3f, 32'h3ed96d5b,32'h3f04df46,// invsqrt(4.4916) = 0.4718 +32'h3edc3d4e,32'h3fbf427b,32'h3fc710f3, 32'h3fb967a1,32'h3fccebcd, 32'h3fafa58b,32'h3fd6ade3,// invsqrt(0.4302) = 1.5247 +32'h40aed7ad,32'h3ed6a882,32'h3edf6b78, 32'h3ed0164a,32'h3ee5fdb0, 32'h3ec52297,32'h3ef0f163,// invsqrt(5.4638) = 0.4278 +32'h3f8f6273,32'h3f6d09fc,32'h3f76b6cd, 32'h3f65c860,32'h3f7df86a, 32'h3f59b05b,32'h3f850838,// invsqrt(1.1202) = 0.9448 +32'h3f24bf67,32'h3f9c5e12,32'h3fa2bff4, 32'h3f9794a9,32'h3fa7895d, 32'h3f8f9a50,32'h3faf83b6,// invsqrt(0.6435) = 1.2466 +32'h40a20ea1,32'h3edef712,32'h3ee810d4, 32'h3ed823c0,32'h3eeee426, 32'h3eccc38e,32'h3efa4458,// invsqrt(5.0643) = 0.4444 +32'h3e30b682,32'h4016fb19,32'h401d24b1, 32'h40125be6,32'h4021c3e4, 32'h400aa7e8,32'h402977e2,// invsqrt(0.1726) = 2.4072 +32'h3f96dd70,32'h3f671672,32'h3f708514, 32'h3f600379,32'h3f77980d, 32'h3f543930,32'h3f81b12b,// invsqrt(1.1786) = 0.9211 +32'h3e4e9394,32'h400ba450,32'h4011576e, 32'h40075dfa,32'h40159dc4, 32'h40003e16,32'h401cbda8,// invsqrt(0.2017) = 2.2264 +32'h40936c65,32'h3ee9c4fc,32'h3ef34fa2, 32'h3ee29cfe,32'h3efa77a0, 32'h3ed6afae,32'h3f033278,// invsqrt(4.6070) = 0.4659 +32'h4025803a,32'h3f1c02e0,32'h3f226108, 32'h3f173c41,32'h3f2727a7, 32'h3f0f468f,32'h3f2f1d59,// invsqrt(2.5860) = 0.6219 +32'h3fa36a38,32'h3f5e0973,32'h3f671983, 32'h3f573d68,32'h3f6de58e, 32'h3f4be955,32'h3f7939a1,// invsqrt(1.2767) = 0.8850 +32'h3ec3d9a1,32'h3fcad1b9,32'h3fd318fa, 32'h3fc49c48,32'h3fd94e6a, 32'h3fba4336,32'h3fe3a77c,// invsqrt(0.3825) = 1.6169 +32'h3efe8b67,32'h3fb1e7c8,32'h3fb92ab6, 32'h3fac7595,32'h3fbe9ce9, 32'h3fa361ec,32'h3fc7b092,// invsqrt(0.4972) = 1.4183 +32'h404cc8ad,32'h3f0c406f,32'h3f11f9ec, 32'h3f07f552,32'h3f16450a, 32'h3f00cd76,32'h3f1d6ce6,// invsqrt(3.1997) = 0.5590 +32'h3ff5fb4a,32'h3f34f9b8,32'h3f3c5cbb, 32'h3f2f6f77,32'h3f41e6fd, 32'h3f2633b4,32'h3f4b22c0,// invsqrt(1.9217) = 0.7214 +32'h4132eeda,32'h3e960a92,32'h3e9c2a5a, 32'h3e9172bd,32'h3ea0c22f, 32'h3e89cb04,32'h3ea869e8,// invsqrt(11.1833) = 0.2990 +32'h406aad62,32'h3f0303cd,32'h3f085cc5, 32'h3efe0224,32'h3f0c5f80, 32'h3ef0a3b7,32'h3f130eb7,// invsqrt(3.6668) = 0.5222 +32'h3f976655,32'h3f66ade1,32'h3f70183e, 32'h3f5f9e1c,32'h3f772804, 32'h3f53d928,32'h3f81767c,// invsqrt(1.1828) = 0.9195 +32'h3f06bea3,32'h3face6ef,32'h3fb3f595, 32'h3fa79bf2,32'h3fb94092, 32'h3f9ec9a2,32'h3fc212e2,// invsqrt(0.5263) = 1.3784 +32'h40a789cd,32'h3edb499d,32'h3ee43cf2, 32'h3ed4931e,32'h3eeaf372, 32'h3ec962f4,32'h3ef6239c,// invsqrt(5.2356) = 0.4370 +32'h3f766241,32'h3f7fba9e,32'h3f85155d, 32'h3f77e68a,32'h3f88ff67, 32'h3f6ada68,32'h3f8f8578,// invsqrt(0.9624) = 1.0193 +32'h3f9adf27,32'h3f64142f,32'h3f6d6360, 32'h3f5d18cb,32'h3f745ec5, 32'h3f5175ce,32'h3f8000e1,// invsqrt(1.2099) = 0.9091 +32'h3e254113,32'h401c20ad,32'h4022800d, 32'h40175925,32'h40274795, 32'h400f61ed,32'h402f3ecd,// invsqrt(0.1614) = 2.4893 +32'h3c218d26,32'h411de821,32'h41245a18, 32'h411912a8,32'h41292f92, 32'h41110434,32'h41313e06,// invsqrt(0.0099) = 10.0706 +32'h3ef280bf,32'h3fb644d6,32'h3fbdb55c, 32'h3fb0b071,32'h3fc349c1, 32'h3fa763ca,32'h3fcc9668,// invsqrt(0.4736) = 1.4530 +32'h40d890e1,32'h3ec0e000,32'h3ec8bf59, 32'h3ebaf87e,32'h3ecea6dc, 32'h3eb1214f,32'h3ed87e0b,// invsqrt(6.7677) = 0.3844 +32'h40439420,32'h3f0f83ad,32'h3f155f41, 32'h3f0b1efe,32'h3f19c3f0, 32'h3f03cc85,32'h3f211669,// invsqrt(3.0559) = 0.5720 +32'h3d3af636,32'h4092c8c8,32'h4098c688, 32'h408e4a79,32'h409d44d7, 32'h4086cd4a,32'h40a4c206,// invsqrt(0.0456) = 4.6806 +32'h3ee53703,32'h3fbb7a4a,32'h3fc3213e, 32'h3fb5bd14,32'h3fc8de74, 32'h3fac2c64,32'h3fd26f24,// invsqrt(0.4477) = 1.4946 +32'h4081f84f,32'h3ef8f8b1,32'h3f019118, 32'h3ef15991,32'h3f0560a8, 32'h3ee4a5b2,32'h3f0bba97,// invsqrt(4.0616) = 0.4962 +32'h3f663f34,32'h3f8444f3,32'h3f89ab07, 32'h3f803864,32'h3f8db796, 32'h3f72f194,32'h3f947730,// invsqrt(0.8994) = 1.0544 +32'h3fb56969,32'h3f52bc5d,32'h3f5b5657, 32'h3f4c48e2,32'h3f61c9d2, 32'h3f41886b,32'h3f6c8a49,// invsqrt(1.4173) = 0.8400 +32'h40add89e,32'h3ed745c0,32'h3ee00f20, 32'h3ed0aeb7,32'h3ee6a629, 32'h3ec5b2fe,32'h3ef1a1e2,// invsqrt(5.4327) = 0.4290 +32'h3f0a882b,32'h3faa85b4,32'h3fb17b7c, 32'h3fa54d5d,32'h3fb6b3d3, 32'h3f9c9a22,32'h3fbf670e,// invsqrt(0.5411) = 1.3594 +32'h3f940090,32'h3f694fdb,32'h3f72d5ba, 32'h3f622b73,32'h3f79fa21, 32'h3f56441c,32'h3f82f0bc,// invsqrt(1.1563) = 0.9300 +32'h3e4269dd,32'h400ff199,32'h4015d1a9, 32'h400b898c,32'h401a39b6, 32'h40043177,32'h402191cb,// invsqrt(0.1899) = 2.2950 +32'h3c5dd68c,32'h4106c0bb,32'h410c40c3, 32'h4102a0b5,32'h411060c9, 32'h40f78158,32'h411740d2,// invsqrt(0.0135) = 8.5939 +32'h3cd88bd8,32'h40c0e23f,32'h40c8c1af, 32'h40bafaab,32'h40cea943, 32'h40b1235e,32'h40d88090,// invsqrt(0.0264) = 6.1506 +32'h3fe8814f,32'h3f3a257e,32'h3f41be88, 32'h3f3472b6,32'h3f477150, 32'h3f2af369,32'h3f50f09d,// invsqrt(1.8164) = 0.7420 +32'h3f6add46,32'h3f82f671,32'h3f884ede, 32'h3f7de83d,32'h3f8c512f, 32'h3f708b2d,32'h3f92ffb8,// invsqrt(0.9174) = 1.0440 +32'h3fbf97c3,32'h3f4d0f6a,32'h3f556e16, 32'h3f46c86a,32'h3f5bb516, 32'h3f3c5213,32'h3f662b6d,// invsqrt(1.4968) = 0.8174 +32'h3f33bf01,32'h3f95b398,32'h3f9bcfd3, 32'h3f911e6d,32'h3fa064ff, 32'h3f897b24,32'h3fa80848,// invsqrt(0.7021) = 1.1934 +32'h3e78d9c0,32'h3ffe7556,32'h40046c15, 32'h3ff6ab36,32'h40085125, 32'h3fe9afad,32'h400eceea,// invsqrt(0.2430) = 2.0285 +32'h402334f0,32'h3f1d1a98,32'h3f23842c, 32'h3f184b6a,32'h3f28535a, 32'h3f104772,32'h3f305752,// invsqrt(2.5501) = 0.6262 +32'h3f08d3ab,32'h3fab94dc,32'h3fb295b6, 32'h3fa65439,32'h3fb7d659, 32'h3f9d9328,32'h3fc0976a,// invsqrt(0.5345) = 1.3678 +32'h4141a73b,32'h3e9039dd,32'h3e961ce1, 32'h3e8bcf9a,32'h3e9a8724, 32'h3e8473d6,32'h3ea1e2e8,// invsqrt(12.1033) = 0.2874 +32'h3f9b794c,32'h3f63a303,32'h3f6ced95, 32'h3f5cab15,32'h3f73e583, 32'h3f510dde,32'h3f7f82ba,// invsqrt(1.2146) = 0.9074 +32'h3f40c1e7,32'h3f908f8f,32'h3f967612, 32'h3f8c22ac,32'h3f9ae2f4, 32'h3f84c288,32'h3fa24318,// invsqrt(0.7530) = 1.1524 +32'h3f3bf817,32'h3f9263f6,32'h3f985d97, 32'h3f8de8bc,32'h3f9cd8d0, 32'h3f8670b2,32'h3fa450da,// invsqrt(0.7343) = 1.1670 +32'h3e5d650e,32'h4006e341,32'h400c64b1, 32'h4002c22d,32'h401085c5, 32'h3ff7c0c0,32'h40176792,// invsqrt(0.2162) = 2.1506 +32'h3f9102b3,32'h3f6bb4d2,32'h3f7553b6, 32'h3f647da7,32'h3f7c8ae1, 32'h3f58770a,32'h3f8448bf,// invsqrt(1.1329) = 0.9395 +32'h402507e1,32'h3f1c3bb8,32'h3f229c33, 32'h3f17735d,32'h3f27648f, 32'h3f0f7ac4,32'h3f2f5d28,// invsqrt(2.5786) = 0.6227 +32'h401f93f6,32'h3f1ee14f,32'h3f255d72, 32'h3f1a0436,32'h3f2a3a8c, 32'h3f11e90a,32'h3f3255b8,// invsqrt(2.4934) = 0.6333 +32'h3fcf8de8,32'h3f450485,32'h3f4d0f27, 32'h3f3efc8b,32'h3f531721, 32'h3f34ef41,32'h3f5d246b,// invsqrt(1.6215) = 0.7853 +32'h4006b582,32'h3f2ceccb,32'h3f33fbae, 32'h3f27a19f,32'h3f3946d9, 32'h3f1ecf03,32'h3f421975,// invsqrt(2.1048) = 0.6893 +32'h3f9f5e1c,32'h3f60d6b2,32'h3f6a0408, 32'h3f59f4b2,32'h3f70e608, 32'h3f4e7c07,32'h3f7c5eb3,// invsqrt(1.2451) = 0.8962 +32'h3ebf5485,32'h3fcd3370,32'h3fd59394, 32'h3fc6eb55,32'h3fdbdbaf, 32'h3fbc7328,32'h3fe653dc,// invsqrt(0.3737) = 1.6358 +32'h3fa56ee6,32'h3f5cada7,32'h3f65af85, 32'h3f55ec42,32'h3f6c70ea, 32'h3f4aa9ed,32'h3f77b33f,// invsqrt(1.2924) = 0.8796 +32'h3f4cedb7,32'h3f8c33c2,32'h3f91ecba, 32'h3f87e908,32'h3f963774, 32'h3f80c1d1,32'h3f9d5eab,// invsqrt(0.8005) = 1.1177 +32'h41072d15,32'h3eaca03e,32'h3eb3ac02, 32'h3ea7576b,32'h3eb8f4d5, 32'h3e9e88b6,32'h3ec1c38a,// invsqrt(8.4485) = 0.3440 +32'h3f661193,32'h3f845210,32'h3f89b8ad, 32'h3f804519,32'h3f8dc5a3, 32'h3f7309a9,32'h3f9485e7,// invsqrt(0.8987) = 1.0549 +32'h424bf27b,32'h3e0c8a02,32'h3e124680, 32'h3e083ca4,32'h3e1693de, 32'h3e011107,32'h3e1dbf7b,// invsqrt(50.9868) = 0.1400 +32'h3f70db86,32'h3f8152b3,32'h3f8699ff, 32'h3f7aba76,32'h3f8a8f77, 32'h3f6d883a,32'h3f912895,// invsqrt(0.9408) = 1.0310 +32'h3f56ec86,32'h3f88e739,32'h3f8e7db9, 32'h3f84b659,32'h3f92ae99, 32'h3f7b7473,32'h3f99aab8,// invsqrt(0.8395) = 1.0914 +32'h3f5306f4,32'h3f8a2954,32'h3f8fccfa, 32'h3f85ee98,32'h3f9407b6, 32'h3f7dc413,32'h3f9b1444,// invsqrt(0.8243) = 1.1014 +32'h3f8fd89d,32'h3f6ca88c,32'h3f765163, 32'h3f6569ec,32'h3f7d9004, 32'h3f5956df,32'h3f84d188,// invsqrt(1.1238) = 0.9433 +32'h3f29e547,32'h3f99faf0,32'h3fa043e0, 32'h3f95443c,32'h3fa4fa94, 32'h3f8d6911,32'h3facd5bf,// invsqrt(0.6637) = 1.2275 +32'h3e91ef51,32'h3feaf56e,32'h3ff48c82, 32'h3fe3c41f,32'h3ffbbdd1, 32'h3fd7c746,32'h4003dd55,// invsqrt(0.2850) = 1.8731 +32'h3f8604f5,32'h3f752e66,32'h3f7f304b, 32'h3f6dacfb,32'h3f8358dc, 32'h3f612a9d,32'h3f899a0b,// invsqrt(1.0470) = 0.9773 +32'h402b0219,32'h3f197a7f,32'h3f1fbe31, 32'h3f14c7ba,32'h3f2470f6, 32'h3f0cf31c,32'h3f2c4594,// invsqrt(2.6720) = 0.6118 +32'h3e609a59,32'h4005ebbf,32'h400b6316, 32'h4001d23f,32'h400f7c97, 32'h3ff5fa27,32'h401651c2,// invsqrt(0.2193) = 2.1352 +32'h3fbcca3f,32'h3f4e93a8,32'h3f57022c, 32'h3f4840c5,32'h3f5d550f, 32'h3f3db69f,32'h3f67df35,// invsqrt(1.4749) = 0.8234 +32'h3f8402ba,32'h3f770a22,32'h3f808fb9, 32'h3f6f7a26,32'h3f8457b7, 32'h3f62df83,32'h3f8aa509,// invsqrt(1.0313) = 0.9847 +32'h3f2d33aa,32'h3f9880e5,32'h3f9eba67, 32'h3f93d5c4,32'h3fa36588, 32'h3f8c0de2,32'h3fab2d6a,// invsqrt(0.6766) = 1.2157 +32'h40344d0f,32'h3f157894,32'h3f1b9266, 32'h3f10e537,32'h3f2025c3, 32'h3f0944f0,32'h3f27c60a,// invsqrt(2.8172) = 0.5958 +32'h40d542a6,32'h3ec25d33,32'h3eca4c1b, 32'h3ebc6a05,32'h3ed03f49, 32'h3eb27f63,32'h3eda29eb,// invsqrt(6.6644) = 0.3874 +32'h3e8509b8,32'h3ff6157c,32'h40001067, 32'h3fee8cfd,32'h4003d4a7, 32'h3fe1fed4,32'h400a1bbb,// invsqrt(0.2598) = 1.9618 +32'h3f2fa204,32'h3f9771c2,32'h3f9da032, 32'h3f92ceee,32'h3fa24306, 32'h3f8b14e1,32'h3fa9fd13,// invsqrt(0.6861) = 1.2073 +32'h3fb8eacc,32'h3f50ba93,32'h3f593f94, 32'h3f4a56d3,32'h3f5fa355, 32'h3f3fb092,32'h3f6a4996,// invsqrt(1.4447) = 0.8320 +32'h3eafddde,32'h3fd60843,32'h3fdec4ae, 32'h3fcf7af2,32'h3fe551fe, 32'h3fc48f6c,32'h3ff03d84,// invsqrt(0.3435) = 1.7063 +32'h3f783cb9,32'h3f7ec5c4,32'h3f8495f0, 32'h3f76f92e,32'h3f887c3b, 32'h3f69f98a,32'h3f8efc0d,// invsqrt(0.9697) = 1.0155 +32'h3ed3df6a,32'h3fc2ffe0,32'h3fcaf56c, 32'h3fbd07b7,32'h3fd0ed95, 32'h3fb314c9,32'h3fdae083,// invsqrt(0.4138) = 1.5545 +32'h3f63938f,32'h3f850b0a,32'h3f8a7934, 32'h3f80f86a,32'h3f8e8bd4, 32'h3f745d6b,32'h3f955588,// invsqrt(0.8890) = 1.0606 +32'h3f186c96,32'h3fa290d7,32'h3fa9337c, 32'h3f9d96da,32'h3fae2d78, 32'h3f954b8b,32'h3fb678c7,// invsqrt(0.5954) = 1.2960 +32'h3d8892cb,32'h4072e0bc,32'h407cca90, 32'h406b715e,32'h40821cf7, 32'h405f0d15,32'h40884f1b,// invsqrt(0.0667) = 3.8724 +32'h3f431dee,32'h3f8faf1e,32'h3f958c78, 32'h3f8b491a,32'h3f99f27c, 32'h3f83f46a,32'h3fa1472c,// invsqrt(0.7622) = 1.1454 +32'h410c6191,32'h3ea9653b,32'h3eb04f3d, 32'h3ea435b9,32'h3eb57ebf, 32'h3e9b9136,32'h3ebe2342,// invsqrt(8.7738) = 0.3376 +32'h3f7a6011,32'h3f7daeb1,32'h3f8404b5, 32'h3f75eaa7,32'h3f87e6bb, 32'h3f68f940,32'h3f8e5f6e,// invsqrt(0.9780) = 1.0112 +32'h3fd4bc84,32'h3f429a70,32'h3f4a8bd8, 32'h3f3ca562,32'h3f5080e6, 32'h3f32b7a0,32'h3f5a6ea8,// invsqrt(1.6620) = 0.7757 +32'h40466403,32'h3f0e7e5e,32'h3f144f47, 32'h3f0a21ae,32'h3f18abf6, 32'h3f02dc8a,32'h3f1ff11a,// invsqrt(3.0999) = 0.5680 +32'h3f945a0f,32'h3f690970,32'h3f728c70, 32'h3f61e731,32'h3f79aeaf, 32'h3f560372,32'h3f82c937,// invsqrt(1.1590) = 0.9289 +32'h40402ff8,32'h3f10c667,32'h3f16af27, 32'h3f0c57d7,32'h3f1b1db7, 32'h3f04f4e6,32'h3f2280a8,// invsqrt(3.0029) = 0.5771 +32'h3d415314,32'h4090593d,32'h40963d89, 32'h408bee04,32'h409aa8c2, 32'h408490a6,32'h40a20620,// invsqrt(0.0472) = 4.6030 +32'h3e0cf5b0,32'h40290c23,32'h402ff283, 32'h4023df5c,32'h40351f4a, 32'h401b3f64,32'h403dbf42,// invsqrt(0.1377) = 2.6953 +32'h3fa7c3c2,32'h3f5b23b9,32'h3f641582, 32'h3f546e63,32'h3f6acad9, 32'h3f494028,32'h3f75f914,// invsqrt(1.3107) = 0.8735 +32'h3fbb8316,32'h3f4f4790,32'h3f57bd6c, 32'h3f48ef2b,32'h3f5e15d1, 32'h3f3e5bd8,32'h3f68a924,// invsqrt(1.4649) = 0.8262 +32'h3e869c20,32'h3ff4a494,32'h3ffea0d8, 32'h3fed2760,32'h40030f06, 32'h3fe0ac0a,32'h40094cb1,// invsqrt(0.2629) = 1.9503 +32'h3f590f39,32'h3f883a64,32'h3f8dc9d6, 32'h3f840ecf,32'h3f91f56b, 32'h3f7a3701,32'h3f98e8ba,// invsqrt(0.8479) = 1.0860 +32'h3efba6b3,32'h3fb2ecdb,32'h3fba3a71, 32'h3fad72aa,32'h3fbfb4a2, 32'h3fa451af,32'h3fc8d59d,// invsqrt(0.4915) = 1.4264 +32'h410e6374,32'h3ea83277,32'h3eaf0ff5, 32'h3ea30c5a,32'h3eb43612, 32'h3e9a777d,32'h3ebccaef,// invsqrt(8.8993) = 0.3352 +32'h3e248014,32'h401c7c28,32'h4022df44, 32'h4017b1d3,32'h4027a999, 32'h400fb5f1,32'h402fa57b,// invsqrt(0.1606) = 2.4950 +32'h3e647d3b,32'h4004c6f1,32'h400a3253, 32'h4000b667,32'h400e42dd, 32'h3ff3e057,32'h40150919,// invsqrt(0.2231) = 2.1170 +32'h414099fc,32'h3e909e89,32'h3e9685a9, 32'h3e8c3131,32'h3e9af301, 32'h3e84d04a,32'h3ea253e8,// invsqrt(12.0376) = 0.2882 +32'h3f7e82cc,32'h3f7b9ce3,32'h3f82f0fe, 32'h3f73e911,32'h3f86cae8, 32'h3f6712b2,32'h3f8d3617,// invsqrt(0.9942) = 1.0029 +32'h3f8b21d5,32'h3f70a258,32'h3f7a74ba, 32'h3f69448f,32'h3f80e942, 32'h3f5cfd95,32'h3f870cbf,// invsqrt(1.0870) = 0.9592 +32'h3ecb277f,32'h3fc723c9,32'h3fcf4497, 32'h3fc10b2e,32'h3fd55d32, 32'h3fb6e22b,32'h3fdf8635,// invsqrt(0.3968) = 1.5875 +32'h3fa7b7b2,32'h3f5b2b9b,32'h3f641db6, 32'h3f547606,32'h3f6ad34a, 32'h3f494764,32'h3f7601ec,// invsqrt(1.3103) = 0.8736 +32'h3fa72ddb,32'h3f5b85e3,32'h3f647bad, 32'h3f54cd8b,32'h3f6b3405, 32'h3f499a4e,32'h3f766742,// invsqrt(1.3061) = 0.8750 +32'h3f899204,32'h3f71ff08,32'h3f7bdfa5, 32'h3f6a9692,32'h3f81a40d, 32'h3f5e3dcd,32'h3f87d06f,// invsqrt(1.0748) = 0.9646 +32'h3f44149e,32'h3f8f549f,32'h3f952e48, 32'h3f8af161,32'h3f999187, 32'h3f83a14f,32'h3fa0e199,// invsqrt(0.7659) = 1.1426 +32'h3ef472d4,32'h3fb58ac5,32'h3fbcf3b3, 32'h3faffc13,32'h3fc28265, 32'h3fa6b8e9,32'h3fcbc58f,// invsqrt(0.4774) = 1.4472 +32'h3f062f81,32'h3fad430d,32'h3fb45577, 32'h3fa7f53f,32'h3fb9a345, 32'h3f9f1e3b,32'h3fc27a49,// invsqrt(0.5242) = 1.3812 +32'h3ee76ce5,32'h3fba9488,32'h3fc2321a, 32'h3fb4de5a,32'h3fc7e848, 32'h3fab5962,32'h3fd16d40,// invsqrt(0.4520) = 1.4874 +32'h3f0c48c3,32'h3fa97434,32'h3fb05ed2, 32'h3fa4443c,32'h3fb58eca, 32'h3f9b9ef6,32'h3fbe3410,// invsqrt(0.5480) = 1.3509 +32'h40a5e868,32'h3edc5cc9,32'h3ee55b59, 32'h3ed59ddd,32'h3eec1a45, 32'h3eca5fa9,32'h3ef75879,// invsqrt(5.1846) = 0.4392 +32'h3fb3768c,32'h3f53e078,32'h3f5c865e, 32'h3f4d640c,32'h3f6302ca, 32'h3f4294ad,32'h3f6dd229,// invsqrt(1.4021) = 0.8445 +32'h3eb0de62,32'h3fd56cd5,32'h3fde22e9, 32'h3fcee447,32'h3fe4ab77, 32'h3fc400af,32'h3fef8f0f,// invsqrt(0.3454) = 1.7014 +32'h3f6225ee,32'h3f85766b,32'h3f8ae8f7, 32'h3f816082,32'h3f8efee0, 32'h3f7522a5,32'h3f95ce10,// invsqrt(0.8834) = 1.0640 +32'h3f959d11,32'h3f680d59,32'h3f71860f, 32'h3f60f2d1,32'h3f78a097, 32'h3f551bef,32'h3f823bbd,// invsqrt(1.1689) = 0.9250 +32'h415c1222,32'h3e874af7,32'h3e8cd0a3, 32'h3e8326b6,32'h3e90f4e4, 32'h3e787f3e,32'h3e97dbfb,// invsqrt(13.7544) = 0.2696 +32'h3cd98e14,32'h40c06fa3,32'h40c84a65, 32'h40ba8b91,32'h40ce2e77, 32'h40b0ba1d,32'h40d7ffeb,// invsqrt(0.0266) = 6.1364 +32'h3f1c67cf,32'h3fa07bbe,32'h3fa708a1, 32'h3f9b9213,32'h3fabf24b, 32'h3f9361f7,32'h3fb42267,// invsqrt(0.6110) = 1.2794 +32'h3d2104a5,32'h409e2b02,32'h40a49fb4, 32'h4099537d,32'h40a97739, 32'h4091419f,32'h40b18917,// invsqrt(0.0393) = 5.0436 +32'h410600fc,32'h3ead611e,32'h3eb474c1, 32'h3ea81263,32'h3eb9c37b, 32'h3e9f39d7,32'h3ec29c07,// invsqrt(8.3752) = 0.3455 +32'h4020f5b5,32'h3f1e3259,32'h3f24a757, 32'h3f195a9a,32'h3f297f16, 32'h3f11485c,32'h3f319154,// invsqrt(2.5150) = 0.6306 +32'h406b7ef8,32'h3f02c973,32'h3f08200a, 32'h3efd9104,32'h3f0c20fc, 32'h3ef0388b,32'h3f12cd38,// invsqrt(3.6796) = 0.5213 +32'h3ff5e7cc,32'h3f3500e5,32'h3f3c6432, 32'h3f2f766a,32'h3f41eeac, 32'h3f263a4a,32'h3f4b2acc,// invsqrt(1.9211) = 0.7215 +32'h3fe554d8,32'h3f3b6e18,32'h3f43148c, 32'h3f35b141,32'h3f48d163, 32'h3f2c2130,32'h3f526174,// invsqrt(1.7917) = 0.7471 +32'h3f9537f2,32'h3f685bec,32'h3f71d7d6, 32'h3f613efc,32'h3f78f4c6, 32'h3f556417,32'h3f8267d5,// invsqrt(1.1658) = 0.9262 +32'h409b8020,32'h3ee39e03,32'h3eece861, 32'h3edca63c,32'h3ef3e028, 32'h3ed10947,32'h3eff7d1d,// invsqrt(4.8594) = 0.4536 +32'h3f978f4a,32'h3f668eb4,32'h3f6ff7ca, 32'h3f5f7fe2,32'h3f77069c, 32'h3f53bc86,32'h3f8164fc,// invsqrt(1.1841) = 0.9190 +32'h3f93598d,32'h3f69d3ee,32'h3f735f32, 32'h3f62ab7c,32'h3f7a87a4, 32'h3f56bd68,32'h3f833adc,// invsqrt(1.1512) = 0.9320 +32'h3f517628,32'h3f8aad44,32'h3f90564c, 32'h3f866e7e,32'h3f949512, 32'h3f7eb668,32'h3f9ba85c,// invsqrt(0.8182) = 1.1055 +32'h3ef5d184,32'h3fb50918,32'h3fbc6cbb, 32'h3faf7e5e,32'h3fc1f776, 32'h3fa641d3,32'h3fcb3401,// invsqrt(0.4801) = 1.4432 +32'h3ee786ce,32'h3fba8a17,32'h3fc2273c, 32'h3fb4d43a,32'h3fc7dd18, 32'h3fab4fcb,32'h3fd16187,// invsqrt(0.4522) = 1.4871 +32'h3fa967d7,32'h3f5a135c,32'h3f62fa06, 32'h3f53665b,32'h3f69a707, 32'h3f484606,32'h3f74c75c,// invsqrt(1.3235) = 0.8692 +32'h3fd415f0,32'h3f42e6cd,32'h3f4adb53, 32'h3f3cef69,32'h3f50d2b7, 32'h3f32fdc2,32'h3f5ac45e,// invsqrt(1.6569) = 0.7769 +32'h3f84ff21,32'h3f761f47,32'h3f801580, 32'h3f6e967c,32'h3f83d9e6, 32'h3f6207d4,32'h3f8a213a,// invsqrt(1.0390) = 0.9810 +32'h3f67175a,32'h3f840708,32'h3f896a96, 32'h3f7ff8bc,32'h3f8d7540, 32'h3f727fdb,32'h3f9431b0,// invsqrt(0.9027) = 1.0525 +32'h416d5979,32'h3e824676,32'h3e8797b4, 32'h3e7c930e,32'h3e8b94a3, 32'h3e6f47f3,32'h3e923a31,// invsqrt(14.8343) = 0.2596 +32'h40a6e114,32'h3edbb85c,32'h3ee4b036, 32'h3ed4fe79,32'h3eeb6a19, 32'h3ec9c8a8,32'h3ef69fea,// invsqrt(5.2150) = 0.4379 +32'h3f6d0f9a,32'h3f825ac0,32'h3f87acd3, 32'h3f7cba66,32'h3f8baa61, 32'h3f6f6d38,32'h3f9250f8,// invsqrt(0.9260) = 1.0392 +32'h3d4f55ac,32'h408b62e4,32'h40911356, 32'h40871e8f,32'h409557ab, 32'h40800200,32'h409c743a,// invsqrt(0.0506) = 4.4447 +32'h3fbb1a69,32'h3f4f8183,32'h3f57f9bd, 32'h3f492758,32'h3f5e53e8, 32'h3f3e9110,32'h3f68ea30,// invsqrt(1.4617) = 0.8271 +32'h3f566016,32'h3f89140a,32'h3f8eac5e, 32'h3f84e1cb,32'h3f92de9d, 32'h3f7bc6c4,32'h3f99dd06,// invsqrt(0.8374) = 1.0928 +32'h3d1b749f,32'h40a0f913,32'h40a78b14, 32'h409c0b93,32'h40ac7895, 32'h4093d512,32'h40b4af16,// invsqrt(0.0380) = 5.1331 +32'h3f0d74e8,32'h3fa8c00e,32'h3fafa352, 32'h3fa3959a,32'h3fb4cdc6, 32'h3f9af985,32'h3fbd69db,// invsqrt(0.5526) = 1.3453 +32'h41bb5bef,32'h3e4f5d37,32'h3e57d3f5, 32'h3e490428,32'h3e5e2d04, 32'h3e3e6fba,32'h3e68c172,// invsqrt(23.4199) = 0.2066 +32'h3f4d43da,32'h3f8c1654,32'h3f91ce19, 32'h3f87cc81,32'h3f9617ed, 32'h3f80a6cb,32'h3f9d3da3,// invsqrt(0.8018) = 1.1168 +32'h3f868f43,32'h3f74b045,32'h3f7ead04, 32'h3f6d32b6,32'h3f83154a, 32'h3f60b6c8,32'h3f895341,// invsqrt(1.0512) = 0.9753 +32'h3e85493f,32'h3ff5dad0,32'h3fffe3be, 32'h3fee541d,32'h4003b538, 32'h3fe1c8f3,32'h4009facd,// invsqrt(0.2603) = 1.9599 +32'h3c63271e,32'h41052ac8,32'h410a9a3e, 32'h41011730,32'h410eadd6, 32'h40f497b9,32'h4115792a,// invsqrt(0.0139) = 8.4928 +32'h3f550f43,32'h3f898039,32'h3f8f1cf7, 32'h3f854aaa,32'h3f935286, 32'h3f7c8d78,32'h3f9a5674,// invsqrt(0.8323) = 1.0961 +32'h3f8fdb2d,32'h3f6ca671,32'h3f764f31, 32'h3f6567e0,32'h3f7d8dc2, 32'h3f5954ef,32'h3f84d059,// invsqrt(1.1239) = 0.9433 +32'h3f12a101,32'h3fa5bf49,32'h3fac832c, 32'h3fa0ac5e,32'h3fb19616, 32'h3f983781,32'h3fba0af3,// invsqrt(0.5728) = 1.3213 +32'h424a500f,32'h3e0d1b0c,32'h3e12dd76, 32'h3e08c93e,32'h3e172f44, 32'h3e01963a,32'h3e1e6248,// invsqrt(50.5782) = 0.1406 +32'h40919123,32'h3eeb4164,32'h3ef4db92, 32'h3ee40dc2,32'h3efc0f34, 32'h3ed80d08,32'h3f0407f7,// invsqrt(4.5490) = 0.4689 +32'h3f709a8f,32'h3f816428,32'h3f86ac29, 32'h3f7adc4c,32'h3f8aa22a, 32'h3f6da848,32'h3f913c2c,// invsqrt(0.9399) = 1.0315 +32'h40a7da68,32'h3edb14f0,32'h3ee4061e, 32'h3ed4600d,32'h3eeabb01, 32'h3ec93293,32'h3ef5e87b,// invsqrt(5.2454) = 0.4366 +32'h3fad2573,32'h3f57b504,32'h3f6082f0, 32'h3f511a94,32'h3f671d60, 32'h3f46192e,32'h3f721ec6,// invsqrt(1.3527) = 0.8598 +32'h406fd082,32'h3f019a9e,32'h3f06e4d8, 32'h3efb45e3,32'h3f0adc84, 32'h3eee0c51,32'h3f11794e,// invsqrt(3.7471) = 0.5166 +32'h3ed33316,32'h3fc34f5e,32'h3fcb4828, 32'h3fbd54c6,32'h3fd142c0, 32'h3fb35dc9,32'h3fdb39bd,// invsqrt(0.4125) = 1.5570 +32'h3f6af331,32'h3f82f055,32'h3f884882, 32'h3f7ddc65,32'h3f8c4aa4, 32'h3f707ff4,32'h3f92f8dc,// invsqrt(0.9178) = 1.0438 +32'h3e911377,32'h3feba733,32'h3ff54588, 32'h3fe47072,32'h3ffc7c48, 32'h3fd86a87,32'h4004411a,// invsqrt(0.2834) = 1.8786 +32'h3e51da40,32'h400a8c2e,32'h401033dc, 32'h40064e6b,32'h4014719f, 32'h3ffe79a3,32'h401b8339,// invsqrt(0.2049) = 2.2090 +32'h3e170ffe,32'h40234bfd,32'h4029f646, 32'h401e4c46,32'h402ef5fc, 32'h4015f76a,32'h40374ad8,// invsqrt(0.1475) = 2.6036 +32'h409d4fd3,32'h3ee24d93,32'h3eeb8a35, 32'h3edb6019,32'h3ef277af, 32'h3ecfd44d,32'h3efe037b,// invsqrt(4.9160) = 0.4510 +32'h3fb38075,32'h3f53da9f,32'h3f5c8047, 32'h3f4d5e60,32'h3f62fc86, 32'h3f428f4e,32'h3f6dcb98,// invsqrt(1.4024) = 0.8444 +32'h3f4f2743,32'h3f8b7281,32'h3f912395, 32'h3f872db1,32'h3f956865, 32'h3f801057,32'h3f9c85bf,// invsqrt(0.8092) = 1.1117 +32'h3f242000,32'h3f9ca9ef,32'h3fa30ee9, 32'h3f97de33,32'h3fa7daa5, 32'h3f8fdffb,32'h3fafd8dd,// invsqrt(0.6411) = 1.2489 +32'h3fffe5fa,32'h3f316f26,32'h3f38ad28, 32'h3f2c00a5,32'h3f3e1ba9, 32'h3f22f323,32'h3f47292b,// invsqrt(1.9992) = 0.7072 +32'h3f21d575,32'h3f9dc4d6,32'h3fa4355d, 32'h3f98f072,32'h3fa909c2, 32'h3f90e3cb,32'h3fb11669,// invsqrt(0.6322) = 1.2577 +32'h41407339,32'h3e90ad19,32'h3e9694d1, 32'h3e8c3f4f,32'h3e9b029b, 32'h3e84dda9,32'h3ea26441,// invsqrt(12.0281) = 0.2883 +32'h3f791d5b,32'h3f7e52cc,32'h3f845a1c, 32'h3f7689bc,32'h3f883ea4, 32'h3f698ff5,32'h3f8ebb88,// invsqrt(0.9731) = 1.0137 +32'h415a8cc5,32'h3e87c346,32'h3e8d4ddb, 32'h3e839b56,32'h3e9175ca, 32'h3e795c36,32'h3e986305,// invsqrt(13.6594) = 0.2706 +32'h40b1a963,32'h3ed4f2c3,32'h3edda3db, 32'h3ece6df1,32'h3ee428ad, 32'h3ec39094,32'h3eef060a,// invsqrt(5.5519) = 0.4244 +32'h3ea68f58,32'h3fdbee3f,32'h3fe4e84c, 32'h3fd532b5,32'h3feba3d5, 32'h3fc9fa24,32'h3ff6dc66,// invsqrt(0.3253) = 1.7533 +32'h3f0c3cce,32'h3fa97b6d,32'h3fb06657, 32'h3fa44b3d,32'h3fb59687, 32'h3f9ba598,32'h3fbe3c2c,// invsqrt(0.5478) = 1.3511 +32'h3f972fa9,32'h3f66d793,32'h3f7043a3, 32'h3f5fc686,32'h3f7754b0, 32'h3f53ff72,32'h3f818de2,// invsqrt(1.1811) = 0.9201 +32'h4033f95b,32'h3f159b52,32'h3f1bb68e, 32'h3f1106e4,32'h3f204afc, 32'h3f0964d8,32'h3f27ed08,// invsqrt(2.8121) = 0.5963 +32'h3e29566f,32'h401a3bd4,32'h4020876a, 32'h40158324,32'h4025401a, 32'h400da4a9,32'h402d1e95,// invsqrt(0.1654) = 2.4591 +32'h3f8caa88,32'h3f6f5188,32'h3f79162a, 32'h3f67fe0e,32'h3f8034d2, 32'h3f5bc843,32'h3f864fb7,// invsqrt(1.0990) = 0.9539 +32'h3f65130d,32'h3f849b7e,32'h3f8a051a, 32'h3f808c48,32'h3f8e1450, 32'h3f739089,32'h3f94d854,// invsqrt(0.8948) = 1.0571 +32'h3fb2b041,32'h3f5455e7,32'h3f5d0098, 32'h3f4dd5e3,32'h3f63809d, 32'h3f430086,32'h3f6e55fa,// invsqrt(1.3960) = 0.8464 +32'h3f19ec0e,32'h3fa1c5d7,32'h3fa86034, 32'h3f9cd212,32'h3fad53fa, 32'h3f94911f,32'h3fb594ed,// invsqrt(0.6013) = 1.2896 +32'h3ed5b60f,32'h3fc228b1,32'h3fca1575, 32'h3fbc371f,32'h3fd00707, 32'h3fb24f2b,32'h3fd9eefb,// invsqrt(0.4174) = 1.5478 +32'h40080bec,32'h3f2c12a3,32'h3f33189f, 32'h3f26ce26,32'h3f385d1c, 32'h3f1e06aa,32'h3f412498,// invsqrt(2.1257) = 0.6859 +32'h3fef5be8,32'h3f377646,32'h3f3ef344, 32'h3f31d888,32'h3f449102, 32'h3f287c4b,32'h3f4ded3f,// invsqrt(1.8700) = 0.7313 +32'h3ecbfeaa,32'h3fc6baa7,32'h3fced72b, 32'h3fc0a544,32'h3fd4ec8e, 32'h3fb6819e,32'h3fdf1034,// invsqrt(0.3984) = 1.5843 +32'h4096b8ed,32'h3ee7326e,32'h3ef0a234, 32'h3ee01e9a,32'h3ef7b608, 32'h3ed452e2,32'h3f01c0e0,// invsqrt(4.7101) = 0.4608 +32'h3f088df4,32'h3fabc0a2,32'h3fb2c346, 32'h3fa67ea8,32'h3fb80540, 32'h3f9dbb5c,32'h3fc0c88c,// invsqrt(0.5334) = 1.3692 +32'h3f080a0d,32'h3fac13d2,32'h3fb319da, 32'h3fa6cf4b,32'h3fb85e61, 32'h3f9e07c1,32'h3fc125eb,// invsqrt(0.5314) = 1.3718 +32'h3e3aca24,32'h4012da18,32'h4018d88c, 32'h400e5b41,32'h401d5763, 32'h4006dd30,32'h4024d574,// invsqrt(0.1824) = 2.3414 +32'h3ed5ca77,32'h3fc21f6d,32'h3fca0bcf, 32'h3fbc2e23,32'h3fcffd19, 32'h3fb246a8,32'h3fd9e494,// invsqrt(0.4176) = 1.5475 +32'h3f970370,32'h3f66f95d,32'h3f7066cf, 32'h3f5fe748,32'h3f7778e4, 32'h3f541e7a,32'h3f81a0d9,// invsqrt(1.1798) = 0.9207 +32'h40b231fe,32'h3ed4a114,32'h3edd4ed6, 32'h3ece1ec2,32'h3ee3d128, 32'h3ec34590,32'h3eeeaa5a,// invsqrt(5.5686) = 0.4238 +32'h3f6fd043,32'h3f819aaf,32'h3f86e4eb, 32'h3f7b4606,32'h3f8adc97, 32'h3f6e0c71,32'h3f917962,// invsqrt(0.9368) = 1.0332 +32'h3ec94842,32'h3fc8104e,32'h3fd03ac4, 32'h3fc1f075,32'h3fd65a9d, 32'h3fb7bb62,32'h3fe08fb0,// invsqrt(0.3931) = 1.5949 +32'h3e29c14b,32'h401a0b41,32'h402054db, 32'h4015540d,32'h40250c0f, 32'h400d780d,32'h402ce80f,// invsqrt(0.1658) = 2.4561 +32'h40a36736,32'h3ede0b7e,32'h3ee71ba2, 32'h3ed73f62,32'h3eede7be, 32'h3ecbeb35,32'h3ef93beb,// invsqrt(5.1063) = 0.4425 +32'h3e574db5,32'h4008c850,32'h400e5d8c, 32'h40049862,32'h40128d7a, 32'h3ffb3bac,32'h40198806,// invsqrt(0.2103) = 2.1808 +32'h40e3825c,32'h3ebc2dde,32'h3ec3dc25, 32'h3eb66b27,32'h3ec99edb, 32'h3eacd14e,32'h3ed338b4,// invsqrt(7.1097) = 0.3750 +32'h400b1505,32'h3f2a2f45,32'h3f312187, 32'h3f24f994,32'h3f365738, 32'h3f1c4ac2,32'h3f3f060a,// invsqrt(2.1732) = 0.6784 +32'h3f4031f3,32'h3f90c5a8,32'h3f96ae60, 32'h3f8c571d,32'h3f9b1ceb, 32'h3f84f437,32'h3fa27fd1,// invsqrt(0.7508) = 1.1541 +32'h3f9f76d1,32'h3f60c547,32'h3f69f1e7, 32'h3f59e3cf,32'h3f70d35f, 32'h3f4e6c08,32'h3f7c4b26,// invsqrt(1.2458) = 0.8959 +32'h40d924d0,32'h3ec09e42,32'h3ec87aec, 32'h3ebab8c3,32'h3ece606b, 32'h3eb0e4ee,32'h3ed83440,// invsqrt(6.7857) = 0.3839 +32'h40425430,32'h3f0ff9a0,32'h3f15da04, 32'h3f0b9154,32'h3f1a4250, 32'h3f0438d7,32'h3f219acd,// invsqrt(3.0364) = 0.5739 +32'h3d28f71d,32'h409a674f,32'h40a0b4ab, 32'h4095ad4a,32'h40a56eb0, 32'h408dcc97,32'h40ad4f63,// invsqrt(0.0413) = 4.9236 +32'h3f84b054,32'h3f766852,32'h3f803b83, 32'h3f6edd4a,32'h3f840107, 32'h3f624ae8,32'h3f8a4a38,// invsqrt(1.0366) = 0.9822 +32'h3ecb987e,32'h3fc6ec7e,32'h3fcf0b0c, 32'h3fc0d595,32'h3fd521f5, 32'h3fb6af64,32'h3fdf4826,// invsqrt(0.3976) = 1.5858 +32'h3ebcbcd8,32'h3fce9afd,32'h3fd709ce, 32'h3fc847e1,32'h3fdd5ceb, 32'h3fbdbd5c,32'h3fe7e770,// invsqrt(0.3686) = 1.6470 +32'h3f7c7778,32'h3f7ca124,32'h3f83786e, 32'h3f74e55a,32'h3f875653, 32'h3f6801b3,32'h3f8dc826,// invsqrt(0.9862) = 1.0070 +32'h3f6f1d22,32'h3f81cb32,32'h3f871768, 32'h3f7ba412,32'h3f8b1091, 32'h3f6e658b,32'h3f91afd5,// invsqrt(0.9340) = 1.0347 +32'h4244a887,32'h3e0f1eaf,32'h3e14f623, 32'h3e0abd17,32'h3e1957bb, 32'h3e036fc5,32'h3e20a50d,// invsqrt(49.1646) = 0.1426 +32'h3f7d9a04,32'h3f7c1043,32'h3f832d09, 32'h3f7458e8,32'h3f8708b6, 32'h3f677ca6,32'h3f8d76d7,// invsqrt(0.9906) = 1.0047 +32'h3f8be03c,32'h3f6ffe59,32'h3f79ca09, 32'h3f68a595,32'h3f809167, 32'h3f5c66f9,32'h3f86b0b5,// invsqrt(1.0928) = 0.9566 +32'h40903dbb,32'h3eec558a,32'h3ef5fafe, 32'h3ee51974,32'h3efd3714, 32'h3ed90aa4,32'h3f04a2f2,// invsqrt(4.5075) = 0.4710 +32'h3fee2fa7,32'h3f37e9c4,32'h3f3f6b78, 32'h3f32487c,32'h3f450cc0, 32'h3f28e65b,32'h3f4e6ee1,// invsqrt(1.8608) = 0.7331 +32'h3e6dd847,32'h400223b7,32'h4007738b, 32'h3ffc4fb2,32'h400b6f69, 32'h3fef0822,32'h40121331,// invsqrt(0.2323) = 2.0749 +32'h411bf532,32'h3ea0b6ab,32'h3ea745f5, 32'h3e9bcb32,32'h3eac316e, 32'h3e939815,32'h3eb4648b,// invsqrt(9.7474) = 0.3203 +32'h3c6a5ec0,32'h410319c5,32'h410873a4, 32'h40fe2cbe,32'h410c770b, 32'h40f0cc13,32'h41132761,// invsqrt(0.0143) = 8.3610 +32'h3fca7969,32'h3f477953,32'h3f4f9d9f, 32'h3f415e19,32'h3f55b8d9, 32'h3f3730ba,32'h3f5fe638,// invsqrt(1.5818) = 0.7951 +32'h3e57f8e2,32'h40089210,32'h400e2516, 32'h400463cc,32'h4012535a, 32'h3ffad809,32'h40194b22,// invsqrt(0.2109) = 2.1775 +32'h3eb3f1bf,32'h3fd397e4,32'h3fdc3ad3, 32'h3fcd1db0,32'h3fe2b506, 32'h3fc25205,32'h3fed80b1,// invsqrt(0.3515) = 1.6868 +32'h3d404faf,32'h4090ba76,32'h4096a2ba, 32'h408c4c44,32'h409b10ec, 32'h4084e9ef,32'h40a27341,// invsqrt(0.0470) = 4.6151 +32'h3f758cfe,32'h3f8014ca,32'h3f854f1b, 32'h3f785219,32'h3f893ad8, 32'h3f6b404d,32'h3f8fc3bd,// invsqrt(0.9592) = 1.0211 +32'h3efe1f75,32'h3fb20d8d,32'h3fb95206, 32'h3fac9a33,32'h3fbec561, 32'h3fa3849c,32'h3fc7daf8,// invsqrt(0.4963) = 1.4194 +32'h3f850b67,32'h3f7613ed,32'h3f800f98, 32'h3f6e8b7b,32'h3f83d3d1, 32'h3f61fd67,32'h3f8a1adb,// invsqrt(1.0394) = 0.9809 +32'h3f8e26dc,32'h3f6e108b,32'h3f77c813, 32'h3f66c6e5,32'h3f7f11b9, 32'h3f5aa17a,32'h3f859b92,// invsqrt(1.1106) = 0.9489 +32'h3ee2078e,32'h3fbccb4b,32'h3fc47fff, 32'h3fb703c3,32'h3fca4787, 32'h3fad61e1,32'h3fd3e969,// invsqrt(0.4415) = 1.5051 +32'h3fb83218,32'h3f512320,32'h3f59ac66, 32'h3f4abc2c,32'h3f60135a, 32'h3f401096,32'h3f6abef0,// invsqrt(1.4390) = 0.8336 +32'h3fb05929,32'h3f55bd64,32'h3f5e76c0, 32'h3f4f325e,32'h3f6501c6, 32'h3f444aaa,32'h3f6fe97a,// invsqrt(1.3777) = 0.8520 +32'h3f4db3c5,32'h3f8bf033,32'h3f91a669, 32'h3f87a78a,32'h3f95ef12, 32'h3f8083c6,32'h3f9d12d6,// invsqrt(0.8035) = 1.1156 +32'h4047beac,32'h3f0e0281,32'h3f13ce5d, 32'h3f09a99d,32'h3f182741, 32'h3f026aca,32'h3f1f6614,// invsqrt(3.1210) = 0.5660 +32'h3f90bb18,32'h3f6bef19,32'h3f75905e, 32'h3f64b626,32'h3f7cc952, 32'h3f58ac90,32'h3f846974,// invsqrt(1.1307) = 0.9404 +32'h3f2add80,32'h3f998aee,32'h3f9fcf4c, 32'h3f94d7a8,32'h3fa48292, 32'h3f8d0234,32'h3fac5806,// invsqrt(0.6674) = 1.2240 +32'h3f0d0e99,32'h3fa8fd35,32'h3fafe2f9, 32'h3fa3d0e3,32'h3fb50f4b, 32'h3f9b31ae,32'h3fbdae80,// invsqrt(0.5510) = 1.3472 +32'h418fa37f,32'h3e6cd44a,32'h3e767eea, 32'h3e659452,32'h3e7dbee2, 32'h3e597f0b,32'h3e84ea15,// invsqrt(17.9548) = 0.2360 +32'h3fc5f1af,32'h3f49be5d,32'h3f51fa61, 32'h3f43915a,32'h3f582764, 32'h3f394656,32'h3f627269,// invsqrt(1.5464) = 0.8041 +32'h3d50c948,32'h408ae6a2,32'h40909201, 32'h4086a61a,32'h4094d288, 32'h407f1fc5,32'h409be8c0,// invsqrt(0.0510) = 4.4292 +32'h3f09370d,32'h3fab56ad,32'h3fb254fd, 32'h3fa617f1,32'h3fb793b9, 32'h3f9d5a0c,32'h3fc0519e,// invsqrt(0.5360) = 1.3659 +32'h4090d7d7,32'h3eebd7af,32'h3ef577ff, 32'h3ee49f73,32'h3efcb03b, 32'h3ed8970e,32'h3f045c50,// invsqrt(4.5263) = 0.4700 +32'h3f8f0d5e,32'h3f6d5070,32'h3f770020, 32'h3f660cab,32'h3f7e43e5, 32'h3f59f10e,32'h3f852fc1,// invsqrt(1.1176) = 0.9459 +32'h3f4884bc,32'h3f8dbc4d,32'h3f93854b, 32'h3f89658f,32'h3f97dc09, 32'h3f822a51,32'h3f9f1747,// invsqrt(0.7833) = 1.1299 +32'h4037187d,32'h3f14536e,32'h3f1a6149, 32'h3f0fc90b,32'h3f1eebad, 32'h3f0837b9,32'h3f267cff,// invsqrt(2.8609) = 0.5912 +32'h400dd672,32'h3f2885fe,32'h3f2f66e4, 32'h3f235d52,32'h3f348f90, 32'h3f1ac432,32'h3f3d28b0,// invsqrt(2.2162) = 0.6717 +32'h3f9fdbc1,32'h3f607e45,32'h3f69a7ff, 32'h3f599efa,32'h3f70874a, 32'h3f4e2ad2,32'h3f7bfb72,// invsqrt(1.2489) = 0.8948 +32'h3e41eb97,32'h4010206f,32'h40160269, 32'h400bb6f3,32'h401a6be5, 32'h40045c7b,32'h4021c65d,// invsqrt(0.1894) = 2.2979 +32'h3fd8e90b,32'h3f40b8ca,32'h3f489689, 32'h3f3ad27a,32'h3f4e7cd8, 32'h3f30fd4b,32'h3f585207,// invsqrt(1.6946) = 0.7682 +32'h3fbdc367,32'h3f4e0bde,32'h3f5674d7, 32'h3f47bd22,32'h3f5cc392, 32'h3f3d39eb,32'h3f6746c9,// invsqrt(1.4825) = 0.8213 +32'h432849ea,32'h3d9ab6af,32'h3da10749, 32'h3d95fa3c,32'h3da5c3bc, 32'h3d8e157c,32'h3dada87c,// invsqrt(168.2887) = 0.0771 +32'h3f91e720,32'h3f6afc06,32'h3f749360, 32'h3f63ca84,32'h3f7bc4e2, 32'h3f57cd54,32'h3f83e109,// invsqrt(1.1399) = 0.9366 +32'h4075ce60,32'h3f0003c0,32'h3f053d5f, 32'h3ef83110,32'h3f092896, 32'h3eeb2101,32'h3f0fb09d,// invsqrt(3.8407) = 0.5103 +32'h3e939239,32'h3fe9a704,32'h3ff33072, 32'h3fe27ff2,32'h3ffa5784, 32'h3fd69428,32'h400321a7,// invsqrt(0.2882) = 1.8627 +32'h3fb28719,32'h3f546e60,32'h3f5d1a10, 32'h3f4ded9c,32'h3f639ad4, 32'h3f4316ff,32'h3f6e7171,// invsqrt(1.3947) = 0.8467 +32'h3fed5b65,32'h3f383bed,32'h3f3fc0fc, 32'h3f329822,32'h3f4564c8, 32'h3f2931d0,32'h3f4ecb1a,// invsqrt(1.8544) = 0.7344 +32'h3fb20d4a,32'h3f54b6fd,32'h3f5d65a5, 32'h3f4e3400,32'h3f63e8a2, 32'h3f4359af,32'h3f6ec2f3,// invsqrt(1.3910) = 0.8479 +32'h3edf30b1,32'h3fbdfdbf,32'h3fc5bef5, 32'h3fb82cd6,32'h3fcb8fde, 32'h3fae7b51,32'h3fd54163,// invsqrt(0.4359) = 1.5146 +32'h3e936e48,32'h3fe9c37d,32'h3ff34e15, 32'h3fe29b8c,32'h3ffa7606, 32'h3fd6ae4e,32'h400331a2,// invsqrt(0.2880) = 1.8635 +32'h3f24ac92,32'h3f9c6703,32'h3fa2c941, 32'h3f979d54,32'h3fa792f0, 32'h3f8fa285,32'h3faf8dbf,// invsqrt(0.6433) = 1.2468 +32'h3ed74b63,32'h3fc17196,32'h3fc956e0, 32'h3fbb859e,32'h3fcf42d8, 32'h3fb1a702,32'h3fd92174,// invsqrt(0.4205) = 1.5421 +32'h4102529e,32'h3eafcf9b,32'h3eb6fca7, 32'h3eaa6dd3,32'h3ebc5e6f, 32'h3ea17584,32'h3ec556be,// invsqrt(8.1452) = 0.3504 +32'h40b6cec2,32'h3ed1ee00,32'h3eda7f8d, 32'h3ecb80d6,32'h3ee0ecb6, 32'h3ec0cae5,32'h3eeba2a7,// invsqrt(5.7127) = 0.4184 +32'h3f9588d3,32'h3f681d0d,32'h3f719667, 32'h3f61020a,32'h3f78b16a, 32'h3f552a5b,32'h3f82448d,// invsqrt(1.1682) = 0.9252 +32'h4076dd9b,32'h3eff7ab3,32'h3f04f419, 32'h3ef7a893,32'h3f08dd29, 32'h3eea9fb3,32'h3f0f6198,// invsqrt(3.8573) = 0.5092 +32'h3ecd4d44,32'h3fc61873,32'h3fce2e59, 32'h3fc00807,32'h3fd43ec5, 32'h3fb5eca9,32'h3fde5a23,// invsqrt(0.4010) = 1.5792 +32'h3f0f6e7b,32'h3fa7959d,32'h3fae6cb3, 32'h3fa2744c,32'h3fb38e04, 32'h3f99e771,32'h3fbc1adf,// invsqrt(0.5603) = 1.3360 +32'h3e64ef9b,32'h4004a5c2,32'h400a0fca, 32'h4000963c,32'h400e1f50, 32'h3ff3a364,32'h4014e3da,// invsqrt(0.2236) = 2.1149 +32'h3f8ca7fd,32'h3f6f53b2,32'h3f79186a, 32'h3f680027,32'h3f8035fb, 32'h3f5bca40,32'h3f8650ee,// invsqrt(1.0989) = 0.9539 +32'h3ed64407,32'h3fc1e854,32'h3fc9d276, 32'h3fbbf8ba,32'h3fcfc210, 32'h3fb2140e,32'h3fd9a6bc,// invsqrt(0.4185) = 1.5458 +32'h3f8e61e4,32'h3f6ddf2c,32'h3f7794b0, 32'h3f669708,32'h3f7edcd4, 32'h3f5a7423,32'h3f857fdc,// invsqrt(1.1124) = 0.9481 +32'h3e111333,32'h4026a1ec,32'h402d6f10, 32'h40218811,32'h403288eb, 32'h401907a5,32'h403b0957,// invsqrt(0.1417) = 2.6568 +32'h3eccc2dd,32'h3fc65b5b,32'h3fce73fb, 32'h3fc048e2,32'h3fd48674, 32'h3fb62a1a,32'h3fdea53c,// invsqrt(0.3999) = 1.5813 +32'h40527569,32'h3f0a5912,32'h3f0ffeaa, 32'h3f061ce0,32'h3f143adc, 32'h3efe1bc3,32'h3f1b49db,// invsqrt(3.2884) = 0.5515 +32'h3f87046e,32'h3f744602,32'h3f7e3e6b, 32'h3f6ccbb4,32'h3f82dc5d, 32'h3f605532,32'h3f89179e,// invsqrt(1.0548) = 0.9737 +32'h3dc73909,32'h40491859,32'h40514d97, 32'h4042f06c,32'h40577584, 32'h4038addf,32'h4061b811,// invsqrt(0.0973) = 3.2062 +32'h3f8c721a,32'h3f6f8197,32'h3f794830, 32'h3f682ca5,32'h3f804e91, 32'h3f5bf467,32'h3f866ab1,// invsqrt(1.0972) = 0.9547 +32'h3e2feb97,32'h40175213,32'h401d7f39, 32'h4012b037,32'h40222115, 32'h400af7c9,32'h4029d983,// invsqrt(0.1718) = 2.4126 +32'h400e900c,32'h3f281827,32'h3f2ef491, 32'h3f22f2d7,32'h3f3419e1, 32'h3f1a5f53,32'h3f3cad65,// invsqrt(2.2275) = 0.6700 +32'h3f6d0288,32'h3f825e59,32'h3f87b090, 32'h3f7cc15c,32'h3f8bae3a, 32'h3f6f73d1,32'h3f925500,// invsqrt(0.9258) = 1.0393 +32'h4037baeb,32'h3f1411cf,32'h3f1a1cfd, 32'h3f0f896e,32'h3f1ea55e, 32'h3f07fb75,32'h3f263357,// invsqrt(2.8708) = 0.5902 +32'h40151651,32'h3f246003,32'h3f2b1591, 32'h3f1f57da,32'h3f301dba, 32'h3f16f4e9,32'h3f3880ab,// invsqrt(2.3295) = 0.6552 +32'h408d9350,32'h3eee8c78,32'h3ef84910, 32'h3ee73f07,32'h3eff9681, 32'h3edb134a,32'h3f05e11f,// invsqrt(4.4242) = 0.4754 +32'h40af2a0a,32'h3ed67604,32'h3edf36ea, 32'h3ecfe557,32'h3ee5c797, 32'h3ec4f438,32'h3ef0b8b6,// invsqrt(5.4739) = 0.4274 +32'h3efe82bb,32'h3fb1ead0,32'h3fb92dde, 32'h3fac7886,32'h3fbea028, 32'h3fa364b5,32'h3fc7b3f9,// invsqrt(0.4971) = 1.4183 +32'h3ef192d8,32'h3fb69e80,32'h3fbe12ae, 32'h3fb1075c,32'h3fc3a9d2, 32'h3fa7b622,32'h3fccfb0d,// invsqrt(0.4718) = 1.4558 +32'h3f9b3714,32'h3f63d38c,32'h3f6d201a, 32'h3f5cda22,32'h3f741984, 32'h3f513a71,32'h3f7fb935,// invsqrt(1.2126) = 0.9081 +32'h3ebe40b7,32'h3fcdc7f7,32'h3fd62e2b, 32'h3fc77b50,32'h3fdc7ad2, 32'h3fbcfb8f,32'h3fe6fa93,// invsqrt(0.3716) = 1.6405 +32'h3fa2b2ae,32'h3f5e868d,32'h3f679bb7, 32'h3f57b6ad,32'h3f6e6b97, 32'h3f4c5c38,32'h3f79c60c,// invsqrt(1.2711) = 0.8870 +32'h3f55c641,32'h3f894553,32'h3f8edfa9, 32'h3f851191,32'h3f93136b, 32'h3f7c2149,32'h3f9a1457,// invsqrt(0.8351) = 1.0943 +32'h41ace3d2,32'h3e57ddf1,32'h3e60ad88, 32'h3e514240,32'h3e67493a, 32'h3e463ec4,32'h3e724cb6,// invsqrt(21.6112) = 0.2151 +32'h40bdba83,32'h3ece10b1,32'h3ed679dd, 32'h3ec7c1d0,32'h3edcc8be, 32'h3ebd3e5a,32'h3ee74c35,// invsqrt(5.9290) = 0.4107 +32'h3f871908,32'h3f743362,32'h3f7e2b08, 32'h3f6cb9a6,32'h3f82d262, 32'h3f604416,32'h3f890d2a,// invsqrt(1.0555) = 0.9734 +32'h3f999050,32'h3f650c51,32'h3f6e65a3, 32'h3f5e0954,32'h3f7568a0, 32'h3f5259ae,32'h3f808c23,// invsqrt(1.1997) = 0.9130 +32'h3ef652b6,32'h3fb4d998,32'h3fbc3b4b, 32'h3faf5053,32'h3fc1c491, 32'h3fa61633,32'h3fcafeb1,// invsqrt(0.4811) = 1.4417 +32'h403a4372,32'h3f130f28,32'h3f190fc6, 32'h3f0e8eb1,32'h3f1d903d, 32'h3f070deb,32'h3f251103,// invsqrt(2.9104) = 0.5862 +32'h3f079965,32'h3fac5b3e,32'h3fb36431, 32'h3fa71488,32'h3fb8aae8, 32'h3f9e4959,32'h3fc17617,// invsqrt(0.5297) = 1.3740 +32'h3d936187,32'h4069cd9a,32'h4073589c, 32'h4062a55a,32'h407a80dc, 32'h4056b798,32'h4083374f,// invsqrt(0.0720) = 3.7277 +32'h400c1733,32'h3f29922b,32'h3f307e03, 32'h3f246149,32'h3f35aee5, 32'h3f1bba7b,32'h3f3e55b3,// invsqrt(2.1889) = 0.6759 +32'h3f0506d3,32'h3fae03d7,32'h3fb51e1f, 32'h3fa8b022,32'h3fba71d4, 32'h3f9fcf48,32'h3fc352ae,// invsqrt(0.5196) = 1.3872 +32'h3f64c4e4,32'h3f84b223,32'h3f8a1cad, 32'h3f80a23c,32'h3f8e2c94, 32'h3f73ba22,32'h3f94f1bf,// invsqrt(0.8936) = 1.0578 +32'h3d97cccc,32'h40665ff9,32'h406fc728, 32'h405f5297,32'h4076d48b, 32'h4053919c,32'h40814ac3,// invsqrt(0.0741) = 3.6731 +32'h42c0c4ec,32'h3dcc6efd,32'h3dd4c71d, 32'h3dc62ce6,32'h3ddb0934, 32'h3dbbbebf,32'h3de5775b,// invsqrt(96.3846) = 0.1019 +32'h3ef860e0,32'h3fb419a4,32'h3fbb7381, 32'h3fae963f,32'h3fc0f6e7, 32'h3fa565eb,32'h3fca273b,// invsqrt(0.4851) = 1.4357 +32'h3eb51e97,32'h3fd2e7e0,32'h3fdb83a0, 32'h3fcc7310,32'h3fe1f870, 32'h3fc1b060,32'h3fecbb20,// invsqrt(0.3537) = 1.6813 +32'h3fa54505,32'h3f5cc99b,32'h3f65cc9d, 32'h3f56075b,32'h3f6c8edd, 32'h3f4ac399,32'h3f77d29f,// invsqrt(1.2912) = 0.8801 +32'h40820159,32'h3ef8f009,32'h3f018c97, 32'h3ef1512d,32'h3f055c05, 32'h3ee49dbf,32'h3f0bb5bc,// invsqrt(4.0627) = 0.4961 +32'h3f4b250c,32'h3f8cd100,32'h3f929064, 32'h3f888176,32'h3f96dfee, 32'h3f81523a,32'h3f9e0f2a,// invsqrt(0.7935) = 1.1226 +32'h3f8e55fe,32'h3f6de91d,32'h3f779f09, 32'h3f66a0ac,32'h3f7ee77a, 32'h3f5a7d44,32'h3f858571,// invsqrt(1.1120) = 0.9483 +32'h3ffd3bbf,32'h3f325d89,32'h3f39a545, 32'h3f2ce7bb,32'h3f3f1b13, 32'h3f23ce10,32'h3f4834be,// invsqrt(1.9784) = 0.7110 +32'h4143ec9f,32'h3e8f6340,32'h3e953d81, 32'h3e8aff8e,32'h3e99a132, 32'h3e83aebd,32'h3ea0f203,// invsqrt(12.2453) = 0.2858 +32'h3f514533,32'h3f8abd7c,32'h3f90672d, 32'h3f867e36,32'h3f94a672, 32'h3f7ed431,32'h3f9bba90,// invsqrt(0.8175) = 1.1060 +32'h3f94df5a,32'h3f68a105,32'h3f721fc2, 32'h3f6181f9,32'h3f793ecf, 32'h3f55a38d,32'h3f828e9d,// invsqrt(1.1631) = 0.9273 +32'h3e426369,32'h400ff3fd,32'h4015d426, 32'h400b8bdd,32'h401a3c45, 32'h400433a9,32'h40219479,// invsqrt(0.1898) = 2.2952 +32'h3f52e6b6,32'h3f8a33e3,32'h3f8fd7f7, 32'h3f85f8d4,32'h3f941306, 32'h3f7dd777,32'h3f9b201e,// invsqrt(0.8238) = 1.1017 +32'h3f1fda07,32'h3f9ebe7a,32'h3fa53930, 32'h3f99e271,32'h3faa1539, 32'h3f91c90c,32'h3fb22e9e,// invsqrt(0.6244) = 1.2655 +32'h3ec5b3dc,32'h3fc9dde5,32'h3fd21b33, 32'h3fc3afeb,32'h3fd8492d, 32'h3fb9634b,32'h3fe295cd,// invsqrt(0.3861) = 1.6093 +32'h3dfa4a86,32'h40336923,32'h403abbcb, 32'h402deb24,32'h404039ca, 32'h4024c3d2,32'h4049611d,// invsqrt(0.1222) = 2.8605 +32'h3dbcacfe,32'h404ea3ab,32'h405712d7, 32'h4048504b,32'h405d6637, 32'h403dc554,32'h4067f12e,// invsqrt(0.0921) = 3.2946 +32'h3f3e4092,32'h3f91826a,32'h3f9772d7, 32'h3f8d0e19,32'h3f9be729, 32'h3f85a191,32'h3fa353b1,// invsqrt(0.7432) = 1.1600 +32'h3d0d475b,32'h40a8db40,32'h40afbfa0, 32'h40a3aff7,32'h40b4eae9, 32'h409b127e,32'h40bd8862,// invsqrt(0.0345) = 5.3845 +32'h410cc886,32'h3ea9273e,32'h3eb00eb9, 32'h3ea3f9a3,32'h3eb53c55, 32'h3e9b5849,32'h3ebdddaf,// invsqrt(8.7990) = 0.3371 +32'h3f1b6a88,32'h3fa0fe4d,32'h3fa79085, 32'h3f9c10a4,32'h3fac7e2e, 32'h3f93d9de,32'h3fb4b4f4,// invsqrt(0.6071) = 1.2834 +32'h3f334991,32'h3f95e498,32'h3f9c02d2, 32'h3f914dec,32'h3fa0997e, 32'h3f89a823,32'h3fa83f47,// invsqrt(0.7003) = 1.1949 +32'h4003568a,32'h3f2f214d,32'h3f36473b, 32'h3f29c4da,32'h3f3ba3ae, 32'h3f20d570,32'h3f449318,// invsqrt(2.0522) = 0.6981 +32'h3eb229c0,32'h3fd4a5ff,32'h3fdd53f5, 32'h3fce2387,32'h3fe3d66d, 32'h3fc34a14,32'h3feeafe0,// invsqrt(0.3480) = 1.6952 +32'h3f7b386a,32'h3f7d415e,32'h3f83cbd0, 32'h3f7580ab,32'h3f87ac29, 32'h3f6894d8,32'h3f8e2212,// invsqrt(0.9813) = 1.0095 +32'h3f32d62f,32'h3f9614eb,32'h3f9c351e, 32'h3f917cc4,32'h3fa0cd44, 32'h3f89d484,32'h3fa87584,// invsqrt(0.6986) = 1.1964 +32'h3f328aa4,32'h3f9634a8,32'h3f9c5626, 32'h3f919b88,32'h3fa0ef46, 32'h3f89f1aa,32'h3fa89924,// invsqrt(0.6974) = 1.1974 +32'h3f2757f0,32'h3f9b2662,32'h3fa17b8a, 32'h3f966683,32'h3fa63b69, 32'h3f8e7c11,32'h3fae25db,// invsqrt(0.6537) = 1.2368 +32'h3f893a94,32'h3f724c14,32'h3f7c2fd6, 32'h3f6ae142,32'h3f81cd54, 32'h3f5e8490,32'h3f87fbad,// invsqrt(1.0721) = 0.9658 +32'h40fc75a0,32'h3eb2a378,32'h3eb9ee10, 32'h3ead2b87,32'h3ebf6601, 32'h3ea40e4a,32'h3ec8833e,// invsqrt(7.8894) = 0.3560 +32'h3fe191f6,32'h3f3cfc7b,32'h3f44b331, 32'h3f373372,32'h3f4a7c3a, 32'h3f2d8f0d,32'h3f54209f,// invsqrt(1.7623) = 0.7533 +32'h40a0a90b,32'h3edfeeaa,32'h3ee91288, 32'h3ed913c5,32'h3eefed6d, 32'h3ecda6f0,32'h3efb5a42,// invsqrt(5.0206) = 0.4463 +32'h3fc7f606,32'h3f48b93b,32'h3f50ea97, 32'h3f429437,32'h3f570f9b, 32'h3f385685,32'h3f614d4d,// invsqrt(1.5622) = 0.8001 +32'h411ea4ee,32'h3e9f58d4,32'h3ea5d9d8, 32'h3e9a7812,32'h3eaaba9a, 32'h3e9256cd,32'h3eb2dbdf,// invsqrt(9.9153) = 0.3176 +32'h404b4e92,32'h3f0cc29e,32'h3f12816c, 32'h3f087385,32'h3f16d085, 32'h3f014504,32'h3f1dff06,// invsqrt(3.1767) = 0.5611 +32'h3d71e713,32'h40810b1b,32'h40864f7b, 32'h407a2fa8,32'h408a42c2, 32'h406d04ba,32'h4090d839,// invsqrt(0.0591) = 4.1149 +32'h3f1c1cf6,32'h3fa0a232,32'h3fa730a6, 32'h3f9bb75a,32'h3fac1b7e, 32'h3f938548,32'h3fb44d90,// invsqrt(0.6098) = 1.2806 +32'h400b9b6b,32'h3f29dd47,32'h3f30cc2f, 32'h3f24aa18,32'h3f35ff5e, 32'h3f1bff75,32'h3f3eaa01,// invsqrt(2.1814) = 0.6771 +32'h4050af0b,32'h3f0aef5d,32'h3f109b17, 32'h3f06ae91,32'h3f14dbe3, 32'h3eff2fcf,32'h3f1bf28d,// invsqrt(3.2607) = 0.5538 +32'h3ef9e69e,32'h3fb38cfc,32'h3fbae11c, 32'h3fae0de5,32'h3fc06033, 32'h3fa4e4be,32'h3fc9895a,// invsqrt(0.4881) = 1.4314 +32'h3e6f0178,32'h4001d2b5,32'h40071f39, 32'h3ffbb2a2,32'h400b189d, 32'h3fee7356,32'h4011b843,// invsqrt(0.2334) = 2.0699 +32'h3f32f858,32'h3f960697,32'h3f9c2635, 32'h3f916ee1,32'h3fa0bdeb, 32'h3f89c75c,32'h3fa86570,// invsqrt(0.6991) = 1.1960 +32'h3f902cc5,32'h3f6c6370,32'h3f760975, 32'h3f6526ed,32'h3f7d45f9, 32'h3f591768,32'h3f84aabf,// invsqrt(1.1264) = 0.9422 +32'h4015bcb7,32'h3f240494,32'h3f2ab666, 32'h3f1eff37,32'h3f2fbbc3, 32'h3f16a0f0,32'h3f381a0a,// invsqrt(2.3396) = 0.6538 +32'h3f9fdb15,32'h3f607ebe,32'h3f69a87c, 32'h3f599f6f,32'h3f7087cb, 32'h3f4e2b40,32'h3f7bfbfa,// invsqrt(1.2489) = 0.8948 +32'h4081bfcb,32'h3ef92ee4,32'h3f01ad4d, 32'h3ef18e1c,32'h3f057db1, 32'h3ee4d779,32'h3f0bd903,// invsqrt(4.0547) = 0.4966 +32'h3e036bb7,32'h402f1331,32'h4036388b, 32'h4029b72c,32'h403b9490, 32'h4020c87b,32'h40448341,// invsqrt(0.1283) = 2.7914 +32'h404520ec,32'h3f0ef2f4,32'h3f14c89f, 32'h3f0a92b2,32'h3f1928e0, 32'h3f03479b,32'h3f2073f7,// invsqrt(3.0801) = 0.5698 +32'h3f4cec23,32'h3f8c344c,32'h3f91ed4a, 32'h3f87e98e,32'h3f963808, 32'h3f80c250,32'h3f9d5f46,// invsqrt(0.8005) = 1.1177 +32'h3f2b01bf,32'h3f997aa8,32'h3f9fbe5b, 32'h3f94c7e1,32'h3fa47121, 32'h3f8cf341,32'h3fac45c1,// invsqrt(0.6680) = 1.2235 +32'h3edee9a4,32'h3fbe1c04,32'h3fc5de77, 32'h3fb84a2d,32'h3fcbb04d, 32'h3fae971d,32'h3fd5635d,// invsqrt(0.4354) = 1.5155 +32'h3f1bdc70,32'h3fa0c36e,32'h3fa7533e, 32'h3f9bd792,32'h3fac3f1a, 32'h3f93a3cd,32'h3fb472df,// invsqrt(0.6088) = 1.2816 +32'h40fa9e06,32'h3eb34b3d,32'h3eba9cad, 32'h3eadce29,32'h3ec019c1, 32'h3ea4a85c,32'h3ec93f8e,// invsqrt(7.8318) = 0.3573 +32'h40343833,32'h3f15813a,32'h3f1b9b66, 32'h3f10ed99,32'h3f202f07, 32'h3f094ce2,32'h3f27cfbe,// invsqrt(2.8159) = 0.5959 +32'h3ef60618,32'h3fb4f5bf,32'h3fbc5898, 32'h3faf6b9d,32'h3fc1e2bb, 32'h3fa6300e,32'h3fcb1e4a,// invsqrt(0.4805) = 1.4426 +32'h3e7fbc13,32'h3ffb0297,32'h4002a0b2, 32'h3ff3537e,32'h4006783f, 32'h3fe684fe,32'h400cdf7f,// invsqrt(0.2497) = 2.0010 +32'h3eeae4bd,32'h3fb9329a,32'h3fc0c1bb, 32'h3fb38742,32'h3fc66d14, 32'h3faa145a,32'h3fcfdffc,// invsqrt(0.4588) = 1.4764 +32'h4154b5a2,32'h3e899d2e,32'h3e8f3b1c, 32'h3e8566bd,32'h3e93718d, 32'h3e7cc2a9,32'h3e9a76f6,// invsqrt(13.2943) = 0.2743 +32'h3f83c385,32'h3f77455b,32'h3f80ae8b, 32'h3f6fb390,32'h3f847771, 32'h3f6315e6,32'h3f8ac646,// invsqrt(1.0294) = 0.9856 +32'h3f607ada,32'h3f85f524,32'h3f8b6cdc, 32'h3f81db5a,32'h3f8f86a6, 32'h3f760b66,32'h3f965c4d,// invsqrt(0.8769) = 1.0679 +32'h3e6084f6,32'h4005f220,32'h400b69b9, 32'h4001d86e,32'h400f836c, 32'h3ff605de,32'h401658eb,// invsqrt(0.2193) = 2.1356 +32'h3f1553e9,32'h3fa43e19,32'h3faaf243, 32'h3f9f36f8,32'h3faff964, 32'h3f96d5c3,32'h3fb85a99,// invsqrt(0.5833) = 1.3093 +32'h3f0ea7c2,32'h3fa80a2e,32'h3faee606, 32'h3fa2e54c,32'h3fb40ae8, 32'h3f9a527e,32'h3fbc9db6,// invsqrt(0.5572) = 1.3396 +32'h3f15f67e,32'h3fa3e4f9,32'h3faa9581, 32'h3f9ee094,32'h3faf99e6, 32'h3f9683ea,32'h3fb7f690,// invsqrt(0.5858) = 1.3066 +32'h3f31b1b9,32'h3f96903a,32'h3f9cb576, 32'h3f91f44d,32'h3fa15163, 32'h3f8a45c2,32'h3fa8ffee,// invsqrt(0.6941) = 1.2003 +32'h3fc1ba4a,32'h3f4bed5e,32'h3f544032, 32'h3f45af3e,32'h3f5a7e52, 32'h3f3b47b4,32'h3f64e5dc,// invsqrt(1.5135) = 0.8128 +32'h3f14ca00,32'h3fa48a25,32'h3fab416b, 32'h3f9f80b1,32'h3fb04adf, 32'h3f971b9a,32'h3fb8aff6,// invsqrt(0.5812) = 1.3117 +32'h3c1f4268,32'h411f09f8,32'h412587c4, 32'h411a2ba0,32'h412a661c, 32'h41120e61,32'h4132835b,// invsqrt(0.0097) = 10.1428 +32'h40262e41,32'h3f1bb11a,32'h3f220bec, 32'h3f16ecfc,32'h3f26d00a, 32'h3f0efb76,32'h3f2ec190,// invsqrt(2.5966) = 0.6206 +32'h3deecf04,32'h4037ac5c,32'h403f2b8f, 32'h40320cf6,32'h4044caf6, 32'h4028adf7,32'h404e29f5,// invsqrt(0.1166) = 2.9285 +32'h3f5778c7,32'h3f88baa4,32'h3f8e4f51, 32'h3f848b21,32'h3f927ed3, 32'h3f7b228f,32'h3f9978ad,// invsqrt(0.8417) = 1.0900 +32'h40cf3048,32'h3ec53103,32'h3ecd3d77, 32'h3ebf27ad,32'h3ed346cd, 32'h3eb5181d,32'h3edd565d,// invsqrt(6.4746) = 0.3930 +32'h3fe05168,32'h3f3d8353,32'h3f453f8b, 32'h3f37b629,32'h3f4b0cb5, 32'h3f2e0ae4,32'h3f54b7fa,// invsqrt(1.7525) = 0.7554 +32'h3f9a673e,32'h3f646cae,32'h3f6dbf7c, 32'h3f5d6e94,32'h3f74bd96, 32'h3f51c713,32'h3f80328c,// invsqrt(1.2063) = 0.9105 +32'h3f93fc04,32'h3f695370,32'h3f72d974, 32'h3f622eec,32'h3f79fdf8, 32'h3f564767,32'h3f82f2bf,// invsqrt(1.1561) = 0.9300 +32'h400ed512,32'h3f27ef84,32'h3f2eca46, 32'h3f22cb73,32'h3f33ee57, 32'h3f1a3a01,32'h3f3c7fc9,// invsqrt(2.2318) = 0.6694 +32'h3f8078de,32'h3f7a6b28,32'h3f8251e3, 32'h3f72c0b1,32'h3f86271e, 32'h3f65f9eb,32'h3f8c8a81,// invsqrt(1.0037) = 0.9982 +32'h3ef0723c,32'h3fb70bf8,32'h3fbe849f, 32'h3fb1717b,32'h3fc41f1d, 32'h3fa81aab,32'h3fcd75ed,// invsqrt(0.4696) = 1.4592 +32'h3f2f8d0b,32'h3f977ace,32'h3f9da99c, 32'h3f92d7b2,32'h3fa24cb8, 32'h3f8b1d30,32'h3faa073a,// invsqrt(0.6857) = 1.2076 +32'h41847485,32'h3e769fed,32'h3e805874, 32'h3e6f1332,32'h3e841ed1, 32'h3e627df9,32'h3e8a696e,// invsqrt(16.5569) = 0.2458 +32'h3eb94d7a,32'h3fd082f8,32'h3fd905b4, 32'h3fca20eb,32'h3fdf67c1, 32'h3fbf7d81,32'h3fea0b2b,// invsqrt(0.3619) = 1.6622 +32'h3f66b168,32'h3f842431,32'h3f8988ef, 32'h3f8018a2,32'h3f8d947e, 32'h3f72b56a,32'h3f94526b,// invsqrt(0.9011) = 1.0534 +32'h3fb108f3,32'h3f55532b,32'h3f5e0832, 32'h3f4ecb66,32'h3f648ff8, 32'h3f43e91e,32'h3f6f7241,// invsqrt(1.3831) = 0.8503 +32'h3e8380c7,32'h3ff78413,32'h4000cf2e, 32'h3feff05c,32'h4004990a, 32'h3fe34f7f,32'h400ae978,// invsqrt(0.2568) = 1.9732 +32'h3f514b81,32'h3f8abb65,32'h3f906501, 32'h3f867c30,32'h3f94a436, 32'h3f7ed05c,32'h3f9bb838,// invsqrt(0.8176) = 1.1060 +32'h3f8515d1,32'h3f760a4c,32'h3f800a95, 32'h3f6e8225,32'h3f83cea9, 32'h3f61f48f,32'h3f8a1574,// invsqrt(1.0397) = 0.9807 +32'h3f6cd119,32'h3f826bf3,32'h3f87beb9, 32'h3f7cdbbd,32'h3f8bbcce, 32'h3f6f8cce,32'h3f926445,// invsqrt(0.9251) = 1.0397 +32'h3f7ac412,32'h3f7d7c17,32'h3f83ea5f, 32'h3f75b998,32'h3f87cb9e, 32'h3f68cac6,32'h3f8e4307,// invsqrt(0.9796) = 1.0104 +32'h3e2b6ad9,32'h40194b93,32'h401f8d5b, 32'h40149a3e,32'h40243eb0, 32'h400cc805,32'h402c10e9,// invsqrt(0.1674) = 2.4441 +32'h3e9ee19d,32'h3fe12eb8,32'h3fea5fa6, 32'h3fda4a06,32'h3ff14458, 32'h3fceccde,32'h3ffcc181,// invsqrt(0.3103) = 1.7951 +32'h403f6ac1,32'h3f1110e8,32'h3f16fcb3, 32'h3f0ca010,32'h3f1b6d8c, 32'h3f053953,32'h3f22d449,// invsqrt(2.9909) = 0.5782 +32'h3f858a57,32'h3f759edd,32'h3f7fa559, 32'h3f6e1a00,32'h3f83951b, 32'h3f6191e5,32'h3f89d928,// invsqrt(1.0433) = 0.9790 +32'h3f015939,32'h3fb078c7,32'h3fb7acbb, 32'h3fab11d1,32'h3fbd13b1, 32'h3fa210e1,32'h3fc614a1,// invsqrt(0.5053) = 1.4068 +32'h3f4d5c7f,32'h3f8c0dec,32'h3f91c559, 32'h3f87c45b,32'h3f960eeb, 32'h3f809f13,32'h3f9d3433,// invsqrt(0.8022) = 1.1165 +32'h3e602681,32'h40060e56,32'h400b8716, 32'h4001f3c6,32'h400fa1a6, 32'h3ff639ae,32'h40167895,// invsqrt(0.2189) = 2.1374 +32'h3fcd087e,32'h3f4639aa,32'h3f4e50ea, 32'h3f40283a,32'h3f54625a, 32'h3f360b29,32'h3f5e7f6b,// invsqrt(1.6018) = 0.7901 +32'h3e7e320c,32'h3ffbc4d7,32'h400305c9, 32'h3ff40fcb,32'h4006e04e, 32'h3fe73762,32'h400d4c83,// invsqrt(0.2482) = 2.0071 +32'h3eb97dd5,32'h3fd067c9,32'h3fd8e969, 32'h3fca0691,32'h3fdf4aa1, 32'h3fbf648a,32'h3fe9eca8,// invsqrt(0.3623) = 1.6614 +32'h3f3227cc,32'h3f965e4d,32'h3f9c817f, 32'h3f91c3e7,32'h3fa11be5, 32'h3f8a17e9,32'h3fa8c7e3,// invsqrt(0.6959) = 1.1987 +32'h40a28610,32'h3edea516,32'h3ee7bb80, 32'h3ed7d447,32'h3eee8c4f, 32'h3ecc7844,32'h3ef9e853,// invsqrt(5.0789) = 0.4437 +32'h411dadae,32'h3e9fd592,32'h3ea65bad, 32'h3e9af0fe,32'h3eab4042, 32'h3e92c95d,32'h3eb367e3,// invsqrt(9.8549) = 0.3185 +32'h3fa9a749,32'h3f59ea91,32'h3f62cf91, 32'h3f533ed0,32'h3f697b52, 32'h3f48208f,32'h3f749993,// invsqrt(1.3254) = 0.8686 +32'h3f9d1cfe,32'h3f62722c,32'h3f6bb04d, 32'h3f5b8393,32'h3f729ee5, 32'h3f4ff5e9,32'h3f7e2c8f,// invsqrt(1.2274) = 0.9026 +32'h406cf9f4,32'h3f0260b5,32'h3f07b305, 32'h3efcc5f1,32'h3f0bb0c2, 32'h3eef7827,32'h3f1257a6,// invsqrt(3.7028) = 0.5197 +32'h3f448ec3,32'h3f8f2810,32'h3f94ffe6, 32'h3f8ac62e,32'h3f9961c8, 32'h3f837862,32'h3fa0af94,// invsqrt(0.7678) = 1.1412 +32'h400af519,32'h3f2a42d1,32'h3f3135de, 32'h3f250c86,32'h3f366c28, 32'h3f1c5cb5,32'h3f3f1bf9,// invsqrt(2.1712) = 0.6787 +32'h41164f9e,32'h3ea3b45b,32'h3eaa62e6, 32'h3e9eb172,32'h3eaf65ce, 32'h3e965743,32'h3eb7bffd,// invsqrt(9.3944) = 0.3263 +32'h3f02925c,32'h3fafa4ac,32'h3fb6cff7, 32'h3faa4434,32'h3fbc3070, 32'h3fa14e17,32'h3fc5268d,// invsqrt(0.5100) = 1.4002 +32'h3fc5eed8,32'h3f49bfcf,32'h3f51fbe2, 32'h3f4392c1,32'h3f5828f1, 32'h3f3947aa,32'h3f627408,// invsqrt(1.5464) = 0.8042 +32'h3f4d87b7,32'h3f8bff32,32'h3f91b604, 32'h3f87b613,32'h3f95ff23, 32'h3f80918c,32'h3f9d23aa,// invsqrt(0.8029) = 1.1160 +32'h3ead753f,32'h3fd78361,32'h3fe04f45, 32'h3fd0ea75,32'h3fe6e831, 32'h3fc5eb98,32'h3ff1e70f,// invsqrt(0.3388) = 1.7181 +32'h3f2fcaf0,32'h3f976021,32'h3f9d8dd9, 32'h3f92bdd7,32'h3fa23023, 32'h3f8b04b0,32'h3fa9e94a,// invsqrt(0.6867) = 1.2068 +32'h406406a7,32'h3f04e972,32'h3f0a563e, 32'h3f00d7da,32'h3f0e67d6, 32'h3ef41fb8,32'h3f152fd4,// invsqrt(3.5629) = 0.5298 +32'h3eb4f44d,32'h3fd30083,32'h3fdb9d45, 32'h3fcc8af2,32'h3fe212d6, 32'h3fc1c700,32'h3fecd6c8,// invsqrt(0.3534) = 1.6821 +32'h41258654,32'h3e9c0000,32'h3ea25e0a, 32'h3e973978,32'h3ea72492, 32'h3e8f43eb,32'h3eaf1a1f,// invsqrt(10.3453) = 0.3109 +32'h3d319389,32'h40969d06,32'h409cc2c7, 32'h409200b4,32'h40a15f18, 32'h408a5182,32'h40a90e4a,// invsqrt(0.0434) = 4.8027 +32'h4055d43f,32'h3f0940d5,32'h3f0edafd, 32'h3f050d37,32'h3f130e9b, 32'h3efc190a,32'h3f1a0f4d,// invsqrt(3.3411) = 0.5471 +32'h3eef6e18,32'h3fb76f4e,32'h3fbeec02, 32'h3fb1d1c6,32'h3fc4898a, 32'h3fa875e4,32'h3fcde56c,// invsqrt(0.4676) = 1.4623 +32'h3dcbfb2f,32'h4046bc59,32'h404ed8ef, 32'h4040a6e9,32'h4054ee5f, 32'h4036832d,32'h405f121b,// invsqrt(0.0996) = 3.1686 +32'h3f64880e,32'h3f84c3cc,32'h3f8a2f0d, 32'h3f80b35a,32'h3f8e3f7e, 32'h3f73da8f,32'h3f950590,// invsqrt(0.8927) = 1.0584 +32'h3f9e1884,32'h3f61bdc2,32'h3f6af486, 32'h3f5ad4af,32'h3f71dd99, 32'h3f4f503a,32'h3f7d620e,// invsqrt(1.2351) = 0.8998 +32'h4011048c,32'h3f26aa57,32'h3f2d77d3, 32'h3f21903a,32'h3f3291f0, 32'h3f190f60,32'h3f3b12ca,// invsqrt(2.2659) = 0.6643 +32'h3ed23228,32'h3fc3c697,32'h3fcbc43f, 32'h3fbdc859,32'h3fd1c27d, 32'h3fb3cb47,32'h3fdbbf8f,// invsqrt(0.4105) = 1.5607 +32'h3f86067e,32'h3f752cff,32'h3f7f2ed5, 32'h3f6dab9e,32'h3f83581b, 32'h3f612953,32'h3f899941,// invsqrt(1.0471) = 0.9773 +32'h3f566dfb,32'h3f890f99,32'h3f8ea7be, 32'h3f84dd7c,32'h3f92d9da, 32'h3f7bbe9a,32'h3f99d809,// invsqrt(0.8376) = 1.0926 +32'h40aadca3,32'h3ed924f2,32'h3ee201e2, 32'h3ed27f3e,32'h3ee8a796, 32'h3ec76b13,32'h3ef3bbc1,// invsqrt(5.3394) = 0.4328 +32'h3e0520db,32'h402df2d3,32'h40350c69, 32'h40289fa3,32'h403a5f99, 32'h401fbfa8,32'h40433f94,// invsqrt(0.1300) = 2.7734 +32'h3fbe56cf,32'h3f4dbc05,32'h3f5621bd, 32'h3f476fbc,32'h3f5c6e06, 32'h3f3cf097,32'h3f66ed2b,// invsqrt(1.4870) = 0.8201 +32'h3e91611b,32'h3feb683d,32'h3ff50401, 32'h3fe4336a,32'h3ffc38d4, 32'h3fd830b6,32'h40041dc4,// invsqrt(0.2839) = 1.8767 +32'h3f9a60fe,32'h3f64714e,32'h3f6dc44c, 32'h3f5d7310,32'h3f74c28a, 32'h3f51cb52,32'h3f803524,// invsqrt(1.2061) = 0.9106 +32'h3fb7b59c,32'h3f5169f0,32'h3f59f619, 32'h3f4b00d1,32'h3f605f37, 32'h3f40519d,32'h3f6b0e6b,// invsqrt(1.4352) = 0.8347 +32'h3f85787b,32'h3f75af4b,32'h3f7fb673, 32'h3f6e29ed,32'h3f839de8, 32'h3f61a0fc,32'h3f89e261,// invsqrt(1.0427) = 0.9793 +32'h3faa2a84,32'h3f59967a,32'h3f62780c, 32'h3f52ed4c,32'h3f69213a, 32'h3f47d356,32'h3f743b30,// invsqrt(1.3294) = 0.8673 +32'h3f71c85e,32'h3f81134c,32'h3f865801, 32'h3f7a3f8a,32'h3f8a4b89, 32'h3f6d13c6,32'h3f90e16b,// invsqrt(0.9445) = 1.0290 +32'h3f31edac,32'h3f9676da,32'h3f9c9b0d, 32'h3f91dbb5,32'h3fa13633, 32'h3f8a2e75,32'h3fa8e373,// invsqrt(0.6950) = 1.1995 +32'h3ea7d7f7,32'h3fdb1688,32'h3fe407c8, 32'h3fd46199,32'h3feabcb7, 32'h3fc9340a,32'h3ff5ea46,// invsqrt(0.3278) = 1.7466 +32'h3ed84d78,32'h3fc0fe0c,32'h3fc8de9e, 32'h3fbb159e,32'h3fcec70c, 32'h3fb13ce6,32'h3fd89fc4,// invsqrt(0.4225) = 1.5385 +32'h41a37816,32'h3e5e0008,32'h3e670fb4, 32'h3e573446,32'h3e6ddb76, 32'h3e4be0ae,32'h3e792f0e,// invsqrt(20.4336) = 0.2212 +32'h40090538,32'h3f2b75d2,32'h3f327568, 32'h3f263622,32'h3f37b518, 32'h3f1d76a7,32'h3f407493,// invsqrt(2.1409) = 0.6834 +32'h3f1f7519,32'h3f9ef0af,32'h3fa56d73, 32'h3f9a131d,32'h3faa4b05, 32'h3f91f729,32'h3fb266f9,// invsqrt(0.6229) = 1.2671 +32'h3f8a5a7a,32'h3f714f77,32'h3f7b28ea, 32'h3f69ec62,32'h3f814600, 32'h3f5d9c92,32'h3f876de8,// invsqrt(1.0809) = 0.9619 +32'h3fa65abf,32'h3f5c1101,32'h3f650c79, 32'h3f555467,32'h3f6bc913, 32'h3f4a1a10,32'h3f77036a,// invsqrt(1.2996) = 0.8772 +32'h3f4ac3a5,32'h3f8cf2cf,32'h3f92b393, 32'h3f88a23b,32'h3f970427, 32'h3f817146,32'h3f9e351c,// invsqrt(0.7920) = 1.1236 +32'h40140ec6,32'h3f24f20d,32'h3f2bad91, 32'h3f1fe56b,32'h3f30ba33, 32'h3f177b07,32'h3f392497,// invsqrt(2.3134) = 0.6575 +32'h3f5775b1,32'h3f88bb9e,32'h3f8e5056, 32'h3f848c14,32'h3f927fe0, 32'h3f7b245c,32'h3f9979c6,// invsqrt(0.8416) = 1.0900 +32'h3f7ef90c,32'h3f7b6284,32'h3f82d29e, 32'h3f73b07b,32'h3f86aba2, 32'h3f66dd16,32'h3f8d1555,// invsqrt(0.9960) = 1.0020 +32'h3fbe3571,32'h3f4dce10,32'h3f563484, 32'h3f47813a,32'h3f5c815a, 32'h3f3d0129,32'h3f67016b,// invsqrt(1.4860) = 0.8203 +32'h3edf3431,32'h3fbdfc41,32'h3fc5bd69, 32'h3fb82b64,32'h3fcb8e46, 32'h3fae79f3,32'h3fd53fb7,// invsqrt(0.4359) = 1.5146 +32'h407af4ff,32'h3efd6360,32'h3f03dd82, 32'h3ef5a1a3,32'h3f07be61, 32'h3ee8b413,32'h3f0e3528,// invsqrt(3.9212) = 0.5050 +32'h3f971106,32'h3f66eefa,32'h3f705c00, 32'h3f5fdd37,32'h3f776dc3, 32'h3f5414f0,32'h3f819b05,// invsqrt(1.1802) = 0.9205 +32'h3f822184,32'h3f78d142,32'h3f817c93, 32'h3f713358,32'h3f854b88, 32'h3f64817c,32'h3f8ba476,// invsqrt(1.0166) = 0.9918 +32'h3f79ac48,32'h3f7e09f7,32'h3f843435, 32'h3f764321,32'h3f8817a0, 32'h3f694d12,32'h3f8e92a7,// invsqrt(0.9753) = 1.0126 +32'h3f940ea6,32'h3f6944c1,32'h3f72ca2d, 32'h3f6220b1,32'h3f79ee3d, 32'h3f5639eb,32'h3f82ea81,// invsqrt(1.1567) = 0.9298 +32'h3f84fc52,32'h3f7621e1,32'h3f8016db, 32'h3f6e9901,32'h3f83db4b, 32'h3f620a37,32'h3f8a22b0,// invsqrt(1.0390) = 0.9811 +32'h3eef08d4,32'h3fb79625,32'h3fbf146f, 32'h3fb1f76d,32'h3fc4b327, 32'h3fa8998f,32'h3fce1105,// invsqrt(0.4669) = 1.4635 +32'h3f84d6a8,32'h3f7644c3,32'h3f802902, 32'h3f6ebad2,32'h3f83edfb, 32'h3f622a40,32'h3f8a3644,// invsqrt(1.0378) = 0.9816 +32'h40347827,32'h3f1566ba,32'h3f1b7fd2, 32'h3f10d3e9,32'h3f2012a3, 32'h3f09348c,32'h3f27b200,// invsqrt(2.8198) = 0.5955 +32'h3f193fd2,32'h3fa220a6,32'h3fa8beb8, 32'h3f9d2a19,32'h3fadb545, 32'h3f94e484,32'h3fb5fada,// invsqrt(0.5986) = 1.2925 +32'h41640edf,32'h3e84e70d,32'h3e8a53bf, 32'h3e80d587,32'h3e8e6545, 32'h3e741b51,32'h3e952d23,// invsqrt(14.2536) = 0.2649 +32'h3fb12ea2,32'h3f553c7b,32'h3f5df095, 32'h3f4eb568,32'h3f6477a8, 32'h3f43d447,32'h3f6f58c9,// invsqrt(1.3842) = 0.8500 +32'h4010c0aa,32'h3f26d167,32'h3f2da07b, 32'h3f21b618,32'h3f32bbca, 32'h3f19333f,32'h3f3b3ea3,// invsqrt(2.2618) = 0.6649 +32'h3c9ed6d7,32'h40e1365b,32'h40ea6799, 32'h40da516e,32'h40f14c86, 32'h40ced3e1,32'h40fcca13,// invsqrt(0.0194) = 7.1815 +32'h3e8e3621,32'h3fee03c3,32'h3ff7bac5, 32'h3fe6ba81,32'h3fff0407, 32'h3fda95bd,32'h40059465,// invsqrt(0.2778) = 1.8974 +32'h41116728,32'h3ea671ca,32'h3ead3cf6, 32'h3ea15968,32'h3eb25558, 32'h3e98db70,32'h3ebad350,// invsqrt(9.0877) = 0.3317 +32'h3f843034,32'h3f76dfa0,32'h3f807999, 32'h3f6f50f1,32'h3f8440f1, 32'h3f62b878,32'h3f8a8d2d,// invsqrt(1.0327) = 0.9840 +32'h3facda73,32'h3f57e3cb,32'h3f60b39f, 32'h3f5147ec,32'h3f674f7e, 32'h3f464423,32'h3f725347,// invsqrt(1.3504) = 0.8605 +32'h408ecd1b,32'h3eed85cf,32'h3ef737ae, 32'h3ee64069,32'h3efe7d15, 32'h3eda2212,32'h3f054db6,// invsqrt(4.4625) = 0.4734 +32'h3fab2f67,32'h3f58f06d,32'h3f61cb38, 32'h3f524c55,32'h3f686f51, 32'h3f473ad8,32'h3f7380cf,// invsqrt(1.3374) = 0.8647 +32'h3f40e472,32'h3f90829d,32'h3f966899, 32'h3f8c1620,32'h3f9ad516, 32'h3f84b6a5,32'h3fa23491,// invsqrt(0.7535) = 1.1520 +32'h3f45886e,32'h3f8ecd7b,32'h3f94a19f, 32'h3f8a6e60,32'h3f9900ba, 32'h3f832532,32'h3fa049e8,// invsqrt(0.7716) = 1.1384 +32'h3f081576,32'h3fac0c9b,32'h3fb31259, 32'h3fa6c84e,32'h3fb856a6, 32'h3f9e0121,32'h3fc11dd3,// invsqrt(0.5316) = 1.3716 +32'h40e778e7,32'h3eba8fb1,32'h3ec22d11, 32'h3eb4d9a9,32'h3ec7e319, 32'h3eab54f1,32'h3ed167d1,// invsqrt(7.2335) = 0.3718 +32'h3f0253ac,32'h3fafcee5,32'h3fb6fbe9, 32'h3faa6d22,32'h3fbc5dac, 32'h3fa174dd,32'h3fc555f1,// invsqrt(0.5091) = 1.4015 +32'h3f90808c,32'h3f6c1ee0,32'h3f75c218, 32'h3f64e476,32'h3f7cfc82, 32'h3f58d870,32'h3f848444,// invsqrt(1.1289) = 0.9412 +32'h3ea9a89e,32'h3fd9e9b6,32'h3fe2ceae, 32'h3fd33dfc,32'h3fe97a68, 32'h3fc81fc7,32'h3ff4989d,// invsqrt(0.3314) = 1.7372 +32'h3e43aa52,32'h400f7b89,32'h401556c8, 32'h400b1719,32'h4019bb37, 32'h4003c50a,32'h40210d46,// invsqrt(0.1911) = 2.2877 +32'h3e822bd1,32'h3ff8c76a,32'h40017773, 32'h3ff129cc,32'h40054642, 32'h3fe47871,32'h400b9ef0,// invsqrt(0.2542) = 1.9833 +32'h40c61226,32'h3ec9add3,32'h3ed1e92a, 32'h3ec38152,32'h3ed815ac, 32'h3eb93726,32'h3ee25fd9,// invsqrt(6.1897) = 0.4019 +32'h3f19ed34,32'h3fa1c53d,32'h3fa85f93, 32'h3f9cd17c,32'h3fad5354, 32'h3f949091,32'h3fb5943f,// invsqrt(0.6013) = 1.2896 +32'h3f6e568c,32'h3f820139,32'h3f874fa4, 32'h3f7c0cd3,32'h3f8b4a75, 32'h3f6ec8c8,32'h3f91ec7a,// invsqrt(0.9310) = 1.0364 +32'h3e00946f,32'h4030ff9f,32'h40383913, 32'h402b9488,32'h403da42a, 32'h40228cb6,32'h4046abfc,// invsqrt(0.1256) = 2.8220 +32'h3f93d0be,32'h3f697595,32'h3f72fcff, 32'h3f625006,32'h3f7a228e, 32'h3f5666c3,32'h3f8305e9,// invsqrt(1.1548) = 0.9306 +32'h3dcf7c7e,32'h40450cc9,32'h404d17c1, 32'h403f048e,32'h40531ffc, 32'h4034f6d8,32'h405d2db2,// invsqrt(0.1013) = 3.1417 +32'h3f965ea0,32'h3f6777cf,32'h3f70ea69, 32'h3f6061db,32'h3f78005d, 32'h3f549299,32'h3f81e7cf,// invsqrt(1.1748) = 0.9226 +32'h41f8dec7,32'h3e33ec10,32'h3e3b4410, 32'h3e2e6a0f,32'h3e40c611, 32'h3e253c0e,32'h3e49f412,// invsqrt(31.1088) = 0.1793 +32'h3f1336d5,32'h3fa56adb,32'h3fac2b4d, 32'h3fa05a86,32'h3fb13ba2, 32'h3f97e9f8,32'h3fb9ac30,// invsqrt(0.5751) = 1.3187 +32'h3e1de891,32'h401fb7c2,32'h40263ca6, 32'h401ad418,32'h402b2050, 32'h4012adfc,32'h4033466c,// invsqrt(0.1542) = 2.5465 +32'h3fccbf1c,32'h3f465d2c,32'h3f4e75e0, 32'h3f404aa6,32'h3f548866, 32'h3f362bc5,32'h3f5ea747,// invsqrt(1.5996) = 0.7907 +32'h3fa5e51c,32'h3f5c5efa,32'h3f655da0, 32'h3f559ffc,32'h3f6c1c9e, 32'h3f4a61ac,32'h3f775aee,// invsqrt(1.2961) = 0.8784 +32'h3f206441,32'h3f9e7a04,32'h3fa4f1ef, 32'h3f99a013,32'h3fa9cbdf, 32'h3f918a2d,32'h3fb1e1c5,// invsqrt(0.6265) = 1.2634 +32'h3fcd427a,32'h3f461da8,32'h3f4e33c4, 32'h3f400d13,32'h3f544459, 32'h3f35f171,32'h3f5e5ffb,// invsqrt(1.6036) = 0.7897 +32'h3e397f5f,32'h40135ccc,32'h40196096, 32'h400ed9f5,32'h401de36d, 32'h40075539,32'h40256829,// invsqrt(0.1811) = 2.3495 +32'h3e5d297a,32'h4006f56b,32'h400c7799, 32'h4002d3c8,32'h4010993c, 32'h3ff7e21d,32'h40177bf5,// invsqrt(0.2160) = 2.1518 +32'h3e4abc11,32'h400cf571,32'h4012b651, 32'h4008a4c9,32'h401706f9, 32'h400173b1,32'h401e3811,// invsqrt(0.1980) = 2.2474 +32'h426a86bd,32'h3e030e98,32'h3e086801, 32'h3dfe1710,32'h3e0c6b10, 32'h3df0b789,32'h3e131ad4,// invsqrt(58.6316) = 0.1306 +32'h3f083473,32'h3fabf908,32'h3fb2fdf8, 32'h3fa6b553,32'h3fb841ad, 32'h3f9def26,32'h3fc107da,// invsqrt(0.5321) = 1.3710 +32'h41884ddf,32'h3e731e1c,32'h3e7d0a71, 32'h3e6bacdd,32'h3e823dd8, 32'h3e5f4573,32'h3e88718d,// invsqrt(17.0380) = 0.2423 +32'h3cd45071,32'h40c2cbf1,32'h40cabf5f, 32'h40bcd560,32'h40d0b5f0, 32'h40b2e517,32'h40daa639,// invsqrt(0.0259) = 6.2116 +32'h3ea6bf8f,32'h3fdbce71,32'h3fe4c731, 32'h3fd513e0,32'h3feb81c2, 32'h3fc9dcef,32'h3ff6b8b3,// invsqrt(0.3257) = 1.7523 +32'h3f7bd245,32'h3f7cf3f4,32'h3f83a386, 32'h3f7535a0,32'h3f8782b0, 32'h3f684dc0,32'h3f8df6a0,// invsqrt(0.9837) = 1.0083 +32'h3ea0e279,32'h3fdfc6af,32'h3fe8e8ea, 32'h3fd8ed02,32'h3fefc296, 32'h3fcd8238,32'h3ffb2d60,// invsqrt(0.3142) = 1.7839 +32'h3fa28e55,32'h3f5e9f6d,32'h3f67b59b, 32'h3f57ceca,32'h3f6e863e, 32'h3f4c7310,32'h3f79e1f8,// invsqrt(1.2700) = 0.8874 +32'h3f4d2a1f,32'h3f8c1f1d,32'h3f91d73d, 32'h3f87d504,32'h3f962156, 32'h3f80aedc,32'h3f9d477e,// invsqrt(0.8014) = 1.1170 +32'h3e8c2eeb,32'h3fefbaf5,32'h3ff983e5, 32'h3fe86441,32'h40006d4c, 32'h3fdc2915,32'h40068ae2,// invsqrt(0.2738) = 1.9111 +32'h3f963309,32'h3f679963,32'h3f710d5d, 32'h3f608268,32'h3f782458, 32'h3f54b170,32'h3f81faa8,// invsqrt(1.1734) = 0.9231 +32'h3fc4c3a4,32'h3f4a58f9,32'h3f529b4d, 32'h3f44273b,32'h3f58cd0b, 32'h3f39d453,32'h3f631ff3,// invsqrt(1.5372) = 0.8066 +32'h3da86c57,32'h405ab5f2,32'h4063a33f, 32'h405403f7,32'h406a5539, 32'h4048db56,32'h40757dda,// invsqrt(0.0822) = 3.4871 +32'h3f5dd9b7,32'h3f86bfc5,32'h3f8c3fc3, 32'h3f829fc7,32'h3f905fc1, 32'h3f777f94,32'h3f973fbe,// invsqrt(0.8666) = 1.0742 +32'h3fcfab76,32'h3f44f67f,32'h3f4d008f, 32'h3f3eeef3,32'h3f53081b, 32'h3f34e260,32'h3f5d14ae,// invsqrt(1.6224) = 0.7851 +32'h3e7f2654,32'h3ffb4c35,32'h4002c701, 32'h3ff39adb,32'h40069faf, 32'h3fe6c899,32'h400d08cf,// invsqrt(0.2492) = 2.0033 +32'h3e9729a7,32'h3fe6dc29,32'h3ff04869, 32'h3fdfcaf9,32'h3ff75999, 32'h3fd403a8,32'h40019075,// invsqrt(0.2952) = 1.8404 +32'h3f592077,32'h3f8834fb,32'h3f8dc435, 32'h3f840990,32'h3f91efa0, 32'h3f7a2d12,32'h3f98e2a7,// invsqrt(0.8482) = 1.0858 +32'h3ffa5d70,32'h3f33625c,32'h3f3ab4be, 32'h3f2de493,32'h3f403287, 32'h3f24bd98,32'h3f495982,// invsqrt(1.9560) = 0.7150 +32'h3e32cb2d,32'h40161989,32'h401c39ed, 32'h4011813e,32'h4020d238, 32'h4009d8c2,32'h40287ab4,// invsqrt(0.1746) = 2.3932 +32'h3f2b86f0,32'h3f993f06,32'h3f9f804a, 32'h3f948e13,32'h3fa4313d, 32'h3f8cbc7e,32'h3fac02d2,// invsqrt(0.6700) = 1.2217 +32'h3f790b21,32'h3f7e5c1b,32'h3f845ef4, 32'h3f7692c2,32'h3f8843a1, 32'h3f699882,32'h3f8ec0c1,// invsqrt(0.9728) = 1.0139 +32'h3f350540,32'h3f952c75,32'h3f9b432b, 32'h3f909b6c,32'h3f9fd434, 32'h3f88ff08,32'h3fa77098,// invsqrt(0.7071) = 1.1892 +32'h3e20d3bf,32'h401e430c,32'h4024b8b8, 32'h40196aca,32'h402990fa, 32'h401157b2,32'h4031a412,// invsqrt(0.1571) = 2.5233 +32'h3f84ce78,32'h3f764c5a,32'h3f802cf6, 32'h3f6ec22e,32'h3f83f20c, 32'h3f623139,32'h3f8a3a86,// invsqrt(1.0376) = 0.9817 +32'h3d9cee1c,32'h406293fd,32'h406bd37f, 32'h405ba45b,32'h4072c321, 32'h405014f8,32'h407e5284,// invsqrt(0.0766) = 3.6125 +32'h3e3ed665,32'h40114941,32'h40173758, 32'h400cd6af,32'h401ba9e9, 32'h40056d11,32'h40231387,// invsqrt(0.1864) = 2.3164 +32'h403a95e0,32'h3f12eea8,32'h3f18edf3, 32'h3f0e6f30,32'h3f1d6d6c, 32'h3f06f013,32'h3f24ec89,// invsqrt(2.9154) = 0.5857 +32'h3f4eca7f,32'h3f8b91c4,32'h3f914420, 32'h3f874c00,32'h3f9589e4, 32'h3f802d0d,32'h3f9ca8d7,// invsqrt(0.8078) = 1.1126 +32'h3ec4aa82,32'h3fca65e7,32'h3fd2a8c1, 32'h3fc433c3,32'h3fd8dae5, 32'h3fb9e032,32'h3fe32e76,// invsqrt(0.3841) = 1.6135 +32'h402cd353,32'h3f18ab61,32'h3f1ee69f, 32'h3f13fef3,32'h3f23930d, 32'h3f0c34e6,32'h3f2b5d1a,// invsqrt(2.7004) = 0.6085 +32'h3e8865ce,32'h3ff308c7,32'h3ffcf43d, 32'h3feb982f,32'h4002326b, 32'h3fdf31db,32'h40086594,// invsqrt(0.2664) = 1.9375 +32'h3fb44ac9,32'h3f53639e,32'h3f5c046a, 32'h3f4ceb04,32'h3f627d04, 32'h3f422204,32'h3f6d4604,// invsqrt(1.4085) = 0.8426 +32'h3f6da3a6,32'h3f82321f,32'h3f878289, 32'h3f7c6ba0,32'h3f8b7ed8, 32'h3f6f2297,32'h3f92235c,// invsqrt(0.9283) = 1.0379 +32'h3f5623c6,32'h3f892756,32'h3f8ec074, 32'h3f84f480,32'h3f92f34a, 32'h3f7bea36,32'h3f99f2af,// invsqrt(0.8365) = 1.0934 +32'h3f94bb22,32'h3f68bd57,32'h3f723d3b, 32'h3f619d6c,32'h3f795d26, 32'h3f55bd8e,32'h3f829e82,// invsqrt(1.1620) = 0.9277 +32'h3edaf5ef,32'h3fbfd140,32'h3fc7a58c, 32'h3fb9f207,32'h3fcd84c5, 32'h3fb028a9,32'h3fd74e23,// invsqrt(0.4277) = 1.5292 +32'h3efb38f4,32'h3fb313ec,32'h3fba631a, 32'h3fad9889,32'h3fbfde7d, 32'h3fa47590,32'h3fc90177,// invsqrt(0.4907) = 1.4276 +32'h3feb49dd,32'h3f390aca,32'h3f40984a, 32'h3f3360aa,32'h3f46426a, 32'h3f29efc9,32'h3f4fb34b,// invsqrt(1.8382) = 0.7376 +32'h3f86d5d2,32'h3f747037,32'h3f7e6a59, 32'h3f6cf49e,32'h3f82f2f9, 32'h3f607bf4,32'h3f892f4e,// invsqrt(1.0534) = 0.9743 +32'h4017d80c,32'h3f22e047,32'h3f29862a, 32'h3f1de3dc,32'h3f2e8294, 32'h3f15947f,32'h3f36d1f1,// invsqrt(2.3726) = 0.6492 +32'h3facf842,32'h3f57d130,32'h3f60a042, 32'h3f5135e3,32'h3f673b8f, 32'h3f46330d,32'h3f723e65,// invsqrt(1.3513) = 0.8602 +32'h401ea3fd,32'h3f1f594d,32'h3f25da55, 32'h3f1a7887,32'h3f2abb1b, 32'h3f12573c,32'h3f32dc66,// invsqrt(2.4788) = 0.6352 +32'h40ad3a07,32'h3ed7a834,32'h3ee0759a, 32'h3ed10e28,32'h3ee70fa6, 32'h3ec60d6a,32'h3ef21065,// invsqrt(5.4133) = 0.4298 +32'h40cd4981,32'h3ec61a44,32'h3ece303c, 32'h3ec009ca,32'h3ed440b6, 32'h3eb5ee53,32'h3ede5c2d,// invsqrt(6.4152) = 0.3948 +32'h3db35a4d,32'h4053f127,32'h405c97bb, 32'h404d7438,32'h406314aa, 32'h4042a3ff,32'h406de4e3,// invsqrt(0.0876) = 3.3792 +32'h3f7d7d0b,32'h3f7c1eab,32'h3f833488, 32'h3f7466e0,32'h3f87106e, 32'h3f6789e1,32'h3f8d7eed,// invsqrt(0.9902) = 1.0049 +32'h3e08ff12,32'h402b79ab,32'h40327969, 32'h402639dd,32'h4037b937, 32'h401d7a2f,32'h404078e5,// invsqrt(0.1338) = 2.7340 +32'h3f502957,32'h3f8b1bf4,32'h3f90c981, 32'h3f86d9cb,32'h3f950bab, 32'h3f7f81b7,32'h3f9c249a,// invsqrt(0.8131) = 1.1090 +32'h3deb4a99,32'h40390a80,32'h404097fe, 32'h40336062,32'h4046421c, 32'h4029ef86,32'h404fb2f9,// invsqrt(0.1149) = 2.9503 +32'h41842a15,32'h3e76e557,32'h3e807c93, 32'h3e6f567c,32'h3e844401, 32'h3e62bdb9,32'h3e8a9063,// invsqrt(16.5205) = 0.2460 +32'h3f5d6eb4,32'h3f86e050,32'h3f8c61a2, 32'h3f82bf53,32'h3f90829f, 32'h3f77bb5a,32'h3f976445,// invsqrt(0.8650) = 1.0752 +32'h3e17c28d,32'h4022ebcf,32'h4029922b, 32'h401def0a,32'h402e8ef0, 32'h40159f17,32'h4036dee3,// invsqrt(0.1482) = 2.5976 +32'h402a9566,32'h3f19ab5e,32'h3f1ff10e, 32'h3f14f71a,32'h3f24a552, 32'h3f0d1ffd,32'h3f2c7c6f,// invsqrt(2.6654) = 0.6125 +32'h3e2efd46,32'h4017b8fb,32'h401dea53, 32'h401313f8,32'h40228f56, 32'h400b564a,32'h402a4d04,// invsqrt(0.1709) = 2.4190 +32'h400c552b,32'h3f296cb6,32'h3f305706, 32'h3f243cf9,32'h3f3586c3, 32'h3f1b9815,32'h3f3e2ba7,// invsqrt(2.1927) = 0.6753 +32'h3d6de8fa,32'h40821f26,32'h40876ec9, 32'h407c46d5,32'h408b6a83, 32'h406effbd,32'h40920e10,// invsqrt(0.0581) = 4.1493 +32'h406cce16,32'h3f026cc7,32'h3f07bf96, 32'h3efcdd59,32'h3f0bbdb1, 32'h3eef8e55,32'h3f126534,// invsqrt(3.7001) = 0.5199 +32'h4168695b,32'h3e83a6e4,32'h3e890684, 32'h3e7f3e56,32'h3e8d0e3d, 32'h3e71cf44,32'h3e93c5c6,// invsqrt(14.5257) = 0.2624 +32'h3f1d3b2b,32'h3fa00fbc,32'h3fa69836, 32'h3f9b2960,32'h3fab7e92, 32'h3f92fec6,32'h3fb3a92c,// invsqrt(0.6142) = 1.2760 +32'h3f6e1b3b,32'h3f82116a,32'h3f87607e, 32'h3f7c2c36,32'h3f8b5bcd, 32'h3f6ee684,32'h3f91fea6,// invsqrt(0.9301) = 1.0369 +32'h407123b1,32'h3f013f58,32'h3f0685d8, 32'h3efa94ee,32'h3f0a7ab9, 32'h3eed64ab,32'h3f1112da,// invsqrt(3.7678) = 0.5152 +32'h3f0004dc,32'h3fb162c4,32'h3fb8a044, 32'h3fabf4a4,32'h3fbe0e64, 32'h3fa2e7c4,32'h3fc71b44,// invsqrt(0.5001) = 1.4141 +32'h417c5f70,32'h3e7cad2b,32'h3e837eb0, 32'h3e74f102,32'h3e875cc5, 32'h3e680cbf,32'h3e8dcee6,// invsqrt(15.7733) = 0.2518 +32'h3e55d3ba,32'h40094100,32'h400edb2a, 32'h40050d61,32'h40130ec9, 32'h3ffc1959,32'h401a0f7e,// invsqrt(0.2088) = 2.1884 +32'h3fa10240,32'h3f5fb099,32'h3f68d1ed, 32'h3f58d799,32'h3f6faaed, 32'h3f4d6df0,32'h3f7b1497,// invsqrt(1.2579) = 0.8916 +32'h3dfc9498,32'h40329884,32'h4039e2a9, 32'h402d20e9,32'h403f5a45, 32'h4024043b,32'h404876f3,// invsqrt(0.1233) = 2.8475 +32'h3f8d5444,32'h3f6ec1a7,32'h3f78806a, 32'h3f677295,32'h3f7fcf7d, 32'h3f5b4422,32'h3f85fef8,// invsqrt(1.1041) = 0.9517 +32'h3f5804e1,32'h3f888e46,32'h3f8e2124, 32'h3f84601f,32'h3f924f4b, 32'h3f7ad113,32'h3f9946e1,// invsqrt(0.8438) = 1.0886 +32'h405ec981,32'h3f06772d,32'h3f0bf435, 32'h3f025968,32'h3f1011fa, 32'h3ef6fa3f,32'h3f16ee43,// invsqrt(3.4810) = 0.5360 +32'h3f0ad40b,32'h3faa5714,32'h3fb14af6, 32'h3fa5202b,32'h3fb681df, 32'h3f9c6f51,32'h3fbf32b9,// invsqrt(0.5423) = 1.3579 +32'h3f7aed45,32'h3f7d6746,32'h3f83df8b, 32'h3f75a56c,32'h3f87c078, 32'h3f68b7a9,32'h3f8e3759,// invsqrt(0.9802) = 1.0101 +32'h419336ca,32'h3e69ef88,32'h3e737bec, 32'h3e62c63e,32'h3e7aa536, 32'h3e56d6c1,32'h3e834a5a,// invsqrt(18.4018) = 0.2331 +32'h3e4ffd2e,32'h400b2ab8,32'h4010d8de, 32'h4006e81a,32'h40151b7c, 32'h3fff9cd4,32'h401c352c,// invsqrt(0.2031) = 2.2189 +32'h3fec34fc,32'h3f38ae9a,32'h3f403858, 32'h3f33074d,32'h3f45dfa5, 32'h3f299b20,32'h3f4f4bd2,// invsqrt(1.8454) = 0.7361 +32'h3ea5c688,32'h3fdc734c,32'h3fe572c7, 32'h3fd5b3af,32'h3fec3263, 32'h3fca7455,32'h3ff771bd,// invsqrt(0.3238) = 1.7574 +32'h3f1cfb31,32'h3fa03056,32'h3fa6ba26, 32'h3f9b48fb,32'h3faba181, 32'h3f931cb8,32'h3fb3cdc4,// invsqrt(0.6132) = 1.2770 +32'h3e3c8e51,32'h40122999,32'h401820d9, 32'h400db029,32'h401c9a49, 32'h40063b1a,32'h40240f58,// invsqrt(0.1841) = 2.3304 +32'h3f8777b3,32'h3f73ddff,32'h3f7dd229, 32'h3f6c66e0,32'h3f82a4a4, 32'h3f5ff5ac,32'h3f88dd3e,// invsqrt(1.0583) = 0.9720 +32'h3ea25343,32'h3fdec7eb,32'h3fe7dfc0, 32'h3fd7f60a,32'h3feeb1a0, 32'h3fcc9840,32'h3ffa0f6a,// invsqrt(0.3170) = 1.7760 +32'h3faba604,32'h3f58a56c,32'h3f617d27, 32'h3f52039f,32'h3f681ef3, 32'h3f46f5f5,32'h3f732c9d,// invsqrt(1.3410) = 0.8635 +32'h3fbeee60,32'h3f4d6a4c,32'h3f55ccae, 32'h3f472084,32'h3f5c1676, 32'h3f3ca58a,32'h3f669170,// invsqrt(1.4916) = 0.8188 +32'h3dbdc31a,32'h404e0c07,32'h40567503, 32'h4047bd4b,32'h405cc3bf, 32'h403d3a11,32'h406746f9,// invsqrt(0.0927) = 3.2852 +32'h3f8f9c9e,32'h3f6cd9f6,32'h3f7684d2, 32'h3f6599d2,32'h3f7dc4f6, 32'h3f598440,32'h3f84ed44,// invsqrt(1.1220) = 0.9441 +32'h3f95eeef,32'h3f67cdf6,32'h3f714414, 32'h3f60b55e,32'h3f785cac, 32'h3f54e1b8,32'h3f821829,// invsqrt(1.1714) = 0.9240 +32'h3e469378,32'h400e6d56,32'h40143d8d, 32'h400a112b,32'h401899b7, 32'h4002cce6,32'h401fddfc,// invsqrt(0.1939) = 2.2708 +32'h3fd5b1a4,32'h3f422ab3,32'h3f4a178b, 32'h3f3c3911,32'h3f50092d, 32'h3f325102,32'h3f59f13c,// invsqrt(1.6695) = 0.7739 +32'h3ff48b25,32'h3f3581bf,32'h3f3cea4e, 32'h3f2ff352,32'h3f4278ba, 32'h3f26b09f,32'h3f4bbb6d,// invsqrt(1.9105) = 0.7235 +32'h3f45b16d,32'h3f8ebeab,32'h3f949235, 32'h3f8a6004,32'h3f98f0dc, 32'h3f831798,32'h3fa03948,// invsqrt(0.7722) = 1.1380 +32'h3fe06986,32'h3f3d7924,32'h3f4534f1, 32'h3f37ac4a,32'h3f4b01ca, 32'h3f2e0189,32'h3f54ac8b,// invsqrt(1.7532) = 0.7552 +32'h3f885c0e,32'h3f731177,32'h3f7cfd47, 32'h3f6ba09a,32'h3f823712, 32'h3f5f39d6,32'h3f886a74,// invsqrt(1.0653) = 0.9689 +32'h3ff732a5,32'h3f34879b,32'h3f3be5f5, 32'h3f2f00d8,32'h3f416cb8, 32'h3f25cae7,32'h3f4aa2a9,// invsqrt(1.9312) = 0.7196 +32'h3f246781,32'h3f9c87da,32'h3fa2eb70, 32'h3f97bd2a,32'h3fa7b620, 32'h3f8fc0ae,32'h3fafb29c,// invsqrt(0.6422) = 1.2479 +32'h3fe500e7,32'h3f3b906f,32'h3f43384a, 32'h3f35d28c,32'h3f48f62e, 32'h3f2c40ba,32'h3f528800,// invsqrt(1.7891) = 0.7476 +32'h3da01ff5,32'h40604e71,32'h40697637, 32'h4059709d,32'h4070540b, 32'h404dfee5,32'h407bc5c3,// invsqrt(0.0782) = 3.5763 +32'h3f462b72,32'h3f8e92b2,32'h3f946470, 32'h3f8a3563,32'h3f98c1bf, 32'h3f82ef36,32'h3fa007ec,// invsqrt(0.7741) = 1.1366 +32'h401d34f1,32'h3f2012e7,32'h3f269b83, 32'h3f1b2c72,32'h3f2b81f8, 32'h3f1301b0,32'h3f33acba,// invsqrt(2.4564) = 0.6380 +32'h3ddb00f9,32'h403fcc6a,32'h4047a084, 32'h4039ed57,32'h404d7f97, 32'h40302438,32'h405748b6,// invsqrt(0.1069) = 3.0580 +32'h3fab407c,32'h3f58e59b,32'h3f61bff5, 32'h3f5241d8,32'h3f6863b8, 32'h3f4730e7,32'h3f7374a9,// invsqrt(1.3379) = 0.8645 +32'h3c579896,32'h4108b08d,32'h410e44d1, 32'h41048159,32'h41127405, 32'h40fb1008,32'h41196d5a,// invsqrt(0.0132) = 8.7175 +32'h3f9837be,32'h3f660efd,32'h3f6f72de, 32'h3f5f0415,32'h3f767dc7, 32'h3f53473d,32'h3f811d50,// invsqrt(1.1892) = 0.9170 +32'h3ebc0f90,32'h3fcefa17,32'h3fd76cc9, 32'h3fc8a411,32'h3fddc2cf, 32'h3fbe14b2,32'h3fe8522e,// invsqrt(0.3673) = 1.6500 +32'h3ffe8584,32'h3f31e9d7,32'h3f392cdb, 32'h3f2c7795,32'h3f3e9f1d, 32'h3f2363d0,32'h3f47b2e2,// invsqrt(1.9884) = 0.7092 +32'h4095087d,32'h3ee880e8,32'h3ef1fe55, 32'h3ee162d7,32'h3ef91c67, 32'h3ed5860f,32'h3f027c97,// invsqrt(4.6573) = 0.4634 +32'h40be446b,32'h3ecdc5f6,32'h3ed62c15, 32'h3ec7795f,32'h3edc78ad, 32'h3ebcf9b9,32'h3ee6f853,// invsqrt(5.9459) = 0.4101 +32'h40324d16,32'h3f164e93,32'h3f1c7121, 32'h3f11b4a9,32'h3f210b0b, 32'h3f0a0977,32'h3f28b63d,// invsqrt(2.7860) = 0.5991 +32'h3f99294a,32'h3f65594d,32'h3f6eb5c3, 32'h3f5e53f4,32'h3f75bb1c, 32'h3f52a061,32'h3f80b758,// invsqrt(1.1966) = 0.9142 +32'h3f1dbc45,32'h3f9fce2e,32'h3fa653fb, 32'h3f9ae9d3,32'h3fab3855, 32'h3f92c292,32'h3fb35f96,// invsqrt(0.6162) = 1.2740 +32'h3f5b12b3,32'h3f8799c0,32'h3f8d22a3, 32'h3f837315,32'h3f91494d, 32'h3f790ff2,32'h3f983469,// invsqrt(0.8558) = 1.0810 +32'h3f5bb2e9,32'h3f876846,32'h3f8cef24, 32'h3f83431f,32'h3f91144b, 32'h3f78b513,32'h3f97fce1,// invsqrt(0.8582) = 1.0795 +32'h3ea1c5e6,32'h3fdf292c,32'h3fe844f9, 32'h3fd85451,32'h3fef19d3, 32'h3fccf190,32'h3ffa7c94,// invsqrt(0.3160) = 1.7790 +32'h3fce9a9f,32'h3f457862,32'h3f4d87bf, 32'h3f3f6cdd,32'h3f539345, 32'h3f3559a9,32'h3f5da679,// invsqrt(1.6141) = 0.7871 +32'h40035522,32'h3f2f223d,32'h3f364835, 32'h3f29c5c3,32'h3f3ba4af, 32'h3f20d64d,32'h3f449425,// invsqrt(2.0521) = 0.6981 +32'h3f422045,32'h3f900cdf,32'h3f95ee0d, 32'h3f8ba3fd,32'h3f9a56ef, 32'h3f844a84,32'h3fa1b068,// invsqrt(0.7583) = 1.1484 +32'h3f7e6958,32'h3f7ba979,32'h3f82f78b, 32'h3f73f544,32'h3f86d1a6, 32'h3f671e41,32'h3f8d3d28,// invsqrt(0.9938) = 1.0031 +32'h3e3e1338,32'h401193c5,32'h401784e7, 32'h400d1eeb,32'h401bf9c1, 32'h4005b181,32'h4023672b,// invsqrt(0.1856) = 2.3211 +32'h40e440e9,32'h3ebbdf41,32'h3ec38a53, 32'h3eb61ef3,32'h3ec94aa1, 32'h3eac891c,32'h3ed2e078,// invsqrt(7.1329) = 0.3744 +32'h3f4457d8,32'h3f8f3c14,32'h3f9514bc, 32'h3f8ad996,32'h3f99773a, 32'h3f838ac4,32'h3fa0c60c,// invsqrt(0.7670) = 1.1419 +32'h3d95bd73,32'h4067f440,32'h40716bf0, 32'h4060da7d,32'h407885b3, 32'h405504e2,32'h40822da7,// invsqrt(0.0731) = 3.6982 +32'h40017b37,32'h3f30619c,32'h3f37949d, 32'h3f2afb5b,32'h3f3cfadd, 32'h3f21fb99,32'h3f45fa9f,// invsqrt(2.0231) = 0.7031 +32'h3e85043f,32'h3ff61a8b,32'h4000130a, 32'h3fee91e6,32'h4003d75d, 32'h3fe2037b,32'h400a1e92,// invsqrt(0.2598) = 1.9619 +32'h3fb2966d,32'h3f546542,32'h3f5d1093, 32'h3f4de4c5,32'h3f63910f, 32'h3f430e9f,32'h3f6e6735,// invsqrt(1.3952) = 0.8466 +32'h3fe55ec3,32'h3f3b6a0b,32'h3f431055, 32'h3f35ad54,32'h3f48cd0c, 32'h3f2c1d78,32'h3f525ce8,// invsqrt(1.7920) = 0.7470 +32'h3d858c9d,32'h40759cc5,32'h407fa32b, 32'h406e17f8,32'h408393fc, 32'h40618ff9,32'h4089d7fc,// invsqrt(0.0652) = 3.9160 +32'h3feaaf9c,32'h3f394790,32'h3f40d78b, 32'h3f339b93,32'h3f468387, 32'h3f2a2798,32'h3f4ff782,// invsqrt(1.8335) = 0.7385 +32'h3f7b5efb,32'h3f7d2def,32'h3f83c1b4, 32'h3f756dd7,32'h3f87a1c1, 32'h3f688301,32'h3f8e172b,// invsqrt(0.9819) = 1.0092 +32'h3f6069bd,32'h3f85fa40,32'h3f8b722e, 32'h3f81e04e,32'h3f8f8c20, 32'h3f7614c9,32'h3f966209,// invsqrt(0.8766) = 1.0681 +32'h3f7b0c17,32'h3f7d57b8,32'h3f83d772, 32'h3f759657,32'h3f87b823, 32'h3f68a960,32'h3f8e2e9e,// invsqrt(0.9807) = 1.0098 +32'h3ebc7442,32'h3fcec2c3,32'h3fd73334, 32'h3fc86e6f,32'h3fdd8789, 32'h3fbde1e3,32'h3fe81415,// invsqrt(0.3681) = 1.6483 +32'h3edc60ed,32'h3fbf3305,32'h3fc700dc, 32'h3fb958a5,32'h3fccdb3d, 32'h3faf9759,32'h3fd69c89,// invsqrt(0.4304) = 1.5242 +32'h3e86d81a,32'h3ff46e26,32'h3ffe6832, 32'h3fecf29d,32'h4002f1de, 32'h3fe07a0e,32'h40092e25,// invsqrt(0.2634) = 1.9486 +32'h3f5e7ec9,32'h3f868dbf,32'h3f8c0bb2, 32'h3f826f49,32'h3f902a29, 32'h3f7723b3,32'h3f970798,// invsqrt(0.8691) = 1.0727 +32'h3fb3bff6,32'h3f53b52f,32'h3f5c5951, 32'h3f4d3a16,32'h3f62d46a, 32'h3f426ced,32'h3f6da193,// invsqrt(1.4043) = 0.8439 +32'h3fa2356c,32'h3f5edc67,32'h3f67f513, 32'h3f5809e7,32'h3f6ec793, 32'h3f4cab10,32'h3f7a266a,// invsqrt(1.2673) = 0.8883 +32'h3ed34331,32'h3fc347ec,32'h3fcb4068, 32'h3fbd4d8e,32'h3fd13ac6, 32'h3fb356f3,32'h3fdb3161,// invsqrt(0.4126) = 1.5568 +32'h3f5957e4,32'h3f88239c,32'h3f8db220, 32'h3f83f8b9,32'h3f91dd03, 32'h3f7a0d29,32'h3f98cf27,// invsqrt(0.8490) = 1.0853 +32'h402f5303,32'h3f1793dd,32'h3f1dc3b2, 32'h3f12effe,32'h3f226792, 32'h3f0b3434,32'h3f2a235c,// invsqrt(2.7394) = 0.6042 +32'h3dd35a53,32'h40433d3b,32'h404b3548, 32'h403d4332,32'h40512f52, 32'h40334d22,32'h405b2562,// invsqrt(0.1032) = 3.1129 +32'h3ed698b9,32'h3fc1c20c,32'h3fc9aa9e, 32'h3fbbd39e,32'h3fcf990c, 32'h3fb1f0e6,32'h3fd97bc4,// invsqrt(0.4191) = 1.5446 +32'h4015a9f4,32'h3f240edc,32'h3f2ac11a, 32'h3f1f092e,32'h3f2fc6c8, 32'h3f16aa62,32'h3f382594,// invsqrt(2.3385) = 0.6539 +32'h3e06c77d,32'h402ce141,32'h4033efad, 32'h40279671,32'h40393a7d, 32'h401ec46b,32'h40420c83,// invsqrt(0.1316) = 2.7564 +32'h40811514,32'h3ef9d374,32'h3f0202f1, 32'h3ef22da2,32'h3f05d5da, 32'h3ee56e9a,32'h3f0c355e,// invsqrt(4.0338) = 0.4979 +32'h3f853f09,32'h3f75e43b,32'h3f7fed8b, 32'h3f6e5d3e,32'h3f83ba44, 32'h3f61d199,32'h3f8a0016,// invsqrt(1.0410) = 0.9801 +32'h3f71a2e7,32'h3f811d4e,32'h3f86626b, 32'h3f7a52ef,32'h3f8a5641, 32'h3f6d2626,32'h3f90eca5,// invsqrt(0.9439) = 1.0293 +32'h3fbb2996,32'h3f4f7919,32'h3f57f0fb, 32'h3f491f30,32'h3f5e4ae4, 32'h3f3e8956,32'h3f68e0be,// invsqrt(1.4622) = 0.8270 +32'h3fb62cce,32'h3f524b3b,32'h3f5ae097, 32'h3f4bdb37,32'h3f61509b, 32'h3f412085,32'h3f6c0b4d,// invsqrt(1.4232) = 0.8382 +32'h3f6c0aab,32'h3f82a2b9,32'h3f87f7bc, 32'h3f7d45f0,32'h3f8bf77e, 32'h3f6ff16a,32'h3f92a1c1,// invsqrt(0.9220) = 1.0414 +32'h3f7b6958,32'h3f7d28b7,32'h3f83befc, 32'h3f7568c7,32'h3f879ef5, 32'h3f687e36,32'h3f8e143d,// invsqrt(0.9821) = 1.0091 +32'h4084dd65,32'h3ef63e84,32'h3f0025c2, 32'h3eeeb4c4,32'h3f03eaa2, 32'h3ee22484,32'h3f0a32c2,// invsqrt(4.1520) = 0.4908 +32'h3fb72233,32'h3f51be27,32'h3f5a4dc0, 32'h3f4b5274,32'h3f60b972, 32'h3f409ef4,32'h3f6b6cf2,// invsqrt(1.4307) = 0.8360 +32'h3f1f5b4c,32'h3f9efd8c,32'h3fa57ad6, 32'h3f9a1f95,32'h3faa58cd, 32'h3f9202f9,32'h3fb27569,// invsqrt(0.6225) = 1.2675 +32'h3fa89680,32'h3f5a9a97,32'h3f6386c7, 32'h3f53e973,32'h3f6a37eb, 32'h3f48c237,32'h3f755f27,// invsqrt(1.3171) = 0.8713 +32'h3f93c9fe,32'h3f697aea,32'h3f73028b, 32'h3f625531,32'h3f7a2843, 32'h3f566ba7,32'h3f8308e6,// invsqrt(1.1546) = 0.9306 +32'h438bdf93,32'h3d6ffeea,32'h3d79caa0, 32'h3d68a622,32'h3d8091b4, 32'h3d5c677e,32'h3d86b106,// invsqrt(279.7467) = 0.0598 +32'h3f67e450,32'h3f83cca3,32'h3f892dce, 32'h3f7f8784,32'h3f8d36ae, 32'h3f721498,32'h3f93f024,// invsqrt(0.9058) = 1.0507 +32'h400bc493,32'h3f29c443,32'h3f30b226, 32'h3f2491d8,32'h3f35e490, 32'h3f1be87c,32'h3f3e8dec,// invsqrt(2.1839) = 0.6767 +32'h401cf977,32'h3f203138,32'h3f26bb10, 32'h3f1b49d5,32'h3f2ba273, 32'h3f131d87,32'h3f33cec1,// invsqrt(2.4527) = 0.6385 +32'h3ecfc758,32'h3fc4e948,32'h3fccf2cd, 32'h3fbee223,32'h3fd2f9f1, 32'h3fb4d63c,32'h3fdd05d8,// invsqrt(0.4058) = 1.5698 +32'h3f88550d,32'h3f7317b5,32'h3f7d03c7, 32'h3f6ba6a8,32'h3f823a6a, 32'h3f5f3f91,32'h3f886df5,// invsqrt(1.0651) = 0.9690 +32'h3df6a10b,32'h4034bcde,32'h403c1d64, 32'h402f3479,32'h4041a5c9, 32'h4025fbd1,32'h404ade71,// invsqrt(0.1204) = 2.8817 +32'h4040ea69,32'h3f108061,32'h3f166645, 32'h3f0c13f5,32'h3f1ad2b1, 32'h3f04b498,32'h3f22320e,// invsqrt(3.0143) = 0.5760 +32'h3d61f868,32'h408583dc,32'h408af6f4, 32'h40816d89,32'h408f0d47, 32'h40753b55,32'h4095dd26,// invsqrt(0.0552) = 4.2575 +32'h3ed42f17,32'h3fc2db40,32'h3fcacf4d, 32'h3fbce436,32'h3fd0c656, 32'h3fb2f325,32'h3fdab767,// invsqrt(0.4144) = 1.5534 +32'h3fed7aa9,32'h3f382fcc,32'h3f3fb45c, 32'h3f328c60,32'h3f4557c8, 32'h3f2926ac,32'h3f4ebd7c,// invsqrt(1.8553) = 0.7342 +32'h3f23d7e3,32'h3f9ccc65,32'h3fa332c7, 32'h3f97ff9b,32'h3fa7ff91, 32'h3f8fffa1,32'h3fafff8b,// invsqrt(0.6400) = 1.2500 +32'h3f73b67b,32'h3f809031,32'h3f85cf8c, 32'h3f79415b,32'h3f89bf11, 32'h3f6c22f8,32'h3f904e42,// invsqrt(0.9520) = 1.0249 +32'h3f6c6c93,32'h3f8287aa,32'h3f87db92, 32'h3f7d1179,32'h3f8bda80, 32'h3f6fbfb6,32'h3f928361,// invsqrt(0.9235) = 1.0406 +32'h407d7a32,32'h3efc2015,32'h3f033544, 32'h3ef4683d,32'h3f07112f, 32'h3ee78b2d,32'h3f0d7fb8,// invsqrt(3.9606) = 0.5025 +32'h3f9f083a,32'h3f611360,32'h3f6a4330, 32'h3f5a2f84,32'h3f71270c, 32'h3f4eb3c1,32'h3f7ca2cf,// invsqrt(1.2424) = 0.8971 +32'h409dea4b,32'h3ee1dec9,32'h3eeb16e7, 32'h3edaf4b4,32'h3ef200fc, 32'h3ecf6e8f,32'h3efd8721,// invsqrt(4.9349) = 0.4502 +32'h400614cd,32'h3f2d544d,32'h3f34676b, 32'h3f2805f7,32'h3f39b5c1, 32'h3f1f2e13,32'h3f428da5,// invsqrt(2.0950) = 0.6909 +32'h403fd1e7,32'h3f10e9e2,32'h3f16d416, 32'h3f0c7a3c,32'h3f1b43bc, 32'h3f05157c,32'h3f22a87c,// invsqrt(2.9972) = 0.5776 +32'h3ed96ff2,32'h3fc07cf8,32'h3fc85846, 32'h3fba987d,32'h3fce3cc1, 32'h3fb0c65c,32'h3fd80ee2,// invsqrt(0.4247) = 1.5345 +32'h3fc98914,32'h3f47f01f,32'h3f501945, 32'h3f41d143,32'h3f563821, 32'h3f379dd3,32'h3f606b91,// invsqrt(1.5745) = 0.7969 +32'h40723db7,32'h3f00f405,32'h3f063773, 32'h3efa02e5,32'h3f0a2a05, 32'h3eecda52,32'h3f10be4f,// invsqrt(3.7850) = 0.5140 +32'h406dc784,32'h3f02284d,32'h3f077851, 32'h3efc5896,32'h3f0b7453, 32'h3eef108e,32'h3f121857,// invsqrt(3.7153) = 0.5188 +32'h3f649b81,32'h3f84be26,32'h3f8a292c, 32'h3f80ade1,32'h3f8e3971, 32'h3f73d030,32'h3f94ff3a,// invsqrt(0.8930) = 1.0582 +32'h3f9b15a4,32'h3f63ec1b,32'h3f6d39a9, 32'h3f5cf1f0,32'h3f7433d4, 32'h3f5150ff,32'h3f7fd4c5,// invsqrt(1.2116) = 0.9085 +32'h3e0d445b,32'h4028dd0b,32'h402fc17e, 32'h4023b1b4,32'h4034ecd4, 32'h401b1424,32'h403d8a64,// invsqrt(0.1380) = 2.6923 +32'h3fa5682b,32'h3f5cb225,32'h3f65b431, 32'h3f55f09c,32'h3f6c75ba, 32'h3f4aae0d,32'h3f77b849,// invsqrt(1.2922) = 0.8797 +32'h3d18ec64,32'h40a24cda,32'h40a8ecb9, 32'h409d54f2,32'h40ade4a0, 32'h40950d1b,32'h40b62c77,// invsqrt(0.0373) = 5.1754 +32'h3e72fdc5,32'h4000c105,32'h4006025f, 32'h3ff9a005,32'h4009f361, 32'h3fec7ca7,32'h40108511,// invsqrt(0.2373) = 2.0528 +32'h3ea53537,32'h3fdcd42a,32'h3fe5d79a, 32'h3fd61197,32'h3fec9a2d, 32'h3fcacd4b,32'h3ff7de79,// invsqrt(0.3227) = 1.7604 +32'h3ffc482f,32'h3f32b38e,32'h3f39fece, 32'h3f2d3b1f,32'h3f3f773d, 32'h3f241d10,32'h3f48954c,// invsqrt(1.9710) = 0.7123 +32'h3f08caa3,32'h3fab9a85,32'h3fb29b9b, 32'h3fa659b6,32'h3fb7dc6a, 32'h3f9d985b,32'h3fc09dc5,// invsqrt(0.5343) = 1.3680 +32'h3ee97d88,32'h3fb9c0d9,32'h3fc155c7, 32'h3fb41126,32'h3fc7057a, 32'h3faa96fb,32'h3fd07fa5,// invsqrt(0.4560) = 1.4808 +32'h40922f94,32'h3eeac1c4,32'h3ef456bc, 32'h3ee3920a,32'h3efb8676, 32'h3ed797d3,32'h3f03c056,// invsqrt(4.5683) = 0.4679 +32'h3feb7003,32'h3f38fbcb,32'h3f4088af, 32'h3f335220,32'h3f46325a, 32'h3f29e204,32'h3f4fa276,// invsqrt(1.8394) = 0.7373 +32'h3f2c0493,32'h3f990705,32'h3f9f45ff, 32'h3f9457c8,32'h3fa3f53c, 32'h3f8c890f,32'h3fabc3f5,// invsqrt(0.6719) = 1.2199 +32'h3fa42f10,32'h3f5d8431,32'h3f668ecf, 32'h3f56bc3a,32'h3f6d56c6, 32'h3f4b6ef3,32'h3f78a40d,// invsqrt(1.2827) = 0.8830 +32'h3e3eadd2,32'h401158b5,32'h4017476e, 32'h400ce5aa,32'h401bba78, 32'h40057b42,32'h402324e0,// invsqrt(0.1862) = 2.3174 +32'h3f7e1570,32'h3f7bd303,32'h3f830d29, 32'h3f741d88,32'h3f86e7e6, 32'h3f674466,32'h3f8d5477,// invsqrt(0.9925) = 1.0038 +32'h3f4f49e7,32'h3f8b66d9,32'h3f911775, 32'h3f872265,32'h3f955be9, 32'h3f8005a3,32'h3f9c78ab,// invsqrt(0.8097) = 1.1113 +32'h404439dc,32'h3f0f4705,32'h3f15201f, 32'h3f0ae431,32'h3f1982f3, 32'h3f0394d0,32'h3f20d254,// invsqrt(3.0660) = 0.5711 +32'h40620d19,32'h3f057dc0,32'h3f0af098, 32'h3f01679d,32'h3f0f06bb, 32'h3ef5301c,32'h3f15d64a,// invsqrt(3.5320) = 0.5321 +32'h3e06bb21,32'h402ce92f,32'h4033f7ed, 32'h40279e20,32'h403942fc, 32'h401ecbb3,32'h40421569,// invsqrt(0.1316) = 2.7569 +32'h3f7b39eb,32'h3f7d409b,32'h3f83cb6b, 32'h3f757fef,32'h3f87abc1, 32'h3f689426,32'h3f8e21a5,// invsqrt(0.9814) = 1.0095 +32'h3f61d41a,32'h3f858e97,32'h3f8b021f, 32'h3f8177f0,32'h3f8f18c6, 32'h3f754f0a,32'h3f95e931,// invsqrt(0.8821) = 1.0647 +32'h40628461,32'h3f055a95,32'h3f0acbff, 32'h3f014586,32'h3f0ee10e, 32'h3ef4ef85,32'h3f15aed1,// invsqrt(3.5393) = 0.5315 +32'h400dc154,32'h3f28928b,32'h3f2f73f3, 32'h3f23697c,32'h3f349d02, 32'h3f1acfb9,32'h3f3d36c5,// invsqrt(2.2149) = 0.6719 +32'h3f946eee,32'h3f68f90d,32'h3f727b61, 32'h3f61d74e,32'h3f799d20, 32'h3f55f465,32'h3f82c005,// invsqrt(1.1596) = 0.9286 +32'h413ede4f,32'h3e91463e,32'h3e973436, 32'h3e8cd3c4,32'h3e9ba6b0, 32'h3e856a4e,32'h3ea31026,// invsqrt(11.9293) = 0.2895 +32'h3f9b7cb4,32'h3f63a084,32'h3f6ceafd, 32'h3f5ca8ab,32'h3f73e2d7, 32'h3f510b94,32'h3f7f7fee,// invsqrt(1.2147) = 0.9073 +32'h3f4ca2e0,32'h3f8c4d63,32'h3f920767, 32'h3f8801e0,32'h3f9652ea, 32'h3f80d95b,32'h3f9d7b6f,// invsqrt(0.7994) = 1.1185 +32'h3ea30baf,32'h3fde49c8,32'h3fe75c78, 32'h3fd77bc5,32'h3fee2a7b, 32'h3fcc246a,32'h3ff981d6,// invsqrt(0.3184) = 1.7721 +32'h3f0c6da7,32'h3fa95df0,32'h3fb047a6, 32'h3fa42ea7,32'h3fb576ef, 32'h3f9b8a84,32'h3fbe1b13,// invsqrt(0.5485) = 1.3502 +32'h3e92d66a,32'h3fea3c40,32'h3ff3cbc6, 32'h3fe3109c,32'h3ffaf76a, 32'h3fd71d36,32'h40037568,// invsqrt(0.2868) = 1.8673 +32'h3f37e62b,32'h3f940065,32'h3f9a0adc, 32'h3f8f788b,32'h3f9e92b5, 32'h3f87eb76,32'h3fa61fca,// invsqrt(0.7184) = 1.1799 +32'h3f81b635,32'h3f793819,32'h3f81b217, 32'h3f719709,32'h3f8582a0, 32'h3f64dfed,32'h3f8bde2d,// invsqrt(1.0134) = 0.9934 +32'h3fc3c57e,32'h3f4adc27,32'h3f5323d5, 32'h3f44a664,32'h3f595998, 32'h3f3a4ccb,32'h3f63b331,// invsqrt(1.5295) = 0.8086 +32'h40d8afb3,32'h3ec0d248,32'h3ec8b112, 32'h3ebaeb31,32'h3ece9829, 32'h3eb114b5,32'h3ed86ea5,// invsqrt(6.7714) = 0.3843 +32'h3f7dc1ee,32'h3f7bfc70,32'h3f8322b8, 32'h3f7445b1,32'h3f86fe18, 32'h3f676a72,32'h3f8d6bb7,// invsqrt(0.9912) = 1.0044 +32'h3fe5d660,32'h3f3b3940,32'h3f42dd8c, 32'h3f357e07,32'h3f4898c5, 32'h3f2bf0a9,32'h3f522623,// invsqrt(1.7956) = 0.7463 +32'h3ecda679,32'h3fc5ed78,32'h3fce019c, 32'h3fbfde5d,32'h3fd410b7, 32'h3fb5c52f,32'h3fde29e5,// invsqrt(0.4017) = 1.5779 +32'h3f6acf7d,32'h3f82fa49,32'h3f8852de, 32'h3f7defb1,32'h3f8c554e, 32'h3f70923c,32'h3f930408,// invsqrt(0.9172) = 1.0441 +32'h3ff587f6,32'h3f352434,32'h3f3c88f2, 32'h3f2f98a5,32'h3f421481, 32'h3f265ab8,32'h3f4b526f,// invsqrt(1.9182) = 0.7220 +32'h3f3f1a39,32'h3f912f76,32'h3f971c80, 32'h3f8cbdae,32'h3f9b8e48, 32'h3f855562,32'h3fa2f694,// invsqrt(0.7465) = 1.1574 +32'h3f3cd37a,32'h3f920ed2,32'h3f9804fa, 32'h3f8d9634,32'h3f9c7d98, 32'h3f862282,32'h3fa3f14a,// invsqrt(0.7376) = 1.1644 +32'h3fc2a86c,32'h3f4b707b,32'h3f53be37, 32'h3f45362e,32'h3f59f884, 32'h3f3ad503,32'h3f6459af,// invsqrt(1.5208) = 0.8109 +32'h3ee9d3b4,32'h3fb99e9b,32'h3fc13224, 32'h3fb3eff5,32'h3fc6e0cb, 32'h3faa778a,32'h3fd05936,// invsqrt(0.4567) = 1.4797 +32'h3e833fd4,32'h3ff7c14a,32'h4000ef09, 32'h3ff02bb2,32'h4004b9d5, 32'h3fe387b6,32'h400b0bd3,// invsqrt(0.2563) = 1.9751 +32'h408f4366,32'h3eed23ab,32'h3ef6d189, 32'h3ee5e146,32'h3efe13ee, 32'h3ed9c7f1,32'h3f0516a2,// invsqrt(4.4770) = 0.4726 +32'h3f925338,32'h3f6aa52b,32'h3f7438f9, 32'h3f637651,32'h3f7b67d3, 32'h3f577d90,32'h3f83b04a,// invsqrt(1.1432) = 0.9353 +32'h3f344517,32'h3f957be1,32'h3f9b95d5, 32'h3f90e86a,32'h3fa0294c, 32'h3f8947f8,32'h3fa7c9be,// invsqrt(0.7042) = 1.1917 +32'h3f25df48,32'h3f9bd626,32'h3fa2327c, 32'h3f9710e7,32'h3fa6f7bb, 32'h3f8f1d7c,32'h3faeeb26,// invsqrt(0.6479) = 1.2423 +32'h3fbf3cdb,32'h3f4d4022,32'h3f55a0ca, 32'h3f46f7a3,32'h3f5be949, 32'h3f3c7ed1,32'h3f66621b,// invsqrt(1.4940) = 0.8181 +32'h3fb64ca2,32'h3f5238df,32'h3f5acd7a, 32'h3f4bc96a,32'h3f613cee, 32'h3f410fa8,32'h3f6bf6b0,// invsqrt(1.4242) = 0.8379 +32'h4197a2d8,32'h3e667fd6,32'h3e6fe851, 32'h3e5f7178,32'h3e76f6ae, 32'h3e53aede,32'h3e815ca4,// invsqrt(18.9545) = 0.2297 +32'h3bdb6600,32'h413fa03d,32'h41477288, 32'h4139c284,32'h414d5040, 32'h412ffba5,32'h4157171f,// invsqrt(0.0067) = 12.2210 +32'h40ce8632,32'h3ec58226,32'h3ecd91e8, 32'h3ebf7653,32'h3ed39dbb, 32'h3eb562a0,32'h3eddb16e,// invsqrt(6.4539) = 0.3936 +32'h3f1855af,32'h3fa29d0f,32'h3fa94034, 32'h3f9da2b3,32'h3fae3a8f, 32'h3f9556c4,32'h3fb6867e,// invsqrt(0.5951) = 1.2963 +32'h3e5ec5e0,32'h40067846,32'h400bf558, 32'h40025a78,32'h40101326, 32'h3ff6fc41,32'h4016ef7d,// invsqrt(0.2176) = 2.1440 +32'h4094a415,32'h3ee8cf62,32'h3ef25002, 32'h3ee1aee9,32'h3ef9707b, 32'h3ed5ce20,32'h3f02a8a2,// invsqrt(4.6450) = 0.4640 +32'h3f4da2fa,32'h3f8bf5ea,32'h3f91ac5c, 32'h3f87ad14,32'h3f95f532, 32'h3f808906,32'h3f9d1940,// invsqrt(0.8033) = 1.1158 +32'h3ff87ee0,32'h3f340ec5,32'h3f3b6830, 32'h3f2e8bb4,32'h3f40eb40, 32'h3f255bee,32'h3f4a1b06,// invsqrt(1.9414) = 0.7177 +32'h3eedad72,32'h3fb81c1e,32'h3fbf9fe0, 32'h3fb2794c,32'h3fc542b2, 32'h3fa91499,32'h3fcea765,// invsqrt(0.4642) = 1.4677 +32'h40089a13,32'h3f2bb904,32'h3f32bb57, 32'h3f267744,32'h3f37fd16, 32'h3f1db45c,32'h3f40bffe,// invsqrt(2.1344) = 0.6845 +32'h3f2b9136,32'h3f993a6f,32'h3f9f7b83, 32'h3f9489a0,32'h3fa42c52, 32'h3f8cb846,32'h3fabfdac,// invsqrt(0.6702) = 1.2215 +32'h3f4ade79,32'h3f8ce97c,32'h3f92a9e0, 32'h3f889932,32'h3f96fa2a, 32'h3f8168b6,32'h3f9e2aa6,// invsqrt(0.7925) = 1.1233 +32'h41007692,32'h3eb11430,32'h3eb84e7c, 32'h3eaba878,32'h3ebdba34, 32'h3ea29f9a,32'h3ec6c312,// invsqrt(8.0289) = 0.3529 +32'h3f97a609,32'h3f667d69,32'h3f6fe5cb, 32'h3f5f6f1f,32'h3f76f415, 32'h3f53aca4,32'h3f815b48,// invsqrt(1.1848) = 0.9187 +32'h3f58d1b7,32'h3f884db5,32'h3f8dddf1, 32'h3f842188,32'h3f920a1e, 32'h3f7a5a7c,32'h3f98fe68,// invsqrt(0.8469) = 1.0866 +32'h3f34bae3,32'h3f954b22,32'h3f9b6319, 32'h3f90b929,32'h3f9ff513, 32'h3f891b35,32'h3fa79307,// invsqrt(0.7060) = 1.1902 +32'h3f2fecce,32'h3f97518e,32'h3f9d7eae, 32'h3f92afb6,32'h3fa22086, 32'h3f8af74e,32'h3fa9d8ee,// invsqrt(0.6872) = 1.2063 +32'h3f7f38a8,32'h3f7b432f,32'h3f82c24f, 32'h3f73921a,32'h3f869ad9, 32'h3f66c04f,32'h3f8d03be,// invsqrt(0.9970) = 1.0015 +32'h405caa41,32'h3f071c4d,32'h3f0ca011, 32'h3f02f979,32'h3f10c2e5, 32'h3ef82988,32'h3f17a79a,// invsqrt(3.4479) = 0.5385 +32'h3eb586fb,32'h3fd2ab32,32'h3fdb4478, 32'h3fcc383e,32'h3fe1b76c, 32'h3fc178a6,32'h3fec7704,// invsqrt(0.3545) = 1.6794 +32'h3f138b1b,32'h3fa53b96,32'h3fabfa1a, 32'h3fa02cb4,32'h3fb108fc, 32'h3f97be8f,32'h3fb97721,// invsqrt(0.5763) = 1.3172 +32'h3fe724c7,32'h3f3ab1a1,32'h3f425063, 32'h3f34fa8f,32'h3f480775, 32'h3f2b741b,32'h3f518de9,// invsqrt(1.8058) = 0.7442 +32'h3f6d02b6,32'h3f825e4c,32'h3f87b084, 32'h3f7cc146,32'h3f8bae2d, 32'h3f6f73bb,32'h3f9254f2,// invsqrt(0.9258) = 1.0393 +32'h404bf705,32'h3f0c8872,32'h3f1244e0, 32'h3f083b21,32'h3f169231, 32'h3f010f98,32'h3f1dbdba,// invsqrt(3.1870) = 0.5602 +32'h3eb3bd00,32'h3fd3b6ee,32'h3fdc5b21, 32'h3fcd3bc7,32'h3fe2d647, 32'h3fc26e86,32'h3feda388,// invsqrt(0.3511) = 1.6878 +32'h3ed5ec2d,32'h3fc21020,32'h3fc9fbe3, 32'h3fbc1f4f,32'h3fcfecb5, 32'h3fb2389b,32'h3fd9d369,// invsqrt(0.4178) = 1.5471 +32'h3ffea699,32'h3f31de48,32'h3f3920d3, 32'h3f2c6c60,32'h3f3e92bc, 32'h3f235933,32'h3f47a5e9,// invsqrt(1.9895) = 0.7090 +32'h40cefcbb,32'h3ec54990,32'h3ecd5704, 32'h3ebf3f7a,32'h3ed3611a, 32'h3eb52ea9,32'h3edd71eb,// invsqrt(6.4684) = 0.3932 +32'h3f7527b6,32'h3f802f3c,32'h3f856aa2, 32'h3f788560,32'h3f89572e, 32'h3f6b70e1,32'h3f8fe16d,// invsqrt(0.9576) = 1.0219 +32'h3f6d32a2,32'h3f825120,32'h3f87a2ce, 32'h3f7ca7bc,32'h3f8ba010, 32'h3f6f5b89,32'h3f924629,// invsqrt(0.9266) = 1.0389 +32'h3f341547,32'h3f958fb8,32'h3f9baa7c, 32'h3f90fba6,32'h3fa03e8e, 32'h3f895a31,32'h3fa7e003,// invsqrt(0.7034) = 1.1923 +32'h3efe602b,32'h3fb1f6e6,32'h3fb93a72, 32'h3fac843d,32'h3fbead1b, 32'h3fa36fce,32'h3fc7c18a,// invsqrt(0.4968) = 1.4187 +32'h405367b1,32'h3f0a09b4,32'h3f0fac0f, 32'h3f05cff0,32'h3f13e5d4, 32'h3efd89fd,32'h3f1af0c5,// invsqrt(3.3032) = 0.5502 +32'h3fdb1ce8,32'h3f3fc030,32'h3f4793ca, 32'h3f39e17d,32'h3f4d727d, 32'h3f3018fd,32'h3f573afd,// invsqrt(1.7118) = 0.7643 +32'h3ec649b6,32'h3fc99190,32'h3fd1cbc0, 32'h3fc365ec,32'h3fd7f764, 32'h3fb91d31,32'h3fe2401f,// invsqrt(0.3873) = 1.6069 +32'h3f5f8791,32'h3f863df6,32'h3f8bb8a8, 32'h3f8221f1,32'h3f8fd4ad, 32'h3f769128,32'h3f96ae0a,// invsqrt(0.8732) = 1.0702 +32'h3fb0a3ff,32'h3f559018,32'h3f5e479c, 32'h3f4f0676,32'h3f64d13e, 32'h3f442111,32'h3f6fb6a3,// invsqrt(1.3800) = 0.8513 +32'h3d0a34c8,32'h40aab91d,32'h40b1b0ff, 32'h40a57f34,32'h40b6eae8, 32'h409cc959,32'h40bfa0c3,// invsqrt(0.0337) = 5.4440 +32'h3f46ba6c,32'h3f8e5f60,32'h3f942f05, 32'h3f8a03a3,32'h3f988ac1, 32'h3f82c013,32'h3f9fce51,// invsqrt(0.7763) = 1.1350 +32'h3f4eea9e,32'h3f8b86ee,32'h3f9138d9, 32'h3f87417f,32'h3f957e49, 32'h3f80231a,32'h3f9c9cae,// invsqrt(0.8083) = 1.1123 +32'h406682a1,32'h3f043199,32'h3f0996e3, 32'h3f0025a1,32'h3f0da2db, 32'h3ef2ce09,32'h3f146177,// invsqrt(3.6017) = 0.5269 +32'h3f4486c7,32'h3f8f2af8,32'h3f9502ee, 32'h3f8ac900,32'h3f9964e6, 32'h3f837b0e,32'h3fa0b2d8,// invsqrt(0.7677) = 1.1413 +32'h3ff2929f,32'h3f363e1f,32'h3f3dae5f, 32'h3f30a9ef,32'h3f43428f, 32'h3f275d9f,32'h3f4c8edf,// invsqrt(1.8951) = 0.7264 +32'h3fdd66f0,32'h3f3ec1c1,32'h3f468af8, 32'h3f38ead8,32'h3f4c61e2, 32'h3f2f2f54,32'h3f561d66,// invsqrt(1.7297) = 0.7604 +32'h400fb034,32'h3f276f45,32'h3f2e44cb, 32'h3f224f21,32'h3f3364ef, 32'h3f19c43a,32'h3f3befd6,// invsqrt(2.2451) = 0.6674 +32'h3ed98035,32'h3fc075c6,32'h3fc850c8, 32'h3fba9183,32'h3fce350b, 32'h3fb0bfc0,32'h3fd806ce,// invsqrt(0.4248) = 1.5343 +32'h3f461d3a,32'h3f8e97d0,32'h3f9469c4, 32'h3f8a3a5a,32'h3f98c73a, 32'h3f82f3e9,32'h3fa00dab,// invsqrt(0.7739) = 1.1367 +32'h3edc2a8b,32'h3fbf4aa1,32'h3fc7196f, 32'h3fb96f88,32'h3fccf488, 32'h3fafad07,32'h3fd6b709,// invsqrt(0.4300) = 1.5250 +32'h402d9247,32'h3f18574f,32'h3f1e8f1e, 32'h3f13ad74,32'h3f2338fa, 32'h3f0be7b2,32'h3f2afebc,// invsqrt(2.7121) = 0.6072 +32'h413c272b,32'h3e9251a4,32'h3e984a86, 32'h3e8dd6fa,32'h3e9cc530, 32'h3e865fe0,32'h3ea43c4a,// invsqrt(11.7596) = 0.2916 +32'h3e173019,32'h40233aa5,32'h4029e439, 32'h401e3b76,32'h402ee368, 32'h4015e77e,32'h40373761,// invsqrt(0.1476) = 2.6025 +32'h3f109aa5,32'h3fa6e754,32'h3fadb74c, 32'h3fa1cb59,32'h3fb2d347, 32'h3f994762,32'h3fbb573e,// invsqrt(0.5649) = 1.3305 +32'h40b0bd15,32'h3ed580f0,32'h3ede37d5, 32'h3ecef7c4,32'h3ee4c100, 32'h3ec41325,32'h3eefa59f,// invsqrt(5.5231) = 0.4255 +32'h3fa60c98,32'h3f5c44c5,32'h3f65425a, 32'h3f558695,32'h3f6c0089, 32'h3f4a499a,32'h3f773d84,// invsqrt(1.2973) = 0.8780 +32'h3f1fb26c,32'h3f9ed228,32'h3fa54dac, 32'h3f99f585,32'h3faa2a4f, 32'h3f91db1f,32'h3fb244b5,// invsqrt(0.6238) = 1.2661 +32'h3f9e23c6,32'h3f61b5b9,32'h3f6aec29, 32'h3f5acce5,32'h3f71d4fd, 32'h3f4f48d9,32'h3f7d5909,// invsqrt(1.2355) = 0.8997 +32'h3fa6d503,32'h3f5bc04e,32'h3f64b87c, 32'h3f55062d,32'h3f6b729d, 32'h3f49cff4,32'h3f76a8d6,// invsqrt(1.3034) = 0.8759 +32'h3f93631b,32'h3f69cc5a,32'h3f73574e, 32'h3f62a423,32'h3f7a7f85, 32'h3f56b672,32'h3f83369b,// invsqrt(1.1515) = 0.9319 +32'h408fed17,32'h3eec97b6,32'h3ef63fdd, 32'h3ee5599a,32'h3efd7dfa, 32'h3ed94769,32'h3f04c816,// invsqrt(4.4977) = 0.4715 +32'h3d21875c,32'h409deaf6,32'h40a45d0a, 32'h40991566,32'h40a9329a, 32'h409106cd,32'h40b14133,// invsqrt(0.0394) = 5.0356 +32'h400aef19,32'h3f2a467e,32'h3f3139b2, 32'h3f251017,32'h3f367019, 32'h3f1c6016,32'h3f3f201a,// invsqrt(2.1708) = 0.6787 +32'h3f9e642a,32'h3f6187d3,32'h3f6abc63, 32'h3f5aa066,32'h3f71a3d0, 32'h3f4f1eb2,32'h3f7d2584,// invsqrt(1.2374) = 0.8990 +32'h3dad4e73,32'h40579b7f,32'h4060685f, 32'h405101d6,32'h40670208, 32'h404601be,32'h40720221,// invsqrt(0.0846) = 3.4376 +32'h3ecc8b3f,32'h3fc67651,32'h3fce900b, 32'h3fc06305,32'h3fd4a357, 32'h3fb642dd,32'h3fdec37f,// invsqrt(0.3995) = 1.5821 +32'h3d2bd9af,32'h40991a1c,32'h409f59df, 32'h40946a4b,32'h40a409b1, 32'h408c9a98,32'h40abd964,// invsqrt(0.0420) = 4.8821 +32'h3f02de3a,32'h3faf71bb,32'h3fb69af2, 32'h3faa12d3,32'h3fbbf9db, 32'h3fa11f4e,32'h3fc4ed60,// invsqrt(0.5112) = 1.3986 +32'h4037018f,32'h3f145cb9,32'h3f1a6af5, 32'h3f0fd20c,32'h3f1ef5a2, 32'h3f084042,32'h3f26876c,// invsqrt(2.8595) = 0.5914 +32'h3d620237,32'h408580f6,32'h408af3f1, 32'h40816abb,32'h408f0a2d, 32'h40753604,32'h4095d9e6,// invsqrt(0.0552) = 4.2571 +32'h3f00ee81,32'h3fb0c1c1,32'h3fb7f8af, 32'h3fab588f,32'h3fbd61e1, 32'h3fa253e6,32'h3fc6668a,// invsqrt(0.5036) = 1.4091 +32'h3f6a473c,32'h3f83205a,32'h3f887a7c, 32'h3f7e397e,32'h3f8c7e17, 32'h3f70d827,32'h3f932ec2,// invsqrt(0.9151) = 1.0453 +32'h40335132,32'h3f15e167,32'h3f1bff81, 32'h3f114ad5,32'h3f209613, 32'h3f09a535,32'h3f283bb3,// invsqrt(2.8018) = 0.5974 +32'h3f98e796,32'h3f658a8e,32'h3f6ee906, 32'h3f5e83b3,32'h3f75efe1, 32'h3f52cd9c,32'h3f80d2fc,// invsqrt(1.1946) = 0.9149 +32'h3e1dcc5e,32'h401fc607,32'h40264b7f, 32'h401ae1ec,32'h402b2f9a, 32'h4012bb16,32'h40335670,// invsqrt(0.1541) = 2.5474 +32'h3f472426,32'h3f8e398f,32'h3f9407aa, 32'h3f89defc,32'h3f98623e, 32'h3f829d5a,32'h3f9fa3e0,// invsqrt(0.7779) = 1.1338 +32'h404f6ed9,32'h3f0b5a6f,32'h3f110a88, 32'h3f07165b,32'h3f154e9b, 32'h3efff477,32'h3f1c6aba,// invsqrt(3.2411) = 0.5555 +32'h3f40d9cb,32'h3f90869a,32'h3f966cc0, 32'h3f8c19fe,32'h3f9ad95c, 32'h3f84ba4f,32'h3fa2390b,// invsqrt(0.7533) = 1.1522 +32'h3ea01a84,32'h3fe05241,32'h3fe97a2e, 32'h3fd9744e,32'h3ff05820, 32'h3fce0265,32'h3ffbca09,// invsqrt(0.3127) = 1.7883 +32'h3f9429b5,32'h3f692f73,32'h3f72b3ff, 32'h3f620c09,32'h3f79d769, 32'h3f56265a,32'h3f82de8c,// invsqrt(1.1575) = 0.9295 +32'h3ed9f973,32'h3fc04038,32'h3fc8190b, 32'h3fba5d99,32'h3fcdfba9, 32'h3fb08e91,32'h3fd7cab1,// invsqrt(0.4257) = 1.5326 +32'h3e4c7f24,32'h400c59a5,32'h40121429, 32'h40080dc2,32'h4016600c, 32'h4000e49d,32'h401d8931,// invsqrt(0.1997) = 2.2377 +32'h3f0f8067,32'h3fa78b26,32'h3fae61ce, 32'h3fa26a27,32'h3fb382cd, 32'h3f99ddd4,32'h3fbc0f20,// invsqrt(0.5606) = 1.3356 +32'h4027970b,32'h3f1b0929,32'h3f215d21, 32'h3f164a30,32'h3f261c1a, 32'h3f0e613b,32'h3f2e050f,// invsqrt(2.6186) = 0.6180 +32'h401cfe38,32'h3f202ecb,32'h3f26b88a, 32'h3f1b477b,32'h3f2b9fd9, 32'h3f131b4c,32'h3f33cc08,// invsqrt(2.4530) = 0.6385 +32'h42470d86,32'h3e0e41a4,32'h3e141014, 32'h3e09e6d1,32'h3e186ae7, 32'h3e02a4c6,32'h3e1facf2,// invsqrt(49.7632) = 0.1418 +32'h423e0e88,32'h3e119591,32'h3e1786c5, 32'h3e0d20a9,32'h3e1bfbad, 32'h3e05b327,32'h3e23692f,// invsqrt(47.5142) = 0.1451 +32'h3e978fd1,32'h3fe68e4d,32'h3feff760, 32'h3fdf7f7f,32'h3ff7062f, 32'h3fd3bc28,32'h400164c3,// invsqrt(0.2960) = 1.8380 +32'h3fb0f7ee,32'h3f555d6d,32'h3f5e12df, 32'h3f4ed557,32'h3f649af5, 32'h3f43f289,32'h3f6f7dc3,// invsqrt(1.3826) = 0.8505 +32'h3e4eb95e,32'h400b978c,32'h40114a24, 32'h4007519a,32'h40159016, 32'h4000325c,32'h401caf54,// invsqrt(0.2019) = 2.2256 +32'h3f0da70b,32'h3fa8a22e,32'h3faf843a, 32'h3fa378a5,32'h3fb4adc3, 32'h3f9ade15,32'h3fbd4853,// invsqrt(0.5533) = 1.3443 +32'h41ab67be,32'h3e58ccc3,32'h3e61a619, 32'h3e5229c2,32'h3e68491a, 32'h3e471a16,32'h3e7358c6,// invsqrt(21.4257) = 0.2160 +32'h401a3e39,32'h3f219abb,32'h3f283355, 32'h3f1ca848,32'h3f2d25c8, 32'h3f146987,32'h3f356489,// invsqrt(2.4100) = 0.6442 +32'h3ef41810,32'h3fb5ac83,32'h3fbd16d1, 32'h3fb01cc8,32'h3fc2a68c, 32'h3fa6d7e6,32'h3fcbeb6e,// invsqrt(0.4767) = 1.4483 +32'h3e8c375e,32'h3fefb3bc,32'h3ff97c60, 32'h3fe85d40,32'h4000696e, 32'h3fdc2273,32'h400686d4,// invsqrt(0.2739) = 1.9109 +32'h3f3f9858,32'h3f90ffa5,32'h3f96eabb, 32'h3f8c8f54,32'h3f9b5b0c, 32'h3f852978,32'h3fa2c0e8,// invsqrt(0.7484) = 1.1559 +32'h400bcfca,32'h3f29bd73,32'h3f30ab10, 32'h3f248b3f,32'h3f35dd45, 32'h3f1be23b,32'h3f3e8649,// invsqrt(2.1846) = 0.6766 +32'h3f58ac9a,32'h3f885961,32'h3f8dea17, 32'h3f842cd9,32'h3f92169f, 32'h3f7a6fec,32'h3f990b82,// invsqrt(0.8464) = 1.0870 +32'h3f007c16,32'h3fb11063,32'h3fb84a87, 32'h3faba4c9,32'h3fbdb621, 32'h3fa29c1c,32'h3fc6bece,// invsqrt(0.5019) = 1.4115 +32'h3ee9d3bf,32'h3fb99e97,32'h3fc1321f, 32'h3fb3eff0,32'h3fc6e0c6, 32'h3faa7785,32'h3fd05931,// invsqrt(0.4567) = 1.4797 +32'h3f844da9,32'h3f76c423,32'h3f806b4c, 32'h3f6f364c,32'h3f843237, 32'h3f629f3a,32'h3f8a7dc0,// invsqrt(1.0336) = 0.9836 +32'h4056631b,32'h3f091312,32'h3f0eab5c, 32'h3f04e0db,32'h3f12dd93, 32'h3efbc4fd,32'h3f19dbf0,// invsqrt(3.3498) = 0.5464 +32'h400602c1,32'h3f2d5ff9,32'h3f347390, 32'h3f281147,32'h3f39c241, 32'h3f1f38ca,32'h3f429abe,// invsqrt(2.0939) = 0.6911 +32'h3ecc96b4,32'h3fc670c2,32'h3fce8a42, 32'h3fc05da2,32'h3fd49d62, 32'h3fb63dc2,32'h3fdebd42,// invsqrt(0.3996) = 1.5820 +32'h419657c1,32'h3e677d19,32'h3e70efeb, 32'h3e6066fc,32'h3e780608, 32'h3e549775,32'h3e81eac8,// invsqrt(18.7928) = 0.2307 +32'h421a739d,32'h3e217eca,32'h3e281640, 32'h3e1c8d32,32'h3e2d07d8, 32'h3e144fde,32'h3e35452c,// invsqrt(38.6129) = 0.1609 +32'h3fa35752,32'h3f5e164b,32'h3f6726e1, 32'h3f5749db,32'h3f6df351, 32'h3f4bf521,32'h3f79480b,// invsqrt(1.2761) = 0.8852 +32'h3f225239,32'h3f9d8829,32'h3fa3f635, 32'h3f98b5a0,32'h3fa8c8be, 32'h3f90ac11,32'h3fb0d24d,// invsqrt(0.6341) = 1.2558 +32'h3d694551,32'h408368c3,32'h4088c5db, 32'h407ec5e3,32'h408ccbad, 32'h40715d28,32'h4093800a,// invsqrt(0.0570) = 4.1903 +32'h3fb73c7d,32'h3f51af1b,32'h3f5a3e16, 32'h3f4b43dd,32'h3f60a953, 32'h3f409122,32'h3f6b5c0e,// invsqrt(1.4315) = 0.8358 +32'h40073434,32'h3f2c9bb2,32'h3f33a746, 32'h3f275303,32'h3f38eff5, 32'h3f1e8489,32'h3f41be6f,// invsqrt(2.1126) = 0.6880 +32'h3f921844,32'h3f6ad47e,32'h3f746a3a, 32'h3f63a431,32'h3f7b9a87, 32'h3f57a906,32'h3f83cad9,// invsqrt(1.1414) = 0.9360 +32'h3e9e0354,32'h3fe1cce4,32'h3feb0446, 32'h3fdae35a,32'h3ff1edd0, 32'h3fcf5e20,32'h3ffd730a,// invsqrt(0.3086) = 1.8001 +32'h3f83dbe4,32'h3f772e80,32'h3f80a2a6, 32'h3f6f9d67,32'h3f846b32, 32'h3f6300e9,32'h3f8ab972,// invsqrt(1.0301) = 0.9853 +32'h3ea88e82,32'h3fda9fc6,32'h3fe38c2c, 32'h3fd3ee79,32'h3fea3d79, 32'h3fc8c6fa,32'h3ff564f8,// invsqrt(0.3292) = 1.7429 +32'h3f84d040,32'h3f764ab3,32'h3f802c19, 32'h3f6ec094,32'h3f83f129, 32'h3f622fb4,32'h3f8a3999,// invsqrt(1.0376) = 0.9817 +32'h3e2cab3c,32'h4018bd19,32'h401ef90f, 32'h40141020,32'h4023a608, 32'h400c452c,32'h402b70fc,// invsqrt(0.1686) = 2.4352 +32'h3f2eff9e,32'h3f97b7f7,32'h3f9de945, 32'h3f9312fc,32'h3fa28e40, 32'h3f8b555b,32'h3faa4be1,// invsqrt(0.6836) = 1.2095 +32'h3e9acbc0,32'h3fe4227a,32'h3fed7240, 32'h3fdd26a5,32'h3ff46e15, 32'h3fd182ed,32'h400008e6,// invsqrt(0.3023) = 1.8187 +32'h43c2589e,32'h3d4b9a3b,32'h3d53e9ac, 32'h3d455ea8,32'h3d5a2540, 32'h3d3afb5c,32'h3d64888c,// invsqrt(388.6923) = 0.0507 +32'h403df0f8,32'h3f11a0e5,32'h3f179290, 32'h3f0d2ba4,32'h3f1c07d0, 32'h3f05bd8e,32'h3f2375e6,// invsqrt(2.9678) = 0.5805 +32'h402a56eb,32'h3f19c78a,32'h3f200e61, 32'h3f15126a,32'h3f24c382, 32'h3f0d39dd,32'h3f2c9c0f,// invsqrt(2.6616) = 0.6130 +32'h3dcc9246,32'h404672e8,32'h404e8c7e, 32'h40405fb7,32'h40549faf, 32'h40363fbb,32'h405ebfab,// invsqrt(0.0999) = 3.1640 +32'h400418a2,32'h3f2ea074,32'h3f35c120, 32'h3f2947f3,32'h3f3b19a1, 32'h3f205f1c,32'h3f440278,// invsqrt(2.0640) = 0.6961 +32'h40ae890d,32'h3ed6d8d6,32'h3edf9dc4, 32'h3ed04523,32'h3ee63177, 32'h3ec54ef8,32'h3ef127a2,// invsqrt(5.4542) = 0.4282 +32'h40192ad5,32'h3f222bc1,32'h3f28ca47, 32'h3f1d34dd,32'h3f2dc12b, 32'h3f14eeb7,32'h3f360751,// invsqrt(2.3932) = 0.6464 +32'h3ec0332e,32'h3fccbc71,32'h3fd517b9, 32'h3fc677fa,32'h3fdb5c30, 32'h3fbc05e0,32'h3fe5ce4a,// invsqrt(0.3754) = 1.6321 +32'h40563f7e,32'h3f091e76,32'h3f0eb737, 32'h3f04ebe6,32'h3f12e9c8, 32'h3efbd9e9,32'h3f19e8b9,// invsqrt(3.3476) = 0.5466 +32'h3fa53a26,32'h3f5cd0de,32'h3f65d42c, 32'h3f560e65,32'h3f6c96a5, 32'h3f4aca44,32'h3f77dac6,// invsqrt(1.2908) = 0.8802 +32'h3fa9c22b,32'h3f59d94f,32'h3f62bd9b, 32'h3f532e16,32'h3f6968d4, 32'h3f4810b6,32'h3f748634,// invsqrt(1.3262) = 0.8683 +32'h405ac014,32'h3f07b359,32'h3f0d3d47, 32'h3f038be6,32'h3f1164ba, 32'h3ef93ef6,32'h3f185125,// invsqrt(3.4180) = 0.5409 +32'h3f286c90,32'h3f9aa6c4,32'h3fa0f6b8, 32'h3f95eace,32'h3fa5b2ae, 32'h3f8e06de,32'h3fad969e,// invsqrt(0.6579) = 1.2329 +32'h40a0e7b0,32'h3edfc30e,32'h3ee8e524, 32'h3ed8e97e,32'h3eefbeb4, 32'h3ecd7ee3,32'h3efb294f,// invsqrt(5.0283) = 0.4460 +32'h3d23a212,32'h409ce62c,32'h40a34d9b, 32'h40981898,32'h40a81b2e, 32'h4090174c,32'h40b01c7a,// invsqrt(0.0399) = 5.0032 +32'h3f6fd56a,32'h3f81994b,32'h3f86e377, 32'h3f7b4352,32'h3f8adb19, 32'h3f6e09e2,32'h3f9177d1,// invsqrt(0.9369) = 1.0332 +32'h3ffde152,32'h3f322356,32'h3f3968b2, 32'h3f2caf51,32'h3f3edcb7, 32'h3f23989d,32'h3f47f36b,// invsqrt(1.9834) = 0.7101 +32'h3f8bcf2a,32'h3f700cff,32'h3f79d949, 32'h3f68b3c9,32'h3f809940, 32'h3f5c746d,32'h3f86b8ed,// invsqrt(1.0923) = 0.9568 +32'h3f2eea24,32'h3f97c147,32'h3f9df2f7, 32'h3f931c04,32'h3fa2983a, 32'h3f8b5de9,32'h3faa5655,// invsqrt(0.6833) = 1.2098 +32'h3f007374,32'h3fb11656,32'h3fb850b8, 32'h3fabaa8d,32'h3fbdbc81, 32'h3fa2a193,32'h3fc6c57b,// invsqrt(0.5018) = 1.4117 +32'h3f0934da,32'h3fab580d,32'h3fb2566b, 32'h3fa61946,32'h3fb79532, 32'h3f9d5b50,32'h3fc05328,// invsqrt(0.5360) = 1.3659 +32'h3f04922f,32'h3fae5054,32'h3fb56dba, 32'h3fa8fa47,32'h3fbac3c7, 32'h3fa01586,32'h3fc3a888,// invsqrt(0.5179) = 1.3896 +32'h3f631c94,32'h3f852ddf,32'h3f8a9d75, 32'h3f811a2e,32'h3f8eb126, 32'h3f749d65,32'h3f957ca1,// invsqrt(0.8872) = 1.0617 +32'h3f231bbd,32'h3f9d26ba,32'h3fa390cc, 32'h3f98572c,32'h3fa8605a, 32'h3f905296,32'h3fb064f0,// invsqrt(0.6371) = 1.2528 +32'h404ae9ce,32'h3f0ce58d,32'h3f12a5c7, 32'h3f089562,32'h3f16f5f2, 32'h3f016519,32'h3f1e263b,// invsqrt(3.1705) = 0.5616 +32'h407b7408,32'h3efd2356,32'h3f03bc2f, 32'h3ef5638f,32'h3f079c12, 32'h3ee87944,32'h3f0e1138,// invsqrt(3.9290) = 0.5045 +32'h3f1d8760,32'h3f9fe900,32'h3fa66fe6, 32'h3f9b03d4,32'h3fab5512, 32'h3f92db34,32'h3fb37db2,// invsqrt(0.6153) = 1.2748 +32'h3f04fac4,32'h3fae0bbb,32'h3fb52655, 32'h3fa8b7c8,32'h3fba7a48, 32'h3f9fd687,32'h3fc35b89,// invsqrt(0.5195) = 1.3875 +32'h3fd02beb,32'h3f44b9b1,32'h3f4cc145, 32'h3f3eb402,32'h3f52c6f4, 32'h3f34aa88,32'h3f5cd06e,// invsqrt(1.6263) = 0.7841 +32'h3ebbd8b3,32'h3fcf184e,32'h3fd78c3c, 32'h3fc8c15b,32'h3fdde32f, 32'h3fbe3071,32'h3fe87419,// invsqrt(0.3669) = 1.6509 +32'h3f817256,32'h3f797966,32'h3f81d413, 32'h3f71d656,32'h3f85a59b, 32'h3f651be5,32'h3f8c02d3,// invsqrt(1.0113) = 0.9944 +32'h40480b0c,32'h3f0de763,32'h3f13b223, 32'h3f098f53,32'h3f180a33, 32'h3f0251e3,32'h3f1f47a3,// invsqrt(3.1257) = 0.5656 +32'h3c188dc5,32'h41227f27,32'h41292114, 32'h411d85b6,32'h412e1a86, 32'h41153b4e,32'h413664ee,// invsqrt(0.0093) = 10.3633 +32'h3f1988e9,32'h3fa1fa0a,32'h3fa89688, 32'h3f9d04ac,32'h3fad8be6, 32'h3f94c10e,32'h3fb5cf84,// invsqrt(0.5997) = 1.2913 +32'h403739cb,32'h3f1445f3,32'h3f1a5341, 32'h3f0fbbf9,32'h3f1edd3b, 32'h3f082b57,32'h3f266ddd,// invsqrt(2.8629) = 0.5910 +32'h3fe8c730,32'h3f3a098b,32'h3f41a172, 32'h3f34579f,32'h3f47535f, 32'h3f2ad9bf,32'h3f50d13f,// invsqrt(1.8186) = 0.7415 +32'h3f4fd8c3,32'h3f8b36e9,32'h3f90e58f, 32'h3f86f3ec,32'h3f95288c, 32'h3f7fb339,32'h3f9c42dc,// invsqrt(0.8119) = 1.1098 +32'h3f869ae1,32'h3f74a5b6,32'h3f7ea206, 32'h3f6d2879,32'h3f830fa1, 32'h3f60ad15,32'h3f894d54,// invsqrt(1.0516) = 0.9752 +32'h3f97c37c,32'h3f66670b,32'h3f6fce83, 32'h3f5f5970,32'h3f76dc1e, 32'h3f53981a,32'h3f814eba,// invsqrt(1.1857) = 0.9184 +32'h3f7aa5bb,32'h3f7d8b6e,32'h3f83f25b, 32'h3f75c878,32'h3f87d3d6, 32'h3f68d8dd,32'h3f8e4ba4,// invsqrt(0.9791) = 1.0106 +32'h3f1461f5,32'h3fa4c3cb,32'h3fab7d6b, 32'h3f9fb893,32'h3fb088a3, 32'h3f97508c,32'h3fb8f0ab,// invsqrt(0.5796) = 1.3135 +32'h400fbc38,32'h3f276845,32'h3f2e3d82, 32'h3f224859,32'h3f335d6f, 32'h3f19bdcd,32'h3f3be7fb,// invsqrt(2.2459) = 0.6673 +32'h3e7d440e,32'h3ffc3b06,32'h4003434a, 32'h3ff4825d,32'h40071f9f, 32'h3fe7a3ed,32'h400d8ed8,// invsqrt(0.2473) = 2.0108 +32'h3f3993e4,32'h3f9354a6,32'h3f99581a, 32'h3f8ed20e,32'h3f9ddab2, 32'h3f874dbd,32'h3fa55f03,// invsqrt(0.7249) = 1.1745 +32'h3e40b54e,32'h40109448,32'h40167afd, 32'h400c2741,32'h401ae805, 32'h4004c6e0,32'h40224866,// invsqrt(0.1882) = 2.3052 +32'h3fda4768,32'h3f401de0,32'h3f47f54c, 32'h3f3a3c4e,32'h3f4dd6de, 32'h3f306f07,32'h3f57a425,// invsqrt(1.7053) = 0.7658 +32'h3e6e2bd2,32'h40020ce2,32'h40075bc6, 32'h3ffc236c,32'h400b56f2, 32'h3feede31,32'h4011f990,// invsqrt(0.2326) = 2.0735 +32'h3f89e0d6,32'h3f71b9d2,32'h3f7b979c, 32'h3f6a537b,32'h3f817efa, 32'h3f5dfe3e,32'h3f87a998,// invsqrt(1.0772) = 0.9635 +32'h40995d60,32'h3ee53257,32'h3eee8d36, 32'h3ede2e30,32'h3ef5915e, 32'h3ed27c9a,32'h3f00a17a,// invsqrt(4.7926) = 0.4568 +32'h408a9a09,32'h3ef1181d,32'h3efaef4d, 32'h3ee9b6b9,32'h3f012859, 32'h3edd69bc,32'h3f074ed7,// invsqrt(4.3313) = 0.4805 +32'h3e622870,32'h400575ae,32'h400ae832, 32'h40015fca,32'h400efe16, 32'h3ff5214a,32'h4015cd3b,// invsqrt(0.2209) = 2.1279 +32'h3e8acc94,32'h3ff0ec33,32'h3ffac199, 32'h3fe98c27,32'h400110d2, 32'h3fdd4169,32'h40073632,// invsqrt(0.2711) = 1.9206 +32'h3f87cb3a,32'h3f7392f3,32'h3f7d840d, 32'h3f6c1e20,32'h3f827c70, 32'h3f5fb0c0,32'h3f88b320,// invsqrt(1.0609) = 0.9709 +32'h3ff25875,32'h3f3653fc,32'h3f3dc520, 32'h3f30bf20,32'h3f4359fc, 32'h3f2771b3,32'h3f4ca769,// invsqrt(1.8933) = 0.7268 +32'h3f59cc55,32'h3f87ff33,32'h3f8d8c3b, 32'h3f83d56e,32'h3f91b600, 32'h3f79ca49,32'h3f98a649,// invsqrt(0.8508) = 1.0842 +32'h3ff8ae01,32'h3f33fdb4,32'h3f3b566c, 32'h3f2e7b29,32'h3f40d8f7, 32'h3f254c42,32'h3f4a07de,// invsqrt(1.9428) = 0.7174 +32'h402c9027,32'h3f18c915,32'h3f1f0589, 32'h3f141bbe,32'h3f23b2e0, 32'h3f0c502e,32'h3f2b7e70,// invsqrt(2.6963) = 0.6090 +32'h3e6dfd62,32'h40021991,32'h400768fb, 32'h3ffc3c05,32'h400b648a, 32'h3feef57e,32'h401207cd,// invsqrt(0.2324) = 2.0743 +32'h3f035738,32'h3faf20d9,32'h3fb646c3, 32'h3fa9c46a,32'h3fbba332, 32'h3fa0d506,32'h3fc49296,// invsqrt(0.5130) = 1.3961 +32'h3e753c5f,32'h400029d6,32'h40056503, 32'h3ff87ae7,32'h40095165, 32'h3feb66f6,32'h400fdb5d,// invsqrt(0.2395) = 2.0434 +32'h3eecd914,32'h3fb86e95,32'h3fbff5b6, 32'h3fb2c93e,32'h3fc59b0e, 32'h3fa96055,32'h3fcf03f7,// invsqrt(0.4626) = 1.4703 +32'h40980611,32'h3ee63491,32'h3eef99fa, 32'h3edf2882,32'h3ef6a608, 32'h3ed369be,32'h3f013266,// invsqrt(4.7507) = 0.4588 +32'h3d52ffce,32'h408a2bab,32'h408fcf69, 32'h4085f0dd,32'h40940a37, 32'h407dc85f,32'h409b16e5,// invsqrt(0.0515) = 4.4059 +32'h40226c7a,32'h3f1d7b6d,32'h3f23e8f4, 32'h3f18a947,32'h3f28bb19, 32'h3f10a05e,32'h3f30c402,// invsqrt(2.5379) = 0.6277 +32'h3f56104e,32'h3f892d93,32'h3f8ec6f1, 32'h3f84fa8c,32'h3f92f9f8, 32'h3f7bf5aa,32'h3f99f9af,// invsqrt(0.8362) = 1.0936 +32'h4268a6e4,32'h3e03957a,32'h3e08f464, 32'h3dff1c93,32'h3e0cfb95, 32'h3df1af48,32'h3e13b23a,// invsqrt(58.1630) = 0.1311 +32'h41212892,32'h3e9e1960,32'h3ea48d5a, 32'h3e994265,32'h3ea96455, 32'h3e91316d,32'h3eb1754d,// invsqrt(10.0724) = 0.3151 +32'h3f30b875,32'h3f96fa44,32'h3f9d23d4, 32'h3f925b18,32'h3fa1c300, 32'h3f8aa724,32'h3fa976f4,// invsqrt(0.6903) = 1.2036 +32'h40a1d1f5,32'h3edf20db,32'h3ee83c51, 32'h3ed84c42,32'h3eef10ea, 32'h3ecce9ed,32'h3efa733f,// invsqrt(5.0569) = 0.4447 +32'h3f85da6d,32'h3f755557,32'h3f7f58d3, 32'h3f6dd2ba,32'h3f836db8, 32'h3f614e60,32'h3f89afe5,// invsqrt(1.0457) = 0.9779 +32'h3fccbd86,32'h3f465df1,32'h3f4e76ad, 32'h3f404b65,32'h3f548939, 32'h3f362c7a,32'h3f5ea824,// invsqrt(1.5995) = 0.7907 +32'h3e841362,32'h3ff6fa8e,32'h4000879d, 32'h3fef6b0c,32'h40044f5e, 32'h3fe2d134,32'h400a9c4a,// invsqrt(0.2580) = 1.9689 +32'h3f3372f8,32'h3f95d34c,32'h3f9bf0d2, 32'h3f913d28,32'h3fa086f6, 32'h3f899841,32'h3fa82bdd,// invsqrt(0.7010) = 1.1944 +32'h407de174,32'h3efbecca,32'h3f031a93, 32'h3ef43685,32'h3f06f5b5, 32'h3ee75c12,32'h3f0d62ef,// invsqrt(3.9669) = 0.5021 +32'h413d778c,32'h3e91cf87,32'h3e97c319, 32'h3e8d58d9,32'h3e9c39c7, 32'h3e85e862,32'h3ea3aa3e,// invsqrt(11.8417) = 0.2906 +32'h40675e36,32'h3f03f2cf,32'h3f095589, 32'h3effd187,32'h3f0d5f95, 32'h3ef25ab6,32'h3f141afd,// invsqrt(3.6151) = 0.5259 +32'h3e7daa5c,32'h3ffc0824,32'h400328cf, 32'h3ff4510a,32'h4007045d, 32'h3fe77532,32'h400d7249,// invsqrt(0.2477) = 2.0092 +32'h3f65247c,32'h3f849673,32'h3f89ffdb, 32'h3f808765,32'h3f8e0ee9, 32'h3f738746,32'h3f94d2ab,// invsqrt(0.8951) = 1.0570 +32'h3f2ec388,32'h3f97d20a,32'h3f9e0468, 32'h3f932c43,32'h3fa2aa2f, 32'h3f8b6d4d,32'h3faa6925,// invsqrt(0.6827) = 1.2103 +32'h3f8dd51e,32'h3f6e551b,32'h3f780f6f, 32'h3f67095b,32'h3f7f5b2f, 32'h3f5ae071,32'h3f85c20c,// invsqrt(1.1081) = 0.9500 +32'h3f02f8cd,32'h3faf5fee,32'h3fb6886a, 32'h3faa0190,32'h3fbbe6c8, 32'h3fa10ef4,32'h3fc4d964,// invsqrt(0.5116) = 1.3981 +32'h401d18e0,32'h3f202133,32'h3f26aa65, 32'h3f1b3a4f,32'h3f2b9149, 32'h3f130ed1,32'h3f33bcc7,// invsqrt(2.4546) = 0.6383 +32'h403d13f6,32'h3f11f5e8,32'h3f17eb0c, 32'h3f0d7e0e,32'h3f1c62e6, 32'h3f060ba1,32'h3f23d553,// invsqrt(2.9543) = 0.5818 +32'h3fa717a3,32'h3f5b947a,32'h3f648ade, 32'h3f54dbb0,32'h3f6b43a8, 32'h3f49a7b4,32'h3f7677a4,// invsqrt(1.3054) = 0.8752 +32'h3f8e90e4,32'h3f6db7f3,32'h3f776bdd, 32'h3f667103,32'h3f7eb2cd, 32'h3f5a501e,32'h3f8569d9,// invsqrt(1.1138) = 0.9475 +32'h3f6b129d,32'h3f82e794,32'h3f883f66, 32'h3f7dcb6e,32'h3f8c4143, 32'h3f706fe1,32'h3f92ef0a,// invsqrt(0.9183) = 1.0436 +32'h3f7dd501,32'h3f7bf2f8,32'h3f831dca, 32'h3f743c82,32'h3f86f905, 32'h3f6761bf,32'h3f8d6666,// invsqrt(0.9915) = 1.0043 +32'h403acd57,32'h3f12d8d6,32'h3f18d73d, 32'h3f0e5a0a,32'h3f1d560a, 32'h3f06dc09,32'h3f24d40b,// invsqrt(2.9188) = 0.5853 +32'h3fc0ca46,32'h3f4c6c27,32'h3f54c429, 32'h3f462a26,32'h3f5b062a, 32'h3f3bbc24,32'h3f65742c,// invsqrt(1.5062) = 0.8148 +32'h3f7435ad,32'h3f806eb2,32'h3f85acae, 32'h3f790068,32'h3f899b2c, 32'h3f6be570,32'h3f9028a8,// invsqrt(0.9539) = 1.0239 +32'h3f2565ff,32'h3f9c0f3f,32'h3fa26de8, 32'h3f97483f,32'h3fa734e7, 32'h3f8f51eb,32'h3faf2b3b,// invsqrt(0.6461) = 1.2441 +32'h3fc42777,32'h3f4aa977,32'h3f52ef13, 32'h3f447542,32'h3f592348, 32'h3f3a1e3e,32'h3f637a4c,// invsqrt(1.5325) = 0.8078 +32'h3e7dfca2,32'h3ffbdf4f,32'h4003138f, 32'h3ff42973,32'h4006ee7c, 32'h3fe74fb1,32'h400d5b5e,// invsqrt(0.2480) = 2.0079 +32'h40a57a61,32'h3edca5ff,32'h3ee5a78d, 32'h3ed5e4d6,32'h3eec68b6, 32'h3ecaa2e5,32'h3ef7aaa7,// invsqrt(5.1712) = 0.4397 +32'h3eb34d89,32'h3fd3f8b2,32'h3fdc9f94, 32'h3fcd7b88,32'h3fe31cbe, 32'h3fc2aaec,32'h3feded5a,// invsqrt(0.3502) = 1.6898 +32'h3e2252a4,32'h401d87f5,32'h4023f5ff, 32'h4018b56e,32'h4028c886, 32'h4010abe1,32'h4030d213,// invsqrt(0.1585) = 2.5117 +32'h3d2276a5,32'h409d767f,32'h40a3e3d3, 32'h4098a481,32'h40a8b5d1, 32'h40909bd8,32'h40b0be7a,// invsqrt(0.0397) = 5.0211 +32'h3fa09bef,32'h3f5ff7cd,32'h3f691c0a, 32'h3f591ca0,32'h3f6ff738, 32'h3f4daf54,32'h3f7b6484,// invsqrt(1.2548) = 0.8927 +32'h3f5ed189,32'h3f8674c1,32'h3f8bf1af, 32'h3f82570f,32'h3f900f61, 32'h3f76f5cb,32'h3f96eb8a,// invsqrt(0.8704) = 1.0719 +32'h3e56f4d8,32'h4008e493,32'h400e7af7, 32'h4004b3c8,32'h4012abc2, 32'h3ffb6f96,32'h4019a7bf,// invsqrt(0.2099) = 2.1826 +32'h3f7b05a7,32'h3f7d5af8,32'h3f83d922, 32'h3f75997c,32'h3f87b9e0, 32'h3f68ac5b,32'h3f8e3070,// invsqrt(0.9806) = 1.0099 +32'h3f04cd68,32'h3fae2971,32'h3fb54542, 32'h3fa8d496,32'h3fba9a1e, 32'h3f9ff1d1,32'h3fc37ce3,// invsqrt(0.5188) = 1.3884 +32'h3ea110b8,32'h3fdfa68c,32'h3fe8c778, 32'h3fd8cddc,32'h3fefa028, 32'h3fcd64b5,32'h3ffb094f,// invsqrt(0.3146) = 1.7829 +32'h3d2f62af,32'h40978d17,32'h409dbca6, 32'h4092e96d,32'h40a26051, 32'h408b2dfc,32'h40aa1bc2,// invsqrt(0.0428) = 4.8326 +32'h40368c09,32'h3f148c73,32'h3f1a9ca2, 32'h3f100051,32'h3f1f28c5, 32'h3f086c17,32'h3f26bcff,// invsqrt(2.8523) = 0.5921 +32'h3ebfa96e,32'h3fcd05f7,32'h3fd5643f, 32'h3fc6bf40,32'h3fdbaaf6, 32'h3fbc4965,32'h3fe620d1,// invsqrt(0.3743) = 1.6344 +32'h3f3150f4,32'h3f96b94a,32'h3f9ce032, 32'h3f921c1b,32'h3fa17d61, 32'h3f8a6b78,32'h3fa92e04,// invsqrt(0.6926) = 1.2016 +32'h3f21d57e,32'h3f9dc4d2,32'h3fa43558, 32'h3f98f06e,32'h3fa909bc, 32'h3f90e3c6,32'h3fb11664,// invsqrt(0.6322) = 1.2577 +32'h3cf19093,32'h40b69f5b,32'h40be1393, 32'h40b10831,32'h40c3aabd, 32'h40a7b6eb,32'h40ccfc03,// invsqrt(0.0295) = 5.8234 +32'h3f896db0,32'h3f721f02,32'h3f7c00ed, 32'h3f6ab591,32'h3f81b52e, 32'h3f5e5b2b,32'h3f87e261,// invsqrt(1.0737) = 0.9651 +32'h4216f8f4,32'h3e235872,32'h3e2a033e, 32'h3e1e585a,32'h3e2f0356, 32'h3e1602dc,32'h3e3758d4,// invsqrt(37.7431) = 0.1628 +32'h3ffd5b96,32'h3f325254,32'h3f39999b, 32'h3f2cdcde,32'h3f3f0f10, 32'h3f23c3c5,32'h3f482829,// invsqrt(1.9794) = 0.7108 +32'h3f5805f0,32'h3f888df0,32'h3f8e20ca, 32'h3f845fcc,32'h3f924eee, 32'h3f7ad074,32'h3f994680,// invsqrt(0.8438) = 1.0886 +32'h411c4339,32'h3ea08e86,32'h3ea71c2e, 32'h3e9ba449,32'h3eac066b, 32'h3e937337,32'h3eb4377d,// invsqrt(9.7664) = 0.3200 +32'h40178458,32'h3f230d3e,32'h3f29b4f7, 32'h3f1e0f72,32'h3f2eb2c2, 32'h3f15bdcb,32'h3f370469,// invsqrt(2.3675) = 0.6499 +32'h3d6389e5,32'h40850ddd,32'h408a7c25, 32'h4080fb27,32'h408e8edb, 32'h4074629b,32'h409558b4,// invsqrt(0.0556) = 4.2428 +32'h3f725fef,32'h3f80eaeb,32'h3f862df9, 32'h3f79f13f,32'h3f8a2044, 32'h3f6cc99a,32'h3f90b417,// invsqrt(0.9468) = 1.0277 +32'h40ebe376,32'h3eb8ce81,32'h3ec0598c, 32'h3eb3263a,32'h3ec601d4, 32'h3ea9b86d,32'h3ecf6fa1,// invsqrt(7.3715) = 0.3683 +32'h3e1255c4,32'h4025e9df,32'h402caf80, 32'h4020d5a7,32'h4031c3b9, 32'h40185e9e,32'h403a3ac2,// invsqrt(0.1429) = 2.6453 +32'h3f720f71,32'h3f810058,32'h3f864446, 32'h3f7a1ac9,32'h3f8a3739, 32'h3f6cf0f5,32'h3f90cc24,// invsqrt(0.9455) = 1.0284 +32'h3f90b781,32'h3f6bf206,32'h3f75936a, 32'h3f64b8fc,32'h3f7ccc74, 32'h3f58af3f,32'h3f846b18,// invsqrt(1.1306) = 0.9405 +32'h3fb4e8ad,32'h3f53074a,32'h3f5ba453, 32'h3f4c9185,32'h3f621a19, 32'h3f41cd3a,32'h3f6cde64,// invsqrt(1.4134) = 0.8412 +32'h3e60e9de,32'h4005d411,32'h400b4a6f, 32'h4001bb4a,32'h400f6336, 32'h3ff5cea6,32'h4016372d,// invsqrt(0.2196) = 2.1337 +32'h3f4b9a21,32'h3f8ca87d,32'h3f926639, 32'h3f885a30,32'h3f96b486, 32'h3f812d05,32'h3f9de1b1,// invsqrt(0.7953) = 1.1213 +32'h3f393133,32'h3f937be3,32'h3f9980f1, 32'h3f8ef818,32'h3f9e04bc, 32'h3f8771c6,32'h3fa58b0e,// invsqrt(0.7234) = 1.1757 +32'h3ff74864,32'h3f347fab,32'h3f3bddb2, 32'h3f2ef926,32'h3f416438, 32'h3f25c39e,32'h3f4a99c1,// invsqrt(1.9319) = 0.7195 +32'h3e93d706,32'h3fe9709f,32'h3ff2f7d5, 32'h3fe24b37,32'h3ffa1d3d, 32'h3fd66234,32'h40030320,// invsqrt(0.2887) = 1.8610 +32'h3fae3f79,32'h3f57062d,32'h3f5fccf6, 32'h3f507118,32'h3f66620c, 32'h3f45789d,32'h3f715a87,// invsqrt(1.3613) = 0.8571 +32'h3feeb71d,32'h3f37b58e,32'h3f3f3521, 32'h3f3215e0,32'h3f44d4d0, 32'h3f28b669,32'h3f4e3447,// invsqrt(1.8650) = 0.7323 +32'h3e832e3c,32'h3ff7d1e6,32'h4000f7af, 32'h3ff03bcd,32'h4004c2bb, 32'h3fe396f8,32'h400b1526,// invsqrt(0.2562) = 1.9756 +32'h3f137860,32'h3fa54614,32'h3fac0506, 32'h3fa036e0,32'h3fb1143a, 32'h3f97c832,32'h3fb982e8,// invsqrt(0.5761) = 1.3176 +32'h40dc705f,32'h3ebf2c52,32'h3ec6f9e3, 32'h3eb95226,32'h3eccd410, 32'h3eaf9132,32'h3ed69504,// invsqrt(6.8887) = 0.3810 +32'h3f96b542,32'h3f67353e,32'h3f70a522, 32'h3f602154,32'h3f77b90c, 32'h3f545578,32'h3f81c274,// invsqrt(1.1774) = 0.9216 +32'h4062a3f3,32'h3f05514b,32'h3f0ac253, 32'h3f013c85,32'h3f0ed719, 32'h3ef4de75,32'h3f15a464,// invsqrt(3.5413) = 0.5314 +32'h3fbfa308,32'h3f4d0963,32'h3f5567cf, 32'h3f46c291,32'h3f5baea1, 32'h3f3c4c8a,32'h3f6624a8,// invsqrt(1.4972) = 0.8173 +32'h3f5a3024,32'h3f87e014,32'h3f8d6bd6, 32'h3f83b742,32'h3f9194a8, 32'h3f79911f,32'h3f98835a,// invsqrt(0.8523) = 1.0832 +32'h3f97de1e,32'h3f6652d6,32'h3f6fb97c, 32'h3f5f45da,32'h3f76c678, 32'h3f53858b,32'h3f814363,// invsqrt(1.1865) = 0.9181 +32'h3f19e6bd,32'h3fa1c8a3,32'h3fa8631d, 32'h3f9cd4c8,32'h3fad56f8, 32'h3f9493b0,32'h3fb59810,// invsqrt(0.6012) = 1.2897 +32'h3fc4b528,32'h3f4a606c,32'h3f52a30e, 32'h3f442e74,32'h3f58d506, 32'h3f39db2a,32'h3f632850,// invsqrt(1.5368) = 0.8067 +32'h3f00b97e,32'h3fb0e622,32'h3fb81e8c, 32'h3fab7bd3,32'h3fbd88db, 32'h3fa2754e,32'h3fc68f60,// invsqrt(0.5028) = 1.4102 +32'h3feffb06,32'h3f37396a,32'h3f3eb3eb, 32'h3f319d88,32'h3f444fcc, 32'h3f284466,32'h3f4da8ee,// invsqrt(1.8748) = 0.7303 +32'h3ede2423,32'h3fbe7074,32'h3fc6365a, 32'h3fb89c08,32'h3fcc0ac6, 32'h3faee4aa,32'h3fd5c225,// invsqrt(0.4339) = 1.5182 +32'h3f9f8f49,32'h3f60b40a,32'h3f69dff6, 32'h3f59d31a,32'h3f70c0e6, 32'h3f4e5c33,32'h3f7c37cd,// invsqrt(1.2466) = 0.8957 +32'h409c4d12,32'h3ee30898,32'h3eec4cdc, 32'h3edc1564,32'h3ef34010, 32'h3ed0800e,32'h3efed566,// invsqrt(4.8844) = 0.4525 +32'h3e71617e,32'h40012ecb,32'h4006749f, 32'h3ffa74d8,32'h400a68fe, 32'h3fed4646,32'h40110047,// invsqrt(0.2357) = 2.0597 +32'h3fa4f68c,32'h3f5cfe19,32'h3f66033e, 32'h3f563a3c,32'h3f6cc71a, 32'h3f4af3cd,32'h3f780d89,// invsqrt(1.2888) = 0.8809 +32'h3f7acd87,32'h3f7d774f,32'h3f83e7e3, 32'h3f75b4f6,32'h3f87c90f, 32'h3f68c663,32'h3f8e4059,// invsqrt(0.9797) = 1.0103 +32'h3e85d37a,32'h3ff55bb6,32'h3fff5f74, 32'h3fedd8e7,32'h40037121, 32'h3fe15439,32'h4009b378,// invsqrt(0.2614) = 1.9560 +32'h3f89bcc3,32'h3f71d978,32'h3f7bb88c, 32'h3f6a7228,32'h3f818fee, 32'h3f5e1b4f,32'h3f87bb5b,// invsqrt(1.0761) = 0.9640 +32'h4127d3ca,32'h3e9aed18,32'h3ea13fea, 32'h3e962efb,32'h3ea5fe07, 32'h3e8e4774,32'h3eade58e,// invsqrt(10.4892) = 0.3088 +32'h3fc83f55,32'h3f48947a,32'h3f50c455, 32'h3f427095,32'h3f56e839, 32'h3f3834c3,32'h3f61240b,// invsqrt(1.5644) = 0.7995 +32'h4082dff7,32'h3ef81bf6,32'h3f011e39, 32'h3ef08398,32'h3f04ea68, 32'h3ee3dafc,32'h3f0b3eb6,// invsqrt(4.0898) = 0.4945 +32'h3f8246d6,32'h3f78ad9c,32'h3f816a05, 32'h3f7110c8,32'h3f85386f, 32'h3f6460be,32'h3f8b9074,// invsqrt(1.0178) = 0.9912 +32'h3f502d45,32'h3f8b1aa4,32'h3f90c823, 32'h3f86d885,32'h3f950a43, 32'h3f7f7f4e,32'h3f9c2321,// invsqrt(0.8132) = 1.1089 +32'h3f078d6b,32'h3fac62db,32'h3fb36c1e, 32'h3fa71bea,32'h3fb8b310, 32'h3f9e5057,32'h3fc17ea3,// invsqrt(0.5295) = 1.3743 +32'h3f507306,32'h3f8b035c,32'h3f90afe8, 32'h3f86c1f4,32'h3f94f150, 32'h3f7f548a,32'h3f9c08ff,// invsqrt(0.8143) = 1.1082 +32'h3e9cc5ef,32'h3fe2b103,32'h3febf1b5, 32'h3fdbc07e,32'h3ff2e23a, 32'h3fd02fa0,32'h3ffe7318,// invsqrt(0.3062) = 1.8072 +32'h3f7a126a,32'h3f7dd611,32'h3f841933, 32'h3f7610d3,32'h3f87fbd3, 32'h3f691d69,32'h3f8e7587,// invsqrt(0.9768) = 1.0118 +32'h3f85345b,32'h3f75ee16,32'h3f7ff7ce, 32'h3f6e66cc,32'h3f83bf8c, 32'h3f61daa7,32'h3f8a059f,// invsqrt(1.0407) = 0.9803 +32'h3f15d0ef,32'h3fa3f983,32'h3faaaae1, 32'h3f9ef47c,32'h3fafafe8, 32'h3f9696c7,32'h3fb80d9d,// invsqrt(0.5852) = 1.3072 +32'h410e54ac,32'h3ea83b33,32'h3eaf190b, 32'h3ea314d1,32'h3eb43f6d, 32'h3e9a7f82,32'h3ebcd4bc,// invsqrt(8.8957) = 0.3353 +32'h3f6b94a8,32'h3f82c36e,32'h3f8819c6, 32'h3f7d8558,32'h3f8c1a88, 32'h3f702d7c,32'h3f92c676,// invsqrt(0.9202) = 1.0424 +32'h3d5e13a5,32'h4086ae31,32'h408c2d77, 32'h40828ebd,32'h40904ceb, 32'h40775f4a,32'h40972c03,// invsqrt(0.0542) = 4.2947 +32'h3ef551ea,32'h3fb53827,32'h3fbc9db6, 32'h3fafabfd,32'h3fc229e1, 32'h3fa66d0a,32'h3fcb68d4,// invsqrt(0.4791) = 1.4447 +32'h3fa3a4db,32'h3f5de1a8,32'h3f66f017, 32'h3f5716d4,32'h3f6dbaea, 32'h3f4bc4c9,32'h3f790cf5,// invsqrt(1.2785) = 0.8844 +32'h3ec460a3,32'h3fca8bf5,32'h3fd2d05d, 32'h3fc458a7,32'h3fd903ab, 32'h3fba0325,32'h3fe3592d,// invsqrt(0.3835) = 1.6147 +32'h3f75acff,32'h3f800c72,32'h3f85466c, 32'h3f7841ec,32'h3f8931e8, 32'h3f6b30fb,32'h3f8fba60,// invsqrt(0.9597) = 1.0208 +32'h3ed24128,32'h3fc3bf9b,32'h3fcbbcfb, 32'h3fbdc194,32'h3fd1bb02, 32'h3fb3c4dd,32'h3fdbb7b9,// invsqrt(0.4107) = 1.5605 +32'h3e9e5176,32'h3fe19525,32'h3feaca41, 32'h3fdaad50,32'h3ff1b216, 32'h3fcf2aee,32'h3ffd3478,// invsqrt(0.3092) = 1.7983 +32'h40740e65,32'h3f007907,32'h3f05b770, 32'h3ef91472,32'h3f09a63f, 32'h3eebf86c,32'h3f103442,// invsqrt(3.8134) = 0.5121 +32'h3fb07ff6,32'h3f55a5e4,32'h3f5e5e4c, 32'h3f4f1b97,32'h3f64e899, 32'h3f443516,32'h3f6fcf1a,// invsqrt(1.3789) = 0.8516 +32'h3fb30000,32'h3f542696,32'h3f5ccf58, 32'h3f4da804,32'h3f634dea, 32'h3f42d512,32'h3f6e20dd,// invsqrt(1.3984) = 0.8456 +32'h4052edd5,32'h3f0a318e,32'h3f0fd58a, 32'h3f05f692,32'h3f141086, 32'h3efdd32f,32'h3f1b1d80,// invsqrt(3.2958) = 0.5508 +32'h3eb29067,32'h3fd468d7,32'h3fdd144d, 32'h3fcde83e,32'h3fe394e6, 32'h3fc311ea,32'h3fee6b3a,// invsqrt(0.3488) = 1.6933 +32'h3f33dba7,32'h3f95a7ac,32'h3f9bc36a, 32'h3f9112de,32'h3fa05838, 32'h3f897030,32'h3fa7fae6,// invsqrt(0.7026) = 1.1930 +32'h410a13ae,32'h3eaacd93,32'h3eb1c64b, 32'h3ea59309,32'h3eb700d5, 32'h3e9cdc24,32'h3ebfb7ba,// invsqrt(8.6298) = 0.3404 +32'h3faae139,32'h3f592208,32'h3f61fed9, 32'h3f527c6a,32'h3f68a476, 32'h3f476865,32'h3f73b87b,// invsqrt(1.3350) = 0.8655 +32'h3f3ddf0f,32'h3f91a7c3,32'h3f9799b6, 32'h3f8d324c,32'h3f9c0f2c, 32'h3f85c3dc,32'h3fa37d9c,// invsqrt(0.7417) = 1.1612 +32'h3f538417,32'h3f8a006f,32'h3f8fa26a, 32'h3f85c6f4,32'h3f93dbe6, 32'h3f7d78f7,32'h3f9ae65e,// invsqrt(0.8262) = 1.1001 +32'h4000e7c2,32'h3f30c661,32'h3f37fd7f, 32'h3f2b5d0a,32'h3f3d66d6, 32'h3f225825,32'h3f466bbb,// invsqrt(2.0141) = 0.7046 +32'h3f06b47b,32'h3faced73,32'h3fb3fc5e, 32'h3fa7a244,32'h3fb9478e, 32'h3f9ecf9e,32'h3fc21a34,// invsqrt(0.5262) = 1.3786 +32'h3f4156a4,32'h3f9057e9,32'h3f963c27, 32'h3f8becbb,32'h3f9aa755, 32'h3f848f6e,32'h3fa204a2,// invsqrt(0.7552) = 1.1507 +32'h3f8f804d,32'h3f6cf154,32'h3f769d23, 32'h3f65b078,32'h3f7dddfe, 32'h3f5999b5,32'h3f84fa60,// invsqrt(1.1211) = 0.9444 +32'h3f092067,32'h3fab64d3,32'h3fb263b7, 32'h3fa625a8,32'h3fb7a2e2, 32'h3f9d670b,32'h3fc0617f,// invsqrt(0.5357) = 1.3663 +32'h40a6ae50,32'h3edbd9d0,32'h3ee4d307, 32'h3ed51ee6,32'h3eeb8df0, 32'h3ec9e760,32'h3ef6c576,// invsqrt(5.2088) = 0.4382 +32'h3fb83d22,32'h3f511cdc,32'h3f59a5e0, 32'h3f4ab619,32'h3f600ca3, 32'h3f400ad5,32'h3f6ab7e7,// invsqrt(1.4394) = 0.8335 +32'h40498bb9,32'h3f0d5fb6,32'h3f1324ec, 32'h3f090bcd,32'h3f1778d5, 32'h3f01d549,32'h3f1eaf59,// invsqrt(3.1492) = 0.5635 +32'h3ecbc1c1,32'h3fc6d859,32'h3fcef613, 32'h3fc0c20d,32'h3fd50c5f, 32'h3fb69ce4,32'h3fdf3188,// invsqrt(0.3980) = 1.5852 +32'h3f29b605,32'h3f9a105f,32'h3fa05a2f, 32'h3f955903,32'h3fa5118b, 32'h3f8d7cc0,32'h3facedce,// invsqrt(0.6629) = 1.2282 +32'h441bab5b,32'h3d20dcc4,32'h3d276d9e, 32'h3d1bf022,32'h3d2c5a40, 32'h3d13bb12,32'h3d348f50,// invsqrt(622.6774) = 0.0401 +32'h4110783d,32'h3ea6fb32,32'h3eadcbfb, 32'h3ea1de9c,32'h3eb2e892, 32'h3e9959a2,32'h3ebb6d8d,// invsqrt(9.0294) = 0.3328 +32'h40146a5a,32'h3f24bf22,32'h3f2b7892, 32'h3f1fb40f,32'h3f3083a5, 32'h3f174c44,32'h3f38eb70,// invsqrt(2.3190) = 0.6567 +32'h408c4ad5,32'h3eefa31a,32'h3ef96b11, 32'h3ee84d22,32'h3f006085, 32'h3edc132d,32'h3f067d7f,// invsqrt(4.3841) = 0.4776 +32'h411b8388,32'h3ea0f15c,32'h3ea7830c, 32'h3e9c0418,32'h3eac7050, 32'h3e93cdfc,32'h3eb4a66c,// invsqrt(9.7196) = 0.3208 +32'h40879e7a,32'h3ef3bb1f,32'h3efdaddd, 32'h3eec4511,32'h3f0291f5, 32'h3edfd5a5,32'h3f08c9ac,// invsqrt(4.2381) = 0.4858 +32'h41278e18,32'h3e9b0d4d,32'h3ea16170, 32'h3e964e34,32'h3ea6208a, 32'h3e8e6509,32'h3eae09b5,// invsqrt(10.4722) = 0.3090 +32'h3ee7b314,32'h3fba7843,32'h3fc214af, 32'h3fb4c2f3,32'h3fc7c9ff, 32'h3fab3f6d,32'h3fd14d85,// invsqrt(0.4525) = 1.4865 +32'h3df82b35,32'h40342d1c,32'h403b87c4, 32'h402ea91e,32'h40410bc2, 32'h402577cb,32'h404a3d15,// invsqrt(0.1212) = 2.8727 +32'h3ea19403,32'h3fdf4b9c,32'h3fe868d2, 32'h3fd875b4,32'h3fef3eba, 32'h3fcd1132,32'h3ffaa33d,// invsqrt(0.3156) = 1.7801 +32'h3fbebe40,32'h3f4d8434,32'h3f55e7a4, 32'h3f4739a0,32'h3f5c3238, 32'h3f3cbd54,32'h3f66ae84,// invsqrt(1.4902) = 0.8192 +32'h3edb37b8,32'h3fbfb476,32'h3fc78794, 32'h3fb9d61e,32'h3fcd65ec, 32'h3fb00e38,32'h3fd72dd2,// invsqrt(0.4282) = 1.5283 +32'h3f2b3afe,32'h3f9960fe,32'h3f9fa3a5, 32'h3f94af00,32'h3fa455a2, 32'h3f8cdbaf,32'h3fac28f3,// invsqrt(0.6689) = 1.2227 +32'h4137abbe,32'h3e9417ed,32'h3e9a235a, 32'h3e8f8f5c,32'h3e9eabec, 32'h3e880114,32'h3ea63a34,// invsqrt(11.4794) = 0.2951 +32'h3f3488c0,32'h3f955fdc,32'h3f9b78ab, 32'h3f90cd40,32'h3fa00b46, 32'h3f892e3c,32'h3fa7aa4a,// invsqrt(0.7052) = 1.1908 +32'h3fa39d6f,32'h3f5de6b0,32'h3f66f554, 32'h3f571bb5,32'h3f6dc04f, 32'h3f4bc968,32'h3f79129c,// invsqrt(1.2782) = 0.8845 +32'h408666ec,32'h3ef4d4fb,32'h3efed339, 32'h3eed564c,32'h3f0328f4, 32'h3ee0d87e,32'h3f0967db,// invsqrt(4.2001) = 0.4879 +32'h3f73891e,32'h3f809c2a,32'h3f85dc02, 32'h3f795890,32'h3f89cbe4, 32'h3f6c38f4,32'h3f905bb2,// invsqrt(0.9513) = 1.0253 +32'h3f2508da,32'h3f9c3b43,32'h3fa29bb8, 32'h3f9772ea,32'h3fa76410, 32'h3f8f7a57,32'h3faf5ca3,// invsqrt(0.6447) = 1.2455 +32'h3fa61a08,32'h3f5c3bdb,32'h3f653913, 32'h3f557df1,32'h3f6bf6fd, 32'h3f4a416b,32'h3f773383,// invsqrt(1.2977) = 0.8778 +32'h3f288cd4,32'h3f9a97f6,32'h3fa0e74e, 32'h3f95dc74,32'h3fa5a2d0, 32'h3f8df945,32'h3fad85ff,// invsqrt(0.6584) = 1.2324 +32'h4028f10c,32'h3f1a6a15,32'h3f20b78e, 32'h3f15affa,32'h3f2571a8, 32'h3f0dcf22,32'h3f2d5280,// invsqrt(2.6397) = 0.6155 +32'h3e447b57,32'h400f2f23,32'h40150743, 32'h400acd0a,32'h4019695c, 32'h40037ee1,32'h4020b785,// invsqrt(0.1919) = 2.2829 +32'h3ec86b42,32'h3fc87e7e,32'h3fd0ad73, 32'h3fc25b45,32'h3fd6d0ab, 32'h3fb82092,32'h3fe10b5e,// invsqrt(0.3914) = 1.5983 +32'h3f37b0d7,32'h3f9415df,32'h3f9a2137, 32'h3f8f8d5e,32'h3f9ea9b8, 32'h3f87ff30,32'h3fa637e6,// invsqrt(0.7175) = 1.1805 +32'h3f125223,32'h3fa5ebee,32'h3facb1a4, 32'h3fa0d7a5,32'h3fb1c5ed, 32'h3f986082,32'h3fba3d10,// invsqrt(0.5716) = 1.3227 +32'h3f27911d,32'h3f9b0be8,32'h3fa15ffc, 32'h3f964cd9,32'h3fa61f0b, 32'h3f8e63c0,32'h3fae0824,// invsqrt(0.6546) = 1.2360 +32'h3fa6d48d,32'h3f5bc09c,32'h3f64b8cc, 32'h3f550678,32'h3f6b72f0, 32'h3f49d03c,32'h3f76a92c,// invsqrt(1.3034) = 0.8759 +32'h3f16fce4,32'h3fa35651,32'h3faa0105, 32'h3f9e5649,32'h3faf010d, 32'h3f9600e7,32'h3fb7566f,// invsqrt(0.5898) = 1.3021 +32'h413dba56,32'h3e91b5db,32'h3e97a861, 32'h3e8d3ff6,32'h3e9c1e46, 32'h3e85d0ce,32'h3ea38d6e,// invsqrt(11.8580) = 0.2904 +32'h3ffc97cf,32'h3f329761,32'h3f39e17a, 32'h3f2d1fcf,32'h3f3f590d, 32'h3f240330,32'h3f4875ac,// invsqrt(1.9734) = 0.7119 +32'h3ee00808,32'h3fbda259,32'h3fc55fd5, 32'h3fb7d43c,32'h3fcb2df2, 32'h3fae2762,32'h3fd4dacd,// invsqrt(0.4376) = 1.5118 +32'h3f74b9a6,32'h3f804c0c,32'h3f85889e, 32'h3f78bd3b,32'h3f89760c, 32'h3f6ba5cc,32'h3f9001c4,// invsqrt(0.9560) = 1.0228 +32'h3f764e8f,32'h3f7fc4d8,32'h3f851aaf, 32'h3f77f072,32'h3f8904e1, 32'h3f6ae3cb,32'h3f8f8b35,// invsqrt(0.9621) = 1.0195 +32'h3f26842a,32'h3f9b88eb,32'h3fa1e21a, 32'h3f96c609,32'h3fa6a4fd, 32'h3f8ed690,32'h3fae9476,// invsqrt(0.6505) = 1.2399 +32'h4021fb03,32'h3f1db28b,32'h3f242252, 32'h3f18deb6,32'h3f28f628, 32'h3f10d2fe,32'h3f3101e1,// invsqrt(2.5309) = 0.6286 +32'h3ef75d22,32'h3fb4781a,32'h3fbbd5d2, 32'h3faef1d0,32'h3fc15c1c, 32'h3fa5bcaa,32'h3fca9142,// invsqrt(0.4831) = 1.4387 +32'h3e331c16,32'h4015f79e,32'h401c16a0, 32'h4011605d,32'h4020ade1, 32'h4009b99c,32'h402854a2,// invsqrt(0.1749) = 2.3911 +32'h3f2fc97d,32'h3f9760c0,32'h3f9d8e7f, 32'h3f92be72,32'h3fa230ce, 32'h3f8b0543,32'h3fa9e9fd,// invsqrt(0.6867) = 1.2068 +32'h402decb6,32'h3f182faf,32'h3f1e65df, 32'h3f13870a,32'h3f230e84, 32'h3f0bc34d,32'h3f2ad241,// invsqrt(2.7176) = 0.6066 +32'h3e6e6ffb,32'h4001fa4a,32'h4007486c, 32'h3ffbff60,32'h400b4306, 32'h3feebc0a,32'h4011e4b1,// invsqrt(0.2328) = 2.0723 +32'h401d5fd8,32'h3f1ffd14,32'h3f2684cc, 32'h3f1b174a,32'h3f2b6a96, 32'h3f12eda5,32'h3f33943b,// invsqrt(2.4590) = 0.6377 +32'h4084560e,32'h3ef6bc4f,32'h3f006739, 32'h3eef2eb5,32'h3f042e06, 32'h3ee2980a,32'h3f0a795b,// invsqrt(4.1355) = 0.4917 +32'h3e5184d4,32'h400aa869,32'h4010513f, 32'h400669c9,32'h40148fdf, 32'h3ffead7e,32'h401ba2e9,// invsqrt(0.2046) = 2.2107 +32'h3ecad013,32'h3fc74eb0,32'h3fcf713e, 32'h3fc134c4,32'h3fd58b2a, 32'h3fb70992,32'h3fdfb65d,// invsqrt(0.3961) = 1.5889 +32'h3f7917a2,32'h3f7e55b8,32'h3f845ba2, 32'h3f768c91,32'h3f884035, 32'h3f6992a5,32'h3f8ebd2c,// invsqrt(0.9730) = 1.0138 +32'h405008ad,32'h3f0b26e0,32'h3f10d4de, 32'h3f06e461,32'h3f15175d, 32'h3eff95c5,32'h3f1c30dc,// invsqrt(3.2505) = 0.5547 +32'h405d6c03,32'h3f06e122,32'h3f0c627c, 32'h3f02c01e,32'h3f108380, 32'h3ef7bcdb,32'h3f176530,// invsqrt(3.4597) = 0.5376 +32'h3f03433d,32'h3faf2e2d,32'h3fb654a1, 32'h3fa9d155,32'h3fbbb179, 32'h3fa0e143,32'h3fc4a18b,// invsqrt(0.5127) = 1.3965 +32'h3f88fb47,32'h3f728409,32'h3f7c6a14, 32'h3f6b1781,32'h3f81eb4e, 32'h3f5eb7f4,32'h3f881b15,// invsqrt(1.0702) = 0.9667 +32'h3fbab56e,32'h3f4fb999,32'h3f58341c, 32'h3f495db6,32'h3f5e8ffe, 32'h3f3ec491,32'h3f692923,// invsqrt(1.4587) = 0.8280 +32'h3c574daa,32'h4108c853,32'h410e5d90, 32'h41049866,32'h41128d7e, 32'h40fb3bb4,32'h4119880a,// invsqrt(0.0131) = 8.7234 +32'h3edf7384,32'h3fbde154,32'h3fc5a162, 32'h3fb8114a,32'h3fcb716c, 32'h3fae6138,32'h3fd5217e,// invsqrt(0.4364) = 1.5137 +32'h3f5c52ad,32'h3f873724,32'h3f8cbc01, 32'h3f83137f,32'h3f90dfa7, 32'h3f785ad5,32'h3f97c5bb,// invsqrt(0.8606) = 1.0779 +32'h3eb3f8b5,32'h3fd393cc,32'h3fdc3690, 32'h3fcd19b8,32'h3fe2b0a4, 32'h3fc24e43,32'h3fed7c19,// invsqrt(0.3515) = 1.6867 +32'h3f091a84,32'h3fab6881,32'h3fb2678b, 32'h3fa62939,32'h3fb7a6d3, 32'h3f9d6a6c,32'h3fc065a0,// invsqrt(0.5356) = 1.3665 +32'h404579e7,32'h3f0ed2bb,32'h3f14a717, 32'h3f0a7377,32'h3f19065b, 32'h3f032a05,32'h3f204fcd,// invsqrt(3.0856) = 0.5693 +32'h4066a2cb,32'h3f042861,32'h3f098d4b, 32'h3f001cb2,32'h3f0d98fa, 32'h3ef2bd1b,32'h3f14571f,// invsqrt(3.6037) = 0.5268 +32'h3f59a1e2,32'h3f880c76,32'h3f8d9a08, 32'h3f83e249,32'h3f91c435, 32'h3f79e2a5,32'h3f98b52c,// invsqrt(0.8501) = 1.0846 +32'h3fce612c,32'h3f4593dc,32'h3f4da458, 32'h3f3f877f,32'h3f53b0b5, 32'h3f3572e4,32'h3f5dc550,// invsqrt(1.6123) = 0.7875 +32'h3e1cbbcc,32'h402050b8,32'h4026dbda, 32'h401b685f,32'h402bc433, 32'h40133a75,32'h4033f21d,// invsqrt(0.1531) = 2.5560 +32'h3fc74659,32'h3f4911a2,32'h3f514698, 32'h3f42e9e8,32'h3f576e52, 32'h3f38a7b4,32'h3f61b086,// invsqrt(1.5568) = 0.8015 +32'h3f3ec9a5,32'h3f914e1b,32'h3f973c65, 32'h3f8cdb63,32'h3f9baf1d, 32'h3f857186,32'h3fa318fa,// invsqrt(0.7453) = 1.1584 +32'h3f999c7b,32'h3f65033e,32'h3f6e5c31, 32'h3f5e0088,32'h3f755ee8, 32'h3f525159,32'h3f80870c,// invsqrt(1.2001) = 0.9128 +32'h3f2d56ab,32'h3f98717f,32'h3f9eaa5f, 32'h3f93c6d6,32'h3fa35508, 32'h3f8bffbe,32'h3fab1c20,// invsqrt(0.6771) = 1.2153 +32'h3f842b15,32'h3f76e468,32'h3f807c17, 32'h3f6f5594,32'h3f844381, 32'h3f62bcdd,32'h3f8a8fdc,// invsqrt(1.0326) = 0.9841 +32'h3f3871ca,32'h3f93c856,32'h3f99d084, 32'h3f8f4234,32'h3f9e56a6, 32'h3f87b7fc,32'h3fa5e0de,// invsqrt(0.7205) = 1.1781 +32'h3ecc1211,32'h3fc6b135,32'h3fcecd56, 32'h3fc09c1b,32'h3fd4e26f, 32'h3fb678f1,32'h3fdf0599,// invsqrt(0.3986) = 1.5840 +32'h3fc5ecf8,32'h3f49c0c4,32'h3f51fce0, 32'h3f4393ae,32'h3f5829f6, 32'h3f39488a,32'h3f62751a,// invsqrt(1.5463) = 0.8042 +32'h3f2d7b10,32'h3f986180,32'h3f9e99ba, 32'h3f93b755,32'h3fa343e5, 32'h3f8bf10d,32'h3fab0a2d,// invsqrt(0.6777) = 1.2148 +32'h3dfafdc4,32'h40332908,32'h403a7912, 32'h402dad00,32'h403ff51a, 32'h402488f2,32'h40491928,// invsqrt(0.1226) = 2.8565 +32'h40141fb0,32'h3f24e8a2,32'h3f2ba3c3, 32'h3f1fdc4a,32'h3f30b01c, 32'h3f177261,32'h3f391a05,// invsqrt(2.3144) = 0.6573 +32'h3f4e2a0d,32'h3f8bc809,32'h3f917c9b, 32'h3f87809b,32'h3f95c409, 32'h3f805ee3,32'h3f9ce5c1,// invsqrt(0.8053) = 1.1143 +32'h3f188a1b,32'h3fa2811b,32'h3fa9231d, 32'h3f9d879b,32'h3fae1c9d, 32'h3f953d19,32'h3fb6671f,// invsqrt(0.5959) = 1.2955 +32'h3f8c5237,32'h3f6f9ccc,32'h3f796481, 32'h3f684705,32'h3f805d24, 32'h3f5c0d63,32'h3f8679f5,// invsqrt(1.0963) = 0.9551 +32'h3fc1cdb9,32'h3f4be324,32'h3f54358e, 32'h3f45a555,32'h3f5a735d, 32'h3f3b3e50,32'h3f64da62,// invsqrt(1.5141) = 0.8127 +32'h3dd4da9b,32'h40428cae,32'h404a7d86, 32'h403c980c,32'h40507228, 32'h4032aafe,32'h405a5f36,// invsqrt(0.1039) = 3.1019 +32'h3f803629,32'h3f7aac45,32'h3f8273c6, 32'h3f72ffd0,32'h3f864a00, 32'h3f6635b7,32'h3f8caf0c,// invsqrt(1.0017) = 0.9992 +32'h3f3e2e32,32'h3f918972,32'h3f977a28, 32'h3f8d14e9,32'h3f9beeb1, 32'h3f85a805,32'h3fa35b95,// invsqrt(0.7429) = 1.1602 +32'h408d95f9,32'h3eee8a3a,32'h3ef846ba, 32'h3ee73cda,32'h3eff941a, 32'h3edb113b,32'h3f05dfdd,// invsqrt(4.4246) = 0.4754 +32'h3e2ab500,32'h40199d24,32'h401fe240, 32'h4014e950,32'h40249614, 32'h400d12ed,32'h402c6c77,// invsqrt(0.1667) = 2.4492 +32'h40cc5264,32'h3ec691eb,32'h3eceacc6, 32'h3ec07dc8,32'h3ed4c0ea, 32'h3eb65c36,32'h3edee27c,// invsqrt(6.3851) = 0.3957 +32'h4047b74c,32'h3f0e0521,32'h3f13d117, 32'h3f09ac27,32'h3f182a11, 32'h3f026d33,32'h3f1f6905,// invsqrt(3.1206) = 0.5661 +32'h3f4c3bb3,32'h3f8c70cf,32'h3f922c45, 32'h3f882436,32'h3f9678de, 32'h3f80f9e3,32'h3f9da331,// invsqrt(0.7978) = 1.1196 +32'h417fcbfb,32'h3e7afac9,32'h3e829ca2, 32'h3e734bec,32'h3e867410, 32'h3e667dd2,32'h3e8cdb1d,// invsqrt(15.9873) = 0.2501 +32'h40023b91,32'h3f2fdf2a,32'h3f370cd8, 32'h3f2a7ce7,32'h3f3c6f1b, 32'h3f2183ce,32'h3f456834,// invsqrt(2.0349) = 0.7010 +32'h3f3cd6fa,32'h3f920d78,32'h3f980392, 32'h3f8d94e5,32'h3f9c7c25, 32'h3f862144,32'h3fa3efc6,// invsqrt(0.7377) = 1.1643 +32'h3f8f1376,32'h3f6d4b62,32'h3f76fade, 32'h3f6607c5,32'h3f7e3e7b, 32'h3f59ec6a,32'h3f852ceb,// invsqrt(1.1178) = 0.9458 +32'h3ed09716,32'h3fc48721,32'h3fcc8ca5, 32'h3fbe82fe,32'h3fd290c8, 32'h3fb47c19,32'h3fdc97ad,// invsqrt(0.4074) = 1.5667 +32'h3e527568,32'h400a5912,32'h400ffeaa, 32'h40061ce0,32'h40143adc, 32'h3ffe1bc3,32'h401b49db,// invsqrt(0.2055) = 2.2058 +32'h3f8f23a1,32'h3f6d3dfb,32'h3f76eceb, 32'h3f65fac7,32'h3f7e301f, 32'h3f59e01b,32'h3f852566,// invsqrt(1.1183) = 0.9456 +32'h3fdcb60b,32'h3f3f0e24,32'h3f46da79, 32'h3f3934e4,32'h3f4cb3b8, 32'h3f2f7579,32'h3f567323,// invsqrt(1.7243) = 0.7615 +32'h3e9aa96f,32'h3fe43bc8,32'h3fed8c96, 32'h3fdd3f2d,32'h3ff48931, 32'h3fd19a2a,32'h4000171a,// invsqrt(0.3021) = 1.8195 +32'h3fb6dba5,32'h3f51e69a,32'h3f5a77da, 32'h3f4b79aa,32'h3f60e4ca, 32'h3f40c41b,32'h3f6b9a59,// invsqrt(1.4286) = 0.8367 +32'h3e8dd719,32'h3fee5371,32'h3ff80db5, 32'h3fe707bf,32'h3fff5967, 32'h3fdadeeb,32'h4005c11e,// invsqrt(0.2770) = 1.8999 +32'h3ebc7fcb,32'h3fcebc70,32'h3fd72c9e, 32'h3fc8684d,32'h3fdd80c1, 32'h3fbddc13,32'h3fe80cfb,// invsqrt(0.3682) = 1.6481 +32'h3fb853ad,32'h3f511012,32'h3f599890, 32'h3f4aa9b3,32'h3f5ffeef, 32'h3f3fff16,32'h3f6aa98c,// invsqrt(1.4401) = 0.8333 +32'h41b0ab0b,32'h3e558bd6,32'h3e5e432d, 32'h3e4f0254,32'h3e64ccae, 32'h3e441d27,32'h3e6fb1db,// invsqrt(22.0835) = 0.2128 +32'h402b9310,32'h3f19399b,32'h3f1f7aa7, 32'h3f1488d3,32'h3f242b6f, 32'h3f0cb784,32'h3f2bfcbe,// invsqrt(2.6809) = 0.6108 +32'h3f0e3c97,32'h3fa84970,32'h3faf27de, 32'h3fa3229f,32'h3fb44eaf, 32'h3f9a8c96,32'h3fbce4b8,// invsqrt(0.5556) = 1.3416 +32'h3e63e07a,32'h4004f494,32'h400a61d3, 32'h4000e2a4,32'h400e73c2, 32'h3ff43429,32'h40153c52,// invsqrt(0.2225) = 2.1198 +32'h3ef80873,32'h3fb439bc,32'h3fbb94e8, 32'h3faeb55b,32'h3fc11949, 32'h3fa58363,32'h3fca4b41,// invsqrt(0.4844) = 1.4367 +32'h3ebf82ee,32'h3fcd1a91,32'h3fd579b1, 32'h3fc6d339,32'h3fdbc109, 32'h3fbc5c51,32'h3fe637f1,// invsqrt(0.3740) = 1.6351 +32'h3fb3f29a,32'h3f539763,32'h3f5c3a4d, 32'h3f4d1d34,32'h3f62b47c, 32'h3f42518f,32'h3f6d8021,// invsqrt(1.4058) = 0.8434 +32'h41bc514d,32'h3e4ed5f3,32'h3e57472c, 32'h3e488109,32'h3e5d9c17, 32'h3e3df382,32'h3e68299e,// invsqrt(23.5397) = 0.2061 +32'h3e0dd9b4,32'h4028840e,32'h402f64e0, 32'h40235b71,32'h40348d7d, 32'h401ac26b,32'h403d2683,// invsqrt(0.1385) = 2.6868 +32'h3fa5ab7d,32'h3f5c8549,32'h3f658581, 32'h3f55c520,32'h3f6c45aa, 32'h3f4a84db,32'h3f7785ef,// invsqrt(1.2943) = 0.8790 +32'h3ee48cfd,32'h3fbbbff9,32'h3fc369c5, 32'h3fb600a1,32'h3fc9291d, 32'h3fac6c62,32'h3fd2bd5c,// invsqrt(0.4464) = 1.4967 +32'h3f88b5d9,32'h3f72c197,32'h3f7caa25, 32'h3f6b532c,32'h3f820c48, 32'h3f5ef07b,32'h3f883da0,// invsqrt(1.0680) = 0.9676 +32'h3f6dbb00,32'h3f822bba,32'h3f877be1, 32'h3f7c5f3b,32'h3f8b77ff, 32'h3f6f16d9,32'h3f921c2f,// invsqrt(0.9286) = 1.0377 +32'h3ee8ec38,32'h3fb9fac1,32'h3fc1920d, 32'h3fb44948,32'h3fc74386, 32'h3faacc2a,32'h3fd0c0a5,// invsqrt(0.4549) = 1.4826 +32'h3f950a54,32'h3f687f79,32'h3f71fcd7, 32'h3f616173,32'h3f791add, 32'h3f5584be,32'h3f827bc9,// invsqrt(1.1644) = 0.9267 +32'h3ec70495,32'h3fc932d8,32'h3fd1692a, 32'h3fc30a1b,32'h3fd791e7, 32'h3fb8c634,32'h3fe1d5ce,// invsqrt(0.3887) = 1.6039 +32'h40732592,32'h3f00b67b,32'h3f05f765, 32'h3ef98b95,32'h3f09e816, 32'h3eec694a,32'h3f10793b,// invsqrt(3.7992) = 0.5130 +32'h3ea1a92a,32'h3fdf3d00,32'h3fe8599c, 32'h3fd8678a,32'h3fef2f12, 32'h3fcd03c6,32'h3ffa92d6,// invsqrt(0.3157) = 1.7796 +32'h3ea75cfd,32'h3fdb66f7,32'h3fe45b7f, 32'h3fd4af92,32'h3feb12e4, 32'h3fc97de8,32'h3ff6448e,// invsqrt(0.3269) = 1.7491 +32'h3f82e7c8,32'h3f78148e,32'h3f811a5e, 32'h3f707c6a,32'h3f84e670, 32'h3f63d42e,32'h3f8b3a8e,// invsqrt(1.0227) = 0.9888 +32'h3f0b83a3,32'h3fa9ebc0,32'h3fb0db40, 32'h3fa4b820,32'h3fb60ee0, 32'h3f9c0cc0,32'h3fbeba40,// invsqrt(0.5450) = 1.3546 +32'h3db550a7,32'h4052cac0,32'h405b6550, 32'h404c56d4,32'h4061d93c, 32'h404195a1,32'h406c9a6f,// invsqrt(0.0885) = 3.3608 +32'h3efdfdd9,32'h3fb21955,32'h3fb95e49, 32'h3faca59e,32'h3fbed200, 32'h3fa38f6e,32'h3fc7e831,// invsqrt(0.4961) = 1.4198 +32'h3e31c33e,32'h401688ce,32'h401cadbc, 32'h4011ed1b,32'h4021496f, 32'h400a3ef1,32'h4028f799,// invsqrt(0.1736) = 2.4001 +32'h3f9198df,32'h3f6b3b24,32'h3f74d510, 32'h3f6407b2,32'h3f7c0882, 32'h3f58074b,32'h3f840475,// invsqrt(1.1375) = 0.9376 +32'h4007c514,32'h3f2c3f82,32'h3f334753, 32'h3f26f9a5,32'h3f388d2f, 32'h3f1e2fdf,32'h3f4156f5,// invsqrt(2.1214) = 0.6866 +32'h3f8c0157,32'h3f6fe1f7,32'h3f79ac7f, 32'h3f688a12,32'h3f808232, 32'h3f5c4ce8,32'h3f86a0c7,// invsqrt(1.0938) = 0.9562 +32'h3f619a85,32'h3f859fa1,32'h3f8b13dc, 32'h3f818875,32'h3f8f2b09, 32'h3f756e58,32'h3f95fc52,// invsqrt(0.8813) = 1.0652 +32'h3ed9989e,32'h3fc06afa,32'h3fc8458c, 32'h3fba870c,32'h3fce297a, 32'h3fb0b5d6,32'h3fd7fab0,// invsqrt(0.4250) = 1.5339 +32'h3f801d77,32'h3f7ac46d,32'h3f828058, 32'h3f73173a,32'h3f8656f1, 32'h3f664be6,32'h3f8cbc9b,// invsqrt(1.0009) = 0.9996 +32'h4107b23f,32'h3eac4b75,32'h3eb353c3, 32'h3ea7053b,32'h3eb899fd, 32'h3e9e3ad9,32'h3ec1645f,// invsqrt(8.4810) = 0.3434 +32'h416a9f46,32'h3e8307bd,32'h3e8860df, 32'h3e7e09c7,32'h3e8c63b8, 32'h3e70aaf3,32'h3e931323,// invsqrt(14.6639) = 0.2611 +32'h40b7adad,32'h3ed16e75,32'h3ed9face, 32'h3ecb0534,32'h3ee06410, 32'h3ec055c5,32'h3eeb137f,// invsqrt(5.7400) = 0.4174 +32'h3fe69a84,32'h3f3ae990,32'h3f428a9c, 32'h3f3530c8,32'h3f484364, 32'h3f2ba77a,32'h3f51ccb2,// invsqrt(1.8016) = 0.7450 +32'h3f681869,32'h3f83bdd7,32'h3f891e67, 32'h3f7f6ad4,32'h3f8d26d4, 32'h3f71f96b,32'h3f93df88,// invsqrt(0.9066) = 1.0502 +32'h3f161d79,32'h3fa3cfb0,32'h3faa7f59, 32'h3f9ecbf1,32'h3faf8317, 32'h3f96705d,32'h3fb7deab,// invsqrt(0.5864) = 1.3059 +32'h3febe160,32'h3f38cf53,32'h3f405a66, 32'h3f332704,32'h3f4602b4, 32'h3f29b92c,32'h3f4f708c,// invsqrt(1.8428) = 0.7366 +32'h4005e45c,32'h3f2d73a5,32'h3f34880a, 32'h3f28245a,32'h3f39d756, 32'h3f1f4adc,32'h3f42b0d4,// invsqrt(2.0921) = 0.6914 +32'h3e37bb1e,32'h401411bb,32'h401a1ce7, 32'h400f895a,32'h401ea548, 32'h4007fb62,32'h40263340,// invsqrt(0.1794) = 2.3608 +32'h3f29c7ef,32'h3f9a083e,32'h3fa051b8, 32'h3f955122,32'h3fa508d4, 32'h3f8d7548,32'h3face4ae,// invsqrt(0.6632) = 1.2279 +32'h3f886ed8,32'h3f7300ba,32'h3f7cebdc, 32'h3f6b9061,32'h3f822e1b, 32'h3f5f2a77,32'h3f886110,// invsqrt(1.0659) = 0.9686 +32'h3f90b84a,32'h3f6bf163,32'h3f7592bf, 32'h3f64b85d,32'h3f7ccbc5, 32'h3f58aea9,32'h3f846abd,// invsqrt(1.1306) = 0.9405 +32'h3f6b8892,32'h3f82c6c9,32'h3f881d44, 32'h3f7d8bd8,32'h3f8c1e20, 32'h3f7033a4,32'h3f92ca3a,// invsqrt(0.9201) = 1.0425 +32'h3e7f0744,32'h3ffb5b82,32'h4002cef7, 32'h3ff3a9af,32'h4006a7e1, 32'h3fe6d6a6,32'h400d1165,// invsqrt(0.2491) = 2.0038 +32'h3f872cb8,32'h3f742199,32'h3f7e1885, 32'h3f6ca868,32'h3f82c8db, 32'h3f6033c1,32'h3f89032f,// invsqrt(1.0561) = 0.9731 +32'h3ff65099,32'h3f34da5f,32'h3f3c3c19, 32'h3f2f5113,32'h3f41c565, 32'h3f2616e9,32'h3f4aff8f,// invsqrt(1.9243) = 0.7209 +32'h3f83b4b8,32'h3f775340,32'h3f80b5c6, 32'h3f6fc107,32'h3f847ee2, 32'h3f6322a9,32'h3f8ace12,// invsqrt(1.0290) = 0.9858 +32'h3f991747,32'h3f6566ca,32'h3f6ec3ce, 32'h3f5e6108,32'h3f75c990, 32'h3f52acc4,32'h3f80beea,// invsqrt(1.1960) = 0.9144 +32'h3f01b0c6,32'h3fb03d2c,32'h3fb76eb0, 32'h3faad808,32'h3fbcd3d4, 32'h3fa1da23,32'h3fc5d1b9,// invsqrt(0.5066) = 1.4050 +32'h408ad2c5,32'h3ef0e6d4,32'h3efabc02, 32'h3ee986f2,32'h3f010df2, 32'h3edd3c7a,32'h3f07332e,// invsqrt(4.3382) = 0.4801 +32'h3eb406a4,32'h3fd38b9c,32'h3fdc2e0a, 32'h3fcd11c8,32'h3fe2a7de, 32'h3fc246be,32'h3fed72e8,// invsqrt(0.3516) = 1.6864 +32'h3eceb149,32'h3fc56d8e,32'h3fcd7c7a, 32'h3fbf625d,32'h3fd387ab, 32'h3fb54fb7,32'h3fdd9a51,// invsqrt(0.4037) = 1.5739 +32'h3e394ddf,32'h40137079,32'h40197511, 32'h400eed08,32'h401df882, 32'h4007674b,32'h40257e3f,// invsqrt(0.1810) = 2.3508 +32'h3fd22700,32'h3f43cbc9,32'h3f4bc9a8, 32'h3f3dcd63,32'h3f51c80f, 32'h3f33d00d,32'h3f5bc565,// invsqrt(1.6418) = 0.7804 +32'h3fbf9e0a,32'h3f4d0c0e,32'h3f556a97, 32'h3f46c528,32'h3f5bb17e, 32'h3f3c4efe,32'h3f6627a8,// invsqrt(1.4970) = 0.8173 +32'h3f9e42d9,32'h3f619f8f,32'h3f6ad517, 32'h3f5ab768,32'h3f71bd3e, 32'h3f4f347e,32'h3f7d4028,// invsqrt(1.2364) = 0.8993 +32'h400729fc,32'h3f2ca238,32'h3f33ae11, 32'h3f275956,32'h3f38f6f4, 32'h3f1e8a88,32'h3f41c5c2,// invsqrt(2.1119) = 0.6881 +32'h403db8db,32'h3f11b66c,32'h3f17a8f8, 32'h3f0d4083,32'h3f1c1ee1, 32'h3f05d153,32'h3f238e11,// invsqrt(2.9644) = 0.5808 +32'h3ec1ff49,32'h3fcbc917,32'h3fd41a71, 32'h3fc58c14,32'h3fda5774, 32'h3fbb2664,32'h3fe4bd24,// invsqrt(0.3789) = 1.6246 +32'h4364cdb7,32'h3d84af94,32'h3d8a1a02, 32'h3d809fc1,32'h3d8e29d5, 32'h3d73b56d,32'h3d94eedf,// invsqrt(228.8036) = 0.0661 +32'h3dafcca3,32'h405612c0,32'h405ecf98, 32'h404f851d,32'h40655d3b, 32'h4044990e,32'h4070494a,// invsqrt(0.0858) = 3.4132 +32'h3f070201,32'h3facbbc6,32'h3fb3c8aa, 32'h3fa7721c,32'h3fb91254, 32'h3f9ea1ff,32'h3fc1e271,// invsqrt(0.5274) = 1.3770 +32'h3fd602a6,32'h3f4205f0,32'h3f49f148, 32'h3f3c156e,32'h3f4fe1ca, 32'h3f322f40,32'h3f59c7f8,// invsqrt(1.6720) = 0.7734 +32'h3eca2047,32'h3fc7a549,32'h3fcfcb61, 32'h3fc188b7,32'h3fd5e7f3, 32'h3fb75919,32'h3fe01791,// invsqrt(0.3948) = 1.5916 +32'h3fbe4e83,32'h3f4dc081,32'h3f562667, 32'h3f477415,32'h3f5c72d3, 32'h3f3cf4b5,32'h3f66f233,// invsqrt(1.4868) = 0.8201 +32'h3f9150db,32'h3f6b7566,32'h3f7511b4, 32'h3f64402c,32'h3f7c46ee, 32'h3f583ccc,32'h3f842527,// invsqrt(1.1353) = 0.9385 +32'h3f2dc7cc,32'h3f983fd8,32'h3f9e76b2, 32'h3f9396b5,32'h3fa31fd5, 32'h3f8bd224,32'h3faae466,// invsqrt(0.6788) = 1.2137 +32'h411b309d,32'h3ea11c55,32'h3ea7afc7, 32'h3e9c2dc0,32'h3eac9e5c, 32'h3e93f573,32'h3eb4d6a9,// invsqrt(9.6994) = 0.3211 +32'h414378f4,32'h3e8f8da6,32'h3e9569a2, 32'h3e8b28a9,32'h3e99ce9f, 32'h3e83d5ad,32'h3ea1219b,// invsqrt(12.2170) = 0.2861 +32'h3f5ce1fa,32'h3f870b41,32'h3f8c8e53, 32'h3f82e8f3,32'h3f90b0a1, 32'h3f780a38,32'h3f979478,// invsqrt(0.8628) = 1.0766 +32'h3ab54bb1,32'h41d2cda2,32'h41db6850, 32'h41cc59a0,32'h41e1dc52, 32'h41c19846,32'h41ec9dac,// invsqrt(0.0014) = 26.8882 +32'h3f7f40a6,32'h3f7b3f40,32'h3f82c043, 32'h3f738e4a,32'h3f8698bd, 32'h3f66bcb2,32'h3f8d0189,// invsqrt(0.9971) = 1.0015 +32'h3f5c63bb,32'h3f8731e9,32'h3f8cb68f, 32'h3f830e6c,32'h3f90da0c, 32'h3f785139,32'h3f97bfdc,// invsqrt(0.8609) = 1.0778 +32'h3e791067,32'h3ffe5969,32'h40045d8d, 32'h3ff69025,32'h4008422f, 32'h3fe99608,32'h400ebf3e,// invsqrt(0.2432) = 2.0277 +32'h3f7415d6,32'h3f807712,32'h3f85b566, 32'h3f7910a5,32'h3f89a425, 32'h3f6bf4d2,32'h3f90320f,// invsqrt(0.9535) = 1.0241 +32'h3ede02ef,32'h3fbe7eb1,32'h3fc6452b, 32'h3fb8a9d5,32'h3fcc1a07, 32'h3faef1bd,32'h3fd5d21f,// invsqrt(0.4336) = 1.5186 +32'h3e44c01e,32'h400f161a,32'h4014ed35, 32'h400ab4c5,32'h40194e89, 32'h400367e3,32'h40209b6b,// invsqrt(0.1921) = 2.2814 +32'h3f0515b4,32'h3fadfa1d,32'h3fb513ff, 32'h3fa8a6b4,32'h3fba6768, 32'h3f9fc659,32'h3fc347c3,// invsqrt(0.5199) = 1.3869 +32'h40071224,32'h3f2cb174,32'h3f33bdec, 32'h3f27681a,32'h3f390746, 32'h3f1e9885,32'h3f41d6db,// invsqrt(2.1105) = 0.6883 +32'h3f80e0d5,32'h3f7a0612,32'h3f821d49, 32'h3f725eb4,32'h3f85f0f8, 32'h3f659d17,32'h3f8c51c7,// invsqrt(1.0069) = 0.9966 +32'h3f8cf475,32'h3f6f12be,32'h3f78d4d0, 32'h3f67c130,32'h3f80132f, 32'h3f5b8e9a,32'h3f862c7a,// invsqrt(1.1012) = 0.9529 +32'h3f5792a7,32'h3f88b26f,32'h3f8e46c7, 32'h3f84832d,32'h3f927609, 32'h3f7b137d,32'h3f996f77,// invsqrt(0.8421) = 1.0897 +32'h3f1be66f,32'h3fa0be47,32'h3fa74de1, 32'h3f9bd293,32'h3fac3995, 32'h3f939f12,32'h3fb46d16,// invsqrt(0.6090) = 1.2814 +32'h3f07c1fd,32'h3fac4178,32'h3fb3495e, 32'h3fa6fb8c,32'h3fb88f4a, 32'h3f9e31ad,32'h3fc15929,// invsqrt(0.5303) = 1.3732 +32'h3f8514b2,32'h3f760b55,32'h3f800b1f, 32'h3f6e8326,32'h3f83cf37, 32'h3f61f583,32'h3f8a1609,// invsqrt(1.0397) = 0.9807 +32'h3e33bbe7,32'h4015b4e3,32'h401bd12b, 32'h40111fad,32'h40206661, 32'h40097c53,32'h402809bb,// invsqrt(0.1755) = 2.3869 +32'h40651ff9,32'h3f0497c1,32'h3f0a0136, 32'h3f0088a8,32'h3f0e104e, 32'h3ef389aa,32'h3f14d421,// invsqrt(3.5801) = 0.5285 +32'h3ee4af0f,32'h3fbbb1fd,32'h3fc35b36, 32'h3fb5f311,32'h3fc91a21, 32'h3fac5f8a,32'h3fd2ada8,// invsqrt(0.4466) = 1.4963 +32'h3e88037d,32'h3ff3608d,32'h3ffd4f98, 32'h3febed45,32'h40026170, 32'h3fdf8278,32'h400896d7,// invsqrt(0.2657) = 1.9402 +32'h403e931b,32'h3f1162e5,32'h3f175208, 32'h3f0cef8a,32'h3f1bc562, 32'h3f05849d,32'h3f23304f,// invsqrt(2.9777) = 0.5795 +32'h40265cd5,32'h3f1b9b4d,32'h3f21f53b, 32'h3f16d7da,32'h3f26b8ae, 32'h3f0ee770,32'h3f2ea918,// invsqrt(2.5994) = 0.6202 +32'h3f5707c3,32'h3f88de8d,32'h3f8e74b3, 32'h3f84adf2,32'h3f92a54e, 32'h3f7b6486,32'h3f99a0fd,// invsqrt(0.8400) = 1.0911 +32'h4008dd9c,32'h3f2b8ea0,32'h3f328f39, 32'h3f264e2e,32'h3f37cfac, 32'h3f1d8d6f,32'h3f40906b,// invsqrt(2.1385) = 0.6838 +32'h3f2cdaf7,32'h3f98a801,32'h3f9ee31b, 32'h3f93fbad,32'h3fa38f6f, 32'h3f8c31cd,32'h3fab594f,// invsqrt(0.6752) = 1.2170 +32'h4198b3a6,32'h3e65b194,32'h3e6f11a4, 32'h3e5ea987,32'h3e7619b1, 32'h3e52f173,32'h3e80e8e3,// invsqrt(19.0877) = 0.2289 +32'h3f8c711c,32'h3f6f8270,32'h3f794912, 32'h3f682d77,32'h3f804f05, 32'h3f5bf52e,32'h3f866b2a,// invsqrt(1.0972) = 0.9547 +32'h4027cedd,32'h3f1aef5e,32'h3f214248, 32'h3f16312f,32'h3f260077, 32'h3f0e498b,32'h3f2de81b,// invsqrt(2.6220) = 0.6176 +32'h406d6958,32'h3f02421b,32'h3f07932b, 32'h3efc8a9c,32'h3f0b8ff8, 32'h3eef3ff2,32'h3f12354d,// invsqrt(3.7096) = 0.5192 +32'h3f8e35c1,32'h3f6e0413,32'h3f77bb19, 32'h3f66bace,32'h3f7f045e, 32'h3f5a9607,32'h3f859492,// invsqrt(1.1110) = 0.9487 +32'h409ee966,32'h3ee12934,32'h3eea59e8, 32'h3eda44ad,32'h3ef13e6f, 32'h3ecec7cd,32'h3efcbb4f,// invsqrt(4.9660) = 0.4487 +32'h3e151064,32'h40246347,32'h402b18f7, 32'h401f5b04,32'h4030213a, 32'h4016f7e9,32'h40388455,// invsqrt(0.1456) = 2.6210 +32'h3fac52dd,32'h3f5838a9,32'h3f610bf4, 32'h3f519a31,32'h3f67aa6d, 32'h3f469214,32'h3f72b28a,// invsqrt(1.3463) = 0.8619 +32'h3ef4f660,32'h3fb55a00,32'h3fbcc0f0, 32'h3fafcccc,32'h3fc24e24, 32'h3fa68c1f,32'h3fcb8ed1,// invsqrt(0.4784) = 1.4457 +32'h418b3f38,32'h3e7088f2,32'h3e7a5a4a, 32'h3e692bf0,32'h3e80dba6, 32'h3e5ce641,32'h3e86fe7d,// invsqrt(17.4059) = 0.2397 +32'h3f99c108,32'h3f64e804,32'h3f6e3fda, 32'h3f5de623,32'h3f7541bb, 32'h3f523857,32'h3f8077c3,// invsqrt(1.2012) = 0.9124 +32'h3f095cb2,32'h3fab3f31,32'h3fb23c8c, 32'h3fa6012d,32'h3fb77a91, 32'h3f9d447c,32'h3fc03742,// invsqrt(0.5366) = 1.3652 +32'h3f8aa867,32'h3f710b9f,32'h3f7ae24d, 32'h3f69aa9d,32'h3f8121a8, 32'h3f5d5e44,32'h3f8747d4,// invsqrt(1.0833) = 0.9608 +32'h3f15d9b0,32'h3fa3f4b9,32'h3faaa5e5, 32'h3f9eefd8,32'h3fafaac6, 32'h3f969261,32'h3fb8083d,// invsqrt(0.5854) = 1.3070 +32'h3f68910c,32'h3f839ba7,32'h3f88fad3, 32'h3f7f288e,32'h3f8d0233, 32'h3f71baa1,32'h3f93b92a,// invsqrt(0.9085) = 1.0492 +32'h3f2eeb3c,32'h3f97c0ce,32'h3f9df278, 32'h3f931b8e,32'h3fa297b8, 32'h3f8b5d79,32'h3faa55cd,// invsqrt(0.6833) = 1.2098 +32'h3f98f54b,32'h3f658045,32'h3f6ede52, 32'h3f5e79ba,32'h3f75e4dc, 32'h3f52c42a,32'h3f80cd36,// invsqrt(1.1950) = 0.9148 +32'h3f5d2bd7,32'h3f86f4b2,32'h3f8c76d8, 32'h3f82d315,32'h3f909875, 32'h3f77e0c9,32'h3f977b26,// invsqrt(0.8640) = 1.0759 +32'h401840b2,32'h3f22a843,32'h3f294bde, 32'h3f1dad90,32'h3f2e4692, 32'h3f15610f,32'h3f369313,// invsqrt(2.3789) = 0.6483 +32'h3fa0ca1b,32'h3f5fd7a3,32'h3f68fa8f, 32'h3f58fd71,32'h3f6fd4c1, 32'h3f4d91ca,32'h3f7b4068,// invsqrt(1.2562) = 0.8922 +32'h3d52963c,32'h408a4e49,32'h408ff371, 32'h4086126c,32'h40942f4e, 32'h407e07f4,32'h409b3dc0,// invsqrt(0.0514) = 4.4103 +32'h410591bb,32'h3eada944,32'h3eb4bfd9, 32'h3ea85854,32'h3eba10c8, 32'h3e9f7c19,32'h3ec2ed03,// invsqrt(8.3481) = 0.3461 +32'h40a69756,32'h3edbe8f8,32'h3ee4e2ce, 32'h3ed52d98,32'h3eeb9e2e, 32'h3ec9f54c,32'h3ef6d67a,// invsqrt(5.2060) = 0.4383 +32'h405c5f48,32'h3f073346,32'h3f0cb7fb, 32'h3f030fbf,32'h3f10db83, 32'h3ef853bb,32'h3f17c164,// invsqrt(3.4433) = 0.5389 +32'h3fc47dcf,32'h3f4a7ceb,32'h3f52c0b5, 32'h3f444a12,32'h3f58f38e, 32'h3f39f555,32'h3f63484b,// invsqrt(1.5351) = 0.8071 +32'h3cdf383a,32'h40bdfa8a,32'h40c5bb9f, 32'h40b829b9,32'h40cb8c6f, 32'h40ae785f,32'h40d53dc9,// invsqrt(0.0272) = 6.0580 +32'h403508e3,32'h3f152af5,32'h3f1b419c, 32'h3f1099f9,32'h3f1fd299, 32'h3f08fda8,32'h3f276eea,// invsqrt(2.8287) = 0.5946 +32'h3d140774,32'h40a4f621,32'h40abb1cf, 32'h409fe95f,32'h40b0be91, 32'h40977ec6,32'h40b9292a,// invsqrt(0.0361) = 5.2603 +32'h3eb6be9a,32'h3fd1f747,32'h3fda8935, 32'h3fcb89d4,32'h3fe0f6a8, 32'h3fc0d36b,32'h3febad11,// invsqrt(0.3569) = 1.6738 +32'h3f0100ff,32'h3fb0b515,32'h3fb7eb7f, 32'h3fab4c46,32'h3fbd544e, 32'h3fa24843,32'h3fc65851,// invsqrt(0.5039) = 1.4087 +32'h3e26c060,32'h401b6cd4,32'h4021c4dd, 32'h4016aace,32'h402686e4, 32'h400ebcc3,32'h402e74ef,// invsqrt(0.1628) = 2.4781 +32'h3f0f7b60,32'h3fa78e15,32'h3fae64dd, 32'h3fa26d00,32'h3fb385f2, 32'h3f99e086,32'h3fbc126c,// invsqrt(0.5605) = 1.3357 +32'h3f54ddcc,32'h3f899032,32'h3f8f2d98, 32'h3f855a26,32'h3f9363a4, 32'h3f7caacf,32'h3f9a6862,// invsqrt(0.8315) = 1.0966 +32'h40a28e96,32'h3ede9f40,32'h3ee7b56c, 32'h3ed7ce9e,32'h3eee860e, 32'h3ecc72e7,32'h3ef9e1c5,// invsqrt(5.0799) = 0.4437 +32'h3d8ee2bc,32'h406d73d4,32'h407724f7, 32'h40662efa,32'h407e69d2, 32'h405a118f,32'h4085439e,// invsqrt(0.0698) = 3.7859 +32'h3f19fa22,32'h3fa1be72,32'h3fa85882, 32'h3f9ccae7,32'h3fad4c0d, 32'h3f948a54,32'h3fb58ca0,// invsqrt(0.6015) = 1.2894 +32'h3f6d7c19,32'h3f823cf6,32'h3f878dd2, 32'h3f7c80a4,32'h3f8b8a76, 32'h3f6f3681,32'h3f922f88,// invsqrt(0.9277) = 1.0383 +32'h3f999bbd,32'h3f6503cc,32'h3f6e5cc4, 32'h3f5e0111,32'h3f755f7f, 32'h3f5251da,32'h3f80875b,// invsqrt(1.2001) = 0.9128 +32'h3f35dd40,32'h3f94d3c4,32'h3f9ae6dc, 32'h3f904572,32'h3f9f752e, 32'h3f88ad95,32'h3fa70d0b,// invsqrt(0.7104) = 1.1864 +32'h3ec6a845,32'h3fc96191,32'h3fd199cb, 32'h3fc33765,32'h3fd7c3f7, 32'h3fb8f11d,32'h3fe20a3f,// invsqrt(0.3880) = 1.6054 +32'h3e16903b,32'h40239137,32'h402a3e53, 32'h401e8f62,32'h402f4028, 32'h401636fe,32'h4037988c,// invsqrt(0.1470) = 2.6079 +32'h419e0000,32'h3e61cf45,32'h3e6b06bf, 32'h3e5ae5a8,32'h3e71f05c, 32'h3e4f604f,32'h3e7d75b5,// invsqrt(19.7500) = 0.2250 +32'h3e469c7d,32'h400e6a1a,32'h40143a30, 32'h400a0e0a,32'h40189640, 32'h4002c9ee,32'h401fda5c,// invsqrt(0.1940) = 2.2706 +32'h3ecf79f6,32'h3fc50dfd,32'h3fcd1902, 32'h3fbf05b9,32'h3fd32145, 32'h3fb4f7f2,32'h3fdd2f0c,// invsqrt(0.4052) = 1.5709 +32'h4097421d,32'h3ee6c97e,32'h3ef034fb, 32'h3edfb8df,32'h3ef74599, 32'h3ed3f283,32'h3f0185fb,// invsqrt(4.7268) = 0.4600 +32'h3e969a2d,32'h3fe74a07,32'h3ff0bac3, 32'h3fe0357a,32'h3ff7cf50, 32'h3fd4688e,32'h4001ce1e,// invsqrt(0.2941) = 1.8438 +32'h3f82dfc4,32'h3f781c26,32'h3f811e53, 32'h3f7083c7,32'h3f84ea82, 32'h3f63db29,32'h3f8b3ed2,// invsqrt(1.0225) = 0.9890 +32'h3f5dd8eb,32'h3f86c003,32'h3f8c4003, 32'h3f82a003,32'h3f906003, 32'h3f778005,32'h3f974003,// invsqrt(0.8666) = 1.0742 +32'h3f3606a1,32'h3f94c2d9,32'h3f9ad53f, 32'h3f90350b,32'h3f9f630d, 32'h3f889e0b,32'h3fa6fa0d,// invsqrt(0.7110) = 1.1859 +32'h3efacd00,32'h3fb33a72,32'h3fba8b32, 32'h3fadbde1,32'h3fc007c3, 32'h3fa498f0,32'h3fc92cb4,// invsqrt(0.4898) = 1.4288 +32'h3f545cbb,32'h3f89b9f9,32'h3f8f5913, 32'h3f8582a6,32'h3f939066, 32'h3f7cf78b,32'h3f9a9747,// invsqrt(0.8295) = 1.0979 +32'h3f440d43,32'h3f8f5750,32'h3f953114, 32'h3f8af3fc,32'h3f999468, 32'h3f83a3c7,32'h3fa0e49d,// invsqrt(0.7658) = 1.1427 +32'h3f663e86,32'h3f844525,32'h3f89ab3b, 32'h3f803894,32'h3f8db7cc, 32'h3f72f1f0,32'h3f947768,// invsqrt(0.8994) = 1.0544 +32'h3fc36784,32'h3f4b0ce9,32'h3f535694, 32'h3f44d5a8,32'h3f598dd4, 32'h3f3a7991,32'h3f63e9eb,// invsqrt(1.5266) = 0.8094 +32'h3fc6027f,32'h3f49b5cc,32'h3f51f176, 32'h3f43890c,32'h3f581e36, 32'h3f393e77,32'h3f6268cb,// invsqrt(1.5470) = 0.8040 +32'h3fefcda7,32'h3f374abe,32'h3f3ec5f4, 32'h3f31ae55,32'h3f44625d, 32'h3f285450,32'h3f4dbc62,// invsqrt(1.8735) = 0.7306 +32'h3fdc923b,32'h3f3f1da6,32'h3f46ea9d, 32'h3f3943ec,32'h3f4cc456, 32'h3f2f83b7,32'h3f56848b,// invsqrt(1.7232) = 0.7618 +32'h3faabb9f,32'h3f5939ef,32'h3f6217bb, 32'h3f529397,32'h3f68be13, 32'h3f477e59,32'h3f73d351,// invsqrt(1.3339) = 0.8659 +32'h406818ad,32'h3f03bdc4,32'h3f091e54, 32'h3eff6ab0,32'h3f0d26c0, 32'h3ef1f949,32'h3f13df74,// invsqrt(3.6265) = 0.5251 +32'h3feab34d,32'h3f39461b,32'h3f40d607, 32'h3f339a2a,32'h3f4681f8, 32'h3f2a2642,32'h3f4ff5e0,// invsqrt(1.8336) = 0.7385 +32'h3ec1ce73,32'h3fcbe2c2,32'h3fd43528, 32'h3fc5a4f6,32'h3fda72f4, 32'h3fbb3df6,32'h3fe4d9f4,// invsqrt(0.3785) = 1.6254 +32'h3f8121ae,32'h3f79c743,32'h3f81fc98, 32'h3f7221d1,32'h3f85cf52, 32'h3f656367,32'h3f8c2e86,// invsqrt(1.0088) = 0.9956 +32'h3e9856dd,32'h3fe5f77c,32'h3fef5a67, 32'h3fdeed4c,32'h3ff66498, 32'h3fd331a7,32'h4001101f,// invsqrt(0.2975) = 1.8333 +32'h405699b7,32'h3f0901a1,32'h3f0e9934, 32'h3f04cff2,32'h3f12cae2, 32'h3efba4f2,32'h3f19c85b,// invsqrt(3.3531) = 0.5461 +32'h3f472d01,32'h3f8e3666,32'h3f940460, 32'h3f89dbeb,32'h3f985edb, 32'h3f829a73,32'h3f9fa053,// invsqrt(0.7780) = 1.1337 +32'h3f795864,32'h3f7e34af,32'h3f844a70, 32'h3f766c8b,32'h3f882e83, 32'h3f69744e,32'h3f8eaaa1,// invsqrt(0.9740) = 1.0133 +32'h3e8528a0,32'h3ff5f8eb,32'h4000018a, 32'h3fee714d,32'h4003c55a, 32'h3fe1e49a,32'h400a0bb3,// invsqrt(0.2601) = 1.9609 +32'h3fc3f7a1,32'h3f4ac232,32'h3f5308d0, 32'h3f448d3b,32'h3f593dc7, 32'h3f3a34f4,32'h3f63960e,// invsqrt(1.5310) = 0.8082 +32'h3c013666,32'h4130908d,32'h4137c579, 32'h412b28dc,32'h413d2d2a, 32'h412226b6,32'h41462f50,// invsqrt(0.0079) = 11.2605 +32'h3fe730b4,32'h3f3aacd0,32'h3f424b60, 32'h3f34f5e4,32'h3f48024c, 32'h3f2b6faf,32'h3f518881,// invsqrt(1.8062) = 0.7441 +32'h41f25fab,32'h3e365145,32'h3e3dc24e, 32'h3e30bc80,32'h3e435714, 32'h3e276f36,32'h3e4ca45e,// invsqrt(30.2967) = 0.1817 +32'h3ffdb4c4,32'h3f3232fa,32'h3f3978f9, 32'h3f2cbe79,32'h3f3eed79, 32'h3f23a6fa,32'h3f4804f8,// invsqrt(1.9821) = 0.7103 +32'h3ef58440,32'h3fb52593,32'h3fbc8a5f, 32'h3faf99f9,32'h3fc215f9, 32'h3fa65bfa,32'h3fcb53f8,// invsqrt(0.4795) = 1.4441 +32'h3f611d0a,32'h3f85c4da,32'h3f8b3a9a, 32'h3f81ac8a,32'h3f8f52ea, 32'h3f75b2b5,32'h3f962619,// invsqrt(0.8793) = 1.0664 +32'h3f530de3,32'h3f8a270f,32'h3f8fca9d, 32'h3f85ec65,32'h3f940547, 32'h3f7dbfe8,32'h3f9b11b8,// invsqrt(0.8244) = 1.1013 +32'h3e4e3301,32'h400bc500,32'h40117972, 32'h40077da9,32'h4015c0c9, 32'h40005c1a,32'h401ce258,// invsqrt(0.2014) = 2.2285 +32'h3e1b6873,32'h4020ff61,32'h402791a3, 32'h401c11af,32'h402c7f55, 32'h4013dadb,32'h4034b629,// invsqrt(0.1518) = 2.5669 +32'h3e8109a8,32'h3ff9de82,32'h400208b2, 32'h3ff2385a,32'h4005dbc6, 32'h3fe578c1,32'h400c3b92,// invsqrt(0.2520) = 1.9919 +32'h40b597d5,32'h3ed2a16c,32'h3edb3a4c, 32'h3ecc2ec4,32'h3ee1acf4, 32'h3ec16fac,32'h3eec6c0c,// invsqrt(5.6748) = 0.4198 +32'h40b94fb7,32'h3ed081b6,32'h3ed90464, 32'h3eca1fb3,32'h3edf6667, 32'h3ebf7c58,32'h3eea09c2,// invsqrt(5.7910) = 0.4156 +32'h3fa169fa,32'h3f5f68ad,32'h3f688713, 32'h3f5891e2,32'h3f6f5dde, 32'h3f4d2be3,32'h3f7ac3dd,// invsqrt(1.2610) = 0.8905 +32'h3f864dfd,32'h3f74ebb4,32'h3f7eeae0, 32'h3f6d6c53,32'h3f833520, 32'h3f60ed5c,32'h3f89749c,// invsqrt(1.0493) = 0.9762 +32'h3f8158ff,32'h3f7991d5,32'h3f81e0ca, 32'h3f71ee05,32'h3f85b2b2, 32'h3f653255,32'h3f8c1089,// invsqrt(1.0105) = 0.9948 +32'h3fbfd3f1,32'h3f4cef3d,32'h3f554c99, 32'h3f46a939,32'h3f5b929d, 32'h3f3c3487,32'h3f66074f,// invsqrt(1.4987) = 0.8169 +32'h3f6f7457,32'h3f81b38d,32'h3f86fecd, 32'h3f7b763c,32'h3f8af73c, 32'h3f6e3a1e,32'h3f91954b,// invsqrt(0.9354) = 1.0340 +32'h3f572cc7,32'h3f88d2c7,32'h3f8e6871, 32'h3f84a287,32'h3f9298b1, 32'h3f7b4ee6,32'h3f9993c5,// invsqrt(0.8405) = 1.0907 +32'h3f39c557,32'h3f934109,32'h3f9943b1, 32'h3f8ebf0c,32'h3f9dc5ae, 32'h3f873bba,32'h3fa54900,// invsqrt(0.7257) = 1.1739 +32'h3d3d9ee0,32'h4091c067,32'h4097b35c, 32'h408d4a30,32'h409c2994, 32'h4085da7f,32'h40a39945,// invsqrt(0.0463) = 4.6477 +32'h405606bb,32'h3f0930a4,32'h3f0eca22, 32'h3f04fd84,32'h3f12fd42, 32'h3efbfb4c,32'h3f19fd20,// invsqrt(3.3442) = 0.5468 +32'h3f1d9a78,32'h3f9fdf50,32'h3fa665d0, 32'h3f9afa6f,32'h3fab4ab1, 32'h3f92d24e,32'h3fb372d2,// invsqrt(0.6156) = 1.2745 +32'h3e5c21bb,32'h4007462c,32'h400ccba6, 32'h40032211,32'h4010efc1, 32'h3ff87670,32'h4017d69a,// invsqrt(0.2150) = 2.1568 +32'h3f159c8d,32'h3fa41635,32'h3faac8bf, 32'h3f9f104e,32'h3fafcea6, 32'h3f96b121,32'h3fb82dd3,// invsqrt(0.5844) = 1.3081 +32'h3ee45b2f,32'h3fbbd472,32'h3fc37f13, 32'h3fb61478,32'h3fc93f0c, 32'h3fac7f2f,32'h3fd2d455,// invsqrt(0.4460) = 1.4974 +32'h3fb13804,32'h3f5536d6,32'h3f5deab4, 32'h3f4eafee,32'h3f64719c, 32'h3f43cf18,32'h3f6f5272,// invsqrt(1.3845) = 0.8499 +32'h411a57a5,32'h3ea18d6b,32'h3ea8257a, 32'h3e9c9b60,32'h3ead1786, 32'h3e945d4e,32'h3eb55598,// invsqrt(9.6464) = 0.3220 +32'h3f9222c4,32'h3f6acc0e,32'h3f746172, 32'h3f639c03,32'h3f7b917d, 32'h3f57a146,32'h3f83c61d,// invsqrt(1.1417) = 0.9359 +32'h3f9e1001,32'h3f61c3d6,32'h3f6afada, 32'h3f5ada94,32'h3f71e41c, 32'h3f4f55cf,32'h3f7d68e1,// invsqrt(1.2349) = 0.8999 +32'h3f82d42c,32'h3f782724,32'h3f81240b, 32'h3f708e6f,32'h3f84f066, 32'h3f63e540,32'h3f8b44fd,// invsqrt(1.0221) = 0.9891 +32'h3f9c4f56,32'h3f6306f3,32'h3f6c4b26, 32'h3f5c13cb,32'h3f733e4d, 32'h3f507e8b,32'h3f7ed38d,// invsqrt(1.2212) = 0.9049 +32'h4265b7c6,32'h3e046bea,32'h3e09d396, 32'h3e005e2a,32'h3e0de156, 32'h3df33926,32'h3e14a2ed,// invsqrt(57.4295) = 0.1320 +32'h3fd84bf6,32'h3f40feb8,32'h3f48df52, 32'h3f3b1645,32'h3f4ec7c5, 32'h3f313d84,32'h3f58a086,// invsqrt(1.6898) = 0.7693 +32'h40af29a8,32'h3ed67640,32'h3edf3729, 32'h3ecfe592,32'h3ee5c7d8, 32'h3ec4f470,32'h3ef0b8fa,// invsqrt(5.4738) = 0.4274 +32'h3eb9a91b,32'h3fd04f7e,32'h3fd8d020, 32'h3fc9ef05,32'h3fdf3099, 32'h3fbf4e3a,32'h3fe9d164,// invsqrt(0.3626) = 1.6606 +32'h3f7d9ad4,32'h3f7c0fdc,32'h3f832cd4, 32'h3f745885,32'h3f87087f, 32'h3f677c48,32'h3f8d769e,// invsqrt(0.9906) = 1.0047 +32'h3fbf5b0d,32'h3f4d2fef,32'h3f558fef, 32'h3f46e7f0,32'h3f5bd7ee, 32'h3f3c6ff1,32'h3f664fed,// invsqrt(1.4950) = 0.8179 +32'h3f0b90f1,32'h3fa9e3a7,32'h3fb0d2d3, 32'h3fa4b047,32'h3fb60633, 32'h3f9c0550,32'h3fbeb12a,// invsqrt(0.5452) = 1.3543 +32'h3ddae77d,32'h403fd794,32'h4047ac22, 32'h4039f82a,32'h404d8b8c, 32'h40302e78,32'h4057553e,// invsqrt(0.1069) = 3.0587 +32'h3fcb1fea,32'h3f472780,32'h3f4f4876, 32'h3f410ec8,32'h3f55612e, 32'h3f36e595,32'h3f5f8a61,// invsqrt(1.5869) = 0.7938 +32'h3eea2685,32'h3fb97dc5,32'h3fc10ff7, 32'h3fb3d020,32'h3fc6bd9c, 32'h3faa5961,32'h3fd0345b,// invsqrt(0.4573) = 1.4787 +32'h407d67fc,32'h3efc2924,32'h3f0339fc, 32'h3ef47107,32'h3f07160b, 32'h3ee79380,32'h3f0d84ce,// invsqrt(3.9595) = 0.5026 +32'h3fe73dd4,32'h3f3aa784,32'h3f4245dc, 32'h3f34f0c1,32'h3f47fc9f, 32'h3f2b6ad2,32'h3f51828e,// invsqrt(1.8066) = 0.7440 +32'h3f94e1aa,32'h3f689f37,32'h3f721de1, 32'h3f618038,32'h3f793ce0, 32'h3f55a1e4,32'h3f828d9a,// invsqrt(1.1631) = 0.9272 +32'h3faa22ac,32'h3f599b7e,32'h3f627d44, 32'h3f52f229,32'h3f692699, 32'h3f47d7f1,32'h3f7440d1,// invsqrt(1.3292) = 0.8674 +32'h3f654e36,32'h3f848a62,32'h3f89f34c, 32'h3f807bb3,32'h3f8e01fb, 32'h3f73711d,32'h3f94c520,// invsqrt(0.8957) = 1.0566 +32'h3f8c337b,32'h3f6fb70e,32'h3f797fd6, 32'h3f686079,32'h3f806b35, 32'h3f5c2580,32'h3f8688b2,// invsqrt(1.0953) = 0.9555 +32'h3fae7d37,32'h3f56e01f,32'h3f5fa559, 32'h3f504c33,32'h3f663945, 32'h3f4555a9,32'h3f712fcf,// invsqrt(1.3632) = 0.8565 +32'h3e22b05f,32'h401d5a8d,32'h4023c6bd, 32'h4018896a,32'h402897e0, 32'h4010822e,32'h40309f1c,// invsqrt(0.1589) = 2.5088 +32'h3fa3a595,32'h3f5de12a,32'h3f66ef94, 32'h3f57165a,32'h3f6dba64, 32'h3f4bc456,32'h3f790c69,// invsqrt(1.2785) = 0.8844 +32'h4042b406,32'h3f0fd62d,32'h3f15b51f, 32'h3f0b6ef7,32'h3f1a1c55, 32'h3f041849,32'h3f217303,// invsqrt(3.0422) = 0.5733 +32'h418e1cb0,32'h3e6e1910,32'h3e77d0f1, 32'h3e66cf26,32'h3e7f1ada, 32'h3e5aa94d,32'h3e85a05a,// invsqrt(17.7640) = 0.2373 +32'h3f82856b,32'h3f7871f7,32'h3f814afb, 32'h3f70d6f6,32'h3f85187b, 32'h3f6429f7,32'h3f8b6efa,// invsqrt(1.0197) = 0.9903 +32'h3ede47d4,32'h3fbe6129,32'h3fc6266f, 32'h3fb88d35,32'h3fcbfa63, 32'h3faed69e,32'h3fd5b0fa,// invsqrt(0.4341) = 1.5177 +32'h3de1feb3,32'h403ccefe,32'h404483da, 32'h4037075a,32'h404a4b7e, 32'h402d6548,32'h4053ed90,// invsqrt(0.1103) = 3.0103 +32'h3f12dcc8,32'h3fa59d8a,32'h3fac600c, 32'h3fa08ba7,32'h3fb171ef, 32'h3f981884,32'h3fb9e513,// invsqrt(0.5737) = 1.3203 +32'h40cb3693,32'h3ec71c66,32'h3ecf3ce7, 32'h3ec10404,32'h3ed55548, 32'h3eb6db62,32'h3edf7dea,// invsqrt(6.3504) = 0.3968 +32'h3f5893a3,32'h3f88613d,32'h3f8df245, 32'h3f843477,32'h3f921f0b, 32'h3f7a7e5b,32'h3f991454,// invsqrt(0.8460) = 1.0872 +32'h3f844b2a,32'h3f76c676,32'h3f806c82, 32'h3f6f388d,32'h3f843376, 32'h3f62a15d,32'h3f8a7f0e,// invsqrt(1.0335) = 0.9836 +32'h3fe99ad9,32'h3f39b530,32'h3f4149a5, 32'h3f3405d9,32'h3f46f8fd, 32'h3f2a8c47,32'h3f50728f,// invsqrt(1.8250) = 0.7402 +32'h40187119,32'h3f228e6f,32'h3f2930fb, 32'h3f1d9486,32'h3f2e2ae4, 32'h3f154956,32'h3f367614,// invsqrt(2.3819) = 0.6479 +32'h400d1613,32'h3f28f8bb,32'h3f2fde4f, 32'h3f23cc8b,32'h3f350a7f, 32'h3f1b2d91,32'h3f3da979,// invsqrt(2.2045) = 0.6735 +32'h3e56acb8,32'h4008fb90,32'h400e92e4, 32'h4004ca11,32'h4012c463, 32'h3ffb99cf,32'h4019c18d,// invsqrt(0.2096) = 2.1840 +32'h3fa93a24,32'h3f5a30cc,32'h3f6318aa, 32'h3f5382e5,32'h3f69c691, 32'h3f48610f,32'h3f74e867,// invsqrt(1.3221) = 0.8697 +32'h3f284b26,32'h3f9ab61e,32'h3fa106b2, 32'h3f95f9b0,32'h3fa5c320, 32'h3f8e14f7,32'h3fada7d9,// invsqrt(0.6574) = 1.2333 +32'h40858504,32'h3ef5a3c2,32'h3effaa72, 32'h3eee1ebf,32'h3f0397ba, 32'h3ee19664,32'h3f09dbe8,// invsqrt(4.1725) = 0.4896 +32'h3ec31737,32'h3fcb36ae,32'h3fd3820e, 32'h3fc4fe26,32'h3fd9ba96, 32'h3fba9fee,32'h3fe418ce,// invsqrt(0.3810) = 1.6200 +32'h3f72693e,32'h3f80e871,32'h3f862b65, 32'h3f79ec72,32'h3f8a1d9d, 32'h3f6cc50d,32'h3f90b14f,// invsqrt(0.9469) = 1.0276 +32'h3f0c9d0f,32'h3fa94161,32'h3fb029ed, 32'h3fa412f8,32'h3fb55856, 32'h3f9b704a,32'h3fbdfb05,// invsqrt(0.5493) = 1.3493 +32'h40a37237,32'h3ede0405,32'h3ee713db, 32'h3ed73824,32'h3eeddfbc, 32'h3ecbe458,32'h3ef93388,// invsqrt(5.1077) = 0.4425 +32'h3d25caf9,32'h409bdfb1,32'h40a23c6a, 32'h40971a27,32'h40a701f5, 32'h408f2640,32'h40aef5dc,// invsqrt(0.0405) = 4.9705 +32'h3fc3e93a,32'h3f4ac9a5,32'h3f531092, 32'h3f449474,32'h3f5945c4, 32'h3f3a3bcc,32'h3f639e6c,// invsqrt(1.5306) = 0.8083 +32'h3fc0c579,32'h3f4c6eb2,32'h3f54c6cf, 32'h3f462c9e,32'h3f5b08e4, 32'h3f3bbe7a,32'h3f657708,// invsqrt(1.5060) = 0.8149 +32'h3d8fb824,32'h406cc347,32'h40766d35, 32'h406583d4,32'h407daca8, 32'h40596f6b,32'h4084e088,// invsqrt(0.0702) = 3.7749 +32'h3e557192,32'h4009608b,32'h400efbff, 32'h40052bf5,32'h40133095, 32'h3ffc5349,32'h401a32e6,// invsqrt(0.2084) = 2.1903 +32'h3eeade5e,32'h3fb9351e,32'h3fc0c458, 32'h3fb389b2,32'h3fc66fc4, 32'h3faa16a8,32'h3fcfe2ce,// invsqrt(0.4587) = 1.4765 +32'h3bff09c2,32'h4131bbb1,32'h4138fcd3, 32'h412c4ad8,32'h413e6dac, 32'h4123396f,32'h41477f15,// invsqrt(0.0078) = 11.3350 +32'h409493a0,32'h3ee8dc46,32'h3ef25d6e, 32'h3ee1bb69,32'h3ef97e4b, 32'h3ed5d9f7,32'h3f02afde,// invsqrt(4.6430) = 0.4641 +32'h3ea15ab9,32'h3fdf733d,32'h3fe89210, 32'h3fd89c1e,32'h3fef692e, 32'h3fcd3595,32'h3ffacfb7,// invsqrt(0.3151) = 1.7813 +32'h3e169dfd,32'h402389be,32'h402a368c, 32'h401e8823,32'h402f3827, 32'h40163021,32'h40379029,// invsqrt(0.1471) = 2.6074 +32'h3f5b1da0,32'h3f87965e,32'h3f8d1f1e, 32'h3f836fce,32'h3f9145ae, 32'h3f7909bc,32'h3f98309e,// invsqrt(0.8559) = 1.0809 +32'h406c24f7,32'h3f029b73,32'h3f07f029, 32'h3efd37d4,32'h3f0befb2, 32'h3eefe40c,32'h3f129996,// invsqrt(3.6898) = 0.5206 +32'h3f174a43,32'h3fa32c87,32'h3fa9d587, 32'h3f9e2dc7,32'h3faed447, 32'h3f95da86,32'h3fb72788,// invsqrt(0.5910) = 1.3008 +32'h3ec7de6a,32'h3fc8c516,32'h3fd0f6ed, 32'h3fc29fb4,32'h3fd71c4e, 32'h3fb86167,32'h3fe15a9b,// invsqrt(0.3904) = 1.6005 +32'h3f8f6927,32'h3f6d0472,32'h3f76b109, 32'h3f65c301,32'h3f7df27b, 32'h3f59ab45,32'h3f85051c,// invsqrt(1.1204) = 0.9447 +32'h401dfd8b,32'h3f1fad27,32'h3f26319b, 32'h3f1ac9cf,32'h3f2b14f3, 32'h3f12a43e,32'h3f333a84,// invsqrt(2.4686) = 0.6365 +32'h3ee72488,32'h3fbab1ba,32'h3fc2507e, 32'h3fb4faa7,32'h3fc80791, 32'h3fab7433,32'h3fd18e05,// invsqrt(0.4515) = 1.4883 +32'h3df529cc,32'h403546fa,32'h403cad24, 32'h402fba5b,32'h404239c3, 32'h40267aa7,32'h404b7977,// invsqrt(0.1197) = 2.8903 +32'h3df4bd3e,32'h40356f2a,32'h403cd6f8, 32'h402fe150,32'h404264d2, 32'h40269f8f,32'h404ba693,// invsqrt(0.1195) = 2.8928 +32'h3ea1afe3,32'h3fdf385c,32'h3fe854c8, 32'h3fd8630b,32'h3fef2a19, 32'h3fccff83,32'h3ffa8da1,// invsqrt(0.3158) = 1.7795 +32'h3f14ac77,32'h3fa49a7d,32'h3fab526d, 32'h3f9f9089,32'h3fb05c61, 32'h3f972a9c,32'h3fb8c24e,// invsqrt(0.5808) = 1.3122 +32'h3f994e7f,32'h3f653d76,32'h3f6e98ca, 32'h3f5e38f8,32'h3f759d48, 32'h3f5286d0,32'h3f80a7b8,// invsqrt(1.1977) = 0.9137 +32'h3f4627d3,32'h3f8e9400,32'h3f9465cc, 32'h3f8a36a7,32'h3f98c325, 32'h3f82f069,32'h3fa00963,// invsqrt(0.7740) = 1.1366 +32'h3e1a4b3f,32'h402193e9,32'h40282c3b, 32'h401ca1ab,32'h402d1e79, 32'h40146343,32'h40355ce1,// invsqrt(0.1507) = 2.5762 +32'h3d165a0f,32'h40a3aeab,32'h40aa5cfb, 32'h409eabef,32'h40af5fb7, 32'h4096520b,32'h40b7b99b,// invsqrt(0.0367) = 5.2195 +32'h3fd3a4bb,32'h3f431ae7,32'h3f4b118d, 32'h3f3d21ea,32'h3f510a8a, 32'h3f332d9b,32'h3f5afed9,// invsqrt(1.6535) = 0.7777 +32'h3f28f852,32'h3f9a66c2,32'h3fa0b418, 32'h3f95acc1,32'h3fa56e19, 32'h3f8dcc15,32'h3fad4ec5,// invsqrt(0.6600) = 1.2309 +32'h3f0ef4ec,32'h3fa7dcce,32'h3faeb6cc, 32'h3fa2b950,32'h3fb3da4a, 32'h3f9a28d2,32'h3fbc6ac8,// invsqrt(0.5584) = 1.3382 +32'h3f8cc99b,32'h3f6f371e,32'h3f78faac, 32'h3f67e473,32'h3f8026ab, 32'h3f5bb001,32'h3f8640e4,// invsqrt(1.0999) = 0.9535 +32'h3fb62198,32'h3f5251b4,32'h3f5ae752, 32'h3f4be17c,32'h3f61578a, 32'h3f412676,32'h3f6c1290,// invsqrt(1.4229) = 0.8383 +32'h3fdcd75f,32'h3f3effb8,32'h3f46cb77, 32'h3f3926ea,32'h3f4ca446, 32'h3f2f683c,32'h3f5662f4,// invsqrt(1.7253) = 0.7613 +32'h40147cc2,32'h3f24b4ec,32'h3f2b6df0, 32'h3f1faa28,32'h3f3078b4, 32'h3f1742e3,32'h3f38dff9,// invsqrt(2.3201) = 0.6565 +32'h3d562a2b,32'h4089254a,32'h408ebe52, 32'h4084f284,32'h4092f118, 32'h407be673,32'h4099f063,// invsqrt(0.0523) = 4.3733 +32'h40c96d5a,32'h3ec7fde1,32'h3ed02797, 32'h3ec1de99,32'h3ed646df, 32'h3eb7aa76,32'h3ee07b02,// invsqrt(6.2946) = 0.3986 +32'h3fc89e68,32'h3f4864ed,32'h3f5092d7, 32'h3f42427d,32'h3f56b547, 32'h3f380918,32'h3f60eeac,// invsqrt(1.5673) = 0.7988 +32'h3f7a6160,32'h3f7dae07,32'h3f84045c, 32'h3f75ea02,32'h3f87e65f, 32'h3f68f8a3,32'h3f8e5f0e,// invsqrt(0.9780) = 1.0112 +32'h3f331bf8,32'h3f95f7ab,32'h3f9c16ad, 32'h3f91606a,32'h3fa0adee, 32'h3f89b9a8,32'h3fa854b0,// invsqrt(0.6996) = 1.1955 +32'h3fce8d43,32'h3f457ec5,32'h3f4d8e65, 32'h3f3f730e,32'h3f539a1c, 32'h3f355f86,32'h3f5dada4,// invsqrt(1.6137) = 0.7872 +32'h3fc9b4e0,32'h3f47da69,32'h3f5002ac, 32'h3f41bc37,32'h3f5620df, 32'h3f3789e4,32'h3f605333,// invsqrt(1.5758) = 0.7966 +32'h40c0a2b7,32'h3ecc8123,32'h3ed4da00, 32'h3ec63e7e,32'h3edb1ca6, 32'h3ebbcf6a,32'h3ee58bba,// invsqrt(6.0199) = 0.4076 +32'h3f12c9f5,32'h3fa5a828,32'h3fac6b1a, 32'h3fa095f3,32'h3fb17d4f, 32'h3f982244,32'h3fb9f0fe,// invsqrt(0.5734) = 1.3206 +32'h3c897f78,32'h40f20f59,32'h40fbf0a1, 32'h40eaa664,32'h4101accb, 32'h40de4cca,32'h4107d998,// invsqrt(0.0168) = 7.7187 +32'h3f3c3479,32'h3f924c78,32'h3f984524, 32'h3f8dd1f7,32'h3f9cbfa5, 32'h3f865b20,32'h3fa4367c,// invsqrt(0.7352) = 1.1663 +32'h3f83b119,32'h3f7756a6,32'h3f80b78b, 32'h3f6fc453,32'h3f8480b5, 32'h3f6325c8,32'h3f8acffa,// invsqrt(1.0288) = 0.9859 +32'h402acc68,32'h3f19929d,32'h3f1fd74b, 32'h3f14df1b,32'h3f248acd, 32'h3f0d0942,32'h3f2c60a6,// invsqrt(2.6687) = 0.6121 +32'h3e7d3628,32'h3ffc41f2,32'h400346e4, 32'h3ff48913,32'h40072355, 32'h3fe7aa48,32'h400d92ba,// invsqrt(0.2473) = 2.0110 +32'h3f253f6e,32'h3f9c2174,32'h3fa280dc, 32'h3f9759e6,32'h3fa7486a, 32'h3f8f62a4,32'h3faf3fac,// invsqrt(0.6455) = 1.2447 +32'h3f9c5d2e,32'h3f62fce6,32'h3f6c40b0, 32'h3f5c0a0e,32'h3f733388, 32'h3f507550,32'h3f7ec846,// invsqrt(1.2216) = 0.9048 +32'h3e6ad22a,32'h4002f98a,32'h40085217, 32'h3ffdee3e,32'h400c5481, 32'h3ff090dd,32'h40130332,// invsqrt(0.2293) = 2.0882 +32'h3ee59a52,32'h3fbb51bb,32'h3fc2f706, 32'h3fb595c2,32'h3fc8b2fe, 32'h3fac0723,32'h3fd2419d,// invsqrt(0.4484) = 1.4933 +32'h3efa0b8d,32'h3fb37fb9,32'h3fbad34d, 32'h3fae0109,32'h3fc051fd, 32'h3fa4d890,32'h3fc97a77,// invsqrt(0.4884) = 1.4310 +32'h3f774f78,32'h3f7f3fdc,32'h3f84d57a, 32'h3f776f89,32'h3f88bda3, 32'h3f6a69aa,32'h3f8f4093,// invsqrt(0.9661) = 1.0174 +32'h3f7bab6b,32'h3f7d077a,32'h3f83adaf, 32'h3f75488d,32'h3f878d26, 32'h3f685fae,32'h3f8e0195,// invsqrt(0.9831) = 1.0086 +32'h3f8972e7,32'h3f721a6a,32'h3f7bfc25, 32'h3f6ab11d,32'h3f81b2b8, 32'h3f5e56f3,32'h3f87dfcd,// invsqrt(1.0738) = 0.9650 +32'h3f0a7dda,32'h3faa8c0e,32'h3fb18218, 32'h3fa55385,32'h3fb6baa1, 32'h3f9c9ff8,32'h3fbf6e2f,// invsqrt(0.5410) = 1.3596 +32'h3ffdd6d6,32'h3f322704,32'h3f396c86, 32'h3f2cb2e2,32'h3f3ee0a8, 32'h3f239bfe,32'h3f47f78c,// invsqrt(1.9831) = 0.7101 +32'h3f9453e2,32'h3f690e4a,32'h3f72917c, 32'h3f61ebe4,32'h3f79b3e2, 32'h3f5607e6,32'h3f82cbf0,// invsqrt(1.1588) = 0.9290 +32'h408994df,32'h3ef1fc85,32'h3efbdd07, 32'h3eea9422,32'h3f01a2b5, 32'h3ede3b7f,32'h3f07cf06,// invsqrt(4.2994) = 0.4823 +32'h400e9b8a,32'h3f281161,32'h3f2eed84, 32'h3f22ec46,32'h3f34129e, 32'h3f1a591a,32'h3f3ca5ca,// invsqrt(2.2282) = 0.6699 +32'h410fb370,32'h3ea76d63,32'h3eae42d5, 32'h3ea24d4e,32'h3eb362ea, 32'h3e99c280,32'h3ebbedb8,// invsqrt(8.9813) = 0.3337 +32'h3fcaa2fd,32'h3f4764db,32'h3f4f8851, 32'h3f414a42,32'h3f55a2ea, 32'h3f371ded,32'h3f5fcf3f,// invsqrt(1.5831) = 0.7948 +32'h3ff66999,32'h3f34d132,32'h3f3c328c, 32'h3f2f482d,32'h3f41bb91, 32'h3f260e7c,32'h3f4af542,// invsqrt(1.9251) = 0.7207 +32'h3ed38a2f,32'h3fc32724,32'h3fcb1e4a, 32'h3fbd2dc7,32'h3fd117a7, 32'h3fb338d8,32'h3fdb0c96,// invsqrt(0.4132) = 1.5557 +32'h44d72555,32'h3cc182b1,32'h3cc968ad, 32'h3cbb9633,32'h3ccf552b, 32'h3cb1b6b7,32'h3cd934a7,// invsqrt(1721.1666) = 0.0241 +32'h3e588514,32'h400865d2,32'h400df70a, 32'h400438e8,32'h401223f4, 32'h3ffa86c6,32'h40191979,// invsqrt(0.2114) = 2.1747 +32'h4295e252,32'h3de7d7b7,32'h3df14e3b, 32'h3de0bed3,32'h3df8671f, 32'h3dd4eaad,32'h3e021da3,// invsqrt(74.9420) = 0.1155 +32'h3eb76ad4,32'h3fd1949c,32'h3fda2282, 32'h3fcb2a2e,32'h3fe08cf0, 32'h3fc078ce,32'h3feb3e51,// invsqrt(0.3582) = 1.6708 +32'h3eb84104,32'h3fd11aa8,32'h3fd9a394, 32'h3fcab3f6,32'h3fe00a46, 32'h3fc008ce,32'h3feab56e,// invsqrt(0.3599) = 1.6670 +32'h3fe1635c,32'h3f3d1003,32'h3f44c786, 32'h3f374662,32'h3f4a9128, 32'h3f2da0fe,32'h3f54368c,// invsqrt(1.7608) = 0.7536 +32'h3fb2f9f5,32'h3f542a2a,32'h3f5cd312, 32'h3f4dab7c,32'h3f6351c0, 32'h3f42d85b,32'h3f6e24e1,// invsqrt(1.3983) = 0.8457 +32'h3fdc067d,32'h3f3f5a4d,32'h3f4729bd, 32'h3f397eb8,32'h3f4d0552, 32'h3f2fbb6b,32'h3f56c89f,// invsqrt(1.7189) = 0.7627 +32'h4067ae0b,32'h3f03dc11,32'h3f093dde, 32'h3effa571,32'h3f0d4738, 32'h3ef230f2,32'h3f140177,// invsqrt(3.6200) = 0.5256 +32'h3f4b83d5,32'h3f8cb032,32'h3f926e3e, 32'h3f8861a8,32'h3f96bcc8, 32'h3f813419,32'h3f9dea57,// invsqrt(0.7950) = 1.1216 +32'h3daa5593,32'h40597af8,32'h40625b6a, 32'h4052d2a2,32'h406903c0, 32'h4047ba12,32'h40741c50,// invsqrt(0.0832) = 3.4675 +32'h3f823f1f,32'h3f78b4f9,32'h3f816dda, 32'h3f7117ec,32'h3f853c61, 32'h3f646782,32'h3f8b9496,// invsqrt(1.0176) = 0.9913 +32'h3f2bb580,32'h3f992a3d,32'h3f9f6aa8, 32'h3f9479ed,32'h3fa41af9, 32'h3f8ca968,32'h3fabeb7e,// invsqrt(0.6707) = 1.2210 +32'h3f81e3fa,32'h3f790c2c,32'h3f819b3c, 32'h3f716c74,32'h3f856b18, 32'h3f64b797,32'h3f8bc587,// invsqrt(1.0148) = 0.9927 +32'h3f830dd3,32'h3f77f089,32'h3f8107a0, 32'h3f705980,32'h3f84d325, 32'h3f63b31b,32'h3f8b2658,// invsqrt(1.0239) = 0.9883 +32'h3f843ca1,32'h3f76d406,32'h3f807390, 32'h3f6f45b2,32'h3f843aba, 32'h3f62add1,32'h3f8a86aa,// invsqrt(1.0331) = 0.9838 +32'h3f12e513,32'h3fa598dd,32'h3fac5b2f, 32'h3fa0871f,32'h3fb16ced, 32'h3f981439,32'h3fb9dfd3,// invsqrt(0.5738) = 1.3201 +32'h3e6355d8,32'h40051d18,32'h400a8bfe, 32'h400109ea,32'h400e9f2c, 32'h3ff47e94,32'h401569cc,// invsqrt(0.2220) = 2.1223 +32'h407796a5,32'h3eff1b29,32'h3f04c261, 32'h3ef74bf6,32'h3f08a9fb, 32'h3eea47f7,32'h3f0f2bfa,// invsqrt(3.8686) = 0.5084 +32'h3fc3546d,32'h3f4b16d5,32'h3f5360e8, 32'h3f44df46,32'h3f599876, 32'h3f3a82ae,32'h3f63f50e,// invsqrt(1.5260) = 0.8095 +32'h4028aa9c,32'h3f1a8a50,32'h3f20d919, 32'h3f15cf38,32'h3f259430, 32'h3f0decbc,32'h3f2d76ac,// invsqrt(2.6354) = 0.6160 +32'h40cfb548,32'h3ec4f1d7,32'h3eccfbb6, 32'h3ebeea70,32'h3ed3031e, 32'h3eb4de1a,32'h3edd0f75,// invsqrt(6.4909) = 0.3925 +32'h4022e290,32'h3f1d424d,32'h3f23ad7f, 32'h3f1871e7,32'h3f287de5, 32'h3f106be9,32'h3f3083e3,// invsqrt(2.5451) = 0.6268 +32'h3f8c168d,32'h3f6fcfcd,32'h3f799997, 32'h3f687876,32'h3f807877, 32'h3f5c3c3a,32'h3f869695,// invsqrt(1.0944) = 0.9559 +32'h3f0a00b0,32'h3faad953,32'h3fb1d286, 32'h3fa59e6e,32'h3fb70d6c, 32'h3f9ce6ef,32'h3fbfc4eb,// invsqrt(0.5391) = 1.3620 +32'h3f308b7a,32'h3f970d7f,32'h3f9d37d7, 32'h3f926dbc,32'h3fa1d79a, 32'h3f8ab8cd,32'h3fa98c89,// invsqrt(0.6896) = 1.2042 +32'h3e658d47,32'h4004782c,32'h4009e057, 32'h40006a0b,32'h400dee77, 32'h3ff34fa8,32'h4014b0ae,// invsqrt(0.2242) = 2.1121 +32'h3fece864,32'h3f38689f,32'h3f3fef81, 32'h3f32c376,32'h3f4594aa, 32'h3f295adb,32'h3f4efd45,// invsqrt(1.8508) = 0.7350 +32'h40d57828,32'h3ec244d6,32'h3eca32bf, 32'h3ebc5266,32'h3ed0252e, 32'h3eb26903,32'h3eda0e91,// invsqrt(6.6709) = 0.3872 +32'h3e108ec0,32'h4026ee31,32'h402dbe72, 32'h4021d201,32'h4032daa3, 32'h40194db0,32'h403b5ef4,// invsqrt(0.1412) = 2.6615 +32'h3e101906,32'h40273254,32'h402e055c, 32'h4022140d,32'h403323a3, 32'h40198c42,32'h403bab6e,// invsqrt(0.1407) = 2.6658 +32'h3fa60e5c,32'h3f5c4399,32'h3f654121, 32'h3f558572,32'h3f6bff48, 32'h3f4a4887,32'h3f773c33,// invsqrt(1.2973) = 0.8780 +32'h3f3a9cac,32'h3f92ebfb,32'h3f98eb2a, 32'h3f8e6c98,32'h3f9d6a8e, 32'h3f86ed9e,32'h3fa4e988,// invsqrt(0.7290) = 1.1713 +32'h4007bf35,32'h3f2c433b,32'h3f334b33, 32'h3f26fd41,32'h3f38912d, 32'h3f1e334b,32'h3f415b23,// invsqrt(2.1210) = 0.6866 +32'h3fb82dae,32'h3f5125a2,32'h3f59af01, 32'h3f4abe9a,32'h3f601608, 32'h3f4012e2,32'h3f6ac1c0,// invsqrt(1.4389) = 0.8337 +32'h3c0e6aa5,32'h41282e38,32'h412f0b88, 32'h4123083b,32'h41343185, 32'h411a7396,32'h413cc62a,// invsqrt(0.0087) = 10.7258 +32'h3f89f03d,32'h3f71ac53,32'h3f7b898f, 32'h3f6a4665,32'h3f8177bf, 32'h3f5df1d9,32'h3f87a205,// invsqrt(1.0776) = 0.9633 +32'h3f93d328,32'h3f6973ad,32'h3f72fb03, 32'h3f624e2d,32'h3f7a2083, 32'h3f566502,32'h3f8304d7,// invsqrt(1.1549) = 0.9305 +32'h3f2c3614,32'h3f98f105,32'h3f9f2f1a, 32'h3f944275,32'h3fa3dda9, 32'h3f8c74da,32'h3fabab44,// invsqrt(0.6727) = 1.2192 +32'h40854b0d,32'h3ef5d925,32'h3effe202, 32'h3eee5280,32'h3f03b454, 32'h3ee1c76c,32'h3f09f9de,// invsqrt(4.1654) = 0.4900 +32'h409198e4,32'h3eeb3b20,32'h3ef4d50c, 32'h3ee407ae,32'h3efc087e, 32'h3ed80747,32'h3f040472,// invsqrt(4.5499) = 0.4688 +32'h3f338c46,32'h3f95c8bd,32'h3f9be5d5, 32'h3f9132ec,32'h3fa07ba6, 32'h3f898e8e,32'h3fa82004,// invsqrt(0.7014) = 1.1941 +32'h3e9353d3,32'h3fe9d879,32'h3ff363ec, 32'h3fe2afe4,32'h3ffa8c82, 32'h3fd6c194,32'h40033d69,// invsqrt(0.2877) = 1.8642 +32'h3e8c90df,32'h3fef675f,32'h3ff92ce5, 32'h3fe8133a,32'h40004085, 32'h3fdbdc52,32'h40065bf9,// invsqrt(0.2745) = 1.9085 +32'h3e9d3fab,32'h3fe25933,32'h3feb964f, 32'h3fdb6b5e,32'h3ff28424, 32'h3fcfdefa,32'h3ffe1088,// invsqrt(0.3071) = 1.8044 +32'h3f7f4a1d,32'h3f7b3a97,32'h3f82bdd6, 32'h3f7389c7,32'h3f86963f, 32'h3f66b86b,32'h3f8cfeec,// invsqrt(0.9972) = 1.0014 +32'h41bdac38,32'h3e4e1875,32'h3e5681f1, 32'h3e47c957,32'h3e5cd10f, 32'h3e3d457b,32'h3e6754eb,// invsqrt(23.7091) = 0.2054 +32'h4250bb9a,32'h3e0aeb2f,32'h3e1096bd, 32'h3e06aa83,32'h3e14d769, 32'h3dff2821,32'h3e1beddb,// invsqrt(52.1832) = 0.1384 +32'h3ea3afb0,32'h3fddda50,32'h3fe6e873, 32'h3fd70fb7,32'h3fedb30d, 32'h3fcbbe0b,32'h3ff904b9,// invsqrt(0.3197) = 1.7686 +32'h3f6a247b,32'h3f832a15,32'h3f88849d, 32'h3f7e4c5c,32'h3f8c8884, 32'h3f70ea07,32'h3f9339af,// invsqrt(0.9146) = 1.0456 +32'h3e724adb,32'h4000f086,32'h400633d0, 32'h3ff9fc1e,32'h400a2647, 32'h3fecd3e7,32'h4010ba62,// invsqrt(0.2366) = 2.0558 +32'h3ee450c9,32'h3fbbd8b9,32'h3fc38387, 32'h3fb6189e,32'h3fc943a2, 32'h3fac831d,32'h3fd2d923,// invsqrt(0.4459) = 1.4975 +32'h3f71aae9,32'h3f811b2a,32'h3f866031, 32'h3f7a4ecb,32'h3f8a53f7, 32'h3f6d2239,32'h3f90ea3f,// invsqrt(0.9440) = 1.0292 +32'h3f2c1d01,32'h3f98fc28,32'h3f9f3ab2, 32'h3f944d41,32'h3fa3e999, 32'h3f8c7f15,32'h3fabb7c5,// invsqrt(0.6723) = 1.2196 +32'h3f273b2a,32'h3f9b33ba,32'h3fa1896e, 32'h3f967373,32'h3fa649b5, 32'h3f8e8852,32'h3fae34d6,// invsqrt(0.6532) = 1.2373 +32'h402de565,32'h3f1832e3,32'h3f1e6935, 32'h3f138a25,32'h3f2311f3, 32'h3f0bc63e,32'h3f2ad5da,// invsqrt(2.7171) = 0.6067 +32'h3f395471,32'h3f936ddc,32'h3f997258, 32'h3f8eea7f,32'h3f9df5b5, 32'h3f8764e4,32'h3fa57b50,// invsqrt(0.7239) = 1.1753 +32'h3f28b41d,32'h3f9a85f5,32'h3fa0d491, 32'h3f95cb00,32'h3fa58f86, 32'h3f8de8bc,32'h3fad71ca,// invsqrt(0.6590) = 1.2319 +32'h40048e97,32'h3f2e52b1,32'h3f357030, 32'h3f28fc91,32'h3f3ac64f, 32'h3f2017b2,32'h3f43ab2e,// invsqrt(2.0712) = 0.6948 +32'h3f9ab02a,32'h3f6436d1,32'h3f6d876b, 32'h3f5d3a5c,32'h3f7483e0, 32'h3f51959b,32'h3f801450,// invsqrt(1.2085) = 0.9097 +32'h3fdbfd66,32'h3f3f5e41,32'h3f472ddb, 32'h3f39828d,32'h3f4d098f, 32'h3f2fbf0d,32'h3f56cd0f,// invsqrt(1.7187) = 0.7628 +32'h3f8ceac9,32'h3f6f1af2,32'h3f78dd5a, 32'h3f67c924,32'h3f801794, 32'h3f5b9622,32'h3f863115,// invsqrt(1.1009) = 0.9531 +32'h4021f346,32'h3f1db650,32'h3f24263e, 32'h3f18e25d,32'h3f28fa31, 32'h3f10d673,32'h3f31061b,// invsqrt(2.5305) = 0.6286 +32'h3ead58f4,32'h3fd794f7,32'h3fe06193, 32'h3fd0fb82,32'h3fe6fb08, 32'h3fc5fbbe,32'h3ff1facc,// invsqrt(0.3386) = 1.7186 +32'h3e4619a2,32'h400e991b,32'h40146b1d, 32'h400a3b9b,32'h4018c89d, 32'h4002f519,32'h40200f1f,// invsqrt(0.1935) = 2.2736 +32'h405f5db1,32'h3f064a8b,32'h3f0bc5c0, 32'h3f022e24,32'h3f0fe228, 32'h3ef6a844,32'h3f16bc2a,// invsqrt(3.4901) = 0.5353 +32'h3ffc791e,32'h3f32a23c,32'h3f39ecc6, 32'h3f2d2a54,32'h3f3f64ae, 32'h3f240d27,32'h3f4881db,// invsqrt(1.9724) = 0.7120 +32'h3fe43da1,32'h3f3be09b,32'h3f438bbb, 32'h3f362042,32'h3f494c14, 32'h3f2c8a5a,32'h3f52e1fc,// invsqrt(1.7831) = 0.7489 +32'h3f46b8ea,32'h3f8e5fea,32'h3f942f96, 32'h3f8a042a,32'h3f988b56, 32'h3f82c093,32'h3f9fceed,// invsqrt(0.7763) = 1.1350 +32'h3e3b5cd6,32'h4012a090,32'h40189caa, 32'h400e237c,32'h401d19be, 32'h4006a85a,32'h402494e0,// invsqrt(0.1830) = 2.3378 +32'h3f91889b,32'h3f6b4849,32'h3f74e2bf, 32'h3f641471,32'h3f7c1697, 32'h3f58135d,32'h3f840bd5,// invsqrt(1.1370) = 0.9378 +32'h3e9a8ae2,32'h3fe45256,32'h3feda410, 32'h3fdd550a,32'h3ff4a15c, 32'h3fd1aee1,32'h400023c2,// invsqrt(0.3018) = 1.8202 +32'h410e5dbb,32'h3ea835d9,32'h3eaf1379, 32'h3ea30fa1,32'h3eb439b1, 32'h3e9a7a98,32'h3ebcceba,// invsqrt(8.8979) = 0.3352 +32'h40f4012d,32'h3eb5b508,32'h3ebd1fb0, 32'h3eb0250b,32'h3ec2afad, 32'h3ea6dfb9,32'h3ecbf4ff,// invsqrt(7.6251) = 0.3621 +32'h3f9e49d5,32'h3f619a95,32'h3f6acfe9, 32'h3f5ab295,32'h3f71b7e9, 32'h3f4f2fec,32'h3f7d3a92,// invsqrt(1.2366) = 0.8992 +32'h3ff6aa68,32'h3f34b96f,32'h3f3c19d2, 32'h3f2f3126,32'h3f41a21c, 32'h3f25f8aa,32'h3f4ada98,// invsqrt(1.9271) = 0.7204 +32'h3f924173,32'h3f6ab36c,32'h3f7447ce, 32'h3f638422,32'h3f7b7718, 32'h3f578aa7,32'h3f83b84a,// invsqrt(1.1426) = 0.9355 +32'h3efbef5d,32'h3fb2d30b,32'h3fba1f94, 32'h3fad59a6,32'h3fbf98fa, 32'h3fa439fb,32'h3fc8b8a5,// invsqrt(0.4921) = 1.4256 +32'h40624453,32'h3f056d74,32'h3f0adfa2, 32'h3f0157d1,32'h3f0ef545, 32'h3ef5122d,32'h3f15c3ff,// invsqrt(3.5354) = 0.5318 +32'h40421cea,32'h3f100e1e,32'h3f15ef58, 32'h3f0ba532,32'h3f1a5844, 32'h3f044ba8,32'h3f21b1ce,// invsqrt(3.0330) = 0.5742 +32'h3f951264,32'h3f68792f,32'h3f71f64b, 32'h3f615b5a,32'h3f791420, 32'h3f557ef7,32'h3f827842,// invsqrt(1.1646) = 0.9266 +32'h3ef6e00b,32'h3fb4a5cd,32'h3fbc0562, 32'h3faf1e1c,32'h3fc18d12, 32'h3fa5e6a2,32'h3fcac48d,// invsqrt(0.4822) = 1.4401 +32'h3ea1aa6a,32'h3fdf3c23,32'h3fe858b7, 32'h3fd866b4,32'h3fef2e26, 32'h3fcd02fc,32'h3ffa91de,// invsqrt(0.3158) = 1.7796 +32'h3f543ce5,32'h3f89c44d,32'h3f8f63d3, 32'h3f858ca9,32'h3f939b77, 32'h3f7d0a83,32'h3f9aa2de,// invsqrt(0.8291) = 1.0983 +32'h3e6ddf70,32'h400221c1,32'h40077180, 32'h3ffc4be5,32'h400b6d4f, 32'h3fef0488,32'h401210fe,// invsqrt(0.2323) = 2.0748 +32'h3eee5d2a,32'h3fb7d835,32'h3fbf5931, 32'h3fb23777,32'h3fc4f9ef, 32'h3fa8d63b,32'h3fce5b2b,// invsqrt(0.4656) = 1.4656 +32'h40ff4035,32'h3eb1a8bb,32'h3eb8e917, 32'h3eac3877,32'h3ebe595b, 32'h3ea32805,32'h3ec769cd,// invsqrt(7.9766) = 0.3541 +32'h3f503346,32'h3f8b18a3,32'h3f90c60d, 32'h3f86d694,32'h3f95081c, 32'h3f7f7b9e,32'h3f9c20e1,// invsqrt(0.8133) = 1.1089 +32'h3fa5f12e,32'h3f5c56f6,32'h3f655549, 32'h3f559837,32'h3f6c1407, 32'h3f4a5a4f,32'h3f7751ef,// invsqrt(1.2964) = 0.8783 +32'h3ee8203a,32'h3fba4c67,32'h3fc1e708, 32'h3fb4986f,32'h3fc79b01, 32'h3fab1726,32'h3fd11c4a,// invsqrt(0.4534) = 1.4852 +32'h3f231c23,32'h3f9d2689,32'h3fa39099, 32'h3f9856fd,32'h3fa86025, 32'h3f905269,32'h3fb064b9,// invsqrt(0.6371) = 1.2528 +32'h404ebaa3,32'h3f0b971f,32'h3f1149b2, 32'h3f075130,32'h3f158fa0, 32'h3f0031f7,32'h3f1caed9,// invsqrt(3.2301) = 0.5564 +32'h3fdb7257,32'h3f3f9ad9,32'h3f476ced, 32'h3f39bd4b,32'h3f4d4a7b, 32'h3f2ff6b3,32'h3f571113,// invsqrt(1.7144) = 0.7637 +32'h3f9b7a07,32'h3f63a27a,32'h3f6ced06, 32'h3f5caa90,32'h3f73e4f0, 32'h3f510d60,32'h3f7f8220,// invsqrt(1.2147) = 0.9073 +32'h3e322f9d,32'h40165b01,32'h401c7e10, 32'h4011c0b4,32'h4021185c, 32'h400a14e1,32'h4028c42f,// invsqrt(0.1740) = 2.3972 +32'h4383038e,32'h3d77fa41,32'h3d810caf, 32'h3d7062eb,32'h3d84d859, 32'h3d63bc07,32'h3d8b2bcb,// invsqrt(262.0278) = 0.0618 +32'h3efd89ce,32'h3fb24212,32'h3fb988b0, 32'h3faccd1c,32'h3fbefda6, 32'h3fa3b4d7,32'h3fc815eb,// invsqrt(0.4952) = 1.4211 +32'h3f883b08,32'h3f732eeb,32'h3f7d1bef, 32'h3f6bbd28,32'h3f8246d9, 32'h3f5f54e2,32'h3f887afc,// invsqrt(1.0643) = 0.9693 +32'h3e84369b,32'h3ff6d9a5,32'h4000767d, 32'h3fef4b26,32'h40043dbd, 32'h3fe2b2fb,32'h400a89d2,// invsqrt(0.2582) = 1.9679 +32'h3f650b43,32'h3f849dbf,32'h3f8a0773, 32'h3f808e78,32'h3f8e16ba, 32'h3f7394ad,32'h3f94dadc,// invsqrt(0.8947) = 1.0572 +32'h400e67a7,32'h3f282ffc,32'h3f2f0d60, 32'h3f2309f2,32'h3f34336a, 32'h3f1a7536,32'h3f3cc826,// invsqrt(2.2251) = 0.6704 +32'h3f7bbeb7,32'h3f7cfdc7,32'h3f83a8a4, 32'h3f753f28,32'h3f8787f4, 32'h3f6856c7,32'h3f8dfc24,// invsqrt(0.9834) = 1.0084 +32'h3fd6a40b,32'h3f41bcf0,32'h3f49a54e, 32'h3f3bceaa,32'h3f4f9394, 32'h3f31ec36,32'h3f597609,// invsqrt(1.6769) = 0.7722 +32'h3fa11667,32'h3f5fa29a,32'h3f68c35c, 32'h3f58ca08,32'h3f6f9bee, 32'h3f4d6115,32'h3f7b04e1,// invsqrt(1.2585) = 0.8914 +32'h400304b5,32'h3f2f57f6,32'h3f36801f, 32'h3f29f9d6,32'h3f3bde3e, 32'h3f2107a3,32'h3f44d071,// invsqrt(2.0472) = 0.6989 +32'h400c8511,32'h3f294fd4,32'h3f3038f6, 32'h3f2420fa,32'h3f3567d0, 32'h3f1b7d8e,32'h3f3e0b3c,// invsqrt(2.1956) = 0.6749 +32'h3f4ee702,32'h3f8b8826,32'h3f913a1e, 32'h3f8742ad,32'h3f957f97, 32'h3f802438,32'h3f9c9e0c,// invsqrt(0.8082) = 1.1123 +32'h40943200,32'h3ee928ed,32'h3ef2ad35, 32'h3ee205b7,32'h3ef9d06b, 32'h3ed6205c,32'h3f02dae3,// invsqrt(4.6311) = 0.4647 +32'h3f298b87,32'h3f9a23ac,32'h3fa06e46, 32'h3f956bb9,32'h3fa52639, 32'h3f8d8e7a,32'h3fad0378,// invsqrt(0.6623) = 1.2288 +32'h3f4b9c3f,32'h3f8ca7c2,32'h3f926576, 32'h3f88597b,32'h3f96b3bd, 32'h3f812c59,32'h3f9de0df,// invsqrt(0.7954) = 1.1213 +32'h4038653d,32'h3f13cd5d,32'h3f19d5bf, 32'h3f0f4714,32'h3f1e5c08, 32'h3f07bc99,32'h3f25e683,// invsqrt(2.8812) = 0.5891 +32'h3ff877dd,32'h3f34114f,32'h3f3b6ad5, 32'h3f2e8e2b,32'h3f40edf9, 32'h3f255e43,32'h3f4a1de1,// invsqrt(1.9412) = 0.7177 +32'h405ac289,32'h3f07b296,32'h3f0d3c7c, 32'h3f038b29,32'h3f1163e9, 32'h3ef93d90,32'h3f18504a,// invsqrt(3.4181) = 0.5409 +32'h3e723625,32'h4000f609,32'h4006398c, 32'h3ffa06ce,32'h400a2c2f, 32'h3fecde07,32'h4010c092,// invsqrt(0.2365) = 2.0561 +32'h3fe8a85f,32'h3f3a15dd,32'h3f41ae45, 32'h3f346390,32'h3f476092, 32'h3f2ae50f,32'h3f50df13,// invsqrt(1.8176) = 0.7417 +32'h41534f54,32'h3e8a11a9,32'h3e8fb457, 32'h3e85d7a6,32'h3e93ee5a, 32'h3e7d989a,32'h3e9af9b3,// invsqrt(13.2069) = 0.2752 +32'h3fd74ccf,32'h3f4170f2,32'h3f495636, 32'h3f3b8500,32'h3f4f4228, 32'h3f31a66c,32'h3f5920bc,// invsqrt(1.6820) = 0.7711 +32'h404cd82d,32'h3f0c3b21,32'h3f11f467, 32'h3f07f02d,32'h3f163f5b, 32'h3f00c897,32'h3f1d66f1,// invsqrt(3.2007) = 0.5590 +32'h40686b31,32'h3f03a65f,32'h3f0905fa, 32'h3eff3d54,32'h3f0d0dae, 32'h3ef1ce4f,32'h3f13c530,// invsqrt(3.6315) = 0.5248 +32'h3f685038,32'h3f83ae03,32'h3f890def, 32'h3f7f4c26,32'h3f8d15df, 32'h3f71dc5a,32'h3f93cdc5,// invsqrt(0.9075) = 1.0497 +32'h3e6f9678,32'h4001aa50,32'h4006f52e, 32'h3ffb6451,32'h400aed55, 32'h3fee2925,32'h40118aec,// invsqrt(0.2340) = 2.0674 +32'h3f1b8a82,32'h3fa0edc0,32'h3fa77f4a, 32'h3f9c0098,32'h3fac6c72, 32'h3f93caab,32'h3fb4a25f,// invsqrt(0.6076) = 1.2829 +32'h3f5bb9c9,32'h3f876627,32'h3f8cecef, 32'h3f834111,32'h3f911205, 32'h3f78b12d,32'h3f97fa7f,// invsqrt(0.8583) = 1.0794 +32'h40710cc4,32'h3f01457d,32'h3f068c3f, 32'h3efaa0d9,32'h3f0a8150, 32'h3eed6ff6,32'h3f1119c1,// invsqrt(3.7664) = 0.5153 +32'h455d5555,32'h3c86e80b,32'h3c8c69ad, 32'h3c82c6d1,32'h3c908ae7, 32'h3c77c98c,32'h3c976cf2,// invsqrt(3541.3333) = 0.0168 +32'h3fc11064,32'h3f4c4704,32'h3f549d82, 32'h3f460626,32'h3f5ade60, 32'h3f3b9a09,32'h3f654a7d,// invsqrt(1.5083) = 0.8142 +32'h3f5dac6f,32'h3f86cd88,32'h3f8c4e15, 32'h3f82ad1d,32'h3f906e7f, 32'h3f7798d9,32'h3f974f2f,// invsqrt(0.8659) = 1.0746 +32'h3e0ff302,32'h40274867,32'h402e1c56, 32'h40222973,32'h40333b49, 32'h4019a088,32'h403bc434,// invsqrt(0.1406) = 2.6671 +32'h40ad325f,32'h3ed7acf8,32'h3ee07a90, 32'h3ed112c7,32'h3ee714c1, 32'h3ec611ca,32'h3ef215be,// invsqrt(5.4124) = 0.4298 +32'h402e16e2,32'h3f181d3f,32'h3f1e52af, 32'h3f13752b,32'h3f22fac3, 32'h3f0bb25e,32'h3f2abd90,// invsqrt(2.7201) = 0.6063 +32'h3f0b191a,32'h3faa2cc6,32'h3fb11eee, 32'h3fa4f729,32'h3fb6548b, 32'h3f9c4877,32'h3fbf033d,// invsqrt(0.5434) = 1.3566 +32'h3e92cb8a,32'h3fea44ed,32'h3ff3d4cd, 32'h3fe31905,32'h3ffb00b5, 32'h3fd7252d,32'h40037a46,// invsqrt(0.2867) = 1.8676 +32'h40592094,32'h3f0834f2,32'h3f0dc42b, 32'h3f040988,32'h3f11ef96, 32'h3efa2d01,32'h3f18e29d,// invsqrt(3.3926) = 0.5429 +32'h40a9de60,32'h3ed9c738,32'h3ee2aac8, 32'h3ed31c8d,32'h3ee95573, 32'h3ec8001a,32'h3ef471e6,// invsqrt(5.3084) = 0.4340 +32'h3d0f1073,32'h40a7cca7,32'h40aea5fc, 32'h40a2a9a7,32'h40b3c8fb, 32'h409a19fc,32'h40bc58a6,// invsqrt(0.0349) = 5.3507 +32'h3f1bfbd6,32'h3fa0b33f,32'h3fa74267, 32'h3f9bc7e2,32'h3fac2dc4, 32'h3f9394f1,32'h3fb460b5,// invsqrt(0.6093) = 1.2811 +32'h3ebd2760,32'h3fce60c7,32'h3fd6cd37, 32'h3fc80f72,32'h3fdd1e8c, 32'h3fbd87e6,32'h3fe7a619,// invsqrt(0.3694) = 1.6452 +32'h3f3a5a55,32'h3f930620,32'h3f990660, 32'h3f8e85f0,32'h3f9d8690, 32'h3f8705a0,32'h3fa506e0,// invsqrt(0.7279) = 1.1721 +32'h3f0fe31d,32'h3fa751a4,32'h3fae25f3, 32'h3fa23267,32'h3fb3452f, 32'h3f99a904,32'h3fbbce93,// invsqrt(0.5621) = 1.3339 +32'h3e39d408,32'h40133b37,32'h40193da1, 32'h400eb967,32'h401dbf71, 32'h40073661,32'h40254277,// invsqrt(0.1815) = 2.3474 +32'h3f3f8331,32'h3f9107a7,32'h3f96f311, 32'h3f8c9717,32'h3f9b63a1, 32'h3f8530d3,32'h3fa2c9e5,// invsqrt(0.7481) = 1.1562 +32'h3f8c13da,32'h3f6fd21d,32'h3f799bff, 32'h3f687ab4,32'h3f8079b4, 32'h3f5c3e59,32'h3f8697e1,// invsqrt(1.0944) = 0.9559 +32'h408f324c,32'h3eed31d4,32'h3ef6e045, 32'h3ee5eeff,32'h3efe2319, 32'h3ed9d4f1,32'h3f051e93,// invsqrt(4.4749) = 0.4727 +32'h3fbc7259,32'h3f4ec3d0,32'h3f57344b, 32'h3f486f73,32'h3f5d88a7, 32'h3f3de2d8,32'h3f681542,// invsqrt(1.4722) = 0.8242 +32'h3ddfe60c,32'h403db0bd,32'h40456ecf, 32'h4037e22f,32'h404b3d5d, 32'h402e3499,32'h4054eaf3,// invsqrt(0.1093) = 3.0244 +32'h3f2b3271,32'h3f9964d2,32'h3f9fa7a2, 32'h3f94b2b7,32'h3fa459bd, 32'h3f8cdf34,32'h3fac2d40,// invsqrt(0.6687) = 1.2228 +32'h418b2632,32'h3e709e92,32'h3e7a70cc, 32'h3e6940e6,32'h3e80e73c, 32'h3e5cfa1e,32'h3e870aa0,// invsqrt(17.3937) = 0.2398 +32'h3f97c823,32'h3f666383,32'h3f6fcad7, 32'h3f5f5604,32'h3f76d856, 32'h3f5394dc,32'h3f814cbf,// invsqrt(1.1858) = 0.9183 +32'h4032c6b2,32'h3f161b6b,32'h3f1c3be3, 32'h3f118312,32'h3f20d43c, 32'h3f09da7d,32'h3f287cd1,// invsqrt(2.7934) = 0.5983 +32'h3cb59c1d,32'h40d29ef0,32'h40db37b6, 32'h40cc2c5c,32'h40e1aa4a, 32'h40c16d64,32'h40ec6942,// invsqrt(0.0222) = 6.7162 +32'h3e04a77d,32'h402e4254,32'h40355f28, 32'h4028ecb4,32'h403ab4c8, 32'h402008ab,32'h404398d1,// invsqrt(0.1295) = 2.7784 +32'h3fdf8839,32'h3f3dd888,32'h3f45983a, 32'h3f3808c3,32'h3f4b67ff, 32'h3f2e5924,32'h3f55179e,// invsqrt(1.7463) = 0.7567 +32'h410c0448,32'h3ea99d9f,32'h3eb089ef, 32'h3ea46c63,32'h3eb5bb2b, 32'h3e9bc500,32'h3ebe628e,// invsqrt(8.7510) = 0.3380 +32'h3fc36c8f,32'h3f4b0a4a,32'h3f5353da, 32'h3f44d31e,32'h3f598b06, 32'h3f3a772a,32'h3f63e6fa,// invsqrt(1.5268) = 0.8093 +32'h40d48288,32'h3ec2b4fb,32'h3ecaa778, 32'h3ebcbf1d,32'h3ed09d55, 32'h3eb2d000,32'h3eda8c72,// invsqrt(6.6409) = 0.3880 +32'h3f4a1b86,32'h3f8d2d62,32'h3f92f08a, 32'h3f88db03,32'h3f9742e9, 32'h3f81a711,32'h3f9e76db,// invsqrt(0.7895) = 1.1255 +32'h3e3a3980,32'h40131315,32'h401913dd, 32'h400e9280,32'h401d9472, 32'h40071186,32'h4025156c,// invsqrt(0.1819) = 2.3449 +32'h3f48382d,32'h3f8dd764,32'h3f93a17c, 32'h3f897fd1,32'h3f97f90f, 32'h3f824332,32'h3f9f35ae,// invsqrt(0.7821) = 1.1308 +32'h3e53593f,32'h400a0e6c,32'h400fb0f8, 32'h4005d483,32'h4013eae1, 32'h3ffd92a7,32'h401af611,// invsqrt(0.2064) = 2.2012 +32'h409d835f,32'h3ee22888,32'h3eeb63a8, 32'h3edb3c30,32'h3ef25000, 32'h3ecfb249,32'h3efdd9e7,// invsqrt(4.9223) = 0.4507 +32'h3ca73395,32'h40db8220,32'h40e477c4, 32'h40d4c9e6,32'h40eb2ffe, 32'h40c996da,32'h40f6630a,// invsqrt(0.0204) = 6.9996 +32'h3fd5e792,32'h3f421237,32'h3f49fe10, 32'h3f3c2155,32'h3f4feef3, 32'h3f323a87,32'h3f59d5c1,// invsqrt(1.6711) = 0.7736 +32'h3f607fa4,32'h3f85f3b6,32'h3f8b6b60, 32'h3f81d9f7,32'h3f8f851f, 32'h3f7608c7,32'h3f965ab2,// invsqrt(0.8769) = 1.0679 +32'h3fac4ef6,32'h3f583b1c,32'h3f610e80, 32'h3f519c90,32'h3f67ad0c, 32'h3f469453,32'h3f72b549,// invsqrt(1.3462) = 0.8619 +32'h410c3e58,32'h3ea97a7f,32'h3eb0655f, 32'h3ea44a56,32'h3eb59588, 32'h3e9ba4be,32'h3ebe3b21,// invsqrt(8.7652) = 0.3378 +32'h3f0e3049,32'h3fa850b8,32'h3faf2f72, 32'h3fa329ae,32'h3fb4567c, 32'h3f9a9346,32'h3fbcece4,// invsqrt(0.5554) = 1.3418 +32'h3fd9fd85,32'h3f403e6c,32'h3f48172c, 32'h3f3a5bdb,32'h3f4df9bd, 32'h3f308ceb,32'h3f57c8ad,// invsqrt(1.7030) = 0.7663 +32'h3f44ef26,32'h3f8f0503,32'h3f94db6b, 32'h3f8aa434,32'h3f993c3a, 32'h3f835832,32'h3fa0883c,// invsqrt(0.7693) = 1.1401 +32'h3f881915,32'h3f734d3e,32'h3f7d3b80, 32'h3f6bda8d,32'h3f825718, 32'h3f5f70bc,32'h3f888c01,// invsqrt(1.0633) = 0.9698 +32'h404a5f60,32'h3f0d15b5,32'h3f12d7e7, 32'h3f08c410,32'h3f17298c, 32'h3f019153,32'h3f1e5c49,// invsqrt(3.1621) = 0.5624 +32'h3e83cf2f,32'h3ff73a6a,32'h4000a8d9, 32'h3fefa8f4,32'h40047194, 32'h3fe30bd9,32'h400ac021,// invsqrt(0.2574) = 1.9709 +32'h3fa1b467,32'h3f5f353e,32'h3f68518a, 32'h3f586005,32'h3f6f26c3, 32'h3f4cfca7,32'h3f7a8a21,// invsqrt(1.2633) = 0.8897 +32'h3f8c8ddc,32'h3f6f69f0,32'h3f792f91, 32'h3f6815b6,32'h3f8041e5, 32'h3f5bdead,32'h3f865d6a,// invsqrt(1.0981) = 0.9543 +32'h3f321328,32'h3f966704,32'h3f9c8a91, 32'h3f91cc5a,32'h3fa1253a, 32'h3f8a1fe9,32'h3fa8d1ab,// invsqrt(0.6956) = 1.1990 +32'h3f11e135,32'h3fa62c1a,32'h3facf46f, 32'h3fa115db,32'h3fb20aaf, 32'h3f989b71,32'h3fba8519,// invsqrt(0.5698) = 1.3247 +32'h4021a50b,32'h3f1ddc75,32'h3f244df2, 32'h3f190758,32'h3f292310, 32'h3f10f97c,32'h3f3130ec,// invsqrt(2.5257) = 0.6292 +32'h3ffcc82a,32'h3f32864c,32'h3f39cfb2, 32'h3f2d0f3f,32'h3f3f46bf, 32'h3f23f37f,32'h3f48627f,// invsqrt(1.9749) = 0.7116 +32'h3f62a4f6,32'h3f8550ff,32'h3f8ac205, 32'h3f813c3c,32'h3f8ed6c8, 32'h3f74ddea,32'h3f95a40f,// invsqrt(0.8853) = 1.0628 +32'h3fd2e6e1,32'h3f4372a4,32'h3f4b6cde, 32'h3f3d76f7,32'h3f51688b, 32'h3f337e2e,32'h3f5b6154,// invsqrt(1.6477) = 0.7790 +32'h412dfde2,32'h3e98282c,32'h3e9e5e0f, 32'h3e937fc3,32'h3ea30679, 32'h3e8bbc68,32'h3eaac9d4,// invsqrt(10.8745) = 0.3032 +32'h3ed1f61e,32'h3fc3e293,32'h3fcbe15f, 32'h3fbde379,32'h3fd1e079, 32'h3fb3e4fa,32'h3fdbdef8,// invsqrt(0.4101) = 1.5616 +32'h3fce68c0,32'h3f45903c,32'h3f4da092, 32'h3f3f83fb,32'h3f53acd3, 32'h3f356f90,32'h3f5dc13e,// invsqrt(1.6126) = 0.7875 +32'h3d55fc37,32'h40893403,32'h408ecda5, 32'h408500c9,32'h409300df, 32'h407c017e,32'h409a00e9,// invsqrt(0.0522) = 4.3751 +32'h3f92028d,32'h3f6ae5f4,32'h3f747c66, 32'h3f63b51e,32'h3f7bad3c, 32'h3f57b90f,32'h3f83d4a6,// invsqrt(1.1407) = 0.9363 +32'h4067b56f,32'h3f03d9f7,32'h3f093bad, 32'h3effa15c,32'h3f0d44f6, 32'h3ef22d14,32'h3f13ff1a,// invsqrt(3.6204) = 0.5256 +32'h3f69749a,32'h3f835b74,32'h3f88b800, 32'h3f7eac15,32'h3f8cbd6a, 32'h3f7144b5,32'h3f937119,// invsqrt(0.9119) = 1.0472 +32'h430d74b5,32'h3da8c02c,32'h3dafa372, 32'h3da395b8,32'h3db4cde6, 32'h3d9af9a1,32'h3dbd69fd,// invsqrt(141.4559) = 0.0841 +32'h3f53b7db,32'h3f89ef90,32'h3f8f90d9, 32'h3f85b698,32'h3f93c9d0, 32'h3f7d59f7,32'h3f9ad36c,// invsqrt(0.8270) = 1.0996 +32'h3eb902f1,32'h3fd0acf4,32'h3fd93166, 32'h3fca499e,32'h3fdf94bc, 32'h3fbfa40f,32'h3fea3a4b,// invsqrt(0.3614) = 1.6635 +32'h3f1e884a,32'h3f9f6738,32'h3fa5e8d2, 32'h3f9a8605,32'h3faaca05, 32'h3f926404,32'h3fb2ec06,// invsqrt(0.6193) = 1.2708 +32'h3eb6956d,32'h3fd20ef3,32'h3fdaa1d8, 32'h3fcba0c6,32'h3fe11004, 32'h3fc0e928,32'h3febc7a2,// invsqrt(0.3566) = 1.6746 +32'h403733e0,32'h3f144858,32'h3f1a55be, 32'h3f0fbe4a,32'h3f1edfcc, 32'h3f082d8a,32'h3f26708c,// invsqrt(2.8625) = 0.5910 +32'h3f6585ef,32'h3f847a4a,32'h3f89e28c, 32'h3f806c19,32'h3f8df0bd, 32'h3f73538d,32'h3f94b30f,// invsqrt(0.8966) = 1.0561 +32'h3ec46916,32'h3fca8799,32'h3fd2cbd4, 32'h3fc4546e,32'h3fd8ff00, 32'h3fb9ff25,32'h3fe35449,// invsqrt(0.3836) = 1.6146 +32'h3faffa8e,32'h3f55f6d0,32'h3f5eb285, 32'h3f4f6a09,32'h3f653f4d, 32'h3f447f67,32'h3f7029ef,// invsqrt(1.3748) = 0.8529 +32'h3f250b75,32'h3f9c3a07,32'h3fa29a6f, 32'h3f9771b8,32'h3fa762be, 32'h3f8f7935,32'h3faf5b41,// invsqrt(0.6447) = 1.2454 +32'h414f2252,32'h3e8b742a,32'h3e912551, 32'h3e872f4e,32'h3e956a2e, 32'h3e8011de,32'h3e9c879e,// invsqrt(12.9459) = 0.2779 +32'h3d7ec5e8,32'h407b7bbe,32'h4082dfbf, 32'h4073c8f0,32'h4086b926, 32'h4066f441,32'h408d237d,// invsqrt(0.0622) = 4.0096 +32'h3f0f644f,32'h3fa79b8f,32'h3fae72e3, 32'h3fa27a10,32'h3fb39462, 32'h3f99ece6,32'h3fbc218c,// invsqrt(0.5601) = 1.3362 +32'h3fa46f18,32'h3f5d590b,32'h3f6661e7, 32'h3f569266,32'h3f6d288c, 32'h3f4b4753,32'h3f78739f,// invsqrt(1.2846) = 0.8823 +32'h3fbb9070,32'h3f4f402f,32'h3f57b5bd, 32'h3f48e803,32'h3f5e0de9, 32'h3f3e5511,32'h3f68a0db,// invsqrt(1.4653) = 0.8261 +32'h3ea38d4e,32'h3fddf1a1,32'h3fe700b7, 32'h3fd72650,32'h3fedcc08, 32'h3fcbd374,32'h3ff91ee4,// invsqrt(0.3194) = 1.7693 +32'h409085c8,32'h3eec1a99,32'h3ef5bda5, 32'h3ee4e051,32'h3efcf7ed, 32'h3ed8d482,32'h3f0481de,// invsqrt(4.5163) = 0.4706 +32'h4071e109,32'h3f010cb7,32'h3f065127, 32'h3efa32c6,32'h3f0a447b, 32'h3eed07ae,32'h3f10da07,// invsqrt(3.7794) = 0.5144 +32'h3eceb82d,32'h3fc56a44,32'h3fcd790e, 32'h3fbf5f2d,32'h3fd38425, 32'h3fb54cb2,32'h3fdd96a0,// invsqrt(0.4037) = 1.5738 +32'h3f603693,32'h3f860988,32'h3f8b8216, 32'h3f81ef1e,32'h3f8f9c80, 32'h3f7630db,32'h3f967330,// invsqrt(0.8758) = 1.0685 +32'h3eb18827,32'h3fd506b1,32'h3fddb899, 32'h3fce8143,32'h3fe43e07, 32'h3fc3a2e1,32'h3fef1c69,// invsqrt(0.3467) = 1.6982 +32'h438f22c4,32'h3d6d3eb2,32'h3d76edaa, 32'h3d65fb78,32'h3d7e30e4, 32'h3d59e0c3,32'h3d8525cc,// invsqrt(286.2716) = 0.0591 +32'h3f08044e,32'h3fac1775,32'h3fb31da3, 32'h3fa6d2d2,32'h3fb86246, 32'h3f9e0b18,32'h3fc12a00,// invsqrt(0.5313) = 1.3719 +32'h3f0e98e4,32'h3fa812f0,32'h3faeef24, 32'h3fa2edca,32'h3fb4144a, 32'h3f9a5a89,32'h3fbca78b,// invsqrt(0.5570) = 1.3399 +32'h3f4bca68,32'h3f8c97d3,32'h3f9254e1, 32'h3f884a09,32'h3f96a2ab, 32'h3f811db7,32'h3f9dcefd,// invsqrt(0.7961) = 1.1208 +32'h429748f4,32'h3de6c446,32'h3df02f8c, 32'h3ddfb3d1,32'h3df74001, 32'h3dd3edb8,32'h3e01830d,// invsqrt(75.6425) = 0.1150 +32'h3e2e28d4,32'h40181569,32'h401e4a87, 32'h40136d92,32'h4022f25e, 32'h400bab2c,32'h402ab4c4,// invsqrt(0.1701) = 2.4248 +32'h3eb1c879,32'h3fd4e024,32'h3fdd907a, 32'h3fce5be5,32'h3fe414b9, 32'h3fc37f7a,32'h3feef124,// invsqrt(0.3472) = 1.6970 +32'h405f0faa,32'h3f066206,32'h3f0bde30, 32'h3f0244e6,32'h3f0ffb50, 32'h3ef6d364,32'h3f16d684,// invsqrt(3.4853) = 0.5356 +32'h3e759d7a,32'h4000107d,32'h40054aa2, 32'h3ff849c5,32'h4009363e, 32'h3feb386a,32'h400fbeeb,// invsqrt(0.2399) = 2.0418 +32'h3f7917fc,32'h3f7e558a,32'h3f845b89, 32'h3f768c64,32'h3f88401c, 32'h3f699279,32'h3f8ebd11,// invsqrt(0.9730) = 1.0138 +32'h3ef2160f,32'h3fb66cfb,32'h3fbddf25, 32'h3fb0d75c,32'h3fc374c4, 32'h3fa788a8,32'h3fccc378,// invsqrt(0.4728) = 1.4543 +32'h409a700d,32'h3ee4662b,32'h3eedb8b4, 32'h3edd6843,32'h3ef4b69b, 32'h3ed1c117,32'h3f002ee3,// invsqrt(4.8262) = 0.4552 +32'h3eb07e85,32'h3fd5a6c4,32'h3fde5f34, 32'h3fcf1c6f,32'h3fe4e989, 32'h3fc435e3,32'h3fefd015,// invsqrt(0.3447) = 1.7032 +32'h3eb97dd5,32'h3fd067c9,32'h3fd8e969, 32'h3fca0691,32'h3fdf4aa1, 32'h3fbf648a,32'h3fe9eca8,// invsqrt(0.3623) = 1.6614 +32'h3e831c4c,32'h3ff7e2da,32'h40010081, 32'h3ff04c3c,32'h4004cbd0, 32'h3fe3a689,32'h400b1ea9,// invsqrt(0.2561) = 1.9761 +32'h3fbdbd05,32'h3f4e0f55,32'h3f567873, 32'h3f47c07f,32'h3f5cc749, 32'h3f3d3d1a,32'h3f674aae,// invsqrt(1.4823) = 0.8213 +32'h3c460b9f,32'h410e9e26,32'h4114705c, 32'h410a407e,32'h4118ce04, 32'h4102f9ba,32'h412014c8,// invsqrt(0.0121) = 9.0955 +32'h4065df50,32'h3f046086,32'h3f09c7bb, 32'h3f00531f,32'h3f0dd523, 32'h3ef3243b,32'h3f149624,// invsqrt(3.5918) = 0.5277 +32'h40c93145,32'h3ec81bbc,32'h3ed046aa, 32'h3ec1fb8a,32'h3ed666dc, 32'h3eb7c5e1,32'h3ee09c85,// invsqrt(6.2873) = 0.3988 +32'h3fd0c971,32'h3f446f6d,32'h3f4c73f9, 32'h3f3e6c04,32'h3f527762, 32'h3f346654,32'h3f5c7d12,// invsqrt(1.6311) = 0.7830 +32'h3f83b01a,32'h3f775796,32'h3f80b807, 32'h3f6fc53b,32'h3f848135, 32'h3f6326a3,32'h3f8ad080,// invsqrt(1.0288) = 0.9859 +32'h3fa1f20b,32'h3f5f0abf,32'h3f68254f, 32'h3f5836d3,32'h3f6ef93b, 32'h3f4cd5a0,32'h3f7a5a6e,// invsqrt(1.2652) = 0.8890 +32'h3f4f5dec,32'h3f8b601e,32'h3f911073, 32'h3f871bdf,32'h3f9554b3, 32'h3f7ffeea,32'h3f9c711d,// invsqrt(0.8100) = 1.1111 +32'h3eda3edd,32'h3fc021a3,32'h3fc7f936, 32'h3fba3ff3,32'h3fcddae5, 32'h3fb0727b,32'h3fd7a85d,// invsqrt(0.4263) = 1.5317 +32'h41af58c7,32'h3e56596d,32'h3e5f1929, 32'h3e4fc9a1,32'h3e65a8f5, 32'h3e44d9f7,32'h3e70989f,// invsqrt(21.9183) = 0.2136 +32'h3fb2c0a9,32'h3f544c29,32'h3f5cf673, 32'h3f4dcc70,32'h3f63762c, 32'h3f42f793,32'h3f6e4b09,// invsqrt(1.3965) = 0.8462 +32'h3fa4bd7c,32'h3f5d245b,32'h3f662b11, 32'h3f565f53,32'h3f6cf019, 32'h3f4b16f1,32'h3f78387b,// invsqrt(1.2870) = 0.8815 +32'h401b1b25,32'h3f21277b,32'h3f27bb61, 32'h3f1c388f,32'h3f2caa4d, 32'h3f13ffb0,32'h3f34e32c,// invsqrt(2.4235) = 0.6424 +32'h3f8bbbf0,32'h3f701d82,32'h3f79ea78, 32'h3f68c3ca,32'h3f80a218, 32'h3f5c8397,32'h3f86c232,// invsqrt(1.0917) = 0.9571 +32'h3fcef72c,32'h3f454c36,32'h3f4d59c6, 32'h3f3f420b,32'h3f5363f1, 32'h3f353118,32'h3f5d74e4,// invsqrt(1.6169) = 0.7864 +32'h3f6eadce,32'h3f81e973,32'h3f8736e6, 32'h3f7bdebc,32'h3f8b30fc, 32'h3f6e9d1e,32'h3f91d1cb,// invsqrt(0.9323) = 1.0356 +32'h3f208dd2,32'h3f9e657f,32'h3fa4dc93, 32'h3f998c2f,32'h3fa9b5e3, 32'h3f917755,32'h3fb1cabd,// invsqrt(0.6272) = 1.2627 +32'h406b6728,32'h3f02d010,32'h3f0826ec, 32'h3efd9dd6,32'h3f0c2811, 32'h3ef044b0,32'h3f12d4a4,// invsqrt(3.6782) = 0.5214 +32'h3f2383fc,32'h3f9cf49a,32'h3fa35ca0, 32'h3f982695,32'h3fa82aa5, 32'h3f90248d,32'h3fb02cad,// invsqrt(0.6387) = 1.2512 +32'h3ee74473,32'h3fbaa4d8,32'h3fc24315, 32'h3fb4ee2a,32'h3fc7f9c2, 32'h3fab685d,32'h3fd17f8f,// invsqrt(0.4517) = 1.4879 +32'h3f0d241a,32'h3fa8f055,32'h3fafd592, 32'h3fa3c468,32'h3fb50180, 32'h3f9b25dc,32'h3fbda00c,// invsqrt(0.5513) = 1.3468 +32'h3f00a68b,32'h3fb0f329,32'h3fb82c1b, 32'h3fab8874,32'h3fbd96d0, 32'h3fa28145,32'h3fc69dff,// invsqrt(0.5025) = 1.4106 +32'h405fb977,32'h3f062efd,32'h3f0ba912, 32'h3f02136e,32'h3f0fc4a2, 32'h3ef675a8,32'h3f169d3c,// invsqrt(3.4957) = 0.5349 +32'h416fbea6,32'h3e819f72,32'h3e86e9df, 32'h3e7b4f3f,32'h3e8ae1b0, 32'h3e6e152e,32'h3e917eb9,// invsqrt(14.9840) = 0.2583 +32'h40132a70,32'h3f2571d2,32'h3f2c328c, 32'h3f206146,32'h3f314318, 32'h3f17f05e,32'h3f39b401,// invsqrt(2.2995) = 0.6595 +32'h3f75dd65,32'h3f7fffad,32'h3f85394d, 32'h3f78297c,32'h3f892466, 32'h3f6b19d4,32'h3f8fac3a,// invsqrt(0.9604) = 1.0204 +32'h3f43ac47,32'h3f8f7ad1,32'h3f955609, 32'h3f8b1668,32'h3f99ba72, 32'h3f83c462,32'h3fa10c78,// invsqrt(0.7643) = 1.1438 +32'h3e6458e3,32'h4004d181,32'h400a3d53, 32'h4000c0a5,32'h400e4e2f, 32'h3ff3f3bf,32'h401514f5,// invsqrt(0.2230) = 2.1176 +32'h41095172,32'h3eab4635,32'h3eb243d9, 32'h3ea607fa,32'h3eb78214, 32'h3e9d4aed,32'h3ec03f21,// invsqrt(8.5824) = 0.3413 +32'h3f6f15f5,32'h3f81cd24,32'h3f87196f, 32'h3f7ba7d9,32'h3f8b12a7, 32'h3f6e691f,32'h3f91b205,// invsqrt(0.9339) = 1.0348 +32'h4181ba24,32'h3e793451,32'h3e81b020, 32'h3e71935f,32'h3e85809a, 32'h3e64dc75,32'h3e8bdc0f,// invsqrt(16.2159) = 0.2483 +32'h407c315e,32'h3efcc43e,32'h3f038ab3, 32'h3ef50761,32'h3f076921, 32'h3ee821f0,32'h3f0ddbda,// invsqrt(3.9405) = 0.5038 +32'h3f9bf8dc,32'h3f6345d9,32'h3f6c8c9e, 32'h3f5c50c6,32'h3f7381b2, 32'h3f50b850,32'h3f7f1a28,// invsqrt(1.2185) = 0.9059 +32'h3f59f489,32'h3f87f2a7,32'h3f8d7f2b, 32'h3f83c944,32'h3f91a88e, 32'h3f79b33d,32'h3f989834,// invsqrt(0.8514) = 1.0838 +32'h3f144f0c,32'h3fa4ce4c,32'h3fab8859, 32'h3f9fc2c1,32'h3fb093e3, 32'h3f975a30,32'h3fb8fc74,// invsqrt(0.5793) = 1.3138 +32'h3f0b061b,32'h3faa3866,32'h3fb12b07, 32'h3fa5026e,32'h3fb66100, 32'h3f9c5325,32'h3fbf1049,// invsqrt(0.5431) = 1.3570 +32'h408b636d,32'h3ef069b3,32'h3efa39c5, 32'h3ee90da6,32'h3f00cae9, 32'h3edcc990,32'h3f06ecf4,// invsqrt(4.3559) = 0.4791 +32'h4032742f,32'h3f163e1b,32'h3f1c5ffd, 32'h3f11a4b2,32'h3f20f966, 32'h3f09fa58,32'h3f28a3c0,// invsqrt(2.7883) = 0.5989 +32'h3f4202f4,32'h3f9017c1,32'h3f95f961, 32'h3f8bae8a,32'h3f9a6298, 32'h3f845482,32'h3fa1bca0,// invsqrt(0.7579) = 1.1487 +32'h3d86c667,32'h40747e32,32'h407e78e6, 32'h406d022b,32'h4082fa76, 32'h406088cb,32'h40893727,// invsqrt(0.0658) = 3.8982 +32'h4288f15f,32'h3df28ccf,32'h3dfc7335, 32'h3deb2002,32'h3e01f001, 32'h3ddec002,32'h3e082001,// invsqrt(68.4714) = 0.1208 +32'h3f771652,32'h3f7f5d5f,32'h3f84e4d6, 32'h3f778c26,32'h3f88cd73, 32'h3f6a84c5,32'h3f8f5123,// invsqrt(0.9652) = 1.0179 +32'h40160929,32'h3f23dac6,32'h3f2a8ae4, 32'h3f1ed6b1,32'h3f2f8ef9, 32'h3f167a8c,32'h3f37eb1e,// invsqrt(2.3443) = 0.6531 +32'h3fa738f1,32'h3f5b7e9c,32'h3f64741a, 32'h3f54c67d,32'h3f6b2c39, 32'h3f49939f,32'h3f765f17,// invsqrt(1.3064) = 0.8749 +32'h3f89719f,32'h3f721b8b,32'h3f7bfd51, 32'h3f6ab235,32'h3f81b353, 32'h3f5e57fd,32'h3f87e070,// invsqrt(1.0738) = 0.9650 +32'h404597e8,32'h3f0ec7e3,32'h3f149bcd, 32'h3f0a68f4,32'h3f18fabc, 32'h3f03200f,32'h3f2043a1,// invsqrt(3.0874) = 0.5691 +32'h3f2ec799,32'h3f97d045,32'h3f9e0291, 32'h3f932a8c,32'h3fa2a84a, 32'h3f8b6bad,32'h3faa6729,// invsqrt(0.6827) = 1.2102 +32'h400b93db,32'h3f29e1e1,32'h3f30d0f9, 32'h3f24ae8e,32'h3f36044c, 32'h3f1c03af,32'h3f3eaf2b,// invsqrt(2.1809) = 0.6771 +32'h3f9429ff,32'h3f692f39,32'h3f72b3c3, 32'h3f620bd1,32'h3f79d72b, 32'h3f562625,32'h3f82de6c,// invsqrt(1.1575) = 0.9295 +32'h3e6578ed,32'h40047e0b,32'h4009e674, 32'h40006fbd,32'h400df4c3, 32'h3ff35a73,32'h4014b746,// invsqrt(0.2241) = 2.1124 +32'h3f2a40d5,32'h3f99d183,32'h3fa018c2, 32'h3f951c14,32'h3fa4ce32, 32'h3f8d4306,32'h3faca740,// invsqrt(0.6651) = 1.2262 +32'h3e303979,32'h401730a0,32'h401d5c68, 32'h40128fca,32'h4021fd3e, 32'h400ad910,32'h4029b3f8,// invsqrt(0.1721) = 2.4106 +32'h3ef0f1a7,32'h3fb6db8b,32'h3fbe5238, 32'h3fb1428a,32'h3fc3eb3a, 32'h3fa7ee32,32'h3fcd3f92,// invsqrt(0.4706) = 1.4577 +32'h41602492,32'h3e860eea,32'h3e8b87b0, 32'h3e81f456,32'h3e8fa244, 32'h3e763abe,32'h3e96793b,// invsqrt(14.0089) = 0.2672 +32'h3e8dd59f,32'h3fee54af,32'h3ff80eff, 32'h3fe708f3,32'h3fff5abb, 32'h3fdae00e,32'h4005c1d0,// invsqrt(0.2770) = 1.9000 +32'h3f75de4d,32'h3f7fff35,32'h3f85390e, 32'h3f782906,32'h3f892425, 32'h3f6b1964,32'h3f8fabf6,// invsqrt(0.9604) = 1.0204 +32'h3f4e9896,32'h3f8ba29f,32'h3f9155ab, 32'h3f875c56,32'h3f959bf4, 32'h3f803c88,32'h3f9cbbc2,// invsqrt(0.8070) = 1.1132 +32'h3f23d113,32'h3f9ccfa8,32'h3fa3362c, 32'h3f9802c5,32'h3fa8030f, 32'h3f90029f,32'h3fb00335,// invsqrt(0.6399) = 1.2501 +32'h3f2e27d5,32'h3f9815d8,32'h3f9e4afa, 32'h3f936dfd,32'h3fa2f2d5, 32'h3f8bab92,32'h3faab540,// invsqrt(0.6803) = 1.2124 +32'h40138d96,32'h3f253a33,32'h3f2bf8a8, 32'h3f202b5b,32'h3f31077f, 32'h3f17bd48,32'h3f397592,// invsqrt(2.3055) = 0.6586 +32'h3fc88830,32'h3f487007,32'h3f509e65, 32'h3f424d40,32'h3f56c12c, 32'h3f38134a,32'h3f60fb22,// invsqrt(1.5667) = 0.7989 +32'h3efce615,32'h3fb27bbc,32'h3fb9c4b4, 32'h3fad0502,32'h3fbf3b6e, 32'h3fa3e9cc,32'h3fc856a4,// invsqrt(0.4939) = 1.4229 +32'h40180074,32'h3f22ca9f,32'h3f296fa1, 32'h3f1dcede,32'h3f2e6b62, 32'h3f15809d,32'h3f36b9a3,// invsqrt(2.3750) = 0.6489 +32'h3f8afacb,32'h3f70c422,32'h3f7a97e4, 32'h3f696550,32'h3f80fb5b, 32'h3f5d1c9c,32'h3f871fb5,// invsqrt(1.0858) = 0.9597 +32'h3e5a5b9a,32'h4007d28e,32'h400d5dc2, 32'h4003aa26,32'h4011862a, 32'h3ff97848,32'h4018742c,// invsqrt(0.2132) = 2.1655 +32'h3f8c0d98,32'h3f6fd778,32'h3f79a192, 32'h3f687fe5,32'h3f807c93, 32'h3f5c4344,32'h3f869ae3,// invsqrt(1.0942) = 0.9560 +32'h3eb6d263,32'h3fd1ebea,32'h3fda7d62, 32'h3fcb7ed1,32'h3fe0ea7b, 32'h3fc0c8fc,32'h3feba050,// invsqrt(0.3571) = 1.6735 +32'h3f6ccddc,32'h3f826cd7,32'h3f87bfa7, 32'h3f7cdd78,32'h3f8bbdc2, 32'h3f6f8e71,32'h3f926545,// invsqrt(0.9250) = 1.0397 +32'h3f8607e1,32'h3f752bba,32'h3f7f2d83, 32'h3f6daa64,32'h3f83576d, 32'h3f612829,32'h3f89988b,// invsqrt(1.0471) = 0.9772 +32'h40605b10,32'h3f05fea1,32'h3f0b76bd, 32'h3f01e48d,32'h3f0f90d1, 32'h3ef61cd5,32'h3f1666f4,// invsqrt(3.5056) = 0.5341 +32'h3edfad99,32'h3fbdc8ab,32'h3fc587b7, 32'h3fb7f962,32'h3fcb5700, 32'h3fae4a92,32'h3fd505d0,// invsqrt(0.4369) = 1.5129 +32'h3f9ba782,32'h3f638136,32'h3f6cca67, 32'h3f5c8a50,32'h3f73c14c, 32'h3f50eed3,32'h3f7f5cc9,// invsqrt(1.2160) = 0.9068 +32'h3ecc3811,32'h3fc69eb7,32'h3fceba17, 32'h3fc08a2f,32'h3fd4ce9f, 32'h3fb667f6,32'h3fdef0d8,// invsqrt(0.3989) = 1.5834 +32'h3d8a42f8,32'h407163fa,32'h407b3e43, 32'h406a0044,32'h408150fd, 32'h405daf69,32'h4087796b,// invsqrt(0.0675) = 3.8487 +32'h400230c4,32'h3f2fe675,32'h3f37146f, 32'h3f2a83f9,32'h3f3c76eb, 32'h3f218a80,32'h3f457064,// invsqrt(2.0342) = 0.7011 +32'h40d7aa28,32'h3ec14711,32'h3ec92a9f, 32'h3ebb5c67,32'h3ecf1549, 32'h3eb17ff6,32'h3ed8f1ba,// invsqrt(6.7395) = 0.3852 +32'h3d8098ba,32'h407a4c21,32'h408241be, 32'h4072a29d,32'h4086167f, 32'h4065dd6d,32'h408c7918,// invsqrt(0.0628) = 3.9907 +32'h402b115d,32'h3f1973a6,32'h3f1fb710, 32'h3f14c116,32'h3f2469a0, 32'h3f0cecd2,32'h3f2c3de4,// invsqrt(2.6729) = 0.6117 +32'h3e6683ca,32'h40043144,32'h4009968a, 32'h4000254f,32'h400da27f, 32'h3ff2cd6d,32'h40146118,// invsqrt(0.2251) = 2.1077 +32'h3fb71295,32'h3f51c719,32'h3f5a570f, 32'h3f4b5b20,32'h3f60c308, 32'h3f40a72c,32'h3f6b76fc,// invsqrt(1.4303) = 0.8362 +32'h3f8424c0,32'h3f76ea52,32'h3f807f2b, 32'h3f6f5b50,32'h3f8446ac, 32'h3f62c24c,32'h3f8a932e,// invsqrt(1.0324) = 0.9842 +32'h3df90c47,32'h4033dba0,32'h403b32f4, 32'h402e5a20,32'h4040b474, 32'h40252cf6,32'h4049e19e,// invsqrt(0.1216) = 2.8676 +32'h3eece1be,32'h3fb86b36,32'h3fbff232, 32'h3fb2c5f8,32'h3fc59770, 32'h3fa95d3c,32'h3fcf002c,// invsqrt(0.4627) = 1.4702 +32'h3f054e6e,32'h3fadd514,32'h3fb4ed73, 32'h3fa882cd,32'h3fba3fbb, 32'h3f9fa457,32'h3fc31e31,// invsqrt(0.5207) = 1.3858 +32'h3e701402,32'h40018865,32'h4006d1e1, 32'h3ffb228f,32'h400ac8fe, 32'h3fedead9,32'h401164da,// invsqrt(0.2345) = 2.0653 +32'h3f86faf4,32'h3f744e95,32'h3f7e4758, 32'h3f6cd404,32'h3f82e0f5, 32'h3f605d12,32'h3f891c6e,// invsqrt(1.0545) = 0.9738 +32'h405bd1ef,32'h3f075eb7,32'h3f0ce531, 32'h3f0339db,32'h3f110a0d, 32'h3ef8a384,32'h3f17f226,// invsqrt(3.4347) = 0.5396 +32'h3f0c25f0,32'h3fa98940,32'h3fb074ba, 32'h3fa458a4,32'h3fb5a556, 32'h3f9bb24a,32'h3fbe4bb0,// invsqrt(0.5475) = 1.3515 +32'h407836ca,32'h3efec8d0,32'h3f049786, 32'h3ef6fc22,32'h3f087ddd, 32'h3ee9fc56,32'h3f0efdc3,// invsqrt(3.8783) = 0.5078 +32'h3ec52ed3,32'h3fca21f3,32'h3fd26207, 32'h3fc3f1e4,32'h3fd89216, 32'h3fb9a1ca,32'h3fe2e230,// invsqrt(0.3851) = 1.6114 +32'h3f356094,32'h3f9506e2,32'h3f9b1c10, 32'h3f907700,32'h3f9fabf2, 32'h3f88dc86,32'h3fa7466c,// invsqrt(0.7085) = 1.1880 +32'h3ed99455,32'h3fc06cdf,32'h3fc84785, 32'h3fba88e3,32'h3fce2b81, 32'h3fb0b793,32'h3fd7fcd1,// invsqrt(0.4250) = 1.5340 +32'h3f7a1e2d,32'h3f7dd019,32'h3f841617, 32'h3f760b09,32'h3f87f8a0, 32'h3f6917ed,32'h3f8e722d,// invsqrt(0.9770) = 1.0117 +32'h402ce2f0,32'h3f18a47c,32'h3f1edf72, 32'h3f13f844,32'h3f238baa, 32'h3f0c2e92,32'h3f2b555c,// invsqrt(2.7014) = 0.6084 +32'h3eea889d,32'h3fb956f6,32'h3fc0e793, 32'h3fb3aa82,32'h3fc69408, 32'h3faa35be,32'h3fd008cc,// invsqrt(0.4581) = 1.4775 +32'h3fa4c687,32'h3f5d1e4a,32'h3f6624c0, 32'h3f565972,32'h3f6ce998, 32'h3f4b115e,32'h3f7831ac,// invsqrt(1.2873) = 0.8814 +32'h401675ad,32'h3f239fa5,32'h3f2a4d59, 32'h3f1e9d5f,32'h3f2f4f9f, 32'h3f16443f,32'h3f37a8bf,// invsqrt(2.3509) = 0.6522 +32'h4147f09a,32'h3e8df0c5,32'h3e93bbe7, 32'h3e89986b,32'h3e981441, 32'h3e825a81,32'h3e9f522b,// invsqrt(12.4962) = 0.2829 +32'h3e5d757c,32'h4006de40,32'h400c5f7c, 32'h4002bd53,32'h40108069, 32'h3ff7b790,32'h401761f4,// invsqrt(0.2163) = 2.1503 +32'h3f1297c2,32'h3fa5c483,32'h3fac889d, 32'h3fa0b16f,32'h3fb19bb1, 32'h3f983c4e,32'h3fba10d2,// invsqrt(0.5726) = 1.3215 +32'h3ec6c334,32'h3fc953ec,32'h3fd18b98, 32'h3fc32a2c,32'h3fd7b558, 32'h3fb8e495,32'h3fe1faef,// invsqrt(0.3882) = 1.6050 +32'h3fc810a7,32'h3f48abdf,32'h3f50dcaf, 32'h3f428743,32'h3f57014b, 32'h3f384a40,32'h3f613e4e,// invsqrt(1.5630) = 0.7999 +32'h3cfbecc7,32'h40b2d3f6,32'h40ba2088, 32'h40ad5a89,32'h40bf99f5, 32'h40a43ad2,32'h40c8b9ac,// invsqrt(0.0308) = 5.7024 +32'h3fdab376,32'h3f3fee64,32'h3f47c3e1, 32'h3f3a0e48,32'h3f4da3fe, 32'h3f30436c,32'h3f576eda,// invsqrt(1.7086) = 0.7650 +32'h3edc7b22,32'h3fbf27a8,32'h3fc6f508, 32'h3fb94da0,32'h3fcccf10, 32'h3faf8ce9,32'h3fd68fc7,// invsqrt(0.4306) = 1.5239 +32'h3f919c9d,32'h3f6b381e,32'h3f74d1ec, 32'h3f6404c5,32'h3f7c0545, 32'h3f580484,32'h3f8402c3,// invsqrt(1.1376) = 0.9376 +32'h401a01e6,32'h3f21ba5e,32'h3f285442, 32'h3f1cc6f2,32'h3f2d47ae, 32'h3f148695,32'h3f35880b,// invsqrt(2.4064) = 0.6446 +32'h3b4ebec4,32'h418b95ba,32'h4191483e, 32'h41874fd6,32'h41958e22, 32'h418030b0,32'h419cad48,// invsqrt(0.0032) = 17.8042 +32'h3f8c4aff,32'h3f6fa2f6,32'h3f796aec, 32'h3f684cff,32'h3f806072, 32'h3f5c130c,32'h3f867d6b,// invsqrt(1.0960) = 0.9552 +32'h3fbc0bc7,32'h3f4efc2c,32'h3f576ef4, 32'h3f48a616,32'h3f5dc50a, 32'h3f3e169b,32'h3f685485,// invsqrt(1.4691) = 0.8250 +32'h3f5dca7a,32'h3f86c466,32'h3f8c4494, 32'h3f82a444,32'h3f9064b6, 32'h3f778814,32'h3f9744f0,// invsqrt(0.8664) = 1.0744 +32'h3f58ab83,32'h3f8859b9,32'h3f8dea73, 32'h3f842d2e,32'h3f9216fe, 32'h3f7a708e,32'h3f990be5,// invsqrt(0.8464) = 1.0870 +32'h40d3f66a,32'h3ec2f54b,32'h3ecaea69, 32'h3ebcfd76,32'h3ed0e23e, 32'h3eb30b11,32'h3edad4a3,// invsqrt(6.6238) = 0.3885 +32'h40373488,32'h3f144814,32'h3f1a5578, 32'h3f0fbe09,32'h3f1edf83, 32'h3f082d4c,32'h3f267040,// invsqrt(2.8626) = 0.5910 +32'h40097ddc,32'h3f2b2a89,32'h3f32270b, 32'h3f25ed26,32'h3f37646e, 32'h3f1d3183,32'h3f402011,// invsqrt(2.1483) = 0.6823 +32'h3ed156f9,32'h3fc42cfa,32'h3fcc2ed0, 32'h3fbe2b9a,32'h3fd23030, 32'h3fb4294e,32'h3fdc327c,// invsqrt(0.4089) = 1.5639 +32'h3fb9aa1b,32'h3f504eee,32'h3f58cf8a, 32'h3f49ee79,32'h3f5f2fff, 32'h3f3f4db6,32'h3f69d0c2,// invsqrt(1.4505) = 0.8303 +32'h3e59b367,32'h400806fc,32'h400d9454, 32'h4003dcf9,32'h4011be57, 32'h3ff9d895,32'h4018af06,// invsqrt(0.2126) = 2.1688 +32'h3ee93999,32'h3fb9dbe4,32'h3fc171ee, 32'h3fb42b5d,32'h3fc72275, 32'h3faaafd2,32'h3fd09e00,// invsqrt(0.4555) = 1.4817 +32'h3fc033e4,32'h3f4cbc10,32'h3f551754, 32'h3f46779c,32'h3f5b5bc8, 32'h3f3c0587,32'h3f65cddd,// invsqrt(1.5016) = 0.8161 +32'h41fb16c7,32'h3e33201b,32'h3e3a6fc9, 32'h3e2da459,32'h3e3feb8b, 32'h3e2480c0,32'h3e490f24,// invsqrt(31.3861) = 0.1785 +32'h4267b40b,32'h3e03da5c,32'h3e093c17, 32'h3dffa221,32'h3e0d4563, 32'h3df22dcf,32'h3e13ff8d,// invsqrt(57.9258) = 0.1314 +32'h3ed8b450,32'h3fc0d03b,32'h3fc8aeef, 32'h3fbae934,32'h3fce95f6, 32'h3fb112d3,32'h3fd86c57,// invsqrt(0.4233) = 1.5371 +32'h3f592856,32'h3f883283,32'h3f8dc1a3, 32'h3f84072c,32'h3f91ecfa, 32'h3f7a2889,32'h3f98dfe2,// invsqrt(0.8483) = 1.0858 +32'h3f45d886,32'h3f8eb090,32'h3f948386, 32'h3f8a5257,32'h3f98e1bf, 32'h3f830aa4,32'h3fa02972,// invsqrt(0.7728) = 1.1375 +32'h3f1cae37,32'h3fa057ab,32'h3fa6e315, 32'h3f9b6f1b,32'h3fabcba5, 32'h3f9340d6,32'h3fb3f9ea,// invsqrt(0.6120) = 1.2782 +32'h413f51bf,32'h3e911a63,32'h3e970691, 32'h3e8ca941,32'h3e9b77b3, 32'h3e854207,32'h3ea2deed,// invsqrt(11.9575) = 0.2892 +32'h3e4a2e6b,32'h400d26c9,32'h4012e9ad, 32'h4008d49e,32'h40173bd8, 32'h4001a102,32'h401e6f74,// invsqrt(0.1974) = 2.2505 +32'h3ea31f81,32'h3fde3c47,32'h3fe74e69, 32'h3fd76ead,32'h3fee1c03, 32'h3fcc1802,32'h3ff972ae,// invsqrt(0.3186) = 1.7716 +32'h3eec9152,32'h3fb88a8c,32'h3fc012d0, 32'h3fb2e458,32'h3fc5b904, 32'h3fa97a03,32'h3fcf2359,// invsqrt(0.4620) = 1.4712 +32'h3f4bb2ed,32'h3f8c9fed,32'h3f925d50, 32'h3f8851e4,32'h3f96ab5a, 32'h3f812529,32'h3f9dd815,// invsqrt(0.7957) = 1.1211 +32'h3ee4e8db,32'h3fbb9a49,32'h3fc3428b, 32'h3fb5dc18,32'h3fc900bc, 32'h3fac49c6,32'h3fd2930e,// invsqrt(0.4471) = 1.4956 +32'h3f30b7cd,32'h3f96fa8c,32'h3f9d241e, 32'h3f925b5e,32'h3fa1c34c, 32'h3f8aa766,32'h3fa97744,// invsqrt(0.6903) = 1.2036 +32'h3fb4febd,32'h3f52fa6d,32'h3f5b96ef, 32'h3f4c850c,32'h3f620c50, 32'h3f41c169,32'h3f6ccff3,// invsqrt(1.4140) = 0.8410 +32'h3ec926c7,32'h3fc820f4,32'h3fd04c18, 32'h3fc20099,32'h3fd66c73, 32'h3fb7caac,32'h3fe0a260,// invsqrt(0.3929) = 1.5954 +32'h3f9f738f,32'h3f60c793,32'h3f69f44a, 32'h3f59e608,32'h3f70d5d4, 32'h3f4e6e23,32'h3f7c4db9,// invsqrt(1.2457) = 0.8960 +32'h3efe1953,32'h3fb20fb3,32'h3fb95443, 32'h3fac9c48,32'h3fbec7ae, 32'h3fa38695,32'h3fc7dd61,// invsqrt(0.4963) = 1.4195 +32'h3e8970a0,32'h3ff21c6b,32'h3ffbfe3b, 32'h3feab30f,32'h4001b3cc, 32'h3fde58cb,32'h4007e0ee,// invsqrt(0.2684) = 1.9301 +32'h3f8e1f2b,32'h3f6e16fc,32'h3f77cec8, 32'h3f66cd24,32'h3f7f18a0, 32'h3f5aa765,32'h3f859f30,// invsqrt(1.1103) = 0.9490 +32'h3f447138,32'h3f8f32d3,32'h3f950b1b, 32'h3f8ad09e,32'h3f996d50, 32'h3f838245,32'h3fa0bba9,// invsqrt(0.7674) = 1.1416 +32'h3fbfc37b,32'h3f4cf809,32'h3f5555c1, 32'h3f46b1c0,32'h3f5b9c0a, 32'h3f3c3c9b,32'h3f66112f,// invsqrt(1.4982) = 0.8170 +32'h3dc63f2a,32'h404996ec,32'h4051d154, 32'h40436b1e,32'h4057fd22, 32'h4039221d,32'h40624623,// invsqrt(0.0968) = 3.2141 +32'h4216c98d,32'h3e23721d,32'h3e2a1df4, 32'h3e1e713b,32'h3e2f1ed5, 32'h3e161a6e,32'h3e3775a2,// invsqrt(37.6968) = 0.1629 +32'h3f3022c1,32'h3f973a5f,32'h3f9d668d, 32'h3f92993d,32'h3fa207af, 32'h3f8ae204,32'h3fa9bee8,// invsqrt(0.6880) = 1.2056 +32'h3f4d509c,32'h3f8c11fa,32'h3f91c992, 32'h3f87c849,32'h3f961343, 32'h3f80a2cc,32'h3f9d38c0,// invsqrt(0.8020) = 1.1166 +32'h3fe19eba,32'h3f3cf722,32'h3f44ada0, 32'h3f372e43,32'h3f4a767f, 32'h3f2d8a24,32'h3f541a9e,// invsqrt(1.7627) = 0.7532 +32'h3eef2895,32'h3fb789f5,32'h3fbf07c0, 32'h3fb1eb9c,32'h3fc4a618, 32'h3fa88e5e,32'h3fce0356,// invsqrt(0.4671) = 1.4632 +32'h3e99133f,32'h3fe569d0,32'h3feec6f2, 32'h3fde63f6,32'h3ff5cccc, 32'h3fd2af8a,32'h4000c09c,// invsqrt(0.2990) = 1.8289 +32'h3f62db71,32'h3f8540fc,32'h3f8ab15a, 32'h3f812cb6,32'h3f8ec5a0, 32'h3f74c081,32'h3f959216,// invsqrt(0.8862) = 1.0623 +32'h3fc5b712,32'h3f49dc42,32'h3f52197e, 32'h3f43ae55,32'h3f58476b, 32'h3f3961ca,32'h3f6293f6,// invsqrt(1.5446) = 0.8046 +32'h404ea872,32'h3f0b9d43,32'h3f115017, 32'h3f075724,32'h3f159636, 32'h3f00379c,32'h3f1cb5be,// invsqrt(3.2290) = 0.5565 +32'h4057cc6f,32'h3f08a020,32'h3f0e33b9, 32'h3f04716e,32'h3f12626c, 32'h3efaf1de,32'h3f195aeb,// invsqrt(3.3719) = 0.5446 +32'h3f1fb506,32'h3f9ed0dd,32'h3fa54c53, 32'h3f99f444,32'h3faa28ec, 32'h3f91d9ef,32'h3fb24341,// invsqrt(0.6239) = 1.2661 +32'h3d4485e9,32'h408f2b49,32'h40950341, 32'h408ac94e,32'h4099653c, 32'h40837b58,32'h40a0b332,// invsqrt(0.0480) = 4.5653 +32'h3f4a1583,32'h3f8d2f7c,32'h3f92f2ba, 32'h3f88dd0d,32'h3f974529, 32'h3f81a8ff,32'h3f9e7937,// invsqrt(0.7894) = 1.1255 +32'h3fe7fe88,32'h3f3a59ee,32'h3f41f51c, 32'h3f34a58b,32'h3f47a97f, 32'h3f2b2391,32'h3f512b79,// invsqrt(1.8125) = 0.7428 +32'h3fd79d11,32'h3f414cef,32'h3f4930ba, 32'h3f3b6216,32'h3f4f1b92, 32'h3f318558,32'h3f58f850,// invsqrt(1.6845) = 0.7705 +32'h3e1c2bdf,32'h40209a86,32'h402728ab, 32'h401bafeb,32'h402c1347, 32'h40137e3d,32'h403444f5,// invsqrt(0.1525) = 2.5606 +32'h3eb2cd88,32'h3fd44484,32'h3fdcee7f, 32'h3fcdc508,32'h3fe36dfc, 32'h3fc2f08f,32'h3fee4275,// invsqrt(0.3492) = 1.6922 +32'h3f9b2f5a,32'h3f63d938,32'h3f6d2601, 32'h3f5cdfa2,32'h3f741f98, 32'h3f513fa7,32'h3f7fbf93,// invsqrt(1.2124) = 0.9082 +32'h3fed938e,32'h3f382626,32'h3f3faa51, 32'h3f328305,32'h3f454d71, 32'h3f291dcf,32'h3f4eb2a7,// invsqrt(1.8561) = 0.7340 +32'h3e08b8f6,32'h402ba59d,32'h4032a726, 32'h40266476,32'h4037e84c, 32'h401da28a,32'h4040aa38,// invsqrt(0.1335) = 2.7367 +32'h3f98df54,32'h3f6590c1,32'h3f6eef7b, 32'h3f5e89b6,32'h3f75f686, 32'h3f52d34e,32'h3f80d677,// invsqrt(1.1943) = 0.9150 +32'h3f86c71e,32'h3f747d8c,32'h3f7e7838, 32'h3f6d018a,32'h3f82fa1d, 32'h3f608832,32'h3f8936c9,// invsqrt(1.0530) = 0.9745 +32'h3eebb68c,32'h3fb8e01c,32'h3fc06bde, 32'h3fb3374a,32'h3fc614b0, 32'h3fa9c897,32'h3fcf8363,// invsqrt(0.4604) = 1.4738 +32'h3ebfde93,32'h3fcce990,32'h3fd546b0, 32'h3fc6a3b8,32'h3fdb8c88, 32'h3fbc2f50,32'h3fe600f0,// invsqrt(0.3747) = 1.6335 +32'h3f77bd6e,32'h3f7f0730,32'h3f84b7fc, 32'h3f773899,32'h3f889f47, 32'h3f6a359f,32'h3f8f20c5,// invsqrt(0.9677) = 1.0165 +32'h3f364f57,32'h3f94a52c,32'h3f9ab65c, 32'h3f901847,32'h3f9f4341, 32'h3f8882ca,32'h3fa6d8be,// invsqrt(0.7121) = 1.1850 +32'h3f85e41d,32'h3f754c77,32'h3f7f4f95, 32'h3f6dca1f,32'h3f8368f6, 32'h3f614639,32'h3f89aaea,// invsqrt(1.0460) = 0.9778 +32'h3f1996f6,32'h3fa1f2a2,32'h3fa88ed2, 32'h3f9cfd7e,32'h3fad83f6, 32'h3f94ba41,32'h3fb5c733,// invsqrt(0.6000) = 1.2910 +32'h3f288a41,32'h3f9a9924,32'h3fa0e889, 32'h3f95dd99,32'h3fa5a415, 32'h3f8dfa5b,32'h3fad8753,// invsqrt(0.6584) = 1.2324 +32'h40b5b632,32'h3ed28fd2,32'h3edb27fa, 32'h3ecc1db4,32'h3ee19a18, 32'h3ec15f82,32'h3eec584a,// invsqrt(5.6785) = 0.4196 +32'h3f674512,32'h3f83f9fb,32'h3f895d00, 32'h3f7fdf6e,32'h3f8d6743, 32'h3f7267e1,32'h3f94230a,// invsqrt(0.9034) = 1.0521 +32'h3f8978d7,32'h3f72152f,32'h3f7bf6b4, 32'h3f6aac0c,32'h3f81afec, 32'h3f5e5227,32'h3f87dcdf,// invsqrt(1.0740) = 0.9649 +32'h3f16bbe8,32'h3fa37982,32'h3faa25a7, 32'h3f9e7867,32'h3faf26c3, 32'h3f962139,32'h3fb77df1,// invsqrt(0.5888) = 1.3032 +32'h3f7cd2dd,32'h3f7c7377,32'h3f8360a9, 32'h3f74b912,32'h3f873ddb, 32'h3f67d7c0,32'h3f8dae84,// invsqrt(0.9876) = 1.0063 +32'h3fa7c6ca,32'h3f5b21bf,32'h3f641373, 32'h3f546c78,32'h3f6ac8ba, 32'h3f493e56,32'h3f75f6dc,// invsqrt(1.3108) = 0.8735 +32'h42b40b79,32'h3dd388c5,32'h3ddc2b17, 32'h3dcd0f08,32'h3de2a4d4, 32'h3dc24423,32'h3ded6fb9,// invsqrt(90.0224) = 0.1054 +32'h3f69b6d4,32'h3f8348d6,32'h3f88a4a0, 32'h3f7e87fd,32'h3f8ca978, 32'h3f712284,32'h3f935c34,// invsqrt(0.9129) = 1.0466 +32'h3fea5872,32'h3f396a02,32'h3f40fb65, 32'h3f33bcf7,32'h3f46a86f, 32'h3f2a473b,32'h3f501e2b,// invsqrt(1.8308) = 0.7391 +32'h3eb0bc0f,32'h3fd5818e,32'h3fde387a, 32'h3fcef85d,32'h3fe4c1ab, 32'h3fc413b7,32'h3fefa651,// invsqrt(0.3452) = 1.7021 +32'h3f82a43b,32'h3f7854a8,32'h3f813bbb, 32'h3f70ba8e,32'h3f8508c8, 32'h3f640f0e,32'h3f8b5e88,// invsqrt(1.0206) = 0.9898 +32'h3f328b74,32'h3f963450,32'h3f9c55cc, 32'h3f919b34,32'h3fa0eee8, 32'h3f89f159,32'h3fa898c3,// invsqrt(0.6974) = 1.1974 +32'h407d943a,32'h3efc1324,32'h3f032e88, 32'h3ef45bb2,32'h3f070a41, 32'h3ee77f4b,32'h3f0d7875,// invsqrt(3.9622) = 0.5024 +32'h40d66fb3,32'h3ec1d494,32'h3ec9bde8, 32'h3ebbe594,32'h3ecface8, 32'h3eb201eb,32'h3ed99091,// invsqrt(6.7011) = 0.3863 +32'h3dc99b54,32'h4047e712,32'h40500fda, 32'h4041c87d,32'h40562e6f, 32'h40379584,32'h40606168,// invsqrt(0.0984) = 3.1872 +32'h3f43fa39,32'h3f8f5e46,32'h3f953854, 32'h3f8afabc,32'h3f999bde, 32'h3f83aa2c,32'h3fa0ec6e,// invsqrt(0.7655) = 1.1429 +32'h3e824803,32'h3ff8ac7d,32'h40016970, 32'h3ff10fb2,32'h400537d5, 32'h3fe45fb6,32'h400b8fd3,// invsqrt(0.2545) = 1.9824 +32'h4061aa48,32'h3f059af7,32'h3f0b0f01, 32'h3f0183ef,32'h3f0f2609, 32'h3ef565c6,32'h3f15f715,// invsqrt(3.5260) = 0.5325 +32'h41207a2c,32'h3e9e6f31,32'h3ea4e6ab, 32'h3e999595,32'h3ea9c047, 32'h3e91803d,32'h3eb1d59f,// invsqrt(10.0298) = 0.3158 +32'h3ee846b4,32'h3fba3cf8,32'h3fc1d6f8, 32'h3fb48978,32'h3fc78a78, 32'h3fab08f9,32'h3fd10af7,// invsqrt(0.4537) = 1.4847 +32'h3fa67f25,32'h3f5bf8f2,32'h3f64f36e, 32'h3f553d14,32'h3f6baf4c, 32'h3f4a03f8,32'h3f76e868,// invsqrt(1.3008) = 0.8768 +32'h3f819dd0,32'h3f794f8b,32'h3f81be4b, 32'h3f71adc3,32'h3f858f2f, 32'h3f64f576,32'h3f8beb56,// invsqrt(1.0126) = 0.9937 +32'h3f6a4f9d,32'h3f831e01,32'h3f88780c, 32'h3f7e34f4,32'h3f8c7b94, 32'h3f70d3d9,32'h3f932c21,// invsqrt(0.9153) = 1.0453 +32'h3f6a8820,32'h3f830e35,32'h3f88679a, 32'h3f7e1650,32'h3f8c6aa6, 32'h3f70b6d3,32'h3f931a64,// invsqrt(0.9161) = 1.0448 +32'h40affc84,32'h3ed5f59f,32'h3edeb147, 32'h3ecf68e0,32'h3ee53e06, 32'h3ec47e4e,32'h3ef02898,// invsqrt(5.4996) = 0.4264 +32'h3f62ceac,32'h3f8544bc,32'h3f8ab542, 32'h3f813059,32'h3f8ec9a5, 32'h3f74c765,32'h3f95964c,// invsqrt(0.8860) = 1.0624 +32'h3e9ee43b,32'h3fe12cdd,32'h3fea5db7, 32'h3fda483a,32'h3ff1425a, 32'h3fcecb29,32'h3ffcbf6b,// invsqrt(0.3103) = 1.7951 +32'h3f524327,32'h3f8a699a,32'h3f900fde, 32'h3f862ce6,32'h3f944c92, 32'h3f7e3a1f,32'h3f9b5c68,// invsqrt(0.8213) = 1.1034 +32'h402bb419,32'h3f192add,32'h3f1f6b4f, 32'h3f147a88,32'h3f241ba4, 32'h3f0ca9fa,32'h3f2bec32,// invsqrt(2.6829) = 0.6105 +32'h3b912ee2,32'h416b90f1,32'h41752e5f, 32'h41645ae0,32'h417c6470, 32'h41585617,32'h4184349c,// invsqrt(0.0044) = 15.0234 +32'h40123aa8,32'h3f25f940,32'h3f2cbf81, 32'h3f20e48e,32'h3f31d432, 32'h3f186cbd,32'h3f3a4c03,// invsqrt(2.2848) = 0.6616 +32'h3f81dad2,32'h3f7914f4,32'h3f819fcd, 32'h3f7174f7,32'h3f856fcc, 32'h3f64bfa6,32'h3f8bca74,// invsqrt(1.0145) = 0.9928 +32'h3f662372,32'h3f844cec,32'h3f89b354, 32'h3f80401e,32'h3f8dc022, 32'h3f73003a,32'h3f948023,// invsqrt(0.8990) = 1.0547 +32'h3ff1018f,32'h3f36d583,32'h3f3e4bf1, 32'h3f313cb1,32'h3f43e4c3, 32'h3f27e8a7,32'h3f4d38cd,// invsqrt(1.8829) = 0.7288 +32'h3f8fe40a,32'h3f6c9f27,32'h3f76479b, 32'h3f6560cf,32'h3f7d85f3, 32'h3f594e3e,32'h3f84cc42,// invsqrt(1.1241) = 0.9432 +32'h3ff51e1b,32'h3f354b4d,32'h3f3cb1a3, 32'h3f2fbe8c,32'h3f423e64, 32'h3f267e9f,32'h3f4b7e51,// invsqrt(1.9150) = 0.7226 +32'h3f3ce576,32'h3f9207de,32'h3f97fdbe, 32'h3f8d8f77,32'h3f9c7625, 32'h3f861c20,32'h3fa3e97c,// invsqrt(0.7379) = 1.1641 +32'h3f950022,32'h3f68876d,32'h3f72051d, 32'h3f616928,32'h3f792362, 32'h3f558c0b,32'h3f828040,// invsqrt(1.1641) = 0.9269 +32'h3eddf5b5,32'h3fbe845e,32'h3fc64b14, 32'h3fb8af56,32'h3fcc201c, 32'h3faef6f3,32'h3fd5d87f,// invsqrt(0.4335) = 1.5188 +32'h3e36130f,32'h4014bdc5,32'h401acff7, 32'h40103020,32'h401f5d9c, 32'h40089961,32'h4026f45b,// invsqrt(0.1778) = 2.3715 +32'h3ee8bd59,32'h3fba0d7a,32'h3fc1a58a, 32'h3fb45b6f,32'h3fc75795, 32'h3faadd5b,32'h3fd0d5a9,// invsqrt(0.4546) = 1.4832 +32'h3f731f4f,32'h3f80b823,32'h3f85f91f, 32'h3f798ecc,32'h3f89e9dc, 32'h3f6c6c55,32'h3f907b18,// invsqrt(0.9497) = 1.0261 +32'h4015a916,32'h3f240f56,32'h3f2ac198, 32'h3f1f09a4,32'h3f2fc74a, 32'h3f16aad2,32'h3f38261d,// invsqrt(2.3384) = 0.6539 +32'h3f88af6d,32'h3f72c74b,32'h3f7cb014, 32'h3f6b58b3,32'h3f820f55, 32'h3f5ef5b7,32'h3f8840d3,// invsqrt(1.0679) = 0.9677 +32'h40c3ee56,32'h3ecac701,32'h3ed30dd2, 32'h3ec491e4,32'h3ed942ee, 32'h3eba395e,32'h3ee39b74,// invsqrt(6.1228) = 0.4041 +32'h400ccf10,32'h3f292351,32'h3f300aa3, 32'h3f23f5d4,32'h3f353820, 32'h3f1b54ae,32'h3f3dd946,// invsqrt(2.2001) = 0.6742 +32'h41e63fc0,32'h3e3b0e64,32'h3e42b0f0, 32'h3e35547b,32'h3e486ad9, 32'h3e2bc94c,32'h3e51f608,// invsqrt(28.7811) = 0.1864 +32'h3f465fbd,32'h3f8e7fe6,32'h3f9450e0, 32'h3f8a232b,32'h3f98ad9b, 32'h3f82ddf3,32'h3f9ff2d3,// invsqrt(0.7749) = 1.1360 +32'h409fea11,32'h3ee07439,32'h3ee99d8a, 32'h3ed9953d,32'h3ef07c87, 32'h3ece2198,32'h3efbf02c,// invsqrt(4.9973) = 0.4473 +32'h3fceb97e,32'h3f4569a3,32'h3f4d7865, 32'h3f3f5e91,32'h3f538377, 32'h3f354c1d,32'h3f5d95eb,// invsqrt(1.6150) = 0.7869 +32'h3ffb64fb,32'h3f33043d,32'h3f3a52c7, 32'h3f2d8955,32'h3f3fcdaf, 32'h3f246728,32'h3f48efdc,// invsqrt(1.9640) = 0.7136 +32'h3ebe4803,32'h3fcdc405,32'h3fd62a0f, 32'h3fc7777d,32'h3fdc7697, 32'h3fbcf7ef,32'h3fe6f625,// invsqrt(0.3716) = 1.6404 +32'h40a83a37,32'h3edad685,32'h3ee3c527, 32'h3ed4238b,32'h3eea7821, 32'h3ec8f941,32'h3ef5a26b,// invsqrt(5.2571) = 0.4361 +32'h3fe4414a,32'h3f3bdf19,32'h3f438a29, 32'h3f361ecc,32'h3f494a76, 32'h3f2c88f7,32'h3f52e04b,// invsqrt(1.7832) = 0.7488 +32'h403ff25f,32'h3f10dda0,32'h3f16c752, 32'h3f0c6e59,32'h3f1b3699, 32'h3f050a3a,32'h3f229ab8,// invsqrt(2.9992) = 0.5774 +32'h3f4cf4a8,32'h3f8c3162,32'h3f91ea42, 32'h3f87e6bb,32'h3f9634e9, 32'h3f80bfa3,32'h3f9d5c01,// invsqrt(0.8006) = 1.1176 +32'h3eb8bb61,32'h3fd0d55c,32'h3fd95b74, 32'h3fca70c9,32'h3fdfc007, 32'h3fbfc92a,32'h3fea67a6,// invsqrt(0.3608) = 1.6648 +32'h3f3d7e82,32'h3f91ccd9,32'h3f97c050, 32'h3f8d5641,32'h3f9c36e9, 32'h3f85e5ec,32'h3fa3a73e,// invsqrt(0.7402) = 1.1623 +32'h4251ea0f,32'h3e0a86f6,32'h3e102e6e, 32'h3e06495c,32'h3e146c08, 32'h3dfe700d,32'h3e1b7d5d,// invsqrt(52.4786) = 0.1380 +32'h416d58b6,32'h3e8246ab,32'h3e8797ec, 32'h3e7c9377,32'h3e8b94dd, 32'h3e6f4856,32'h3e923a6d,// invsqrt(14.8342) = 0.2596 +32'h41190857,32'h3ea23e07,32'h3ea8dd4b, 32'h3e9d4694,32'h3eadd4be, 32'h3e94ff7e,32'h3eb61bd4,// invsqrt(9.5645) = 0.3233 +32'h3f623a00,32'h3f857080,32'h3f8ae2ce, 32'h3f815ac5,32'h3f8ef889, 32'h3f7517c6,32'h3f95c76b,// invsqrt(0.8837) = 1.0638 +32'h3f078563,32'h3fac67f7,32'h3fb3716f, 32'h3fa720dd,32'h3fb8b889, 32'h3f9e5508,32'h3fc1845f,// invsqrt(0.5294) = 1.3744 +32'h3ec3be61,32'h3fcadfd6,32'h3fd327aa, 32'h3fc4a9f6,32'h3fd95d8a, 32'h3fba502d,32'h3fe3b753,// invsqrt(0.3823) = 1.6173 +32'h3f82a4b3,32'h3f785436,32'h3f813b80, 32'h3f70ba20,32'h3f85088b, 32'h3f640ea5,32'h3f8b5e48,// invsqrt(1.0207) = 0.9898 +32'h3fbeeed0,32'h3f4d6a10,32'h3f55cc6e, 32'h3f472049,32'h3f5c1635, 32'h3f3ca552,32'h3f66912c,// invsqrt(1.4917) = 0.8188 +32'h401f4a06,32'h3f1f062b,32'h3f2583cf, 32'h3f1a27f0,32'h3f2a620a, 32'h3f120ae4,32'h3f327f16,// invsqrt(2.4889) = 0.6339 +32'h3d13a107,32'h40a52f51,32'h40abed55, 32'h40a020cf,32'h40b0fbd7, 32'h4097b34b,32'h40b9695b,// invsqrt(0.0360) = 5.2674 +32'h3f77b99a,32'h3f7f0928,32'h3f84b902, 32'h3f773a82,32'h3f88a055, 32'h3f6a376d,32'h3f8f21df,// invsqrt(0.9677) = 1.0166 +32'h4014367a,32'h3f24dbf4,32'h3f2b9690, 32'h3f1fcfff,32'h3f30a285, 32'h3f1766bb,32'h3f390bc9,// invsqrt(2.3158) = 0.6571 +32'h3f54a0e3,32'h3f89a3e5,32'h3f8f4218, 32'h3f856d3e,32'h3f9378be, 32'h3f7ccefc,32'h3f9a7e7e,// invsqrt(0.8306) = 1.0973 +32'h3f9a3420,32'h3f649288,32'h3f6de6e1, 32'h3f5d9345,32'h3f74e623, 32'h3f51e9d5,32'h3f8047c9,// invsqrt(1.2047) = 0.9111 +32'h3f0546f5,32'h3fadd9f4,32'h3fb4f286, 32'h3fa88787,32'h3fba44f3, 32'h3f9fa8d0,32'h3fc323aa,// invsqrt(0.5206) = 1.3859 +32'h3da5a2e5,32'h405c8b01,32'h40658b74, 32'h4055caab,32'h406c4bcb, 32'h404a8a1c,32'h40778c5b,// invsqrt(0.0809) = 3.5163 +32'h4028a2e9,32'h3f1a8dd7,32'h3f20dcc5, 32'h3f15d2a4,32'h3f2597f8, 32'h3f0deff9,32'h3f2d7aa3,// invsqrt(2.6349) = 0.6160 +32'h4004b70e,32'h3f2e381b,32'h3f355485, 32'h3f28e2cc,32'h3f3aa9d4, 32'h3f1fff48,32'h3f438d58,// invsqrt(2.0737) = 0.6944 +32'h3f262663,32'h3f9bb4ca,32'h3fa20fc2, 32'h3f96f08f,32'h3fa6d3fd, 32'h3f8efed9,32'h3faec5b3,// invsqrt(0.6490) = 1.2413 +32'h3ec67202,32'h3fc97d18,32'h3fd1b672, 32'h3fc35215,32'h3fd7e175, 32'h3fb90a64,32'h3fe22926,// invsqrt(0.3876) = 1.6063 +32'h3e874c64,32'h3ff40504,32'h3ffdfac6, 32'h3fec8cb3,32'h4002b98b, 32'h3fe01981,32'h4008f324,// invsqrt(0.2643) = 1.9453 +32'h3e26237e,32'h401bb625,32'h4022112c, 32'h4016f1e1,32'h4026d571, 32'h400f0018,32'h402ec73a,// invsqrt(0.1622) = 2.4826 +32'h3fc429c2,32'h3f4aa848,32'h3f52edd8, 32'h3f44741c,32'h3f592204, 32'h3f3a1d28,32'h3f6378f8,// invsqrt(1.5325) = 0.8078 +32'h414d1fca,32'h3e8c22a4,32'h3e91daea, 32'h3e87d870,32'h3e96251e, 32'h3e80b21a,32'h3e9d4b74,// invsqrt(12.8203) = 0.2793 +32'h405c6746,32'h3f0730d3,32'h3f0cb56d, 32'h3f030d5e,32'h3f10d8e2, 32'h3ef84f3a,32'h3f17bea3,// invsqrt(3.4438) = 0.5389 +32'h3efbd34f,32'h3fb2dd01,32'h3fba29f1, 32'h3fad634d,32'h3fbfa3a5, 32'h3fa44320,32'h3fc8c3d2,// invsqrt(0.4918) = 1.4259 +32'h3f6cc9fa,32'h3f826de9,32'h3f87c0c3, 32'h3f7cdf8a,32'h3f8bbee7, 32'h3f6f9068,32'h3f926678,// invsqrt(0.9250) = 1.0398 +32'h3f38d44f,32'h3f93a0ee,32'h3f99a780, 32'h3f8f1c01,32'h3f9e2c6d, 32'h3f8793cb,32'h3fa5b4a3,// invsqrt(0.7220) = 1.1769 +32'h3fd1797b,32'h3f441cd1,32'h3f4c1dfe, 32'h3f3e1bef,32'h3f521edf, 32'h3f341a76,32'h3f5c2058,// invsqrt(1.6365) = 0.7817 +32'h3f870164,32'h3f7448c2,32'h3f7e4148, 32'h3f6cce5e,32'h3f82ddd6, 32'h3f6057b8,32'h3f891929,// invsqrt(1.0547) = 0.9737 +32'h40b17431,32'h3ed512ac,32'h3eddc511, 32'h3ece8ce0,32'h3ee44adc, 32'h3ec3ade1,32'h3eef29db,// invsqrt(5.5454) = 0.4247 +32'h3f9a1fe3,32'h3f64a189,32'h3f6df67f, 32'h3f5da1d1,32'h3f74f637, 32'h3f51f79d,32'h3f805035,// invsqrt(1.2041) = 0.9113 +32'h413aefb8,32'h3e92cb55,32'h3e98c92f, 32'h3e8e4cf2,32'h3e9d4792, 32'h3e86cfa2,32'h3ea4c4e2,// invsqrt(11.6835) = 0.2926 +32'h3deb62f6,32'h403900ec,32'h40408e06, 32'h40335719,32'h404637d9, 32'h4029e6ba,32'h404fa838,// invsqrt(0.1149) = 2.9497 +32'h3e0e19f3,32'h40285df2,32'h402f3d36, 32'h40233680,32'h403464a8, 32'h401a9f6c,32'h403cfbbc,// invsqrt(0.1388) = 2.6844 +32'h3efef043,32'h3fb1c494,32'h3fb90612, 32'h3fac5375,32'h3fbe7731, 32'h3fa34198,32'h3fc7890f,// invsqrt(0.4979) = 1.4172 +32'h3f61bc70,32'h3f859597,32'h3f8b0969, 32'h3f817eba,32'h3f8f2046, 32'h3f755be6,32'h3f95f10d,// invsqrt(0.8818) = 1.0649 +32'h3f0aeca7,32'h3faa47fd,32'h3fb13b41, 32'h3fa5118a,32'h3fb671b4, 32'h3f9c6176,32'h3fbf21c9,// invsqrt(0.5427) = 1.3575 +32'h3f5cf6b7,32'h3f8704ea,32'h3f8c87ba, 32'h3f82e2ce,32'h3f90a9d6, 32'h3f77fe94,32'h3f978d5a,// invsqrt(0.8631) = 1.0764 +32'h3f596ceb,32'h3f881d07,32'h3f8dab45, 32'h3f83f257,32'h3f91d5f5, 32'h3f7a0111,32'h3f98c7c3,// invsqrt(0.8493) = 1.0851 +32'h3e9bb275,32'h3fe37936,32'h3fecc214, 32'h3fdc8290,32'h3ff3b8ba, 32'h3fd0e77b,32'h3fff53cf,// invsqrt(0.3041) = 1.8134 +32'h3fe67acc,32'h3f3af66c,32'h3f4297fe, 32'h3f353d3f,32'h3f48512b, 32'h3f2bb349,32'h3f51db21,// invsqrt(1.8006) = 0.7452 +32'h3dada6db,32'h40576496,32'h40602f38, 32'h4050cc9b,32'h4066c733, 32'h4045cf50,32'h4071c47e,// invsqrt(0.0848) = 3.4342 +32'h3f76b300,32'h3f7f90c1,32'h3f84ff93, 32'h3f77bdf5,32'h3f88e8fa, 32'h3f6ab3f5,32'h3f8f6df9,// invsqrt(0.9637) = 1.0187 +32'h3e811e2c,32'h3ff9caa8,32'h4001fe5c, 32'h3ff2251a,32'h4005d123, 32'h3fe56685,32'h400c306e,// invsqrt(0.2522) = 1.9913 +32'h40367474,32'h3f14960d,32'h3f1aa69f, 32'h3f10099e,32'h3f1f330e, 32'h3f0874e7,32'h3f26c7c5,// invsqrt(2.8509) = 0.5923 +32'h3fdb5776,32'h3f3fa696,32'h3f477924, 32'h3f39c8ac,32'h3f4d570e, 32'h3f30017a,32'h3f571e40,// invsqrt(1.7136) = 0.7639 +32'h3f5ead3c,32'h3f867fb6,32'h3f8bfd16, 32'h3f8261ae,32'h3f901b1e, 32'h3f7709eb,32'h3f96f7d7,// invsqrt(0.8698) = 1.0722 +32'h3ecbcdc0,32'h3fc6d27f,32'h3fceeffd, 32'h3fc0bc61,32'h3fd5061b, 32'h3fb69785,32'h3fdf2af7,// invsqrt(0.3981) = 1.5850 +32'h3efb5bf7,32'h3fb30772,32'h3fba561e, 32'h3fad8c71,32'h3fbfd11f, 32'h3fa46a1a,32'h3fc8f376,// invsqrt(0.4909) = 1.4272 +32'h3fc4de00,32'h3f4a4b6d,32'h3f528d33, 32'h3f441a19,32'h3f58be87, 32'h3f39c7e2,32'h3f6310be,// invsqrt(1.5380) = 0.8063 +32'h3fb3a365,32'h3f53c604,32'h3f5c6ad5, 32'h3f4d4a67,32'h3f62e671, 32'h3f427c61,32'h3f6db477,// invsqrt(1.4034) = 0.8441 +32'h3eba6869,32'h3fcfe47e,32'h3fd860c2, 32'h3fc9874b,32'h3fdebdf5, 32'h3fbeebf6,32'h3fe9594a,// invsqrt(0.3641) = 1.6573 +32'h3e2e54b5,32'h40180244,32'h401e369a, 32'h40135b03,32'h4022dddb, 32'h400b9997,32'h402a9f47,// invsqrt(0.1702) = 2.4236 +32'h40419688,32'h3f104015,32'h3f16235a, 32'h3f0bd5a2,32'h3f1a8dce, 32'h3f04798c,32'h3f21e9e4,// invsqrt(3.0248) = 0.5750 +32'h3e8854fc,32'h3ff317c4,32'h3ffd03d7, 32'h3feba6b7,32'h40023a73, 32'h3fdf3fa0,32'h40086dfe,// invsqrt(0.2663) = 1.9379 +32'h3e120784,32'h4026164d,32'h402cddbe, 32'h402100b9,32'h4031f353, 32'h4018876c,32'h403a6ca0,// invsqrt(0.1426) = 2.6481 +32'h3f764620,32'h3f7fc939,32'h3f851cf6, 32'h3f77f4b1,32'h3f890739, 32'h3f6ae7d0,32'h3f8f8daa,// invsqrt(0.9620) = 1.0196 +32'h40b3017e,32'h3ed425b3,32'h3edcce6c, 32'h3ecda729,32'h3ee34cf7, 32'h3ec2d442,32'h3eee1fde,// invsqrt(5.5939) = 0.4228 +32'h3fc67b3b,32'h3f497869,32'h3f51b192, 32'h3f434d8b,32'h3f57dc71, 32'h3f390618,32'h3f6223e4,// invsqrt(1.5506) = 0.8031 +32'h414737a1,32'h3e8e329b,32'h3e94006d, 32'h3e89d83d,32'h3e985acb, 32'h3e8296f7,32'h3e9f9c11,// invsqrt(12.4511) = 0.2834 +32'h3f7a5411,32'h3f7db4c5,32'h3f8407df, 32'h3f75f08c,32'h3f87e9fc, 32'h3f68fed5,32'h3f8e62d8,// invsqrt(0.9778) = 1.0113 +32'h3fe5be96,32'h3f3b42f1,32'h3f42e7a3, 32'h3f35876d,32'h3f48a327, 32'h3f2bf98f,32'h3f523105,// invsqrt(1.7949) = 0.7464 +32'h3f6a7bf6,32'h3f83119b,32'h3f886b23, 32'h3f7e1ce8,32'h3f8c6e4a, 32'h3f70bd11,32'h3f931e35,// invsqrt(0.9160) = 1.0449 +32'h3db9b525,32'h405048bd,32'h4058c919, 32'h4049e879,32'h405f295d, 32'h403f4807,32'h4069c9cf,// invsqrt(0.0907) = 3.3209 +32'h40a6f82b,32'h3edba92b,32'h3ee4a066, 32'h3ed4efbe,32'h3eeb59d2, 32'h3ec9bab4,32'h3ef68edc,// invsqrt(5.2178) = 0.4378 +32'h3f9d5fb2,32'h3f624229,32'h3f6b7e55, 32'h3f5b5509,32'h3f726b75, 32'h3f4fc9d2,32'h3f7df6ac,// invsqrt(1.2295) = 0.9019 +32'h3f57b27f,32'h3f88a857,32'h3f8e3c45, 32'h3f847964,32'h3f926b38, 32'h3f7b00f3,32'h3f996423,// invsqrt(0.8426) = 1.0894 +32'h3f9c365b,32'h3f631919,32'h3f6c5e0a, 32'h3f5c2563,32'h3f7351bf, 32'h3f508f36,32'h3f7ee7ec,// invsqrt(1.2204) = 0.9052 +32'h408e8c81,32'h3eedbb9b,32'h3ef76fac, 32'h3ee6748f,32'h3efeb6b9, 32'h3eda537a,32'h3f056be7,// invsqrt(4.4547) = 0.4738 +32'h3f9bbf3c,32'h3f636fe1,32'h3f6cb85d, 32'h3f5c7984,32'h3f73aeba, 32'h3f50dee9,32'h3f7f4955,// invsqrt(1.2168) = 0.9066 +32'h40c3ac76,32'h3ecae920,32'h3ed33156, 32'h3ec4b2f8,32'h3ed9677e, 32'h3eba58b5,32'h3ee3c1c1,// invsqrt(6.1148) = 0.4044 +32'h3ea48666,32'h3fdd495e,32'h3fe65196, 32'h3fd68334,32'h3fed17c0, 32'h3fcb38ee,32'h3ff86206,// invsqrt(0.3213) = 1.7641 +32'h4076a009,32'h3eff9a94,32'h3f0504b0, 32'h3ef7c77a,32'h3f08ee3d, 32'h3eeabcfb,32'h3f0f737d,// invsqrt(3.8535) = 0.5094 +32'h3f3afcf8,32'h3f92c621,32'h3f98c3c5, 32'h3f8e47e7,32'h3f9d41ff, 32'h3f86cadb,32'h3fa4bf0b,// invsqrt(0.7304) = 1.1701 +32'h3ee395f0,32'h3fbc25c5,32'h3fc3d3b9, 32'h3fb6634f,32'h3fc9962f, 32'h3facc9df,32'h3fd32f9f,// invsqrt(0.4445) = 1.4999 +32'h42587f02,32'h3e0867bc,32'h3e0df908, 32'h3e043ac4,32'h3e122600, 32'h3dfa8a4a,32'h3e191b9f,// invsqrt(54.1240) = 0.1359 +32'h3faf5721,32'h3f565a6f,32'h3f5f1a35, 32'h3f4fca9b,32'h3f65aa09, 32'h3f44dae3,32'h3f7099c1,// invsqrt(1.3698) = 0.8544 +32'h3fc3fb2c,32'h3f4ac05c,32'h3f5306e8, 32'h3f448b74,32'h3f593bd0, 32'h3f3a3345,32'h3f6393ff,// invsqrt(1.5311) = 0.8082 +32'h3e01cef5,32'h403028ae,32'h4037595c, 32'h402ac42b,32'h403cbddf, 32'h4021c751,32'h4045bab9,// invsqrt(0.1268) = 2.8087 +32'h3fb40a2b,32'h3f538989,32'h3f5c2be3, 32'h3f4d0fc6,32'h3f62a5a6, 32'h3f4244d7,32'h3f6d7095,// invsqrt(1.4066) = 0.8432 +32'h3fb42098,32'h3f537c5e,32'h3f5c1e2e, 32'h3f4d0302,32'h3f62978a, 32'h3f4238bf,32'h3f6d61cd,// invsqrt(1.4072) = 0.8430 +32'h4137c9d2,32'h3e940bce,32'h3e9a16bd, 32'h3e8f839c,32'h3e9e9ef0, 32'h3e87f5f2,32'h3ea62c9a,// invsqrt(11.4868) = 0.2951 +32'h3f9cbec9,32'h3f62b62e,32'h3f6bf716, 32'h3f5bc580,32'h3f72e7c4, 32'h3f50345f,32'h3f7e78e5,// invsqrt(1.2246) = 0.9037 +32'h3f9db777,32'h3f62032c,32'h3f6b3cc5, 32'h3f5b17f8,32'h3f7227f8, 32'h3f4f8ff9,32'h3f7daff7,// invsqrt(1.2322) = 0.9009 +32'h4003e1b0,32'h3f2ec4d1,32'h3f35e6f9, 32'h3f296b33,32'h3f3b4097, 32'h3f208081,32'h3f442b49,// invsqrt(2.0606) = 0.6966 +32'h3fa22289,32'h3f5ee962,32'h3f680294, 32'h3f58167b,32'h3f6ed57b, 32'h3f4cb6fc,32'h3f7a34fb,// invsqrt(1.2667) = 0.8885 +32'h40b4765d,32'h3ed34a16,32'h3edbe9d8, 32'h3eccd244,32'h3ee261aa, 32'h3ec20a92,32'h3eed295d,// invsqrt(5.6394) = 0.4211 +32'h3df7ab1f,32'h40345bad,32'h403bb83c, 32'h402ed642,32'h40413da8, 32'h4025a290,32'h404a715a,// invsqrt(0.1209) = 2.8756 +32'h432f7204,32'h3d978678,32'h3d9db5c1, 32'h3d92e302,32'h3da25938, 32'h3d8b27e7,32'h3daa1453,// invsqrt(175.4454) = 0.0755 +32'h3f07845c,32'h3fac689e,32'h3fb3721d, 32'h3fa72180,32'h3fb8b93c, 32'h3f9e55a1,32'h3fc1851b,// invsqrt(0.5294) = 1.3744 +32'h3e500939,32'h400b26b1,32'h4010d4ad, 32'h4006e433,32'h4015172b, 32'h3fff956e,32'h401c30a7,// invsqrt(0.2032) = 2.2186 +32'h3f437675,32'h3f8f8e91,32'h3f956a97, 32'h3f8b298d,32'h3f99cf9b, 32'h3f83d685,32'h3fa122a3,// invsqrt(0.7635) = 1.1444 +32'h3f17d68b,32'h3fa2e115,32'h3fa98701, 32'h3f9de4a4,32'h3fae8372, 32'h3f95953d,32'h3fb6d2d9,// invsqrt(0.5931) = 1.2985 +32'h4079e998,32'h3efdeacb,32'h3f0423fc, 32'h3ef624ea,32'h3f0806ed, 32'h3ee93072,32'h3f0e8129,// invsqrt(3.9049) = 0.5061 +32'h3f89509f,32'h3f7238a1,32'h3f7c1b97, 32'h3f6ace67,32'h3f81c2e8, 32'h3f5e72b3,32'h3f87f0c3,// invsqrt(1.0728) = 0.9655 +32'h3f5c6298,32'h3f873242,32'h3f8cb6ec, 32'h3f830ec3,32'h3f90da6b, 32'h3f7851dd,32'h3f97c040,// invsqrt(0.8609) = 1.0778 +32'h3ec259d1,32'h3fcb999b,32'h3fd3e904, 32'h3fc55e0b,32'h3fda2493, 32'h3fbafac7,32'h3fe487d7,// invsqrt(0.3796) = 1.6231 +32'h3ffdc735,32'h3f322c80,32'h3f39723c, 32'h3f2cb833,32'h3f3ee689, 32'h3f23a108,32'h3f47fdb4,// invsqrt(1.9826) = 0.7102 +32'h41841908,32'h3e76f546,32'h3e8084de, 32'h3e6f65ee,32'h3e844c8a, 32'h3e62cc5a,32'h3e8a9954,// invsqrt(16.5122) = 0.2461 +32'h3f912faa,32'h3f6b904f,32'h3f752db5, 32'h3f645a42,32'h3f7c63c2, 32'h3f585582,32'h3f843441,// invsqrt(1.1343) = 0.9389 +32'h3ef1a243,32'h3fb698ac,32'h3fbe0c9e, 32'h3fb101b6,32'h3fc3a394, 32'h3fa7b0c8,32'h3fccf482,// invsqrt(0.4719) = 1.4556 +32'h3f432704,32'h3f8fabc6,32'h3f9588fd, 32'h3f8b45dc,32'h3f99eee6, 32'h3f83f157,32'h3fa1436b,// invsqrt(0.7623) = 1.1453 +32'h3dfa96e2,32'h40334dcb,32'h403a9f56, 32'h402dd0a3,32'h40401c7f, 32'h4024aab6,32'h4049426c,// invsqrt(0.1224) = 2.8588 +32'h3e8caf8c,32'h3fef4d44,32'h3ff911ba, 32'h3fe7f9ec,32'h40003289, 32'h3fdbc459,32'h40064d53,// invsqrt(0.2748) = 1.9077 +32'h41b192ee,32'h3e55003a,32'h3e5db1de, 32'h3e4e7aff,32'h3e643719, 32'h3e439cf1,32'h3e6f1527,// invsqrt(22.1967) = 0.2123 +32'h3f1fc3ff,32'h3f9ec96b,32'h3fa54494, 32'h3f99ed0d,32'h3faa20f3, 32'h3f91d31a,32'h3fb23ae6,// invsqrt(0.6241) = 1.2658 +32'h3fd2264f,32'h3f43cc1c,32'h3f4bc9fe, 32'h3f3dcdb3,32'h3f51c867, 32'h3f33d058,32'h3f5bc5c2,// invsqrt(1.6418) = 0.7804 +32'h3fb1c79c,32'h3f54e0a9,32'h3f5d9103, 32'h3f4e5c65,32'h3f641547, 32'h3f437ff4,32'h3f6ef1b8,// invsqrt(1.3889) = 0.8485 +32'h3dcab548,32'h40475bdb,32'h404f7ef4, 32'h40414189,32'h40559947, 32'h403715aa,32'h405fc526,// invsqrt(0.0990) = 3.1786 +32'h3fc4b686,32'h3f4a5fb8,32'h3f52a252, 32'h3f442dc5,32'h3f58d445, 32'h3f39da84,32'h3f632786,// invsqrt(1.5368) = 0.8067 +32'h3eb20c17,32'h3fd4b7b4,32'h3fdd6663, 32'h3fce34b2,32'h3fe3e966, 32'h3fc35a58,32'h3feec3c0,// invsqrt(0.3477) = 1.6958 +32'h3f001ff8,32'h3fb14ffe,32'h3fb88cba, 32'h3fabe271,32'h3fbdfa47, 32'h3fa2d686,32'h3fc70632,// invsqrt(0.5005) = 1.4135 +32'h3eb6dde2,32'h3fd1e551,32'h3fda7683, 32'h3fcb786b,32'h3fe0e369, 32'h3fc0c2ec,32'h3feb98e8,// invsqrt(0.3572) = 1.6733 +32'h3f8dd3fd,32'h3f6e560e,32'h3f78106c, 32'h3f670a47,32'h3f7f5c33, 32'h3f5ae150,32'h3f85c295,// invsqrt(1.1080) = 0.9500 +32'h3eaccba8,32'h3fd7ed09,32'h3fe0bd3d, 32'h3fd150e1,32'h3fe75965, 32'h3fc64ca0,32'h3ff25da7,// invsqrt(0.3375) = 1.7213 +32'h3f9500c1,32'h3f6886f1,32'h3f72049d, 32'h3f6168b0,32'h3f7922de, 32'h3f558b9a,32'h3f827ffa,// invsqrt(1.1641) = 0.9268 +32'h3f224c9b,32'h3f9d8ae3,32'h3fa3f90b, 32'h3f98b844,32'h3fa8cbaa, 32'h3f90ae92,32'h3fb0d55d,// invsqrt(0.6340) = 1.2559 +32'h3faee021,32'h3f56a352,32'h3f5f6611, 32'h3f501142,32'h3f65f820, 32'h3f451dd2,32'h3f70eb90,// invsqrt(1.3662) = 0.8555 +32'h3facd973,32'h3f57e46b,32'h3f60b445, 32'h3f514887,32'h3f675029, 32'h3f4644b6,32'h3f7253fa,// invsqrt(1.3504) = 0.8605 +32'h3f9d0237,32'h3f62857a,32'h3f6bc465, 32'h3f5b964a,32'h3f72b396, 32'h3f5007a5,32'h3f7e423b,// invsqrt(1.2266) = 0.9029 +32'h3f03d0aa,32'h3faed01a,32'h3fb5f2b8, 32'h3fa97624,32'h3fbb4cae, 32'h3fa08ade,32'h3fc437f4,// invsqrt(0.5149) = 1.3936 +32'h3f1319ba,32'h3fa57b37,32'h3fac3c54, 32'h3fa06a62,32'h3fb14d2a, 32'h3f97f8ff,32'h3fb9be8d,// invsqrt(0.5746) = 1.3192 +32'h3f7dc66c,32'h3f7bfa35,32'h3f83218e, 32'h3f744386,32'h3f86fce5, 32'h3f676864,32'h3f8d6a76,// invsqrt(0.9913) = 1.0044 +32'h3f60dc12,32'h3f85d82c,32'h3f8b4eb6, 32'h3f81bf45,32'h3f8f679d, 32'h3f75d632,32'h3f963bc9,// invsqrt(0.8784) = 1.0670 +32'h4090ba6b,32'h3eebefa6,32'h3ef590f1, 32'h3ee4b6af,32'h3efcc9e9, 32'h3ed8ad11,32'h3f0469c3,// invsqrt(4.5228) = 0.4702 +32'h3e3f9c2f,32'h4010fe31,32'h4016e939, 32'h400c8dec,32'h401b597e, 32'h40052823,32'h4022bf47,// invsqrt(0.1871) = 2.3117 +32'h40a2ba3d,32'h3ede8162,32'h3ee79656, 32'h3ed7b1ab,32'h3eee660d, 32'h3ecc5779,32'h3ef9c03f,// invsqrt(5.0852) = 0.4434 +32'h3fcd0a7f,32'h3f4638b2,32'h3f4e4fe8, 32'h3f402749,32'h3f546151, 32'h3f360a45,32'h3f5e7e55,// invsqrt(1.6019) = 0.7901 +32'h3f1bfd6c,32'h3fa0b26e,32'h3fa7418c, 32'h3f9bc717,32'h3fac2ce3, 32'h3f939430,32'h3fb45fca,// invsqrt(0.6093) = 1.2811 +32'h3f92756e,32'h3f6a89c2,32'h3f741c71, 32'h3f635bbe,32'h3f7b4a74, 32'h3f576463,32'h3f83a0e7,// invsqrt(1.1442) = 0.9349 +32'h3f18b92a,32'h3fa26810,32'h3fa9090b, 32'h3f9d6f53,32'h3fae01c7, 32'h3f952618,32'h3fb64b02,// invsqrt(0.5966) = 1.2947 +32'h3fe6ba7a,32'h3f3adc9d,32'h3f427d21, 32'h3f35243a,32'h3f483584, 32'h3f2b9b96,32'h3f51be29,// invsqrt(1.8026) = 0.7448 +32'h405f338c,32'h3f065738,32'h3f0bd2f2, 32'h3f023a6e,32'h3f0fefbc, 32'h3ef6bf8c,32'h3f16ca64,// invsqrt(3.4875) = 0.5355 +32'h3f04a7b9,32'h3fae422c,32'h3fb55eff, 32'h3fa8ec8e,32'h3fbab49e, 32'h3fa00887,32'h3fc398a5,// invsqrt(0.5182) = 1.3892 +32'h3f322dbd,32'h3f965bcb,32'h3f9c7ee3, 32'h3f91c179,32'h3fa11935, 32'h3f8a159b,32'h3fa8c513,// invsqrt(0.6960) = 1.1986 +32'h40e06628,32'h3ebd7a90,32'h3ec5366c, 32'h3eb7adab,32'h3ecb0351, 32'h3eae02d8,32'h3ed4ae24,// invsqrt(7.0125) = 0.3776 +32'h3f0911bf,32'h3fab6dfc,32'h3fb26d40, 32'h3fa62e89,32'h3fb7acb3, 32'h3f9d6f75,32'h3fc06bc7,// invsqrt(0.5354) = 1.3666 +32'h3ea61fbf,32'h3fdc3812,32'h3fe53522, 32'h3fd57a46,32'h3febf2ee, 32'h3fca3df1,32'h3ff72f43,// invsqrt(0.3245) = 1.7556 +32'h3fb40bba,32'h3f53889f,32'h3f5c2aef, 32'h3f4d0ee3,32'h3f62a4ab, 32'h3f424400,32'h3f6d6f8e,// invsqrt(1.4066) = 0.8432 +32'h408456f6,32'h3ef6bb77,32'h3f0066c8, 32'h3eef2de3,32'h3f042d92, 32'h3ee29743,32'h3f0a78e2,// invsqrt(4.1356) = 0.4917 +32'h3fb59629,32'h3f52a264,32'h3f5b3b4e, 32'h3f4c2fb5,32'h3f61adfd, 32'h3f417090,32'h3f6c6d22,// invsqrt(1.4186) = 0.8396 +32'h3f0eef73,32'h3fa7e005,32'h3faeba24, 32'h3fa2bc6d,32'h3fb3ddbb, 32'h3f9a2bc5,32'h3fbc6e63,// invsqrt(0.5583) = 1.3383 +32'h3f622ef8,32'h3f8573c1,32'h3f8ae631, 32'h3f815ded,32'h3f8efc05, 32'h3f751dc0,32'h3f95cb12,// invsqrt(0.8835) = 1.0639 +32'h40767301,32'h3effb1ee,32'h3f0510d7, 32'h3ef7de1d,32'h3f08fabf, 32'h3eead26d,32'h3f0f8098,// invsqrt(3.8508) = 0.5096 +32'h401c8668,32'h3f206c0d,32'h3f26f84d, 32'h3f1b82de,32'h3f2be17c, 32'h3f13538f,32'h3f3410cb,// invsqrt(2.4457) = 0.6394 +32'h3f12d9ee,32'h3fa59f25,32'h3fac61b9, 32'h3fa08d36,32'h3fb173a8, 32'h3f9819fe,32'h3fb9e6e1,// invsqrt(0.5736) = 1.3203 +32'h3f283dd8,32'h3f9abc3c,32'h3fa10d0f, 32'h3f95ff9d,32'h3fa5c9ad, 32'h3f8e1a94,32'h3fadaeb6,// invsqrt(0.6572) = 1.2335 +32'h3d9ec341,32'h4061443f,32'h406a760d, 32'h405a5ee4,32'h40715b68, 32'h404ee0a2,32'h407cd9aa,// invsqrt(0.0775) = 3.5916 +32'h3d42d906,32'h408fc884,32'h4095a6e8, 32'h408b61ba,32'h409a0db2, 32'h40840bbd,32'h40a163af,// invsqrt(0.0476) = 4.5849 +32'h3fececd7,32'h3f3866e4,32'h3f3fedb4, 32'h3f32c1c8,32'h3f4592d0, 32'h3f295944,32'h3f4efb54,// invsqrt(1.8510) = 0.7350 +32'h3c1457aa,32'h4124c982,32'h412b835e, 32'h411fbe1e,32'h41308ec2, 32'h411755cb,32'h4138f715,// invsqrt(0.0091) = 10.5094 +32'h3f1e7d6a,32'h3f9f6cb0,32'h3fa5ee83, 32'h3f9a8b52,32'h3faacfe2, 32'h3f92690b,32'h3fb2f229,// invsqrt(0.6191) = 1.2709 +32'h3fb607bd,32'h3f5260a3,32'h3f5af6de, 32'h3f4beff7,32'h3f61678b, 32'h3f41342e,32'h3f6c2354,// invsqrt(1.4221) = 0.8386 +32'h3fb2f245,32'h3f542eb9,32'h3f5cd7d1, 32'h3f4dafe8,32'h3f6356a2, 32'h3f42dc8b,32'h3f6e29ff,// invsqrt(1.3980) = 0.8458 +32'h3eb3b59a,32'h3fd3bb49,32'h3fdc5fab, 32'h3fcd4001,32'h3fe2daf3, 32'h3fc27287,32'h3feda86d,// invsqrt(0.3510) = 1.6879 +32'h4030100b,32'h3f174268,32'h3f1d6eea, 32'h3f12a107,32'h3f22104b, 32'h3f0ae965,32'h3f29c7ed,// invsqrt(2.7510) = 0.6029 +32'h3f8a44bd,32'h3f71626f,32'h3f7b3ca7, 32'h3f69fec4,32'h3f815029, 32'h3f5dadfd,32'h3f87788c,// invsqrt(1.0802) = 0.9622 +32'h40f727a5,32'h3eb48ba0,32'h3ebbea24, 32'h3eaf04bd,32'h3ec17107, 32'h3ea5ce98,32'h3ecaa72c,// invsqrt(7.7236) = 0.3598 +32'h3fa32ef3,32'h3f5e31c2,32'h3f674376, 32'h3f57647b,32'h3f6e10bd, 32'h3f4c0e59,32'h3f7966df,// invsqrt(1.2749) = 0.8857 +32'h408ed7ac,32'h3eed7d06,32'h3ef72e88, 32'h3ee637e3,32'h3efe73ab, 32'h3eda1a00,32'h3f0548c7,// invsqrt(4.4638) = 0.4733 +32'h4004d134,32'h3f2e26f4,32'h3f3542aa, 32'h3f28d22b,32'h3f3a9773, 32'h3f1fef87,32'h3f437a17,// invsqrt(2.0753) = 0.6942 +32'h3e2c3424,32'h4018f1e1,32'h401f2fff, 32'h4014434a,32'h4023de96, 32'h400c75a5,32'h402bac3b,// invsqrt(0.1682) = 2.4385 +32'h3d65af37,32'h40846e62,32'h4089d627, 32'h4080608d,32'h408de3fb, 32'h40733dae,32'h4094a5b1,// invsqrt(0.0561) = 4.2229 +32'h3ea0a5df,32'h3fdff0e0,32'h3fe914d4, 32'h3fd915e9,32'h3fefefcb, 32'h3fcda8f7,32'h3ffb5cbd,// invsqrt(0.3138) = 1.7852 +32'h402ca7eb,32'h3f18be91,32'h3f1efa97, 32'h3f14118d,32'h3f23a79b, 32'h3f0c4685,32'h3f2b72a3,// invsqrt(2.6977) = 0.6088 +32'h3ef4ad29,32'h3fb57520,32'h3fbcdd2c, 32'h3fafe717,32'h3fc26b35, 32'h3fa6a509,32'h3fcbad43,// invsqrt(0.4779) = 1.4466 +32'h3f67d3dc,32'h3f83d150,32'h3f8932ac, 32'h3f7f9096,32'h3f8d3bb1, 32'h3f721d30,32'h3f93f564,// invsqrt(0.9056) = 1.0508 +32'h4050e548,32'h3f0add52,32'h3f108850, 32'h3f069d13,32'h3f14c88f, 32'h3eff0eab,32'h3f1bde4c,// invsqrt(3.2640) = 0.5535 +32'h3fcf43a4,32'h3f4527ce,32'h3f4d33e0, 32'h3f3f1ebf,32'h3f533cef, 32'h3f350fa8,32'h3f5d4c06,// invsqrt(1.6193) = 0.7859 +32'h3ccc1044,32'h40c6b215,32'h40cece3f, 32'h40c09cf5,32'h40d4e35f, 32'h40b679bf,32'h40df0695,// invsqrt(0.0249) = 6.3360 +32'h3e105530,32'h40270f78,32'h402de114, 32'h4021f242,32'h4032fe4a, 32'h40196c3f,32'h403b844d,// invsqrt(0.1409) = 2.6636 +32'h3e8d8040,32'h3fee9c89,32'h3ff859c9, 32'h3fe74e9a,32'h3fffa7b8, 32'h3fdb220b,32'h4005ea23,// invsqrt(0.2764) = 1.9022 +32'h3f49f0ab,32'h3f8d3c5c,32'h3f930022, 32'h3f88e989,32'h3f9752f5, 32'h3f81b4d2,32'h3f9e87ac,// invsqrt(0.7888) = 1.1259 +32'h3f95da9f,32'h3f67ddab,32'h3f71546f, 32'h3f60c499,32'h3f786d81, 32'h3f54f025,32'h3f8220fa,// invsqrt(1.1707) = 0.9242 +32'h3f898d14,32'h3f720360,32'h3f7be42a, 32'h3f6a9ac8,32'h3f81a661, 32'h3f5e41cb,32'h3f87d2e0,// invsqrt(1.0746) = 0.9647 +32'h424f7c2a,32'h3e0b55f6,32'h3e1105e0, 32'h3e071206,32'h3e1549d0, 32'h3dffec41,32'h3e1c65b6,// invsqrt(51.8713) = 0.1388 +32'h3e79b4c9,32'h3ffe05a3,32'h400431f4, 32'h3ff63eef,32'h4008154e, 32'h3fe94919,32'h400e903a,// invsqrt(0.2439) = 2.0250 +32'h3fd680c7,32'h3f41ccdc,32'h3f49b5e0, 32'h3f3bde19,32'h3f4fa4a3, 32'h3f31fad5,32'h3f5987e7,// invsqrt(1.6758) = 0.7725 +32'h3f17e308,32'h3fa2da63,32'h3fa98009, 32'h3f9dde26,32'h3fae7c46, 32'h3f958f17,32'h3fb6cb55,// invsqrt(0.5933) = 1.2983 +32'h3f66245b,32'h3f844ca9,32'h3f89b30e, 32'h3f803fde,32'h3f8dbfda, 32'h3f72ffbf,32'h3f947fd8,// invsqrt(0.8990) = 1.0547 +32'h3ec3000e,32'h3fcb42bf,32'h3fd38e9d, 32'h3fc509d8,32'h3fd9c784, 32'h3fbaab03,32'h3fe42659,// invsqrt(0.3809) = 1.6204 +32'h3e9fe287,32'h3fe07984,32'h3fe9a30c, 32'h3fd99a5e,32'h3ff08232, 32'h3fce2674,32'h3ffbf61c,// invsqrt(0.3123) = 1.7895 +32'h403aba8a,32'h3f12e03b,32'h3f18deef, 32'h3f0e6134,32'h3f1d5df6, 32'h3f06e2d3,32'h3f24dc57,// invsqrt(2.9176) = 0.5854 +32'h3fa7c41f,32'h3f5b237d,32'h3f641543, 32'h3f546e28,32'h3f6aca98, 32'h3f493ff0,32'h3f75f8d0,// invsqrt(1.3107) = 0.8735 +32'h3f8d8c36,32'h3f6e9274,32'h3f784f4a, 32'h3f6744d4,32'h3f7f9cea, 32'h3f5b18c9,32'h3f85e47b,// invsqrt(1.1058) = 0.9509 +32'h3d84d56d,32'h407645e7,32'h4080299a, 32'h406ebbed,32'h4083ee97, 32'h40622b4d,32'h408a36e8,// invsqrt(0.0649) = 3.9265 +32'h3d7afb77,32'h407d601c,32'h4083dbd0, 32'h40759e79,32'h4087bca1, 32'h4068b115,32'h408e3354,// invsqrt(0.0613) = 4.0398 +32'h4006d389,32'h3f2cd988,32'h3f33e7a2, 32'h3f278ef4,32'h3f393236, 32'h3f1ebd53,32'h3f4203d7,// invsqrt(2.1067) = 0.6890 +32'h3f6667e3,32'h3f843945,32'h3f899edf, 32'h3f802d11,32'h3f8dab13, 32'h3f72dc20,32'h3f946a14,// invsqrt(0.9000) = 1.0541 +32'h3f8d6090,32'h3f6eb745,32'h3f78759b, 32'h3f676884,32'h3f7fc45c, 32'h3f5b3a98,32'h3f85f924,// invsqrt(1.1045) = 0.9515 +32'h3f9533ac,32'h3f685f40,32'h3f71db4e, 32'h3f614237,32'h3f78f857, 32'h3f556726,32'h3f8269b4,// invsqrt(1.1656) = 0.9262 +32'h401b7d6c,32'h3f20f485,32'h3f278657, 32'h3f1c0728,32'h3f2c73b4, 32'h3f13d0e3,32'h3f34a9f9,// invsqrt(2.4295) = 0.6416 +32'h3df00cff,32'h4037328e,32'h403eacc8, 32'h403196e2,32'h40444874, 32'h40283e1a,32'h404da13c,// invsqrt(0.1172) = 2.9209 +32'h3f1ec09e,32'h3f9f4aee,32'h3fa5cb60, 32'h3f9a6a98,32'h3faaabb6, 32'h3f924a0a,32'h3fb2cc45,// invsqrt(0.6201) = 1.2699 +32'h3f013b78,32'h3fb08d17,32'h3fb7c1de, 32'h3fab2581,32'h3fbd2973, 32'h3fa22387,32'h3fc62b6d,// invsqrt(0.5048) = 1.4075 +32'h410384a0,32'h3eaf029b,32'h3eb62749, 32'h3ea9a719,32'h3ebb82cb, 32'h3ea0b940,32'h3ec470a4,// invsqrt(8.2199) = 0.3488 +32'h3f94dc98,32'h3f68a32d,32'h3f7221ff, 32'h3f61840e,32'h3f79411e, 32'h3f55a587,32'h3f828fd2,// invsqrt(1.1630) = 0.9273 +32'h3f1e9032,32'h3f9f633f,32'h3fa5e4af, 32'h3f9a822b,32'h3faac5c3, 32'h3f92605e,32'h3fb2e790,// invsqrt(0.6194) = 1.2706 +32'h3f84b3ef,32'h3f7664f9,32'h3f8039c6, 32'h3f6eda0c,32'h3f83ff3c, 32'h3f6247d5,32'h3f8a4858,// invsqrt(1.0367) = 0.9821 +32'h4091c666,32'h3eeb1665,32'h3ef4aed2, 32'h3ee3e414,32'h3efbe124, 32'h3ed7e58c,32'h3f03efd6,// invsqrt(4.5555) = 0.4685 +32'h406272e8,32'h3f055fba,32'h3f0ad15a, 32'h3f014a83,32'h3f0ee691, 32'h3ef4f8f8,32'h3f15b498,// invsqrt(3.5383) = 0.5316 +32'h3f143129,32'h3fa4dee9,32'h3fab99a5, 32'h3f9fd2dd,32'h3fb0a5b1, 32'h3f976973,32'h3fb90f1b,// invsqrt(0.5789) = 1.3143 +32'h3fccb8a5,32'h3f46604e,32'h3f4e7922, 32'h3f404daf,32'h3f548bc1, 32'h3f362ea6,32'h3f5eaaca,// invsqrt(1.5994) = 0.7907 +32'h3fdf02d7,32'h3f3e1146,32'h3f45d348, 32'h3f383fc3,32'h3f4ba4cb, 32'h3f2e8d40,32'h3f55574e,// invsqrt(1.7423) = 0.7576 +32'h3cd455fa,32'h40c2c967,32'h40cabcb9, 32'h40bcd2e9,32'h40d0b337, 32'h40b2e2c2,32'h40daa35e,// invsqrt(0.0259) = 6.2113 +32'h400c9255,32'h3f2947d6,32'h3f3030a6, 32'h3f24193b,32'h3f355f41, 32'h3f1b7638,32'h3f3e0244,// invsqrt(2.1964) = 0.6747 +32'h3e696253,32'h40036098,32'h4008bd5b, 32'h3ffeb60e,32'h400cc2ed, 32'h3ff14e28,32'h401376e0,// invsqrt(0.2279) = 2.0947 +32'h3ee2654f,32'h3fbca430,32'h3fc4574c, 32'h3fb6dddb,32'h3fca1da1, 32'h3fad3df8,32'h3fd3bd84,// invsqrt(0.4422) = 1.5038 +32'h3f8e1ca6,32'h3f6e1918,32'h3f77d0fa, 32'h3f66cf2f,32'h3f7f1ae3, 32'h3f5aa955,32'h3f85a05f,// invsqrt(1.1102) = 0.9491 +32'h41a2c74a,32'h3e5e7876,32'h3e678d0e, 32'h3e57a905,32'h3e6e5c7f, 32'h3e4c4f48,32'h3e79b63c,// invsqrt(20.3473) = 0.2217 +32'h3f8e6f7a,32'h3f6dd3d3,32'h3f7788e1, 32'h3f668c09,32'h3f7ed0ab, 32'h3f5a69b7,32'h3f85797e,// invsqrt(1.1128) = 0.9480 +32'h3fc4c99c,32'h3f4a55e8,32'h3f52981b, 32'h3f442441,32'h3f58c9c1, 32'h3f39d181,32'h3f631c81,// invsqrt(1.5374) = 0.8065 +32'h405dff8b,32'h3f06b44a,32'h3f0c33cf, 32'h3f0294a5,32'h3f105373, 32'h3ef76a7c,32'h3f1732da,// invsqrt(3.4687) = 0.5369 +32'h3f25d4da,32'h3f9bdb0c,32'h3fa23795, 32'h3f9715a7,32'h3fa6fcfb, 32'h3f8f21fc,32'h3faef0a6,// invsqrt(0.6478) = 1.2425 +32'h3ee562e0,32'h3fbb685d,32'h3fc30e95, 32'h3fb5abb3,32'h3fc8cb3f, 32'h3fac1bed,32'h3fd25b05,// invsqrt(0.4480) = 1.4940 +32'h3fc0e21f,32'h3f4c5f83,32'h3f54b701, 32'h3f461de5,32'h3f5af89f, 32'h3f3bb088,32'h3f6565fc,// invsqrt(1.5069) = 0.8146 +32'h40e949cd,32'h3eb9d570,32'h3ec16b36, 32'h3eb4251c,32'h3ec71b8a, 32'h3eaaa9e4,32'h3ed096c2,// invsqrt(7.2903) = 0.3704 +32'h3eeb0f78,32'h3fb921c4,32'h3fc0b035, 32'h3fb376f0,32'h3fc65b0a, 32'h3faa04e4,32'h3fcfcd16,// invsqrt(0.4591) = 1.4759 +32'h3f74f04d,32'h3f803dbb,32'h3f8579b8, 32'h3f78a179,32'h3f8966b5, 32'h3f6b8b80,32'h3f8ff1b2,// invsqrt(0.9568) = 1.0223 +32'h3c9a9238,32'h40e44ceb,32'h40ed9e6d, 32'h40dd4fca,32'h40f49b8e, 32'h40d1a9e8,32'h410020b8,// invsqrt(0.0189) = 7.2800 +32'h3d7d7fb0,32'h407c1d5a,32'h408333d9, 32'h40746599,32'h40870fba, 32'h406788ac,32'h408d7e30,// invsqrt(0.0619) = 4.0197 +32'h3d8f5f3b,32'h406d0ca6,32'h4076b992, 32'h4065caf4,32'h407dfb44, 32'h4059b2cc,32'h408509b6,// invsqrt(0.0700) = 3.7795 +32'h3f968cb6,32'h3f67545f,32'h3f70c587, 32'h3f603f80,32'h3f77da66, 32'h3f54720e,32'h3f81d3ec,// invsqrt(1.1762) = 0.9221 +32'h3ee9842b,32'h3fb9be35,32'h3fc15308, 32'h3fb40e96,32'h3fc702a6, 32'h3faa948e,32'h3fd07cae,// invsqrt(0.4561) = 1.4807 +32'h3fc06faf,32'h3f4c9c3f,32'h3f54f637, 32'h3f4658c5,32'h3f5b39b1, 32'h3f3be84f,32'h3f65aa27,// invsqrt(1.5034) = 0.8156 +32'h3f7c5b24,32'h3f7caf52,32'h3f837fcf, 32'h3f74f318,32'h3f875dec, 32'h3f680eb9,32'h3f8dd01c,// invsqrt(0.9858) = 1.0072 +32'h3e2dbfab,32'h40184368,32'h401e7a66, 32'h40139a28,32'h402323a6, 32'h400bd56a,32'h402ae864,// invsqrt(0.1697) = 2.4277 +32'h3fa3e863,32'h3f5db3ee,32'h3f66c07f, 32'h3f56ea80,32'h3f6d89ec, 32'h3f4b9aca,32'h3f78d9a2,// invsqrt(1.2805) = 0.8837 +32'h3f2ecd06,32'h3f97cdea,32'h3f9e001e, 32'h3f932844,32'h3fa2a5c4, 32'h3f8b6984,32'h3faa6484,// invsqrt(0.6828) = 1.2102 +32'h3f1c74d0,32'h3fa07512,32'h3fa701b0, 32'h3f9b8b9c,32'h3fabeb26, 32'h3f935bd7,32'h3fb41aeb,// invsqrt(0.6112) = 1.2792 +32'h3f0e83ba,32'h3fa81f6b,32'h3faefc21, 32'h3fa2f9e2,32'h3fb421aa, 32'h3f9a65ff,32'h3fbcb58d,// invsqrt(0.5567) = 1.3403 +32'h3f8456a3,32'h3f76bbc4,32'h3f8066f0, 32'h3f6f2e2e,32'h3f842dbb, 32'h3f62978a,32'h3f8a790d,// invsqrt(1.0339) = 0.9835 +32'h3f5cc95a,32'h3f8712c9,32'h3f8c962a, 32'h3f82f040,32'h3f90b8b2, 32'h3f78180d,32'h3f979cec,// invsqrt(0.8624) = 1.0768 +32'h3f8cd261,32'h3f6f2faa,32'h3f78f2ea, 32'h3f67dd3a,32'h3f8022ad, 32'h3f5ba929,32'h3f863cb6,// invsqrt(1.1002) = 0.9534 +32'h3d077995,32'h40ac6f7a,32'h40b37940, 32'h40a72825,32'h40b8c095, 32'h409e5bed,32'h40c18ccd,// invsqrt(0.0331) = 5.4986 +32'h402736d5,32'h3f1b35bd,32'h3f218b87, 32'h3f167567,32'h3f264bdd, 32'h3f0e8a2b,32'h3f2e3719,// invsqrt(2.6127) = 0.6187 +32'h3f8d7470,32'h3f6ea67f,32'h3f786427, 32'h3f675842,32'h3f7fb264, 32'h3f5b2b31,32'h3f85efba,// invsqrt(1.1051) = 0.9513 +32'h3f1cd2de,32'h3fa044ed,32'h3fa6cf93, 32'h3f9b5cf0,32'h3fabb790, 32'h3f932fa0,32'h3fb3e4e0,// invsqrt(0.6126) = 1.2777 +32'h3fb9e9b2,32'h3f502b4b,32'h3f58aa73, 32'h3f49cbed,32'h3f5f09d1, 32'h3f3f2cfc,32'h3f69a8c2,// invsqrt(1.4524) = 0.8298 +32'h3d84e421,32'h40763847,32'h40802283, 32'h406eaeb7,32'h4083e74a, 32'h40621ec9,32'h408a2f42,// invsqrt(0.0649) = 3.9257 +32'h3f3004ad,32'h3f97474b,32'h3f9d73ff, 32'h3f92a5c3,32'h3fa21587, 32'h3f8aede1,32'h3fa9cd69,// invsqrt(0.6876) = 1.2060 +32'h3f205fcb,32'h3f9e7c38,32'h3fa4f43a, 32'h3f99a236,32'h3fa9ce3c, 32'h3f918c33,32'h3fb1e43f,// invsqrt(0.6265) = 1.2634 +32'h3b2f0f98,32'h4197b10a,32'h419de210, 32'h41930c46,32'h41a286d4, 32'h418b4eff,32'h41aa441b,// invsqrt(0.0027) = 19.3484 +32'h4196514b,32'h3e678212,32'h3e70f518, 32'h3e606bce,32'h3e780b5c, 32'h3e549c06,32'h3e81ed92,// invsqrt(18.7897) = 0.2307 +32'h3fdb6f15,32'h3f3f9c45,32'h3f476e67, 32'h3f39beab,32'h3f4d4c01, 32'h3f2ff801,32'h3f5712ab,// invsqrt(1.7143) = 0.7638 +32'h3e5b4cbd,32'h400787cd,32'h400d0ff5, 32'h400361af,32'h40113613, 32'h3ff8eefb,32'h40182044,// invsqrt(0.2142) = 2.1609 +32'h3fb54ad9,32'h3f52ce20,32'h3f5b68d2, 32'h3f4c5a19,32'h3f61dcd9, 32'h3f4198ba,32'h3f6c9e38,// invsqrt(1.4163) = 0.8403 +32'h3fba99ec,32'h3f4fc8e8,32'h3f58440b, 32'h3f496c8d,32'h3f5ea065, 32'h3f3ed2a0,32'h3f693a52,// invsqrt(1.4578) = 0.8282 +32'h3f3088b9,32'h3f970eac,32'h3f9d3912, 32'h3f926ee1,32'h3fa1d8dd, 32'h3f8ab9e2,32'h3fa98ddc,// invsqrt(0.6896) = 1.2042 +32'h3f8ec08f,32'h3f6d903f,32'h3f77428b, 32'h3f664a86,32'h3f7e8844, 32'h3f5a2ba8,32'h3f855391,// invsqrt(1.1153) = 0.9469 +32'h3ff9928c,32'h3f33ab38,32'h3f3b0093, 32'h3f2e2b33,32'h3f408097, 32'h3f250081,32'h3f49ab49,// invsqrt(1.9498) = 0.7162 +32'h3f648195,32'h3f84c5ad,32'h3f8a3103, 32'h3f80b52d,32'h3f8e4183, 32'h3f73de05,32'h3f9507ae,// invsqrt(0.8926) = 1.0585 +32'h3f49f8c6,32'h3f8d3987,32'h3f92fd2e, 32'h3f88e6c9,32'h3f974feb, 32'h3f81b237,32'h3f9e847d,// invsqrt(0.7890) = 1.1258 +32'h3d380a41,32'h4093f1e2,32'h4099fbc1, 32'h408f6a7a,32'h409e8328, 32'h4087de22,32'h40a60f80,// invsqrt(0.0449) = 4.7176 +32'h3fa63bb9,32'h3f5c2589,32'h3f6521d7, 32'h3f55684e,32'h3f6bdf12, 32'h3f4a2ceb,32'h3f771a75,// invsqrt(1.2987) = 0.8775 +32'h3f799cf9,32'h3f7e11c1,32'h3f843842, 32'h3f764aad,32'h3f881bcb, 32'h3f695438,32'h3f8e9706,// invsqrt(0.9751) = 1.0127 +32'h3f9d84fe,32'h3f62275f,32'h3f6b6272, 32'h3f5b3b10,32'h3f724ec0, 32'h3f4fb137,32'h3f7dd899,// invsqrt(1.2306) = 0.9014 +32'h3e527625,32'h400a58d4,32'h400ffe6a, 32'h40061ca4,32'h40143a9a, 32'h3ffe1b51,32'h401b4995,// invsqrt(0.2055) = 2.2058 +32'h3ed8a2a2,32'h3fc0d819,32'h3fc8b71f, 32'h3fbaf0d4,32'h3fce9e64, 32'h3fb11a0c,32'h3fd8752c,// invsqrt(0.4231) = 1.5373 +32'h4095a23b,32'h3ee80958,32'h3ef181e4, 32'h3ee0eef0,32'h3ef89c4c, 32'h3ed51841,32'h3f02397d,// invsqrt(4.6761) = 0.4624 +32'h3ea93f64,32'h3fda2d69,32'h3fe31525, 32'h3fd37f9d,32'h3fe9c2f1, 32'h3fc85df3,32'h3ff4e49b,// invsqrt(0.3306) = 1.7393 +32'h3ec25ae2,32'h3fcb990c,32'h3fd3e870, 32'h3fc55d81,32'h3fda23fb, 32'h3fbafa45,32'h3fe48737,// invsqrt(0.3796) = 1.6231 +32'h3f657970,32'h3f847de5,32'h3f89e64d, 32'h3f806f98,32'h3f8df49a, 32'h3f735a2d,32'h3f94b71c,// invsqrt(0.8964) = 1.0562 +32'h3fa082e0,32'h3f600948,32'h3f692e3c, 32'h3f592d92,32'h3f7009f2, 32'h3f4dbf62,32'h3f7b7822,// invsqrt(1.2540) = 0.8930 +32'h3f22ae08,32'h3f9d5baf,32'h3fa3c7ea, 32'h3f988a82,32'h3fa89916, 32'h3f908338,32'h3fb0a060,// invsqrt(0.6355) = 1.2544 +32'h3f1b0dc6,32'h3fa12e6e,32'h3fa7c29c, 32'h3f9c3f4b,32'h3facb1bf, 32'h3f940611,32'h3fb4eaf9,// invsqrt(0.6057) = 1.2849 +32'h41a01da0,32'h3e605013,32'h3e6977e9, 32'h3e597231,32'h3e7055cb, 32'h3e4e0065,32'h3e7bc797,// invsqrt(20.0145) = 0.2235 +32'h4172879c,32'h3e80e05f,32'h3e8622ff, 32'h3e79dccc,32'h3e8a14f8, 32'h3e6cb63b,32'h3e90a840,// invsqrt(15.1581) = 0.2568 +32'h3f73acad,32'h3f8092c7,32'h3f85d23d, 32'h3f79465d,32'h3f89c1d5, 32'h3f6c27b7,32'h3f905129,// invsqrt(0.9519) = 1.0250 +32'h3e97876e,32'h3fe694ae,32'h3feffe04, 32'h3fdf85ae,32'h3ff70d04, 32'h3fd3c203,32'h40016857,// invsqrt(0.2960) = 1.8382 +32'h3c2da7ab,32'h41184ded,32'h411e8559, 32'h4113a45b,32'h41232eeb, 32'h410bdf13,32'h412af433,// invsqrt(0.0106) = 9.7133 +32'h4039b178,32'h3f1348ea,32'h3f194be4, 32'h3f0ec6af,32'h3f1dce1f, 32'h3f0742f6,32'h3f2551d8,// invsqrt(2.9015) = 0.5871 +32'h404e7461,32'h3f0baedd,32'h3f116269, 32'h3f076834,32'h3f15a912, 32'h3f0047c6,32'h3f1cc980,// invsqrt(3.2259) = 0.5568 +32'h3e45c931,32'h400eb618,32'h40148948, 32'h400a57b4,32'h4018e7ac, 32'h40030fb8,32'h40202fa8,// invsqrt(0.1932) = 2.2754 +32'h3e90abd4,32'h3febfb8c,32'h3ff59d52, 32'h3fe4c236,32'h3ffcd6a8, 32'h3fd8b7fe,32'h40047070,// invsqrt(0.2826) = 1.8812 +32'h3ebc5d6b,32'h3fcecf4c,32'h3fd74040, 32'h3fc87a96,32'h3fdd94f6, 32'h3fbded65,32'h3fe82227,// invsqrt(0.3679) = 1.6487 +32'h3ee55daa,32'h3fbb6a7e,32'h3fc310cc, 32'h3fb5adc3,32'h3fc8cd87, 32'h3fac1de1,32'h3fd25d69,// invsqrt(0.4480) = 1.4941 +32'h3eeaaf59,32'h3fb947aa,32'h3fc0d7a6, 32'h3fb39bac,32'h3fc683a4, 32'h3faa27b1,32'h3fcff79f,// invsqrt(0.4584) = 1.4770 +32'h3f63c207,32'h3f84fd77,32'h3f8a6b13, 32'h3f80eb42,32'h3f8e7d48, 32'h3f74447c,32'h3f95464c,// invsqrt(0.8897) = 1.0602 +32'h3ef55d5e,32'h3fb533ed,32'h3fbc994f, 32'h3fafa7e3,32'h3fc22559, 32'h3fa66928,32'h3fcb6414,// invsqrt(0.4792) = 1.4445 +32'h40d8ea62,32'h3ec0b832,32'h3ec895ea, 32'h3ebad1e7,32'h3ece7c35, 32'h3eb0fcc0,32'h3ed8515c,// invsqrt(6.7786) = 0.3841 +32'h403978fc,32'h3f135f56,32'h3f19633a, 32'h3f0edc6b,32'h3f1de625, 32'h3f07578e,32'h3f256b02,// invsqrt(2.8980) = 0.5874 +32'h3f7d716a,32'h3f7c2473,32'h3f83378a, 32'h3f746c7a,32'h3f871387, 32'h3f678f30,32'h3f8d822c,// invsqrt(0.9900) = 1.0050 +32'h3f95a97b,32'h3f6803b9,32'h3f717c09, 32'h3f60e97c,32'h3f789646, 32'h3f551317,32'h3f823655,// invsqrt(1.1692) = 0.9248 +32'h3e6582ac,32'h40047b3b,32'h4009e387, 32'h40006d03,32'h400df1bf, 32'h3ff35548,32'h4014b41e,// invsqrt(0.2241) = 2.1123 +32'h3efcc498,32'h3fb2878e,32'h3fb9d102, 32'h3fad1078,32'h3fbf4818, 32'h3fa3f4a7,32'h3fc863e9,// invsqrt(0.4937) = 1.4232 +32'h407eead7,32'h3efb6985,32'h3f02d643, 32'h3ef3b746,32'h3f06af63, 32'h3ee6e385,32'h3f0d1943,// invsqrt(3.9831) = 0.5011 +32'h3d16ea8d,32'h40a3603d,32'h40aa0b59, 32'h409e5fe7,32'h40af0baf, 32'h40960a04,32'h40b76193,// invsqrt(0.0368) = 5.2097 +32'h3fc7c138,32'h3f48d3c1,32'h3f510631, 32'h3f42aded,32'h3f572c05, 32'h3f386ee0,32'h3f616b12,// invsqrt(1.5606) = 0.8005 +32'h40833230,32'h3ef7ce2b,32'h3f00f5be, 32'h3ef0382f,32'h3f04c0bc, 32'h3ee3938b,32'h3f0b130e,// invsqrt(4.0999) = 0.4939 +32'h409357c1,32'h3ee9d55b,32'h3ef360ad, 32'h3ee2acdd,32'h3efa892b, 32'h3ed6beb7,32'h3f033ba9,// invsqrt(4.6045) = 0.4660 +32'h3fb53469,32'h3f52db2c,32'h3f5b7668, 32'h3f4c66c0,32'h3f61ead4, 32'h3f41a4b6,32'h3f6cacde,// invsqrt(1.4157) = 0.8405 +32'h3fe66666,32'h3f3afeb3,32'h3f42a09b, 32'h3f354545,32'h3f485a09, 32'h3f2bbae3,32'h3f51e46b,// invsqrt(1.8000) = 0.7454 +32'h3f6ac90e,32'h3f82fc14,32'h3f8854bc, 32'h3f7df32c,32'h3f8c573a, 32'h3f709588,32'h3f93060c,// invsqrt(0.9171) = 1.0442 +32'h3e9396b5,32'h3fe9a377,32'h3ff32cbf, 32'h3fe27c80,32'h3ffa53b6, 32'h3fd690e5,32'h40031fa8,// invsqrt(0.2883) = 1.8626 +32'h3f2a56a3,32'h3f99c7ab,32'h3fa00e83, 32'h3f951289,32'h3fa4c3a5, 32'h3f8d39fb,32'h3fac9c33,// invsqrt(0.6654) = 1.2259 +32'h411d0ac2,32'h3ea02865,32'h3ea6b1e2, 32'h3e9b4149,32'h3eab98ff, 32'h3e93156d,32'h3eb3c4db,// invsqrt(9.8151) = 0.3192 +32'h3ead7af8,32'h3fd77fd3,32'h3fe04b93, 32'h3fd0e704,32'h3fe6e462, 32'h3fc5e854,32'h3ff1e312,// invsqrt(0.3388) = 1.7179 +32'h3f868f43,32'h3f74b045,32'h3f7ead04, 32'h3f6d32b6,32'h3f83154a, 32'h3f60b6c8,32'h3f895341,// invsqrt(1.0512) = 0.9753 +32'h3f2c93dd,32'h3f98c770,32'h3f9f03d3, 32'h3f941a27,32'h3fa3b11d, 32'h3f8c4eab,32'h3fab7c99,// invsqrt(0.6741) = 1.2179 +32'h3fb942d2,32'h3f5088f7,32'h3f590bf1, 32'h3f4a26bb,32'h3f5f6e2d, 32'h3f3f8302,32'h3f6a11e6,// invsqrt(1.4474) = 0.8312 +32'h3f506fea,32'h3f8b0465,32'h3f90b0fc, 32'h3f86c2f5,32'h3f94f26d, 32'h3f7f5672,32'h3f9c0a29,// invsqrt(0.8142) = 1.1082 +32'h3ef5d700,32'h3fb50713,32'h3fbc6aa1, 32'h3faf7c69,32'h3fc1f54b, 32'h3fa63ff7,32'h3fcb31bd,// invsqrt(0.4802) = 1.4431 +32'h4140dc22,32'h3e9085ba,32'h3e966bd6, 32'h3e8c1924,32'h3e9ad86c, 32'h3e84b981,32'h3ea2380f,// invsqrt(12.0537) = 0.2880 +32'h3fadbef7,32'h3f5755a4,32'h3f601faa, 32'h3f50be1f,32'h3f66b72f, 32'h3f45c196,32'h3f71b3b8,// invsqrt(1.3574) = 0.8583 +32'h3f9474e5,32'h3f68f45f,32'h3f727683, 32'h3f61d2c5,32'h3f79981d, 32'h3f55f019,32'h3f82bd65,// invsqrt(1.1598) = 0.9285 +32'h3d72cfc3,32'h4080cd37,32'h40860f0f, 32'h4079b7a9,32'h408a0072, 32'h406c930c,32'h409092c0,// invsqrt(0.0593) = 4.1072 +32'h3fa93f93,32'h3f5a2d4b,32'h3f631505, 32'h3f537f80,32'h3f69c2d0, 32'h3f485dd7,32'h3f74e479,// invsqrt(1.3223) = 0.8696 +32'h4149bd14,32'h3e8d4e6a,32'h3e9312ec, 32'h3e88fb09,32'h3e97664d, 32'h3e81c567,32'h3e9e9bef,// invsqrt(12.6087) = 0.2816 +32'h411745d1,32'h3ea32eed,32'h3ea9d807, 32'h3e9e301a,32'h3eaed6da, 32'h3e95dcbb,32'h3eb72a39,// invsqrt(9.4545) = 0.3252 +32'h3eac39fb,32'h3fd84847,32'h3fe11c35, 32'h3fd1a954,32'h3fe7bb28, 32'h3fc6a06b,32'h3ff2c411,// invsqrt(0.3364) = 1.7242 +32'h3f953d36,32'h3f6857d3,32'h3f71d393, 32'h3f613b04,32'h3f78f062, 32'h3f556054,32'h3f826589,// invsqrt(1.1659) = 0.9261 +32'h3e953f99,32'h3fe855f7,32'h3ff1d1a3, 32'h3fe13936,32'h3ff8ee64, 32'h3fd55e9f,32'h4002647e,// invsqrt(0.2915) = 1.8522 +32'h410c3e1e,32'h3ea97aa2,32'h3eb06584, 32'h3ea44a78,32'h3eb595ae, 32'h3e9ba4de,32'h3ebe3b48,// invsqrt(8.7652) = 0.3378 +32'h3eb2cbf9,32'h3fd44571,32'h3fdcef75, 32'h3fcdc5ed,32'h3fe36ef9, 32'h3fc2f168,32'h3fee437f,// invsqrt(0.3492) = 1.6922 +32'h4175c28f,32'h3e8006d4,32'h3e854093, 32'h3e783707,32'h3e892be2, 32'h3e6b26a9,32'h3e8fb412,// invsqrt(15.3600) = 0.2552 +32'h3fee09ca,32'h3f37f864,32'h3f3f7ab2, 32'h3f3256aa,32'h3f451c6c, 32'h3f28f3ca,32'h3f4e7f4c,// invsqrt(1.8597) = 0.7333 +32'h3ed66c3b,32'h3fc1d625,32'h3fc9bf8a, 32'h3fbbe71a,32'h3fcfae96, 32'h3fb2035c,32'h3fd99254,// invsqrt(0.4188) = 1.5453 +32'h4318cc0f,32'h3da25e05,32'h3da8fe97, 32'h3d9d6597,32'h3dadf705, 32'h3d951ce0,32'h3db63fbc,// invsqrt(152.7971) = 0.0809 +32'h40635c41,32'h3f051b37,32'h3f0a8a0b, 32'h3f010819,32'h3f0e9d29, 32'h3ef47b22,32'h3f1567b1,// invsqrt(3.5525) = 0.5306 +32'h401a1cd4,32'h3f21ac3c,32'h3f28458d, 32'h3f1cb940,32'h3f2d388a, 32'h3f14799b,32'h3f35782f,// invsqrt(2.4080) = 0.6444 +32'h404a1142,32'h3f0d30f8,32'h3f12f446, 32'h3f08de7d,32'h3f1746c1, 32'h3f01aa5c,32'h3f1e7ae2,// invsqrt(3.1573) = 0.5628 +32'h3c988c6e,32'h40e5cf19,32'h40ef305d, 32'h40dec625,32'h40f63951, 32'h40d30c8f,32'h4100f974,// invsqrt(0.0186) = 7.3281 +32'h3ec9b2e7,32'h3fc7db63,32'h3fd003b1, 32'h3fc1bd2a,32'h3fd621ea, 32'h3fb78ac9,32'h3fe0544b,// invsqrt(0.3939) = 1.5932 +32'h3fa6a06a,32'h3f5be2fb,32'h3f64dc92, 32'h3f5527c9,32'h3f6b97c3, 32'h3f49efcc,32'h3f76cfc0,// invsqrt(1.3018) = 0.8765 +32'h417b0154,32'h3e7d5d26,32'h3e83da45, 32'h3e759b9a,32'h3e87bb0b, 32'h3e68ae5c,32'h3e8e31aa,// invsqrt(15.6878) = 0.2525 +32'h3fb1c319,32'h3f54e35c,32'h3f5d93d3, 32'h3f4e5f04,32'h3f64182c, 32'h3f43826f,32'h3f6ef4c1,// invsqrt(1.3888) = 0.8486 +32'h40b5e0d5,32'h3ed27722,32'h3edb0e48, 32'h3ecc05c6,32'h3ee17fa4, 32'h3ec148d6,32'h3eec3c94,// invsqrt(5.6837) = 0.4195 +32'h3fc7e579,32'h3f48c18a,32'h3f50f33c, 32'h3f429c44,32'h3f571882, 32'h3f385e26,32'h3f6156a0,// invsqrt(1.5617) = 0.8002 +32'h3ff4d9c6,32'h3f356497,32'h3f3ccbf6, 32'h3f2fd710,32'h3f42597e, 32'h3f2695da,32'h3f4b9ab5,// invsqrt(1.9129) = 0.7230 +32'h3ff734cf,32'h3f3486d1,32'h3f3be523, 32'h3f2f0014,32'h3f416be0, 32'h3f25ca2e,32'h3f4aa1c6,// invsqrt(1.9313) = 0.7196 +32'h3f8345a8,32'h3f77bbca,32'h3f80ec2d, 32'h3f70265e,32'h3f84b6e3, 32'h3f6382aa,32'h3f8b08bd,// invsqrt(1.0256) = 0.9875 +32'h3fbd0bee,32'h3f4e6fc2,32'h3f56dccf, 32'h3f481df8,32'h3f5d2e98, 32'h3f3d95a7,32'h3f67b6e9,// invsqrt(1.4769) = 0.8228 +32'h3f242b92,32'h3f9ca469,32'h3fa3092a, 32'h3f97d8da,32'h3fa7d4ba, 32'h3f8fdae9,32'h3fafd2ab,// invsqrt(0.6413) = 1.2487 +32'h3fe598ab,32'h3f3b5267,32'h3f42f7ba, 32'h3f35966a,32'h3f48b3b8, 32'h3f2c07c2,32'h3f524260,// invsqrt(1.7937) = 0.7467 +32'h3da1cbd8,32'h405f2512,32'h406840b4, 32'h40585058,32'h406f156e, 32'h404cedcc,32'h407a77fa,// invsqrt(0.0790) = 3.5578 +32'h3f639fd1,32'h3f850775,32'h3f8a7579, 32'h3f80f4f1,32'h3f8e87fd, 32'h3f7456d6,32'h3f955183,// invsqrt(0.8892) = 1.0605 +32'h3ed563d9,32'h3fc24e14,32'h3fca3c5e, 32'h3fbc5b5d,32'h3fd02f15, 32'h3fb27180,32'h3fda18f2,// invsqrt(0.4168) = 1.5490 +32'h4191382b,32'h3e6b8969,32'h3e752687, 32'h3e645392,32'h3e7c5c5e, 32'h3e584f2c,32'h3e843062,// invsqrt(18.1524) = 0.2347 +32'h3dc74242,32'h404913b2,32'h405148be, 32'h4042ebe8,32'h40577088, 32'h4038a999,32'h4061b2d7,// invsqrt(0.0973) = 3.2059 +32'h3fabb4fd,32'h3f589bf9,32'h3f617352, 32'h3f51fa77,32'h3f6814d5, 32'h3f46ed49,32'h3f732203,// invsqrt(1.3415) = 0.8634 +32'h3f4827e1,32'h3f8ddd2a,32'h3f93a780, 32'h3f89856a,32'h3f97ff40, 32'h3f824880,32'h3f9f3c2a,// invsqrt(0.7819) = 1.1309 +32'h3e2317b3,32'h401d28ad,32'h402392d3, 32'h40185910,32'h40286270, 32'h40105460,32'h40306720,// invsqrt(0.1593) = 2.5057 +32'h3fa448ca,32'h3f5d72d8,32'h3f667cc2, 32'h3f56ab69,32'h3f6d4431, 32'h3f4b5f05,32'h3f789095,// invsqrt(1.2835) = 0.8827 +32'h3f4aa7eb,32'h3f8cfc73,32'h3f92bd9c, 32'h3f88ab93,32'h3f970e7b, 32'h3f817a20,32'h3f9e3fee,// invsqrt(0.7916) = 1.1239 +32'h3f417a0d,32'h3f904ab3,32'h3f962e67, 32'h3f8bdfec,32'h3f9a992e, 32'h3f84834c,32'h3fa1f5ce,// invsqrt(0.7558) = 1.1503 +32'h3fabbacf,32'h3f58984e,32'h3f616f80, 32'h3f51f6e8,32'h3f6810e6, 32'h3f46e9ea,32'h3f731de5,// invsqrt(1.3416) = 0.8633 +32'h3ffd6a35,32'h3f324d2f,32'h3f399440, 32'h3f2cd7e1,32'h3f3f098d, 32'h3f23bf0b,32'h3f482263,// invsqrt(1.9798) = 0.7107 +32'h3f68441c,32'h3f83b172,32'h3f891181, 32'h3f7f52cc,32'h3f8d198c, 32'h3f71e2a7,32'h3f93d19f,// invsqrt(0.9073) = 1.0498 +32'h405fe999,32'h3f062090,32'h3f0b9a0e, 32'h3f020571,32'h3f0fb52d, 32'h3ef65b28,32'h3f168d0a,// invsqrt(3.4986) = 0.5346 +32'h3fd52582,32'h3f426a7c,32'h3f4a59ef, 32'h3f3c76e6,32'h3f504d86, 32'h3f328b97,32'h3f5a38d5,// invsqrt(1.6652) = 0.7749 +32'h3f8d7a59,32'h3f6ea183,32'h3f785ef7, 32'h3f67536d,32'h3f7fad0d, 32'h3f5b269d,32'h3f85ecee,// invsqrt(1.1053) = 0.9512 +32'h40435863,32'h3f0f999d,32'h3f157616, 32'h3f0b3441,32'h3f19db71, 32'h3f03e0aa,32'h3f212f08,// invsqrt(3.0523) = 0.5724 +32'h410ac189,32'h3eaa6270,32'h3eb156c8, 32'h3ea52b2e,32'h3eb68e0a, 32'h3e9c79c0,32'h3ebf3f78,// invsqrt(8.6722) = 0.3396 +32'h3f831fd9,32'h3f77df7e,32'h3f80fec2, 32'h3f7048fb,32'h3f84ca04, 32'h3f63a374,32'h3f8b1cc7,// invsqrt(1.0244) = 0.9880 +32'h3fb34229,32'h3f53ff6c,32'h3f5ca694, 32'h3f4d820d,32'h3f6323f3, 32'h3f42b11a,32'h3f6df4e6,// invsqrt(1.4005) = 0.8450 +32'h3eaed38d,32'h3fd6ab0a,32'h3fdf6e1a, 32'h3fd018be,32'h3fe60066, 32'h3fc524ea,32'h3ff0f43a,// invsqrt(0.3415) = 1.7113 +32'h3fb9a886,32'h3f504fd1,32'h3f58d077, 32'h3f49ef55,32'h3f5f30f3, 32'h3f3f4e87,32'h3f69d1c1,// invsqrt(1.4505) = 0.8303 +32'h3f7ceeae,32'h3f7c6595,32'h3f835970, 32'h3f74ab9e,32'h3f87366b, 32'h3f67cb01,32'h3f8da6ba,// invsqrt(0.9880) = 1.0060 +32'h40510f2f,32'h3f0acf67,32'h3f1079d3, 32'h3f068f95,32'h3f14b9a5, 32'h3efef51b,32'h3f1bcead,// invsqrt(3.2666) = 0.5533 +32'h419d7563,32'h3e623293,32'h3e6b6e1c, 32'h3e5b45ed,32'h3e725ac3, 32'h3e4fbb82,32'h3e7de52e,// invsqrt(19.6823) = 0.2254 +32'h3e59ca82,32'h4007ffc5,32'h400d8cd2, 32'h4003d5fa,32'h4011b69c, 32'h3ff9cb54,32'h4018a6ec,// invsqrt(0.2127) = 2.1684 +32'h3eaee37f,32'h3fd6a141,32'h3fdf63ea, 32'h3fd00f41,32'h3fe5f5e9, 32'h3fc51bec,32'h3ff0e93e,// invsqrt(0.3416) = 1.7110 +32'h3fcc569d,32'h3f468fde,32'h3f4eaaa4, 32'h3f407bcb,32'h3f54beb7, 32'h3f365a54,32'h3f5ee02e,// invsqrt(1.5964) = 0.7915 +32'h3fb91b92,32'h3f509f12,32'h3f5922f4, 32'h3f4a3c29,32'h3f5f85dd, 32'h3f3f9750,32'h3f6a2ab7,// invsqrt(1.4462) = 0.8316 +32'h3f867f27,32'h3f74beec,32'h3f7ebc44, 32'h3f6d40ea,32'h3f831d23, 32'h3f60c43c,32'h3f895b7a,// invsqrt(1.0508) = 0.9755 +32'h3f6159ee,32'h3f85b2c6,32'h3f8b27c9, 32'h3f819b04,32'h3f8f3f8c, 32'h3f759182,32'h3f9611cf,// invsqrt(0.8803) = 1.0658 +32'h3e75304e,32'h40002cfd,32'h4005684b, 32'h3ff88104,32'h400954c6, 32'h3feb6cc1,32'h400fdee8,// invsqrt(0.2394) = 2.0436 +32'h3fa45ed0,32'h3f5d6401,32'h3f666d50, 32'h3f569d07,32'h3f6d344b, 32'h3f4b5165,32'h3f787fed,// invsqrt(1.2841) = 0.8825 +32'h40e3f1c6,32'h3ebbffdb,32'h3ec3ac41, 32'h3eb63e8d,32'h3ec96d8f, 32'h3eaca70d,32'h3ed3050f,// invsqrt(7.1233) = 0.3747 +32'h40c83705,32'h3ec898a4,32'h3ed0c8aa, 32'h3ec2749f,32'h3ed6ecaf, 32'h3eb83896,32'h3ee128b8,// invsqrt(6.2567) = 0.3998 +32'h3f32426f,32'h3f965310,32'h3f9c75cd, 32'h3f91b903,32'h3fa10fdb, 32'h3f8a0d97,32'h3fa8bb47,// invsqrt(0.6963) = 1.1984 +32'h3eb47c8e,32'h3fd34676,32'h3fdbe613, 32'h3fcccec2,32'h3fe25dc8, 32'h3fc2073e,32'h3fed254c,// invsqrt(0.3525) = 1.6843 +32'h3f9be168,32'h3f6356f2,32'h3f6c9e69, 32'h3f5c6158,32'h3f739402, 32'h3f50c802,32'h3f7f2d58,// invsqrt(1.2178) = 0.9062 +32'h3e979f36,32'h3fe68298,32'h3fefeb31, 32'h3fdf7426,32'h3ff6f9a4, 32'h3fd3b168,32'h40015e31,// invsqrt(0.2961) = 1.8376 +32'h3f8fdbf0,32'h3f6ca5d0,32'h3f764e8b, 32'h3f656745,32'h3f7d8d17, 32'h3f59545d,32'h3f84d000,// invsqrt(1.1239) = 0.9433 +32'h3fad87be,32'h3f5777e4,32'h3f604351, 32'h3f50df53,32'h3f66dbe3, 32'h3f45e10c,32'h3f71da2b,// invsqrt(1.3557) = 0.8589 +32'h3f777a4b,32'h3f7f29c5,32'h3f84c9fb, 32'h3f775a20,32'h3f88b1ce, 32'h3f6a5561,32'h3f8f342d,// invsqrt(0.9667) = 1.0171 +32'h3f6a16ac,32'h3f832df3,32'h3f8888a4, 32'h3f7e53db,32'h3f8c8ca8, 32'h3f70f121,32'h3f933e06,// invsqrt(0.9144) = 1.0458 +32'h4014036d,32'h3f24f860,32'h3f2bb426, 32'h3f1feb8c,32'h3f30c0fa, 32'h3f1780d6,32'h3f392bb0,// invsqrt(2.3127) = 0.6576 +32'h3e2de1c9,32'h40183477,32'h401e6ad9, 32'h40138bac,32'h402313a4, 32'h400bc7b1,32'h402ad79f,// invsqrt(0.1698) = 2.4267 +32'h3f2cd593,32'h3f98aa63,32'h3f9ee596, 32'h3f93fdfc,32'h3fa391fc, 32'h3f8c33fc,32'h3fab5bfc,// invsqrt(0.6751) = 1.2170 +32'h3fcc4730,32'h3f46975d,32'h3f4eb271, 32'h3f40830f,32'h3f54c6bf, 32'h3f366136,32'h3f5ee898,// invsqrt(1.5959) = 0.7916 +32'h4282ac9e,32'h3df84cb0,32'h3e013795, 32'h3df0b2d4,32'h3e050483, 32'h3de407bc,32'h3e0b5a0f,// invsqrt(65.3371) = 0.1237 +32'h3d9af10b,32'h40640704,32'h406d55ac, 32'h405d0c07,32'h407450a9, 32'h405169b6,32'h407ff2fa,// invsqrt(0.0757) = 3.6356 +32'h3ddb1da0,32'h403fbfe0,32'h40479376, 32'h4039e12f,32'h404d7227, 32'h403018b4,32'h40573aa3,// invsqrt(0.1070) = 3.0572 +32'h3f437e5b,32'h3f8f8baa,32'h3f956792, 32'h3f8b26bc,32'h3f99cc80, 32'h3f83d3db,32'h3fa11f61,// invsqrt(0.7636) = 1.1443 +32'h3f7430f6,32'h3f806fef,32'h3f85adf9, 32'h3f7902d0,32'h3f899c80, 32'h3f6be7b7,32'h3f902a0c,// invsqrt(0.9539) = 1.0239 +32'h42d5a86c,32'h3dc22ee3,32'h3dca1be7, 32'h3dbc3d20,32'h3dd00daa, 32'h3db254db,32'h3dd9f5ef,// invsqrt(106.8289) = 0.0968 +32'h3f58f809,32'h3f8841ab,32'h3f8dd169, 32'h3f8415dd,32'h3f91fd37, 32'h3f7a445f,32'h3f98f0e5,// invsqrt(0.8475) = 1.0862 +32'h3fdc8b85,32'h3f3f208e,32'h3f46eda4, 32'h3f3946be,32'h3f4cc774, 32'h3f2f8663,32'h3f5687cf,// invsqrt(1.7230) = 0.7618 +32'h40ac532f,32'h3ed83876,32'h3ee10bbe, 32'h3ed199ff,32'h3ee7aa35, 32'h3ec691e4,32'h3ef2b250,// invsqrt(5.3852) = 0.4309 +32'h3f9b9d01,32'h3f6388e3,32'h3f6cd265, 32'h3f5c91c2,32'h3f73c986, 32'h3f50f5e0,32'h3f7f6568,// invsqrt(1.2157) = 0.9069 +32'h3ec19c48,32'h3fcbfd2b,32'h3fd450a5, 32'h3fc5be90,32'h3fda8f40, 32'h3fbb5637,32'h3fe4f799,// invsqrt(0.3781) = 1.6262 +32'h3fb270c3,32'h3f547bab,32'h3f5d27e6, 32'h3f4dfa7e,32'h3f63a912, 32'h3f432334,32'h3f6e805c,// invsqrt(1.3941) = 0.8470 +32'h3f97d016,32'h3f665d7a,32'h3f6fc48f, 32'h3f5f502b,32'h3f76d1df, 32'h3f538f51,32'h3f81495c,// invsqrt(1.1860) = 0.9182 +32'h40991401,32'h3ee5693e,32'h3eeec65b, 32'h3ede6369,32'h3ef5cc31, 32'h3ed2af05,32'h3f00c04a,// invsqrt(4.7837) = 0.4572 +32'h40016928,32'h3f306dea,32'h3f37a16b, 32'h3f2b0748,32'h3f3d080c, 32'h3f2206e6,32'h3f46086e,// invsqrt(2.0220) = 0.7032 +32'h4183c4b7,32'h3e77443c,32'h3e80adf6, 32'h3e6fb279,32'h3e8476d7, 32'h3e6314df,32'h3e8ac5a5,// invsqrt(16.4711) = 0.2464 +32'h3e125762,32'h4025e8f5,32'h402cae8c, 32'h4020d4c3,32'h4031c2bd, 32'h40185dc6,32'h403a39ba,// invsqrt(0.1429) = 2.6452 +32'h40038f93,32'h3f2efb53,32'h3f361fb5, 32'h3f29a00a,32'h3f3b7afe, 32'h3f20b290,32'h3f446878,// invsqrt(2.0556) = 0.6975 +32'h3f8804e9,32'h3f735f48,32'h3f7d4e46, 32'h3f6bec0a,32'h3f8260c2, 32'h3f5f814d,32'h3f889621,// invsqrt(1.0626) = 0.9701 +32'h42037d8b,32'h3e2f0752,32'h3e362c30, 32'h3e29abab,32'h3e3b87d7, 32'h3e20bd94,32'h3e4475ee,// invsqrt(32.8726) = 0.1744 +32'h3fd395ef,32'h3f4321b9,32'h3f4b18a7, 32'h3f3d2887,32'h3f5111d9, 32'h3f3333de,32'h3f5b0682,// invsqrt(1.6530) = 0.7778 +32'h3f71fd99,32'h3f810519,32'h3f86493a, 32'h3f7a2403,32'h3f8a3c52, 32'h3f6cf9b2,32'h3f90d17b,// invsqrt(0.9453) = 1.0285 +32'h3ef5657e,32'h3fb530ed,32'h3fbc9630, 32'h3fafa4fa,32'h3fc22222, 32'h3fa66666,32'h3fcb60b6,// invsqrt(0.4793) = 1.4444 +32'h40bd1600,32'h3ece6a42,32'h3ed6d716, 32'h3ec818a3,32'h3edd28b5, 32'h3ebd909b,32'h3ee7b0bd,// invsqrt(5.9089) = 0.4114 +32'h3ee42800,32'h3fbbe982,32'h3fc39500, 32'h3fb628e4,32'h3fc9559e, 32'h3fac9287,32'h3fd2ebfb,// invsqrt(0.4456) = 1.4980 +32'h3f2fe050,32'h3f9756ed,32'h3f9d8445, 32'h3f92b4eb,32'h3fa22647, 32'h3f8afc3d,32'h3fa9def5,// invsqrt(0.6870) = 1.2065 +32'h3ee3700e,32'h3fbc3570,32'h3fc3e406, 32'h3fb6727e,32'h3fc9a6f8, 32'h3facd842,32'h3fd34134,// invsqrt(0.4442) = 1.5004 +32'h41171372,32'h3ea34a1f,32'h3ea9f455, 32'h3e9e4a77,32'h3eaef3fd, 32'h3e95f5b4,32'h3eb748c0,// invsqrt(9.4422) = 0.3254 +32'h3fed2362,32'h3f3851ae,32'h3f3fd7a0, 32'h3f32ad38,32'h3f457c16, 32'h3f2945ca,32'h3f4ee385,// invsqrt(1.8526) = 0.7347 +32'h3f58c7e2,32'h3f8850cd,32'h3f8de129, 32'h3f842488,32'h3f920d6e, 32'h3f7a602a,32'h3f9901e1,// invsqrt(0.8468) = 1.0867 +32'h40d927d2,32'h3ec09ced,32'h3ec87989, 32'h3ebab778,32'h3ece5efe, 32'h3eb0e3b5,32'h3ed832c1,// invsqrt(6.7861) = 0.3839 +32'h3f4f77a2,32'h3f8b577b,32'h3f910776, 32'h3f871380,32'h3f954b72, 32'h3f7fef0d,32'h3f9c676c,// invsqrt(0.8104) = 1.1108 +32'h408fb698,32'h3eecc48e,32'h3ef66e89, 32'h3ee58511,32'h3efdae05, 32'h3ed97097,32'h3f04e140,// invsqrt(4.4910) = 0.4719 +32'h3f8ca625,32'h3f6f5544,32'h3f791a0d, 32'h3f6801ac,32'h3f8036d2, 32'h3f5bcbb1,32'h3f8651d0,// invsqrt(1.0988) = 0.9540 +32'h3f9b2a17,32'h3f63dd15,32'h3f6d2a07, 32'h3f5ce360,32'h3f7423bc, 32'h3f514333,32'h3f7fc3e9,// invsqrt(1.2122) = 0.9083 +32'h40312fd4,32'h3f16c760,32'h3f1ceedc, 32'h3f1229c3,32'h3f218c79, 32'h3f0a7868,32'h3f293dd4,// invsqrt(2.7685) = 0.6010 +32'h3f770192,32'h3f7f6818,32'h3f84ea6a, 32'h3f77968a,32'h3f88d331, 32'h3f6a8e9d,32'h3f8f5727,// invsqrt(0.9649) = 1.0180 +32'h3f00eed8,32'h3fb0c185,32'h3fb7f871, 32'h3fab5855,32'h3fbd61a1, 32'h3fa253af,32'h3fc66647,// invsqrt(0.5036) = 1.4091 +32'h4181ea47,32'h3e790622,32'h3e819817, 32'h3e716699,32'h3e8567db, 32'h3e64b20a,32'h3e8bc223,// invsqrt(16.2394) = 0.2482 +32'h3f474046,32'h3f8e2f85,32'h3f93fd37, 32'h3f89d540,32'h3f98577c, 32'h3f829421,32'h3f9f989b,// invsqrt(0.7783) = 1.1335 +32'h3f9ac1c7,32'h3f6429d4,32'h3f6d79e7, 32'h3f5d2dc5,32'h3f7475f5, 32'h3f5189ad,32'h3f800d06,// invsqrt(1.2090) = 0.9095 +32'h40c283b6,32'h3ecb83ad,32'h3ed3d231, 32'h3ec548c9,32'h3eda0d15, 32'h3ebae6a4,32'h3ee46f3a,// invsqrt(6.0786) = 0.4056 +32'h3f54af16,32'h3f899f4d,32'h3f8f3d50, 32'h3f8568ca,32'h3f9373d2, 32'h3f7cc68c,32'h3f9a7956,// invsqrt(0.8308) = 1.0971 +32'h3f912e30,32'h3f6b9182,32'h3f752ef4, 32'h3f645b6b,32'h3f7c650b, 32'h3f58569c,32'h3f8434ed,// invsqrt(1.1342) = 0.9390 +32'h3e99ee4d,32'h3fe4c659,32'h3fee1ccf, 32'h3fddc580,32'h3ff51da8, 32'h3fd2196c,32'h400064de,// invsqrt(0.3006) = 1.8238 +32'h3f8a449c,32'h3f71628c,32'h3f7b3cc6, 32'h3f69fee1,32'h3f815039, 32'h3f5dae18,32'h3f87789d,// invsqrt(1.0802) = 0.9622 +32'h3f8fe6ea,32'h3f6c9cca,32'h3f764526, 32'h3f655e85,32'h3f7d836b, 32'h3f594c12,32'h3f84caef,// invsqrt(1.1242) = 0.9431 +32'h3e4972db,32'h400d6870,32'h40132e02, 32'h40091443,32'h4017822f, 32'h4001dd4d,32'h401eb925,// invsqrt(0.1967) = 2.2546 +32'h3e7bdc57,32'h3ffceee5,32'h4003a0e5, 32'h3ff530ba,32'h40077ffb, 32'h3fe8491c,32'h400df3ca,// invsqrt(0.2460) = 2.0164 +32'h4016ff25,32'h3f235519,32'h3f29ffc1, 32'h3f1e551b,32'h3f2effbf, 32'h3f15ffc8,32'h3f375512,// invsqrt(2.3593) = 0.6510 +32'h3fc90a32,32'h3f482f2d,32'h3f505ae6, 32'h3f420e63,32'h3f567bb1, 32'h3f37d7bc,32'h3f60b258,// invsqrt(1.5706) = 0.7979 +32'h3f802862,32'h3f7ab9be,32'h3f827ac9, 32'h3f730ce0,32'h3f865138, 32'h3f664217,32'h3f8cb69c,// invsqrt(1.0012) = 0.9994 +32'h3e1b716b,32'h4020fabc,32'h40278cce, 32'h401c0d2e,32'h402c7a5c, 32'h4013d698,32'h4034b0f2,// invsqrt(0.1518) = 2.5666 +32'h3fd0ac85,32'h3f447d09,32'h3f4c8223, 32'h3f3e7935,32'h3f5285f7, 32'h3f3472d4,32'h3f5c8c58,// invsqrt(1.6303) = 0.7832 +32'h40387bc3,32'h3f13c457,32'h3f19cc5b, 32'h3f0f3e55,32'h3f1e525d, 32'h3f07b450,32'h3f25dc62,// invsqrt(2.8826) = 0.5890 +32'h4088ff03,32'h3ef280bb,32'h3efc66a3, 32'h3eeb144d,32'h3f01e989, 32'h3edeb4ea,32'h3f08193a,// invsqrt(4.2811) = 0.4833 +32'h3f8e94dd,32'h3f6db4a3,32'h3f77686b, 32'h3f666dcd,32'h3f7eaf41, 32'h3f5a4d13,32'h3f8567fd,// invsqrt(1.1139) = 0.9475 +32'h3faa8e0e,32'h3f5956f2,32'h3f6235ec, 32'h3f52afb6,32'h3f68dd28, 32'h3f4798fe,32'h3f73f3e1,// invsqrt(1.3325) = 0.8663 +32'h4020034e,32'h3f1ea9ff,32'h3f2523df, 32'h3f19ce96,32'h3f29ff48, 32'h3f11b63e,32'h3f3217a1,// invsqrt(2.5002) = 0.6324 +32'h3f55ad63,32'h3f894d4f,32'h3f8ee7fa, 32'h3f851950,32'h3f931bfa, 32'h3f7c2ff6,32'h3f9a1d4f,// invsqrt(0.8347) = 1.0946 +32'h3f1f9d6b,32'h3f9edc9a,32'h3fa5588c, 32'h3f99ffa5,32'h3faa3581, 32'h3f91e4b8,32'h3fb2506f,// invsqrt(0.6235) = 1.2664 +32'h3f7857e4,32'h3f7eb7d4,32'h3f848eb0, 32'h3f76ebac,32'h3f8874c4, 32'h3f69ecbe,32'h3f8ef43b,// invsqrt(0.9701) = 1.0153 +32'h3f432d13,32'h3f8fa98b,32'h3f9586ab, 32'h3f8b43b3,32'h3f99ec83, 32'h3f83ef4c,32'h3fa140ea,// invsqrt(0.7624) = 1.1453 +32'h3fb59b5a,32'h3f529f61,32'h3f5b382b, 32'h3f4c2cc9,32'h3f61aac3, 32'h3f416dcc,32'h3f6c69c0,// invsqrt(1.4188) = 0.8395 +32'h3f82df12,32'h3f781ccf,32'h3f811eaa, 32'h3f70846b,32'h3f84eadd, 32'h3f63dbc3,32'h3f8b3f30,// invsqrt(1.0224) = 0.9890 +32'h3fa7635c,32'h3f5b62ca,32'h3f645726, 32'h3f54ab85,32'h3f6b0e6b, 32'h3f497a12,32'h3f763fde,// invsqrt(1.3077) = 0.8745 +32'h42a76718,32'h3ddb6058,32'h3de4549a, 32'h3dd4a926,32'h3deb0bcc, 32'h3dc977d3,32'h3df63d1f,// invsqrt(83.7014) = 0.1093 +32'h3eff4013,32'h3fb1a8c7,32'h3fb8e923, 32'h3fac3882,32'h3fbe5968, 32'h3fa32810,32'h3fc769da,// invsqrt(0.4985) = 1.4163 +32'h3f6932a8,32'h3f836e05,32'h3f88cb53, 32'h3f7ed014,32'h3f8cd14e, 32'h3f7166cf,32'h3f9385f0,// invsqrt(0.9109) = 1.0477 +32'h3f24b324,32'h3f9c63e4,32'h3fa2c602, 32'h3f979a4d,32'h3fa78f99, 32'h3f8f9fa8,32'h3faf8a3e,// invsqrt(0.6434) = 1.2467 +32'h3f3adb26,32'h3f92d369,32'h3f98d197, 32'h3f8e54c6,32'h3f9d503a, 32'h3f86d70d,32'h3fa4cdf3,// invsqrt(0.7299) = 1.1705 +32'h3ee00651,32'h3fbda313,32'h3fc56097, 32'h3fb7d4f1,32'h3fcb2eb9, 32'h3fae280c,32'h3fd4db9e,// invsqrt(0.4375) = 1.5118 +32'h400a0bc0,32'h3f2ad27b,32'h3f31cb65, 32'h3f2597ca,32'h3f370616, 32'h3f1ce0a5,32'h3f3fbd3b,// invsqrt(2.1570) = 0.6809 +32'h3fbf9bb3,32'h3f4d0d4f,32'h3f556be5, 32'h3f46c65f,32'h3f5bb2d5, 32'h3f3c5024,32'h3f662910,// invsqrt(1.4969) = 0.8173 +32'h3fd4be74,32'h3f42998d,32'h3f4a8aeb, 32'h3f3ca486,32'h3f507ff2, 32'h3f32b6d0,32'h3f5a6da8,// invsqrt(1.6621) = 0.7757 +32'h3f5ee549,32'h3f866ecc,32'h3f8beb7c, 32'h3f825149,32'h3f9008ff, 32'h3f76eada,32'h3f96e4db,// invsqrt(0.8707) = 1.0717 +32'h3fa22917,32'h3f5ee4e1,32'h3f67fde5, 32'h3f58121e,32'h3f6ed0a8, 32'h3f4cb2d9,32'h3f7a2fed,// invsqrt(1.2669) = 0.8884 +32'h3e7c13bb,32'h3ffcd31a,32'h4003926f, 32'h3ff515c9,32'h40077118, 32'h3fe82f96,32'h400de431,// invsqrt(0.2462) = 2.0155 +32'h4053ec09,32'h3f09de93,32'h3f0f7f2b, 32'h3f05a621,32'h3f13b79d, 32'h3efd3ac5,32'h3f1ac05c,// invsqrt(3.3113) = 0.5495 +32'h403c75b5,32'h3f123324,32'h3f182ac7, 32'h3f0db969,32'h3f1ca481, 32'h3f0643dc,32'h3f241a0e,// invsqrt(2.9447) = 0.5827 +32'h3f5066ba,32'h3f8b0776,32'h3f90b42c, 32'h3f86c5ed,32'h3f94f5b5, 32'h3f7f5c12,32'h3f9c0d99,// invsqrt(0.8141) = 1.1083 +32'h3fed2216,32'h3f38522f,32'h3f3fd827, 32'h3f32adb6,32'h3f457ca0, 32'h3f294640,32'h3f4ee416,// invsqrt(1.8526) = 0.7347 +32'h3f0f297e,32'h3fa7bdf9,32'h3fae96b5, 32'h3fa29b6c,32'h3fb3b942, 32'h3f9a0c82,32'h3fbc482d,// invsqrt(0.5592) = 1.3372 +32'h3fb56408,32'h3f52bf7d,32'h3f5b5997, 32'h3f4c4bea,32'h3f61cd2a, 32'h3f418b49,32'h3f6c8dcb,// invsqrt(1.4171) = 0.8400 +32'h40ac1efd,32'h3ed8593c,32'h3ee12ddc, 32'h3ed1b9c5,32'h3ee7cd53, 32'h3ec6affe,32'h3ef2d71a,// invsqrt(5.3788) = 0.4312 +32'h3f459cd3,32'h3f8ec61c,32'h3f9499f4, 32'h3f8a673b,32'h3f98f8d5, 32'h3f831e6e,32'h3fa041a2,// invsqrt(0.7719) = 1.1382 +32'h3efc838a,32'h3fb29e8c,32'h3fb9e8f0, 32'h3fad26c1,32'h3fbf60bb, 32'h3fa409c5,32'h3fc87db7,// invsqrt(0.4932) = 1.4239 +32'h3f80669f,32'h3f7a7cf2,32'h3f825b26, 32'h3f72d1f1,32'h3f8630a7, 32'h3f660a42,32'h3f8c947e,// invsqrt(1.0031) = 0.9984 +32'h3e0c433c,32'h4029778b,32'h4030624c, 32'h40244779,32'h4035925d, 32'h401ba207,32'h403e37cf,// invsqrt(0.1370) = 2.7020 +32'h3f53677a,32'h3f8a09c6,32'h3f8fac22, 32'h3f85d001,32'h3f93e5e7, 32'h3f7d8a1e,32'h3f9af0d9,// invsqrt(0.8258) = 1.1004 +32'h3cc02026,32'h40ccc695,32'h40d52247, 32'h40c681cf,32'h40db670d, 32'h40bc0f30,32'h40e5d9ac,// invsqrt(0.0235) = 6.5298 +32'h3ec47a92,32'h3fca7e96,32'h3fd2c272, 32'h3fc44bb1,32'h3fd8f557, 32'h3fb9f6dd,32'h3fe34a2b,// invsqrt(0.3837) = 1.6143 +32'h3bee248d,32'h4137ee0e,32'h413f6fef, 32'h41324ca4,32'h41451158, 32'h4128ea4b,32'h414e73b1,// invsqrt(0.0073) = 11.7302 +32'h3f5f64c0,32'h3f86486c,32'h3f8bc38a, 32'h3f822c15,32'h3f8fdfe1, 32'h3f76a45d,32'h3f96b9c7,// invsqrt(0.8726) = 1.0705 +32'h3f907007,32'h3f6c2c60,32'h3f75d026, 32'h3f64f18c,32'h3f7d0afa, 32'h3f58e4d6,32'h3f848bd8,// invsqrt(1.1284) = 0.9414 +32'h401c8165,32'h3f206e9f,32'h3f26faf9, 32'h3f1b855b,32'h3f2be43d, 32'h3f1355eb,32'h3f3413ad,// invsqrt(2.4454) = 0.6395 +32'h4129ead4,32'h3e99f86c,32'h3ea04142, 32'h3e9541cc,32'h3ea4f7e2, 32'h3e8d66c2,32'h3eacd2ec,// invsqrt(10.6198) = 0.3069 +32'h3f96600f,32'h3f6776b4,32'h3f70e944, 32'h3f6060c9,32'h3f77ff2f, 32'h3f549196,32'h3f81e731,// invsqrt(1.1748) = 0.9226 +32'h3f7c1a87,32'h3f7ccfb1,32'h3f8390a8, 32'h3f75127a,32'h3f876f43, 32'h3f682c73,32'h3f8de246,// invsqrt(0.9848) = 1.0077 +32'h3f24c3ed,32'h3f9c5bed,32'h3fa2bdb7, 32'h3f979294,32'h3fa78710, 32'h3f8f9857,32'h3faf814d,// invsqrt(0.6436) = 1.2465 +32'h41f990dd,32'h3e33abd3,32'h3e3b0134, 32'h3e2e2bc9,32'h3e40813d, 32'h3e250110,32'h3e49abf7,// invsqrt(31.1957) = 0.1790 +32'h3e6cd4fa,32'h40026ae2,32'h4007bd9c, 32'h3ffcd9ab,32'h400bbba9, 32'h3fef8ad8,32'h40126312,// invsqrt(0.2313) = 2.0794 +32'h3f4c4a4d,32'h3f8c6bca,32'h3f92270c, 32'h3f881f59,32'h3f96737d, 32'h3f80f547,32'h3f9d9d8f,// invsqrt(0.7980) = 1.1194 +32'h3f8195ea,32'h3f795724,32'h3f81c240, 32'h3f71b521,32'h3f859341, 32'h3f64fc70,32'h3f8bef9a,// invsqrt(1.0124) = 0.9939 +32'h3f8908a7,32'h3f727833,32'h3f7c5dc3, 32'h3f6b0c08,32'h3f81e4f7, 32'h3f5ead15,32'h3f881470,// invsqrt(1.0706) = 0.9665 +32'h3f6ad6e2,32'h3f82f839,32'h3f8850b9, 32'h3f7debb3,32'h3f8c5319, 32'h3f708e73,32'h3f9301b8,// invsqrt(0.9173) = 1.0441 +32'h3f2432e9,32'h3f9ca0e9,32'h3fa30585, 32'h3f97d574,32'h3fa7d0fa, 32'h3f8fd7b2,32'h3fafcebc,// invsqrt(0.6414) = 1.2486 +32'h402077db,32'h3f1e7056,32'h3f24e7dc, 32'h3f1996b1,32'h3f29c181, 32'h3f11814a,32'h3f31d6e8,// invsqrt(2.5073) = 0.6315 +32'h3f562859,32'h3f8925df,32'h3f8ebeed, 32'h3f84f314,32'h3f92f1b8, 32'h3f7be784,32'h3f99f10a,// invsqrt(0.8366) = 1.0933 +32'h3f1644a2,32'h3fa3ba56,32'h3faa6920, 32'h3f9eb73e,32'h3faf6c38, 32'h3f965cc2,32'h3fb7c6b4,// invsqrt(0.5870) = 1.3052 +32'h3f8e6d71,32'h3f6dd586,32'h3f778aa6, 32'h3f668dae,32'h3f7ed27e, 32'h3f5a6b47,32'h3f857a72,// invsqrt(1.1127) = 0.9480 +32'h3f820b5e,32'h3f78e672,32'h3f818799, 32'h3f7147e1,32'h3f8556e1, 32'h3f6494f0,32'h3f8bb05a,// invsqrt(1.0160) = 0.9921 +32'h3f9a87be,32'h3f6454a8,32'h3f6da67a, 32'h3f5d574a,32'h3f74a3d8, 32'h3f51b102,32'h3f802510,// invsqrt(1.2073) = 0.9101 +32'h3c7e74b5,32'h40fba3db,32'h4102f49e, 32'h40f3efd2,32'h4106cea3, 32'h40e71918,32'h410d3a00,// invsqrt(0.0155) = 8.0242 +32'h3e1a3c88,32'h40219b9e,32'h40283441, 32'h401ca923,32'h402d26bb, 32'h40146a57,32'h40356587,// invsqrt(0.1506) = 2.5767 +32'h3fa53327,32'h3f5cd58b,32'h3f65d909, 32'h3f5612ed,32'h3f6c9ba7, 32'h3f4ace8f,32'h3f77e005,// invsqrt(1.2906) = 0.8802 +32'h3fa9547b,32'h3f5a1fd3,32'h3f630700, 32'h3f537271,32'h3f69b461, 32'h3f485178,32'h3f74d55a,// invsqrt(1.3229) = 0.8694 +32'h3f587bb4,32'h3f8868c7,32'h3f8dfa1d, 32'h3f843bc6,32'h3f92271e, 32'h3f7a8c34,32'h3f991cca,// invsqrt(0.8456) = 1.0874 +32'h3f11b902,32'h3fa64304,32'h3fad0c48, 32'h3fa12c11,32'h3fb2233b, 32'h3f98b07c,32'h3fba9ed0,// invsqrt(0.5692) = 1.3254 +32'h40994dcb,32'h3ee53dfd,32'h3eee9955, 32'h3ede397a,32'h3ef59dd8, 32'h3ed2874b,32'h3f00a803,// invsqrt(4.7907) = 0.4569 +32'h3fbceb64,32'h3f4e8188,32'h3f56ef4f, 32'h3f482f33,32'h3f5d41a5, 32'h3f3da5fb,32'h3f67cadd,// invsqrt(1.4759) = 0.8231 +32'h40bcad74,32'h3ecea36a,32'h3ed71293, 32'h3ec8500c,32'h3edd65f2, 32'h3ebdc519,32'h3ee7f0e5,// invsqrt(5.8962) = 0.4118 +32'h3f98b531,32'h3f65b06b,32'h3f6f106f, 32'h3f5ea868,32'h3f761872, 32'h3f52f062,32'h3f80e83c,// invsqrt(1.1930) = 0.9155 +32'h3fbed9e2,32'h3f4d7553,32'h3f55d827, 32'h3f472b34,32'h3f5c2246, 32'h3f3cafaa,32'h3f669dd0,// invsqrt(1.4910) = 0.8190 +32'h3e4a7e97,32'h400d0ad5,32'h4012cc95, 32'h4008b986,32'h40171de4, 32'h40018756,32'h401e5014,// invsqrt(0.1977) = 2.2488 +32'h3f862bce,32'h3f750ae5,32'h3f7f0b57, 32'h3f6d8a90,32'h3f8345d6, 32'h3f610a01,32'h3f89861d,// invsqrt(1.0482) = 0.9767 +32'h401c3ba6,32'h3f20926a,32'h3f27203a, 32'h3f1ba80e,32'h3f2c0a96, 32'h3f1376ca,32'h3f343bda,// invsqrt(2.4411) = 0.6400 +32'h3fb57a3b,32'h3f52b299,32'h3f5b4c2c, 32'h3f4c3f6a,32'h3f61bf5a, 32'h3f417f72,32'h3f6c7f52,// invsqrt(1.4178) = 0.8398 +32'h3f2b282d,32'h3f99696c,32'h3f9fac6c, 32'h3f94b72d,32'h3fa45eab, 32'h3f8ce36e,32'h3fac326a,// invsqrt(0.6686) = 1.2230 +32'h3d272be5,32'h409b3ad1,32'h40a190cf, 32'h40967a52,32'h40a6514e, 32'h408e8ed5,32'h40ae3ccb,// invsqrt(0.0408) = 4.9499 +32'h3f03c06d,32'h3faedadf,32'h3fb5fded, 32'h3fa98094,32'h3fbb5838, 32'h3fa094c2,32'h3fc4440a,// invsqrt(0.5147) = 1.3939 +32'h3e32d264,32'h40161682,32'h401c36c6, 32'h40117e4f,32'h4020cef9, 32'h4009d5fa,32'h4028774e,// invsqrt(0.1746) = 2.3930 +32'h3f799c14,32'h3f7e1235,32'h3f84387f, 32'h3f764b1f,32'h3f881c0a, 32'h3f6954a4,32'h3f8e9748,// invsqrt(0.9750) = 1.0127 +32'h3d91b027,32'h406b2858,32'h4074c180, 32'h4063f57a,32'h407bf45e, 32'h4057f608,32'h4083f9e8,// invsqrt(0.0711) = 3.7493 +32'h3ee9ce81,32'h3fb9a0ac,32'h3fc1344a, 32'h3fb3f1f5,32'h3fc6e301, 32'h3faa796f,32'h3fd05b87,// invsqrt(0.4567) = 1.4798 +32'h3e35cfb0,32'h4014d951,32'h401aeca3, 32'h40104ad4,32'h401f7b20, 32'h4008b2ae,32'h40271346,// invsqrt(0.1776) = 2.3732 +32'h3fbcfe99,32'h3f4e770a,32'h3f56e463, 32'h3f482506,32'h3f5d3666, 32'h3f3d9c57,32'h3f67bf15,// invsqrt(1.4765) = 0.8230 +32'h3ededf21,32'h3fbe207f,32'h3fc5e321, 32'h3fb84e86,32'h3fcbb51a, 32'h3fae9b3b,32'h3fd56865,// invsqrt(0.4353) = 1.5157 +32'h3e9fb1ee,32'h3fe09ba9,32'h3fe9c695, 32'h3fd9bb77,32'h3ff0a6c7, 32'h3fce45cf,32'h3ffc1c6f,// invsqrt(0.3119) = 1.7906 +32'h3fa60344,32'h3f5c4af5,32'h3f6548cb, 32'h3f558c95,32'h3f6c072b, 32'h3f4a4f4a,32'h3f774476,// invsqrt(1.2970) = 0.8781 +32'h3c750725,32'h410037c0,32'h4105737f, 32'h40f895e3,32'h4109604e, 32'h40eb8086,32'h410feafd,// invsqrt(0.0150) = 8.1772 +32'h401f0a3a,32'h3f1f260d,32'h3f25a4fd, 32'h3f1a46d8,32'h3f2a8432, 32'h3f12282b,32'h3f32a2df,// invsqrt(2.4850) = 0.6344 +32'h3fb48005,32'h3f53446f,32'h3f5be3f7, 32'h3f4cccca,32'h3f625b9c, 32'h3f420561,32'h3f6d2305,// invsqrt(1.4102) = 0.8421 +32'h3f1014f9,32'h3fa734ae,32'h3fae07cf, 32'h3fa21654,32'h3fb32628, 32'h3f998e6b,32'h3fbbae11,// invsqrt(0.5628) = 1.3330 +32'h3f1b2b93,32'h3fa11ef3,32'h3fa7b27f, 32'h3f9c3049,32'h3faca129, 32'h3f93f7da,32'h3fb4d998,// invsqrt(0.6061) = 1.2844 +32'h3dc4a50b,32'h404a68b7,32'h4052abaf, 32'h4044367d,32'h4058dde9, 32'h4039e2c8,32'h4063319f,// invsqrt(0.0960) = 3.2272 +32'h40354f0a,32'h3f150e17,32'h3f1b2390, 32'h3f107dfc,32'h3f1fb3aa, 32'h3f08e324,32'h3f274e82,// invsqrt(2.8329) = 0.5941 +32'h3f9bfd73,32'h3f634281,32'h3f6c8923, 32'h3f5c4d88,32'h3f737e1c, 32'h3f50b53d,32'h3f7f1667,// invsqrt(1.2187) = 0.9059 +32'h405c5539,32'h3f07365c,32'h3f0cbb31, 32'h3f0312bd,32'h3f10ded1, 32'h3ef85966,32'h3f17c4db,// invsqrt(3.4427) = 0.5390 +32'h40584167,32'h3f087b28,32'h3f0e0d3f, 32'h3f044d98,32'h3f123ad0, 32'h3efaadf7,32'h3f19316c,// invsqrt(3.3790) = 0.5440 +32'h3f4e291f,32'h3f8bc859,32'h3f917cef, 32'h3f8780e9,32'h3f95c45f, 32'h3f805f2d,32'h3f9ce61b,// invsqrt(0.8053) = 1.1143 +32'h3e830223,32'h3ff7fb98,32'h40010d62, 32'h3ff06438,32'h4004d912, 32'h3fe3bd43,32'h400b2c8c,// invsqrt(0.2559) = 1.9769 +32'h3f8cbc28,32'h3f6f428b,32'h3f790691, 32'h3f67ef87,32'h3f802ccb, 32'h3f5bba80,32'h3f86474e,// invsqrt(1.0995) = 0.9537 +32'h3f0c2cff,32'h3fa984fb,32'h3fb07049, 32'h3fa45480,32'h3fb5a0c4, 32'h3f9bae5f,32'h3fbe46e5,// invsqrt(0.5476) = 1.3514 +32'h41bd61bf,32'h3e4e40f7,32'h3e56ac1b, 32'h3e47f09c,32'h3e5cfc76, 32'h3e3d6aae,32'h3e678264,// invsqrt(23.6727) = 0.2055 +32'h3f223191,32'h3f9d9804,32'h3fa406b6, 32'h3f98c4ff,32'h3fa8d9bb, 32'h3f90baa0,32'h3fb0e41a,// invsqrt(0.6336) = 1.2563 +32'h3e8b4e3f,32'h3ff07bf9,32'h3ffa4cc9, 32'h3fe91f5c,32'h4000d4b3, 32'h3fdcda57,32'h4006f735,// invsqrt(0.2721) = 1.9171 +32'h408ae6f7,32'h3ef0d550,32'h3efaa9c6, 32'h3ee975f7,32'h3f01048f, 32'h3edd2c64,32'h3f072959,// invsqrt(4.3407) = 0.4800 +32'h3e017c5c,32'h403060d4,32'h403793cc, 32'h402afa99,32'h403cfa07, 32'h4021fae2,32'h4045f9be,// invsqrt(0.1265) = 2.8122 +32'h40260478,32'h3f1bc4b1,32'h3f22204f, 32'h3f16fffa,32'h3f26e506, 32'h3f0f0d73,32'h3f2ed78d,// invsqrt(2.5940) = 0.6209 +32'h4045eeee,32'h3f0ea87c,32'h3f147b1e, 32'h3f0a4a83,32'h3f18d917, 32'h3f030338,32'h3f202062,// invsqrt(3.0927) = 0.5686 +32'h3fa2a398,32'h3f5e90df,32'h3f67a675, 32'h3f57c0ae,32'h3f6e76a6, 32'h3f4c65b3,32'h3f79d1a1,// invsqrt(1.2706) = 0.8871 +32'h4018e87c,32'h3f224eed,32'h3f28eee1, 32'h3f1d56f5,32'h3f2de6d9, 32'h3f150f03,32'h3f362ecb,// invsqrt(2.3892) = 0.6470 +32'h400980e0,32'h3f2b28a8,32'h3f322518, 32'h3f25eb55,32'h3f37626b, 32'h3f1d2fca,32'h3f401df6,// invsqrt(2.1485) = 0.6822 +32'h3e58ab60,32'h400859c4,32'h400dea7e, 32'h40042d39,32'h40121709, 32'h3ffa70a2,32'h40190bf1,// invsqrt(0.2116) = 2.1740 +32'h3fb855b0,32'h3f510eee,32'h3f599760, 32'h3f4aa898,32'h3f5ffdb6, 32'h3f3ffe0a,32'h3f6aa845,// invsqrt(1.4401) = 0.8333 +32'h40879b18,32'h3ef3be2a,32'h3efdb107, 32'h3eec4804,32'h3f029396, 32'h3edfd86f,32'h3f08cb60,// invsqrt(4.2377) = 0.4858 +32'h3f5f0c07,32'h3f86631e,32'h3f8bdf54, 32'h3f8245f6,32'h3f8ffc7c, 32'h3f76d567,32'h3f96d7bf,// invsqrt(0.8713) = 1.0713 +32'h3fa26c09,32'h3f5eb6ec,32'h3f67ce10, 32'h3f57e591,32'h3f6e9f6b, 32'h3f4c88a5,32'h3f79fc57,// invsqrt(1.2689) = 0.8877 +32'h4071bda1,32'h3f01162a,32'h3f065afd, 32'h3efa4519,32'h3f0a4e9b, 32'h3eed190a,32'h3f10e4a3,// invsqrt(3.7772) = 0.5145 +32'h400ae56c,32'h3f2a4c6c,32'h3f313fde, 32'h3f2515d6,32'h3f367674, 32'h3f1c6588,32'h3f3f26c2,// invsqrt(2.1703) = 0.6788 +32'h3f85187f,32'h3f7607d2,32'h3f80094b, 32'h3f6e7fbe,32'h3f83cd55, 32'h3f61f248,32'h3f8a1410,// invsqrt(1.0398) = 0.9807 +32'h41bf706a,32'h3e4d247c,32'h3e558404, 32'h3e46dcd6,32'h3e5bcbaa, 32'h3e3c656d,32'h3e664313,// invsqrt(23.9299) = 0.2044 +32'h3f3b1ea3,32'h3f92b8ed,32'h3f98b606, 32'h3f8e3b19,32'h3f9d33d9, 32'h3f86beba,32'h3fa4b038,// invsqrt(0.7309) = 1.1697 +32'h3f98922a,32'h3f65cac7,32'h3f6f2bdf, 32'h3f5ec1f5,32'h3f7634b1, 32'h3f530898,32'h3f80f707,// invsqrt(1.1920) = 0.9159 +32'h3f7151ff,32'h3f8132f1,32'h3f8678f0, 32'h3f7a7ce2,32'h3f8a6d6f, 32'h3f6d4de3,32'h3f9104ee,// invsqrt(0.9427) = 1.0300 +32'h3f896304,32'h3f722869,32'h3f7c0ab7, 32'h3f6abeaf,32'h3f81ba38, 32'h3f5e63ce,32'h3f87e7a9,// invsqrt(1.0733) = 0.9652 +32'h40854db3,32'h3ef5d6b4,32'h3effdf78, 32'h3eee5022,32'h3f03b305, 32'h3ee1c52d,32'h3f09f87f,// invsqrt(4.1657) = 0.4900 +32'h3ea4f8bd,32'h3fdcfca1,32'h3fe601b7, 32'h3fd638d0,32'h3fecc588, 32'h3fcaf274,32'h3ff80be4,// invsqrt(0.3222) = 1.7617 +32'h3eb2b67a,32'h3fd45235,32'h3fdcfcbf, 32'h3fcdd24e,32'h3fe37ca6, 32'h3fc2fd21,32'h3fee51d3,// invsqrt(0.3490) = 1.6926 +32'h3c06f263,32'h412cc5c5,32'h4133d311, 32'h41277bcc,32'h41391d0a, 32'h411eab2d,32'h4141eda9,// invsqrt(0.0082) = 11.0187 +32'h3e9d414f,32'h3fe25805,32'h3feb9514, 32'h3fdb6a38,32'h3ff282e0, 32'h3fcfdde4,32'h3ffe0f34,// invsqrt(0.3071) = 1.8044 +32'h3f836977,32'h3f779a07,32'h3f80da9b, 32'h3f7005a3,32'h3f84a4cd, 32'h3f6363a8,32'h3f8af5ca,// invsqrt(1.0267) = 0.9869 +32'h3ff6db0e,32'h3f34a7a0,32'h3f3c0748, 32'h3f2f1fe1,32'h3f418f07, 32'h3f25e84f,32'h3f4ac699,// invsqrt(1.9286) = 0.7201 +32'h3ef30145,32'h3fb6149c,32'h3fbd832a, 32'h3fb081b1,32'h3fc31615, 32'h3fa73780,32'h3fcc6047,// invsqrt(0.4746) = 1.4515 +32'h3e5d8351,32'h4006da0a,32'h400c5b1a, 32'h4002b93e,32'h40107be6, 32'h3ff7afd4,32'h40175d3a,// invsqrt(0.2163) = 2.1501 +32'h3f4314f8,32'h3f8fb26b,32'h3f958fe7, 32'h3f8b4c4d,32'h3f99f605, 32'h3f83f772,32'h3fa14ae0,// invsqrt(0.7620) = 1.1455 +32'h3f58081e,32'h3f888d40,32'h3f8e2013, 32'h3f845f21,32'h3f924e31, 32'h3f7acf30,32'h3f9945ba,// invsqrt(0.8439) = 1.0886 +32'h3fb9280b,32'h3f50980b,32'h3f591ba3, 32'h3f4a3559,32'h3f5f7e55, 32'h3f3f90db,32'h3f6a22d3,// invsqrt(1.4465) = 0.8314 +32'h3f8bca0b,32'h3f701165,32'h3f79dddc, 32'h3f68b80b,32'h3f809b9a, 32'h3f5c7876,32'h3f86bb65,// invsqrt(1.0921) = 0.9569 +32'h3f331b73,32'h3f95f7e3,32'h3f9c16e7, 32'h3f9160a0,32'h3fa0ae2a, 32'h3f89b9db,32'h3fa854ef,// invsqrt(0.6996) = 1.1955 +32'h3e9f01cc,32'h3fe117ed,32'h3fea47ed, 32'h3fda33ee,32'h3ff12bec, 32'h3fceb7ef,32'h3ffca7eb,// invsqrt(0.3106) = 1.7944 +32'h3f66ceec,32'h3f841bbe,32'h3f898024, 32'h3f801072,32'h3f8d8b70, 32'h3f72a5e5,32'h3f9448f0,// invsqrt(0.9016) = 1.0532 +32'h41e5e14b,32'h3e3b34ce,32'h3e42d8ec, 32'h3e3579b8,32'h3e489402, 32'h3e2bec94,32'h3e522126,// invsqrt(28.7350) = 0.1865 +32'h3e219586,32'h401de40a,32'h402455d6, 32'h40190eb1,32'h40292b2f, 32'h40110072,32'h4031396e,// invsqrt(0.1578) = 2.5174 +32'h405e1b73,32'h3f06abd3,32'h3f0c2b00, 32'h3f028c71,32'h3f104a61, 32'h3ef75af0,32'h3f17295a,// invsqrt(3.4704) = 0.5368 +32'h3f807648,32'h3f7a6dad,32'h3f825333, 32'h3f72c323,32'h3f862878, 32'h3f65fc3c,32'h3f8c8bec,// invsqrt(1.0036) = 0.9982 +32'h3f76c625,32'h3f7f86d7,32'h3f84fa6b, 32'h3f77b458,32'h3f88e3aa, 32'h3f6aaada,32'h3f8f6869,// invsqrt(0.9640) = 1.0185 +32'h3fb53548,32'h3f52daab,32'h3f5b75e1, 32'h3f4c6642,32'h3f61ea4a, 32'h3f41a43f,32'h3f6cac4d,// invsqrt(1.4157) = 0.8405 +32'h3dca8ca9,32'h40476fd8,32'h404f93c2, 32'h404154e9,32'h4055aeb1, 32'h40372805,32'h405fdb95,// invsqrt(0.0989) = 3.1798 +32'h40fdcae9,32'h3eb22b33,32'h3eb970e1, 32'h3eacb6f0,32'h3ebee524, 32'h3ea39fd6,32'h3ec7fc3e,// invsqrt(7.9310) = 0.3551 +32'h3ecdaddb,32'h3fc5e9ea,32'h3fcdfdea, 32'h3fbfdaeb,32'h3fd40ce9, 32'h3fb5c1ec,32'h3fde25e8,// invsqrt(0.4017) = 1.5778 +32'h3f921466,32'h3f6ad79a,32'h3f746d76, 32'h3f63a734,32'h3f7b9ddc, 32'h3f57abe1,32'h3f83cc98,// invsqrt(1.1412) = 0.9361 +32'h3e8e1931,32'h3fee1bfe,32'h3ff7d3fd, 32'h3fe6d1fd,32'h3fff1dfd, 32'h3fdaabfd,32'h4005a1fe,// invsqrt(0.2775) = 1.8982 +32'h3ce493e4,32'h40bbbd24,32'h40c366d2, 32'h40b5fde2,32'h40c92614, 32'h40ac69c8,32'h40d2ba2e,// invsqrt(0.0279) = 5.9866 +32'h3e8c24db,32'h3fefc390,32'h3ff98cda, 32'h3fe86c99,32'h400071e9, 32'h3fdc30fc,32'h40068fb7,// invsqrt(0.2737) = 1.9114 +32'h405c8b0c,32'h3f0725dc,32'h3f0caa04, 32'h3f0302be,32'h3f10cd22, 32'h3ef83b16,32'h3f17b255,// invsqrt(3.4460) = 0.5387 +32'h3f8dc985,32'h3f6e5eda,32'h3f781994, 32'h3f6712ce,32'h3f7f65a0, 32'h3f5ae965,32'h3f85c785,// invsqrt(1.1077) = 0.9501 +32'h40ebedfa,32'h3eb8ca63,32'h3ec05543, 32'h3eb3223c,32'h3ec5fd6a, 32'h3ea9b4a4,32'h3ecf6b02,// invsqrt(7.3728) = 0.3683 +32'h4132384a,32'h3e965758,32'h3e9c7a41, 32'h3e91bd28,32'h3ea11470, 32'h3e8a1184,32'h3ea8c014,// invsqrt(11.1387) = 0.2996 +32'h3f263a4d,32'h3f9bab76,32'h3fa2060e, 32'h3f96e785,32'h3fa6c9ff, 32'h3f8ef648,32'h3faebb3c,// invsqrt(0.6493) = 1.2410 +32'h3e51c5ce,32'h400a92ee,32'h40103ae2, 32'h400654f6,32'h401478da, 32'h3ffe8608,32'h401b8acc,// invsqrt(0.2049) = 2.2094 +32'h3fd0c3c8,32'h3f447216,32'h3f4c76be, 32'h3f3e6e98,32'h3f527a3c, 32'h3f3468c6,32'h3f5c800e,// invsqrt(1.6310) = 0.7830 +32'h3f3cf222,32'h3f9202f8,32'h3f97f8a5, 32'h3f8d8ab8,32'h3f9c70e6, 32'h3f8617a1,32'h3fa3e3fd,// invsqrt(0.7381) = 1.1640 +32'h404543d0,32'h3f0ee64f,32'h3f14bb77, 32'h3f0a8671,32'h3f191b55, 32'h3f033c00,32'h3f2065c6,// invsqrt(3.0823) = 0.5696 +32'h3da6d6b7,32'h405bbf2f,32'h4064b751, 32'h40550516,32'h406b716a, 32'h4049ceed,32'h4076a793,// invsqrt(0.0815) = 3.5036 +32'h3f8cb8e6,32'h3f6f4550,32'h3f790973, 32'h3f67f237,32'h3f802e47, 32'h3f5bbd0b,32'h3f8648dc,// invsqrt(1.0994) = 0.9537 +32'h40800c93,32'h3efad4f6,32'h3f0288f3, 32'h3ef32742,32'h3f065fcd, 32'h3ee65b16,32'h3f0cc5e3,// invsqrt(4.0015) = 0.4999 +32'h3f48c3b9,32'h3f8da610,32'h3f936e25, 32'h3f894fff,32'h3f97c435, 32'h3f8215e4,32'h3f9efe50,// invsqrt(0.7842) = 1.1292 +32'h3f101a73,32'h3fa73180,32'h3fae0480, 32'h3fa21340,32'h3fb322c0, 32'h3f998b80,32'h3fbbaa80,// invsqrt(0.5629) = 1.3329 +32'h410f1dbe,32'h3ea7c4dc,32'h3eae9de0, 32'h3ea2a219,32'h3eb3c0a3, 32'h3e9a12d5,32'h3ebc4fe7,// invsqrt(8.9448) = 0.3344 +32'h3edfb459,32'h3fbdc5ce,32'h3fc584bc, 32'h3fb7f69b,32'h3fcb53ef, 32'h3fae47f1,32'h3fd50299,// invsqrt(0.4369) = 1.5129 +32'h40597a2b,32'h3f0818e1,32'h3f0da6f5, 32'h3f03ee52,32'h3f11d184, 32'h3ef9f974,32'h3f18c31c,// invsqrt(3.3981) = 0.5425 +32'h3e1b7284,32'h4020fa2b,32'h40278c37, 32'h401c0ca2,32'h402c79c0, 32'h4013d612,32'h4034b050,// invsqrt(0.1518) = 2.5666 +32'h3f90266b,32'h3f6c68a6,32'h3f760ee0, 32'h3f652bf9,32'h3f7d4b8d, 32'h3f591c30,32'h3f84adab,// invsqrt(1.1262) = 0.9423 +32'h3f86ec43,32'h3f745be2,32'h3f7e5530, 32'h3f6ce0e9,32'h3f82e815, 32'h3f606948,32'h3f8923e5,// invsqrt(1.0541) = 0.9740 +32'h3e383789,32'h4013dfb2,32'h4019e8d3, 32'h400f58d8,32'h401e6fac, 32'h4007cd6f,32'h4025fb15,// invsqrt(0.1799) = 2.3577 +32'h3f7b077d,32'h3f7d5a0a,32'h3f83d8a7, 32'h3f759897,32'h3f87b961, 32'h3f68ab81,32'h3f8e2feb,// invsqrt(0.9806) = 1.0099 +32'h3dc02002,32'h404cc6a8,32'h4055225b, 32'h404681e1,32'h405b6721, 32'h403c0f41,32'h4065d9c1,// invsqrt(0.0938) = 3.2649 +32'h40c688e6,32'h3ec9717a,32'h3ed1aa5a, 32'h3ec346d2,32'h3ed7d502, 32'h3eb8ffb9,32'h3ee21c1b,// invsqrt(6.2042) = 0.4015 +32'h3f9655fe,32'h3f677e74,32'h3f70f154, 32'h3f60684c,32'h3f78077c, 32'h3f5498b4,32'h3f81eb8a,// invsqrt(1.1745) = 0.9227 +32'h3f913809,32'h3f6b8985,32'h3f7526a4, 32'h3f6453ad,32'h3f7c5c7b, 32'h3f584f45,32'h3f843071,// invsqrt(1.1345) = 0.9388 +32'h40060d5f,32'h3f2d591b,32'h3f346c6b, 32'h3f280aa0,32'h3f39bae6, 32'h3f1f327c,32'h3f42930a,// invsqrt(2.0946) = 0.6910 +32'h3f73dece,32'h3f808590,32'h3f85c47c, 32'h3f792cbf,32'h3f89b3ad, 32'h3f6c0f71,32'h3f904253,// invsqrt(0.9526) = 1.0246 +32'h3f997f91,32'h3f6518cf,32'h3f6e72a3, 32'h3f5e1570,32'h3f757602, 32'h3f526526,32'h3f809326,// invsqrt(1.1992) = 0.9132 +32'h3e8f759b,32'h3fecfa28,32'h3ff6a654, 32'h3fe5b908,32'h3ffde774, 32'h3fd9a1d1,32'h4004ff55,// invsqrt(0.2802) = 1.8892 +32'h3dc510be,32'h404a3160,32'h40527216, 32'h404400d8,32'h4058a29e, 32'h4039aff5,32'h4062f381,// invsqrt(0.0962) = 3.2237 +32'h3f1020f9,32'h3fa72db8,32'h3fae0090, 32'h3fa20f95,32'h3fb31eb3, 32'h3f998807,32'h3fbba641,// invsqrt(0.5630) = 1.3327 +32'h3bda534c,32'h414018a5,32'h4147efdb, 32'h413a373d,32'h414dd143, 32'h41306a3a,32'h41579e46,// invsqrt(0.0067) = 12.2510 +32'h3f612b1b,32'h3f85c0ac,32'h3f8b3640, 32'h3f81a87d,32'h3f8f4e6f, 32'h3f75ab08,32'h3f962168,// invsqrt(0.8796) = 1.0663 +32'h40166a4b,32'h3f23a5d6,32'h3f2a53ca, 32'h3f1ea35f,32'h3f2f5641, 32'h3f1649ee,32'h3f37afb2,// invsqrt(2.3502) = 0.6523 +32'h3fbc1773,32'h3f4ef5c0,32'h3f576845, 32'h3f489fdc,32'h3f5dbe28, 32'h3f3e10b5,32'h3f684d4f,// invsqrt(1.4695) = 0.8249 +32'h3f93e36c,32'h3f6966d6,32'h3f72eda6, 32'h3f6241bb,32'h3f7a12c1, 32'h3f565938,32'h3f82fda2,// invsqrt(1.1554) = 0.9303 +32'h3eec75b3,32'h3fb89553,32'h3fc01e09, 32'h3fb2eecc,32'h3fc5c490, 32'h3fa983e9,32'h3fcf2f73,// invsqrt(0.4618) = 1.4715 +32'h3f14cec6,32'h3fa48782,32'h3fab3eac, 32'h3f9f7e23,32'h3fb0480b, 32'h3f97192e,32'h3fb8ad00,// invsqrt(0.5813) = 1.3116 +32'h3f0df1ac,32'h3fa875d3,32'h3faf5610, 32'h3fa34da6,32'h3fb47e3e, 32'h3f9ab55a,32'h3fbd168a,// invsqrt(0.5545) = 1.3430 +32'h4059e703,32'h3f07f6df,32'h3f0d838f, 32'h3f03cd5b,32'h3f11ad13, 32'h3ef9bafd,32'h3f189cf0,// invsqrt(3.4047) = 0.5419 +32'h40264e3c,32'h3f1ba221,32'h3f21fc57, 32'h3f16de79,32'h3f26bfff, 32'h3f0eedb6,32'h3f2eb0c2,// invsqrt(2.5985) = 0.6203 +32'h404cd097,32'h3f0c3dba,32'h3f11f71a, 32'h3f07f2b2,32'h3f164222, 32'h3f00caf9,32'h3f1d69db,// invsqrt(3.2002) = 0.5590 +32'h3e4f011b,32'h400b7f5a,32'h401130f6, 32'h40073a26,32'h4015762a, 32'h40001c24,32'h401c942c,// invsqrt(0.2022) = 2.2241 +32'h3f1640a8,32'h3fa3bc81,32'h3faa6b61, 32'h3f9eb958,32'h3faf6e8a, 32'h3f965ebf,32'h3fb7c923,// invsqrt(0.5869) = 1.3053 +32'h3f3ca3b2,32'h3f922151,32'h3f98183a, 32'h3f8da822,32'h3f9c9168, 32'h3f86337e,32'h3fa4060c,// invsqrt(0.7369) = 1.1649 +32'h4021ee41,32'h3f1db8c2,32'h3f2428ca, 32'h3f18e4bc,32'h3f28fcd0, 32'h3f10d8b2,32'h3f3108da,// invsqrt(2.5302) = 0.6287 +32'h401d36fb,32'h3f2011dd,32'h3f269a6e, 32'h3f1b2b71,32'h3f2b80db, 32'h3f1300bc,32'h3f33ab90,// invsqrt(2.4565) = 0.6380 +32'h3f6d2e50,32'h3f825250,32'h3f87a40a, 32'h3f7caa09,32'h3f8ba156, 32'h3f6f5db7,32'h3f92477e,// invsqrt(0.9265) = 1.0389 +32'h402ff457,32'h3f174e50,32'h3f1d7b4e, 32'h3f12ac91,32'h3f221d0d, 32'h3f0af454,32'h3f29d54a,// invsqrt(2.7493) = 0.6031 +32'h403998dc,32'h3f1352ad,32'h3f19560d, 32'h3f0ed025,32'h3f1dd895, 32'h3f074bed,32'h3f255ccd,// invsqrt(2.9000) = 0.5872 +32'h3f7fdde9,32'h3f7af1fe,32'h3f82980f, 32'h3f734367,32'h3f866f5b, 32'h3f6675c0,32'h3f8cd62e,// invsqrt(0.9995) = 1.0003 +32'h3f2d4133,32'h3f987af0,32'h3f9eb434, 32'h3f93cffe,32'h3fa35f26, 32'h3f8c086a,32'h3fab26ba,// invsqrt(0.6768) = 1.2156 +32'h3e937f01,32'h3fe9b63c,32'h3ff34049, 32'h3fe28eb3,32'h3ffa67d3, 32'h3fd6a223,32'h40032a32,// invsqrt(0.2881) = 1.8631 +32'h3d19a6a4,32'h40a1ea5e,32'h40a88638, 32'h409cf57a,32'h40ad7b1c, 32'h4094b2aa,32'h40b5bdec,// invsqrt(0.0375) = 5.1631 +32'h3ea2db7e,32'h3fde6aa9,32'h3fe77eb0, 32'h3fd79ba4,32'h3fee4db6, 32'h3fcc429c,32'h3ff9a6be,// invsqrt(0.3181) = 1.7731 +32'h3f639ef5,32'h3f8507b5,32'h3f8a75bd, 32'h3f80f530,32'h3f8e8842, 32'h3f74574d,32'h3f9551cc,// invsqrt(0.8891) = 1.0605 +32'h3f503550,32'h3f8b17f4,32'h3f90c557, 32'h3f86d5ea,32'h3f950762, 32'h3f7f7a5e,32'h3f9c201d,// invsqrt(0.8133) = 1.1088 +32'h3f6c8c65,32'h3f827ee2,32'h3f87d26e, 32'h3f7d0072,32'h3f8bd117, 32'h3f6faf95,32'h3f927986,// invsqrt(0.9240) = 1.0403 +32'h419f5c5c,32'h3e60d7ee,32'h3e6a0551, 32'h3e59f5e5,32'h3e70e75b, 32'h3e4e7d2a,32'h3e7c6016,// invsqrt(19.9201) = 0.2241 +32'h4006124a,32'h3f2d55ed,32'h3f34691b, 32'h3f28078a,32'h3f39b77e, 32'h3f1f2f90,32'h3f428f78,// invsqrt(2.0949) = 0.6909 +32'h3e9148c8,32'h3feb7bf1,32'h3ff51883, 32'h3fe44684,32'h3ffc4df0, 32'h3fd842ce,32'h400428d3,// invsqrt(0.2838) = 1.8773 +32'h3eb4d7bd,32'h3fd3112c,32'h3fdbae9c, 32'h3fcc9b19,32'h3fe224af, 32'h3fc1d64d,32'h3fece97b,// invsqrt(0.3532) = 1.6826 +32'h44463600,32'h3d0e8ee7,32'h3d14607d, 32'h3d0a31b6,32'h3d18bdae, 32'h3d02ebba,32'h3d2003aa,// invsqrt(792.8438) = 0.0355 +32'h3fa6ea61,32'h3f5bb23d,32'h3f64a9d7, 32'h3f54f88a,32'h3f6b638a, 32'h3f49c309,32'h3f76990b,// invsqrt(1.3040) = 0.8757 +32'h40dadcad,32'h3ebfdc51,32'h3ec7b111, 32'h3eb9fcc2,32'h3ecd90a0, 32'h3eb032d2,32'h3ed75a90,// invsqrt(6.8394) = 0.3824 +32'h417e2a55,32'h3e7bc8a9,32'h3e8307c6, 32'h3e74137f,32'h3e86e25a, 32'h3e673ae4,32'h3e8d4ea8,// invsqrt(15.8853) = 0.2509 +32'h3e89351b,32'h3ff250e9,32'h3ffc34dd, 32'h3feae5f1,32'h4001cfea, 32'h3fde8900,32'h4007fe63,// invsqrt(0.2680) = 1.9317 +32'h3e3c6c24,32'h401236da,32'h40182ea4, 32'h400dbd02,32'h401ca87c, 32'h40064746,32'h40241e38,// invsqrt(0.1840) = 2.3312 +32'h41091dff,32'h3eab6654,32'h3eb26548, 32'h3ea6271d,32'h3eb7a47f, 32'h3e9d686d,32'h3ec0632f,// invsqrt(8.5698) = 0.3416 +32'h3fb717e8,32'h3f51c40c,32'h3f5a53e2, 32'h3f4b582b,32'h3f60bfc3, 32'h3f40a45e,32'h3f6b7390,// invsqrt(1.4304) = 0.8361 +32'h3f89138d,32'h3f726e90,32'h3f7c53ba, 32'h3f6b02b0,32'h3f81dfcd, 32'h3f5ea43b,32'h3f880f08,// invsqrt(1.0709) = 0.9663 +32'h3f23a04c,32'h3f9ce705,32'h3fa34e7e, 32'h3f98196c,32'h3fa81c18, 32'h3f901815,32'h3fb01d6f,// invsqrt(0.6392) = 1.2508 +32'h3f4e729e,32'h3f8baf76,32'h3f916308, 32'h3f8768c9,32'h3f95a9b5, 32'h3f804852,32'h3f9cca2c,// invsqrt(0.8064) = 1.1136 +32'h3e96bc1e,32'h3fe72ffb,32'h3ff09fa7, 32'h3fe01c3a,32'h3ff7b368, 32'h3fd450a2,32'h4001bf80,// invsqrt(0.2944) = 1.8430 +32'h402a2707,32'h3f19dd2d,32'h3f2024e5, 32'h3f152762,32'h3f24dab0, 32'h3f0d4dbb,32'h3f2cb457,// invsqrt(2.6586) = 0.6133 +32'h3e6f2bbb,32'h4001c73c,32'h40071348, 32'h3ffb9c64,32'h400b0c52, 32'h3fee5e44,32'h4011ab62,// invsqrt(0.2336) = 2.0692 +32'h3ee302e1,32'h3fbc62ac,32'h3fc4131c, 32'h3fb69e59,32'h3fc9d76f, 32'h3fad01cd,32'h3fd373fb,// invsqrt(0.4434) = 1.5018 +32'h4026cef7,32'h3f1b6608,32'h3f21bdca, 32'h3f16a437,32'h3f267f9b, 32'h3f0eb685,32'h3f2e6d4d,// invsqrt(2.6064) = 0.6194 +32'h401e705d,32'h3f1f7341,32'h3f25f559, 32'h3f1a91b0,32'h3f2ad6ea, 32'h3f126f12,32'h3f32f988,// invsqrt(2.4756) = 0.6356 +32'h3f22f426,32'h3f9d39d0,32'h3fa3a4aa, 32'h3f9869ad,32'h3fa874cd, 32'h3f90641d,32'h3fb07a5d,// invsqrt(0.6365) = 1.2534 +32'h3eaa24a0,32'h3fd99a3e,32'h3fe27bf8, 32'h3fd2f0f3,32'h3fe92543, 32'h3fc7d6cc,32'h3ff43f6b,// invsqrt(0.3323) = 1.7347 +32'h3fb14666,32'h3f552e2f,32'h3f5de1b3, 32'h3f4ea78b,32'h3f646857, 32'h3f43c726,32'h3f6f48bc,// invsqrt(1.3850) = 0.8497 +32'h3d824011,32'h4078b412,32'h40816d62, 32'h4071170c,32'h40853be5, 32'h406466ad,32'h408b9414,// invsqrt(0.0636) = 3.9653 +32'h3f60c2b7,32'h3f85dfb8,32'h3f8b5690, 32'h3f81c695,32'h3f8f6fb3, 32'h3f75e40e,32'h3f964441,// invsqrt(0.8780) = 1.0672 +32'h3f3bdf99,32'h3f926d80,32'h3f986786, 32'h3f8df1fc,32'h3f9ce30a, 32'h3f867976,32'h3fa45b90,// invsqrt(0.7339) = 1.1673 +32'h3dbaae87,32'h404fbd70,32'h4058381c, 32'h4049616f,32'h405e941d, 32'h403ec819,32'h40692d73,// invsqrt(0.0912) = 3.3122 +32'h3e41ac5e,32'h401037f3,32'h40161ae3, 32'h400bcdbf,32'h401a8517, 32'h40047214,32'h4021e0c2,// invsqrt(0.1891) = 2.2994 +32'h3ff1b7bb,32'h3f369090,32'h3f3e042e, 32'h3f30f9da,32'h3f439ae4, 32'h3f27a956,32'h3f4ceb69,// invsqrt(1.8884) = 0.7277 +32'h4045bdd1,32'h3f0eba32,32'h3f148d8d, 32'h3f0a5bae,32'h3f18ec12, 32'h3f03137d,32'h3f203443,// invsqrt(3.0897) = 0.5689 +32'h3f933724,32'h3f69ef40,32'h3f737ba1, 32'h3f62c5f8,32'h3f7aa4ea, 32'h3f56d67f,32'h3f834a31,// invsqrt(1.1501) = 0.9325 +32'h4003a4f0,32'h3f2eed20,32'h3f3610ed, 32'h3f299246,32'h3f3b6bc6, 32'h3f20a585,32'h3f445887,// invsqrt(2.0569) = 0.6973 +32'h3f315d43,32'h3f96b40f,32'h3f9cdac1, 32'h3f921709,32'h3fa177c7, 32'h3f8a66aa,32'h3fa92826,// invsqrt(0.6928) = 1.2014 +32'h3eab8512,32'h3fd8ba39,32'h3fe192cd, 32'h3fd217c9,32'h3fe8353d, 32'h3fc70910,32'h3ff343f7,// invsqrt(0.3350) = 1.7277 +32'h3f7d81c9,32'h3f7c1c4f,32'h3f83334e, 32'h3f746496,32'h3f870f2b, 32'h3f6787b7,32'h3f8d7d9a,// invsqrt(0.9903) = 1.0049 +32'h3f8c08d2,32'h3f6fdb8f,32'h3f79a5d3, 32'h3f6883db,32'h3f807ec3, 32'h3f5c4706,32'h3f869d2e,// invsqrt(1.0940) = 0.9561 +32'h3d025731,32'h40afcc86,32'h40b6f971, 32'h40aa6ad5,32'h40bc5b21, 32'h40a172af,32'h40c55347,// invsqrt(0.0318) = 5.6058 +32'h3ed80dca,32'h3fc11a7b,32'h3fc8fc37, 32'h3fbb312e,32'h3fcee584, 32'h3fb15703,32'h3fd8bfaf,// invsqrt(0.4220) = 1.5394 +32'h3f077174,32'h3fac74a6,32'h3fb37ea3, 32'h3fa72d2a,32'h3fb8c620, 32'h3f9e60ae,32'h3fc1929c,// invsqrt(0.5291) = 1.3748 +32'h3f0f622e,32'h3fa79ccd,32'h3fae742f, 32'h3fa27b44,32'h3fb395b8, 32'h3f99ee0b,32'h3fbc22f1,// invsqrt(0.5601) = 1.3362 +32'h3f1b2d15,32'h3fa11e2a,32'h3fa7b1ae, 32'h3f9c2f87,32'h3faca051, 32'h3f93f721,32'h3fb4d8b7,// invsqrt(0.6062) = 1.2844 +32'h3f990272,32'h3f657668,32'h3f6ed40e, 32'h3f5e702b,32'h3f75da4b, 32'h3f52bb1c,32'h3f80c7ad,// invsqrt(1.1954) = 0.9146 +32'h3f46ab44,32'h3f8e64ce,32'h3f9434ac, 32'h3f8a08e7,32'h3f989093, 32'h3f82c510,32'h3f9fd46a,// invsqrt(0.7761) = 1.1352 +32'h3dbdcff6,32'h404e050c,32'h40566dbf, 32'h4047b687,32'h405cbc45, 32'h403d33a9,32'h40673f23,// invsqrt(0.0927) = 3.2848 +32'h4047ac1b,32'h3f0e091b,32'h3f13d53c, 32'h3f09b003,32'h3f182e55, 32'h3f0270db,32'h3f1f6d7d,// invsqrt(3.1199) = 0.5661 +32'h3f4fc3b2,32'h3f8b3df7,32'h3f90ece7, 32'h3f86fac3,32'h3f95301b, 32'h3f7fc02e,32'h3f9c4ac7,// invsqrt(0.8116) = 1.1100 +32'h3e295e20,32'h401a3853,32'h402083c5, 32'h40157fbf,32'h40253c59, 32'h400da171,32'h402d1aa7,// invsqrt(0.1654) = 2.4589 +32'h3f6e4aa8,32'h3f820477,32'h3f875304, 32'h3f7c131c,32'h3f8b4dee, 32'h3f6ecebc,32'h3f91f01e,// invsqrt(0.9308) = 1.0365 +32'h3f82b931,32'h3f7840bf,32'h3f81315e, 32'h3f70a741,32'h3f84fe1d, 32'h3f63fcc5,32'h3f8b535c,// invsqrt(1.0213) = 0.9895 +32'h4229b11f,32'h3e1a1298,32'h3e205c7e, 32'h3e155b2a,32'h3e2513ec, 32'h3e0d7eca,32'h3e2cf04c,// invsqrt(42.4230) = 0.1535 +32'h3d94468d,32'h406918c4,32'h40729c64, 32'h4061f60d,32'h4079bf1b, 32'h40561185,32'h4082d1d1,// invsqrt(0.0724) = 3.7165 +32'h3ff483d4,32'h3f358476,32'h3f3ced22, 32'h3f2ff5f5,32'h3f427ba3, 32'h3f26b31e,32'h3f4bbe7a,// invsqrt(1.9103) = 0.7235 +32'h3d055c53,32'h40adcc06,32'h40b4e406, 32'h40a87a06,32'h40ba3606, 32'h409f9c05,32'h40c31407,// invsqrt(0.0326) = 5.5420 +32'h40114ba9,32'h3f268189,32'h3f2d4d5b, 32'h3f2168ac,32'h3f326638, 32'h3f18e9e7,32'h3f3ae4fd,// invsqrt(2.2702) = 0.6637 +32'h3f65eccb,32'h3f845ca5,32'h3f89c3b1, 32'h3f804f5c,32'h3f8dd0fa, 32'h3f731d1a,32'h3f9491c9,// invsqrt(0.8981) = 1.0552 +32'h3f6cb89e,32'h3f8272b1,32'h3f87c5be, 32'h3f7ce8d1,32'h3f8bc408, 32'h3f6f9932,32'h3f926bd7,// invsqrt(0.9247) = 1.0399 +32'h3f8334a1,32'h3f77cbdc,32'h3f80f48a, 32'h3f7035f2,32'h3f84bf7f, 32'h3f63916c,32'h3f8b11c2,// invsqrt(1.0250) = 0.9877 +32'h3f1e8f30,32'h3f9f63c0,32'h3fa5e536, 32'h3f9a82a8,32'h3faac64e, 32'h3f9260d5,32'h3fb2e821,// invsqrt(0.6194) = 1.2706 +32'h401e6879,32'h3f1f7739,32'h3f25f97b, 32'h3f1a9589,32'h3f2adb2b, 32'h3f1272b7,32'h3f32fdfd,// invsqrt(2.4751) = 0.6356 +32'h3ede8198,32'h3fbe4871,32'h3fc60cb5, 32'h3fb8753f,32'h3fcbdfe7, 32'h3faebfeb,32'h3fd5953b,// invsqrt(0.4346) = 1.5169 +32'h410548d2,32'h3eadd8bd,32'h3eb4f142, 32'h3ea88659,32'h3eba43a5, 32'h3e9fa7b2,32'h3ec3224c,// invsqrt(8.3303) = 0.3465 +32'h3e55927c,32'h400955f5,32'h400ef0f9, 32'h400521b1,32'h4013253d, 32'h3ffc3fd6,32'h401a2703,// invsqrt(0.2086) = 2.1897 +32'h40b7ae6b,32'h3ed16e09,32'h3ed9fa5d, 32'h3ecb04ca,32'h3ee0639c, 32'h3ec05561,32'h3eeb1305,// invsqrt(5.7400) = 0.4174 +32'h3ec9d2ed,32'h3fc7cb88,32'h3fcff32f, 32'h3fc1adca,32'h3fd610ec, 32'h3fb77c38,32'h3fe0427e,// invsqrt(0.3942) = 1.5928 +32'h3f2b2bd9,32'h3f9967c7,32'h3f9faab5, 32'h3f94b594,32'h3fa45ce8, 32'h3f8ce1eb,32'h3fac3091,// invsqrt(0.6686) = 1.2229 +32'h3f06d4eb,32'h3facd8a5,32'h3fb3e6b7, 32'h3fa78e18,32'h3fb93144, 32'h3f9ebc83,32'h3fc202d9,// invsqrt(0.5267) = 1.3779 +32'h3f1ae22a,32'h3fa1451d,32'h3fa7da39, 32'h3f9c5549,32'h3facca0d, 32'h3f941ae7,32'h3fb5046f,// invsqrt(0.6050) = 1.2856 +32'h412bdfdb,32'h3e99175d,32'h3e9f5702, 32'h3e9467a0,32'h3ea406be, 32'h3e8c9811,32'h3eabd64d,// invsqrt(10.7422) = 0.3051 +32'h3f239db7,32'h3f9ce842,32'h3fa34fc8, 32'h3f981a9e,32'h3fa81d6c, 32'h3f901938,32'h3fb01ed2,// invsqrt(0.6391) = 1.2509 +32'h43d9471c,32'h3d408f0e,32'h3d486b18, 32'h3d3aaa05,32'h3d4e5021, 32'h3d30d6f8,32'h3d58232f,// invsqrt(434.5555) = 0.0480 +32'h3f5871c4,32'h3f886be8,32'h3f8dfd60, 32'h3f843ecf,32'h3f922a79, 32'h3f7a91f4,32'h3f99204e,// invsqrt(0.8455) = 1.0875 +32'h3f093ad4,32'h3fab5452,32'h3fb25289, 32'h3fa615a8,32'h3fb79132, 32'h3f9d57e2,32'h3fc04ef8,// invsqrt(0.5361) = 1.3658 +32'h41269cd9,32'h3e9b7d66,32'h3ea1d61c, 32'h3e96bade,32'h3ea698a4, 32'h3e8ecbfa,32'h3eae8788,// invsqrt(10.4133) = 0.3099 +32'h3f1dcd02,32'h3f9fc5b4,32'h3fa64b29, 32'h3f9ae19c,32'h3fab2f40, 32'h3f92bac9,32'h3fb35613,// invsqrt(0.6164) = 1.2737 +32'h3f824768,32'h3f78ad11,32'h3f8169bd, 32'h3f711042,32'h3f853824, 32'h3f64603e,32'h3f8b9026,// invsqrt(1.0178) = 0.9912 +32'h409b7a78,32'h3ee3a227,32'h3eececb1, 32'h3edcaa40,32'h3ef3e498, 32'h3ed10d14,32'h3eff81c4,// invsqrt(4.8587) = 0.4537 +32'h3f93dd93,32'h3f696b73,32'h3f72f273, 32'h3f624634,32'h3f7a17b2, 32'h3f565d74,32'h3f830039,// invsqrt(1.1552) = 0.9304 +32'h418056f8,32'h3e7a8c38,32'h3e826318, 32'h3e72e0be,32'h3e8638d5, 32'h3e661848,32'h3e8c9d10,// invsqrt(16.0425) = 0.2497 +32'h3f85c7fe,32'h3f75663d,32'h3f7f6a6a, 32'h3f6de31d,32'h3f8376c6, 32'h3f615de5,32'h3f89b961,// invsqrt(1.0452) = 0.9782 +32'h3fa4a267,32'h3f5d368b,32'h3f663dfe, 32'h3f5670f4,32'h3f6d0394, 32'h3f4b27a4,32'h3f784ce4,// invsqrt(1.2862) = 0.8817 +32'h404fe345,32'h3f0b3364,32'h3f10e1e6, 32'h3f06f083,32'h3f1524c7, 32'h3effacc3,32'h3f1c3ee9,// invsqrt(3.2482) = 0.5548 +32'h3f59a72b,32'h3f880acf,32'h3f8d984f, 32'h3f83e0ae,32'h3f91c270, 32'h3f79df9b,32'h3f98b350,// invsqrt(0.8502) = 1.0845 +32'h3f73c2d1,32'h3f808cf0,32'h3f85cc29, 32'h3f793b0c,32'h3f89bb94, 32'h3f6c1cfe,32'h3f904a9b,// invsqrt(0.9522) = 1.0248 +32'h3eb0c6c6,32'h3fd57b15,32'h3fde31bd, 32'h3fcef217,32'h3fe4babb, 32'h3fc40dc5,32'h3fef9f0d,// invsqrt(0.3453) = 1.7019 +32'h3ecee096,32'h3fc556fb,32'h3fcd64fb, 32'h3fbf4c7b,32'h3fd36f7b, 32'h3fb53afc,32'h3fdd80fb,// invsqrt(0.4041) = 1.5732 +32'h3e264a65,32'h401ba3ed,32'h4021fe36, 32'h4016e038,32'h4026c1ec, 32'h400eef5d,32'h402eb2c7,// invsqrt(0.1624) = 2.4815 +32'h3f283f39,32'h3f9abb99,32'h3fa10c66, 32'h3f95ff00,32'h3fa5c900, 32'h3f8e1a00,32'h3fadae00,// invsqrt(0.6572) = 1.2335 +32'h3d18e8df,32'h40a24eb8,32'h40a8eeaa, 32'h409d56c2,32'h40ade6a0, 32'h40950ed2,32'h40b62e90,// invsqrt(0.0373) = 5.1756 +32'h3fac4d13,32'h3f583c4b,32'h3f610fbb, 32'h3f519db6,32'h3f67ae50, 32'h3f469569,32'h3f72b69d,// invsqrt(1.3461) = 0.8619 +32'h3f9fbeb6,32'h3f6092ad,32'h3f69bd3b, 32'h3f59b2c1,32'h3f709d27, 32'h3f4e3d8f,32'h3f7c1259,// invsqrt(1.2480) = 0.8951 +32'h40043fd5,32'h3f2e8691,32'h3f35a62f, 32'h3f292edb,32'h3f3afde5, 32'h3f204756,32'h3f43e56a,// invsqrt(2.0664) = 0.6957 +32'h3f1fc5a9,32'h3f9ec898,32'h3fa543b8, 32'h3f99ec40,32'h3faa2010, 32'h3f91d257,32'h3fb239f9,// invsqrt(0.6241) = 1.2658 +32'h3fac10c3,32'h3f58622e,32'h3f61372a, 32'h3f51c270,32'h3f67d6e8, 32'h3f46b834,32'h3f72e124,// invsqrt(1.3443) = 0.8625 +32'h41df38eb,32'h3e3dfa3e,32'h3e45bb51, 32'h3e382971,32'h3e4b8c1f, 32'h3e2e781a,32'h3e553d76,// invsqrt(27.9028) = 0.1893 +32'h3f950024,32'h3f68876b,32'h3f72051c, 32'h3f616927,32'h3f792361, 32'h3f558c0a,32'h3f82803f,// invsqrt(1.1641) = 0.9269 +32'h3e5425c6,32'h4009cbcf,32'h400f6ba3, 32'h400593f0,32'h4013a382, 32'h3ffd184d,32'h401aab4c,// invsqrt(0.2072) = 2.1970 +32'h3efd193b,32'h3fb269b2,32'h3fb9b1ee, 32'h3facf386,32'h3fbf281a, 32'h3fa3d93b,32'h3fc84265,// invsqrt(0.4943) = 1.4223 +32'h3defc884,32'h40374cb5,32'h403ec800, 32'h4031b03c,32'h40446478, 32'h4028561e,32'h404dbe96,// invsqrt(0.1171) = 2.9225 +32'h40400aa5,32'h3f10d478,32'h3f16bdcb, 32'h3f0c6579,32'h3f1b2cc9, 32'h3f0501d1,32'h3f229071,// invsqrt(3.0006) = 0.5773 +32'h3e98a090,32'h3fe5bff0,32'h3fef2096, 32'h3fdeb773,32'h3ff62913, 32'h3fd2fea3,32'h4000f0f2,// invsqrt(0.2981) = 1.8316 +32'h3f2e18a0,32'h3f981c7c,32'h3f9e51e4, 32'h3f93746e,32'h3fa2f9f2, 32'h3f8bb1ab,32'h3faabcb5,// invsqrt(0.6801) = 1.2126 +32'h416e2415,32'h3e820eff,32'h3e875df9, 32'h3e7c2785,32'h3e8b5935, 32'h3e6ee212,32'h3e91fbef,// invsqrt(14.8838) = 0.2592 +32'h3faba9a7,32'h3f58a320,32'h3f617ac4, 32'h3f520166,32'h3f681c7e, 32'h3f46f3da,32'h3f732a0a,// invsqrt(1.3411) = 0.8635 +32'h3f756bd4,32'h3f801d71,32'h3f85581d, 32'h3f7862e0,32'h3f89441e, 32'h3f6b5033,32'h3f8fcd74,// invsqrt(0.9587) = 1.0213 +32'h3ffada25,32'h3f3335c0,32'h3f3a864f, 32'h3f2db953,32'h3f4002bb, 32'h3f2494a0,32'h3f49276e,// invsqrt(1.9598) = 0.7143 +32'h3f14b3fc,32'h3fa49653,32'h3fab4e17, 32'h3f9f8c7f,32'h3fb057eb, 32'h3f9726c9,32'h3fb8bda1,// invsqrt(0.5809) = 1.3121 +32'h3e7d9bd8,32'h3ffc0f5b,32'h40032c90, 32'h3ff45808,32'h4007083a, 32'h3fe77bd1,32'h400d7655,// invsqrt(0.2477) = 2.0094 +32'h40641035,32'h3f04e6a9,32'h3f0a5357, 32'h3f00d526,32'h3f0e64da, 32'h3ef41a9a,32'h3f152cb3,// invsqrt(3.5635) = 0.5297 +32'h3f237366,32'h3f9cfc91,32'h3fa364ea, 32'h3f982e4d,32'h3fa8332d, 32'h3f902bdd,32'h3fb0359d,// invsqrt(0.6385) = 1.2515 +32'h3f4128a9,32'h3f906916,32'h3f964e08, 32'h3f8bfd61,32'h3f9ab9bd, 32'h3f849f34,32'h3fa217ea,// invsqrt(0.7545) = 1.1512 +32'h3f1505ad,32'h3fa46930,32'h3fab1f1e, 32'h3f9f60bf,32'h3fb0278f, 32'h3f96fd56,32'h3fb88af8,// invsqrt(0.5821) = 1.3107 +32'h3dd1056b,32'h4044533c,32'h404c56a2, 32'h403e50b0,32'h4052592e, 32'h40344c71,32'h405c5d6d,// invsqrt(0.1021) = 3.1302 +32'h3f012eb2,32'h3fb095d1,32'h3fb7caf3, 32'h3fab2df7,32'h3fbd32cd, 32'h3fa22b8b,32'h3fc63539,// invsqrt(0.5046) = 1.4077 +32'h3fbc94b2,32'h3f4eb0fa,32'h3f5720b1, 32'h3f485d32,32'h3f5d747a, 32'h3f3dd18d,32'h3f68001f,// invsqrt(1.4733) = 0.8239 +32'h3f223ffe,32'h3f9d9102,32'h3fa3ff6a, 32'h3f98be33,32'h3fa8d239, 32'h3f90b431,32'h3fb0dc3b,// invsqrt(0.6338) = 1.2561 +32'h4081072f,32'h3ef9e0e7,32'h3f0209f0, 32'h3ef23aac,32'h3f05dd0e, 32'h3ee57af4,32'h3f0c3cea,// invsqrt(4.0321) = 0.4980 +32'h3fa54ffc,32'h3f5cc249,32'h3f65c4fd, 32'h3f560041,32'h3f6c8705, 32'h3f4abce0,32'h3f77ca67,// invsqrt(1.2915) = 0.8799 +32'h3f64ab97,32'h3f84b97a,32'h3f8a2450, 32'h3f80a95a,32'h3f8e3470, 32'h3f73c79c,32'h3f94f9fc,// invsqrt(0.8932) = 1.0581 +32'h3f23971a,32'h3f9ceb6e,32'h3fa35314, 32'h3f981db1,32'h3fa820d1, 32'h3f901c21,32'h3fb02261,// invsqrt(0.6390) = 1.2510 +32'h3f7a393f,32'h3f7dc25e,32'h3f840ef3, 32'h3f75fdba,32'h3f87f145, 32'h3f690b52,32'h3f8e6a79,// invsqrt(0.9774) = 1.0115 +32'h419b0a94,32'h3e63f43c,32'h3e6d4220, 32'h3e5cf9d2,32'h3e743c8a, 32'h3e515876,32'h3e7fdde6,// invsqrt(19.3802) = 0.2272 +32'h3e04da1e,32'h402e211c,32'h40353c96, 32'h4028cc81,32'h403a9131, 32'h401fea2a,32'h40437388,// invsqrt(0.1297) = 2.7763 +32'h3f5194b8,32'h3f8aa327,32'h3f904bc5, 32'h3f8664b0,32'h3f948a3c, 32'h3f7ea3d4,32'h3f9b9d02,// invsqrt(0.8187) = 1.1052 +32'h3f67acde,32'h3f83dc67,32'h3f893e37, 32'h3f7fa616,32'h3f8d4793, 32'h3f72318e,32'h3f9401d7,// invsqrt(0.9050) = 1.0512 +32'h403ed573,32'h3f11499d,32'h3f1737b8, 32'h3f0cd708,32'h3f1baa4c, 32'h3f056d66,32'h3f2313ee,// invsqrt(2.9818) = 0.5791 +32'h413b3b8e,32'h3e92ad97,32'h3e98aa3a, 32'h3e8e301d,32'h3e9d27b5, 32'h3e86b452,32'h3ea4a380,// invsqrt(11.7020) = 0.2923 +32'h3f80454b,32'h3f7a9d7b,32'h3f826c14, 32'h3f72f17a,32'h3f864214, 32'h3f662822,32'h3f8ca6c0,// invsqrt(1.0021) = 0.9989 +32'h3f1f9223,32'h3f9ee238,32'h3fa55e64, 32'h3f9a0517,32'h3faa3b85, 32'h3f91e9e0,32'h3fb256bc,// invsqrt(0.6233) = 1.2666 +32'h3f7b62cc,32'h3f7d2c03,32'h3f83c0b3, 32'h3f756bf8,32'h3f87a0b8, 32'h3f68813c,32'h3f8e1616,// invsqrt(0.9820) = 1.0091 +32'h3f4ea424,32'h3f8b9eb8,32'h3f91519a, 32'h3f87588d,32'h3f9597c5, 32'h3f8038f2,32'h3f9cb760,// invsqrt(0.8072) = 1.1130 +32'h3fa156cb,32'h3f5f75f5,32'h3f6894e5, 32'h3f589ec1,32'h3f6f6c19, 32'h3f4d3815,32'h3f7ad2c5,// invsqrt(1.2605) = 0.8907 +32'h402bfcaa,32'h3f190a8a,32'h3f1f49aa, 32'h3f145b32,32'h3f23f902, 32'h3f0c8c4b,32'h3f2bc7e9,// invsqrt(2.6873) = 0.6100 +32'h3f416869,32'h3f905147,32'h3f96353f, 32'h3f8be64c,32'h3f9aa03a, 32'h3f848956,32'h3fa1fd30,// invsqrt(0.7555) = 1.1505 +32'h3f3e3edf,32'h3f918311,32'h3f977384, 32'h3f8d0eba,32'h3f9be7da, 32'h3f85a229,32'h3fa3546b,// invsqrt(0.7431) = 1.1600 +32'h3f5ba2a5,32'h3f876d49,32'h3f8cf45b, 32'h3f8347fb,32'h3f9119a9, 32'h3f78be47,32'h3f980281,// invsqrt(0.8580) = 1.0796 +32'h3fd40540,32'h3f42ee79,32'h3f4ae34f, 32'h3f3cf6d9,32'h3f50daef, 32'h3f3304cd,32'h3f5accfb,// invsqrt(1.6564) = 0.7770 +32'h3f4dee36,32'h3f8bdc56,32'h3f9191bd, 32'h3f87944a,32'h3f95d9ca, 32'h3f807189,32'h3f9cfc8b,// invsqrt(0.8044) = 1.1150 +32'h3e59f030,32'h4007f402,32'h400d8095, 32'h4003ca95,32'h4011aa03, 32'h3ff9b5bc,32'h401899ba,// invsqrt(0.2128) = 2.1676 +32'h41189ce2,32'h3ea2771b,32'h3ea918b4, 32'h3e9d7de9,32'h3eae11e7, 32'h3e9533ea,32'h3eb65be6,// invsqrt(9.5383) = 0.3238 +32'h3f86cbef,32'h3f74792e,32'h3f7e73ad, 32'h3f6cfd4e,32'h3f82f7c6, 32'h3f60842f,32'h3f893456,// invsqrt(1.0531) = 0.9745 +32'h40cef43e,32'h3ec54d9c,32'h3ecd5b3a, 32'h3ebf4366,32'h3ed36570, 32'h3eb53260,32'h3edd7676,// invsqrt(6.4673) = 0.3932 +32'h3f4736aa,32'h3f8e32f3,32'h3f9400c9, 32'h3f89d893,32'h3f985b29, 32'h3f829748,32'h3f9f9c74,// invsqrt(0.7782) = 1.1336 +32'h3fa6a454,32'h3f5be066,32'h3f64d9e2, 32'h3f552549,32'h3f6b94ff, 32'h3f49ed6d,32'h3f76ccdb,// invsqrt(1.3019) = 0.8764 +32'h3f872154,32'h3f742be2,32'h3f7e233a, 32'h3f6cb260,32'h3f82ce5e, 32'h3f603d33,32'h3f8908f4,// invsqrt(1.0557) = 0.9733 +32'h41283744,32'h3e9abf42,32'h3ea11036, 32'h3e96028c,32'h3ea5ccec, 32'h3e8e1d5c,32'h3eadb21c,// invsqrt(10.5135) = 0.3084 +32'h3e7bf13d,32'h3ffce468,32'h40039b70, 32'h3ff5268f,32'h40077a5c, 32'h3fe83f7a,32'h400dede7,// invsqrt(0.2460) = 2.0160 +32'h3fadff47,32'h3f572dd4,32'h3f5ff63b, 32'h3f509788,32'h3f668c88, 32'h3f459d07,32'h3f718709,// invsqrt(1.3594) = 0.8577 +32'h3e09cb25,32'h402afa82,32'h4031f50f, 32'h4025be98,32'h403730f8, 32'h401d0567,32'h403fea29,// invsqrt(0.1346) = 2.7261 +32'h3f4b2ca8,32'h3f8cce5d,32'h3f928da5, 32'h3f887ee7,32'h3f96dd1b, 32'h3f814fce,32'h3f9e0c34,// invsqrt(0.7937) = 1.1225 +32'h3f85bc36,32'h3f75710c,32'h3f7f75aa, 32'h3f6ded96,32'h3f837c90, 32'h3f6167d2,32'h3f89bf72,// invsqrt(1.0448) = 0.9783 +32'h3f849a71,32'h3f767ca7,32'h3f804618, 32'h3f6ef100,32'h3f840bec, 32'h3f625d94,32'h3f8a55a2,// invsqrt(1.0360) = 0.9825 +32'h4085ea47,32'h3ef546d1,32'h3eff49b5, 32'h3eedc4a6,32'h3f0365f0, 32'h3ee14109,32'h3f09a7be,// invsqrt(4.1848) = 0.4888 +32'h412dcf7f,32'h3e983c79,32'h3e9e732f, 32'h3e939370,32'h3ea31c38, 32'h3e8bcf0c,32'h3eaae09c,// invsqrt(10.8632) = 0.3034 +32'h3ed8d74d,32'h3fc0c0ac,32'h3fc89ebe, 32'h3fbada1f,32'h3fce854b, 32'h3fb10489,32'h3fd85ae1,// invsqrt(0.4235) = 1.5366 +32'h3f0e2703,32'h3fa85635,32'h3faf3528, 32'h3fa32f00,32'h3fb45c5e, 32'h3f9a9851,32'h3fbcf30d,// invsqrt(0.5553) = 1.3420 +32'h3f94700b,32'h3f68f82e,32'h3f727a78, 32'h3f61d675,32'h3f799c31, 32'h3f55f398,32'h3f82bf87,// invsqrt(1.1597) = 0.9286 +32'h3f14cbc3,32'h3fa4892c,32'h3fab4068, 32'h3f9f7fc0,32'h3fb049d4, 32'h3f971ab6,32'h3fb8aede,// invsqrt(0.5812) = 1.3117 +32'h3ea800e4,32'h3fdafbd7,32'h3fe3ebff, 32'h3fd447b9,32'h3feaa01d, 32'h3fc91b87,32'h3ff5cc4f,// invsqrt(0.3281) = 1.7457 +32'h3de72f65,32'h403aad57,32'h40424bed, 32'h4034f667,32'h404802dd, 32'h402b702b,32'h40518919,// invsqrt(0.1129) = 2.9764 +32'h3ee59e48,32'h3fbb501d,32'h3fc2f557, 32'h3fb59431,32'h3fc8b143, 32'h3fac05a7,32'h3fd23fcd,// invsqrt(0.4485) = 1.4932 +32'h40468d16,32'h3f0e6fa0,32'h3f143fef, 32'h3f0a1363,32'h3f189c2b, 32'h3f02cf00,32'h3f1fe08e,// invsqrt(3.1024) = 0.5677 +32'h3d3e702f,32'h40917038,32'h40975fe7, 32'h408cfc76,32'h409bd3aa, 32'h408590db,32'h40a33f45,// invsqrt(0.0465) = 4.6377 +32'h3e46cfd4,32'h400e57b5,32'h4014270b, 32'h4009fc35,32'h4018828b, 32'h4002b90a,32'h401fc5b6,// invsqrt(0.1942) = 2.2695 +32'h3fe70298,32'h3f3abf70,32'h3f425ec3, 32'h3f3507f2,32'h3f481642, 32'h3f2b80cb,32'h3f519d69,// invsqrt(1.8048) = 0.7444 +32'h3f0c28d0,32'h3fa98783,32'h3fb072eb, 32'h3fa456f4,32'h3fb5a37a, 32'h3f9bb0b2,32'h3fbe49bd,// invsqrt(0.5475) = 1.3515 +32'h3d470871,32'h408e4375,32'h409411f7, 32'h4089e893,32'h40986cd9, 32'h4082a671,32'h409faefb,// invsqrt(0.0486) = 4.5365 +32'h404911eb,32'h3f0d8a82,32'h3f135178, 32'h3f09354a,32'h3f17a6b0, 32'h3f01fc97,32'h3f1edf63,// invsqrt(3.1417) = 0.5642 +32'h4182ace9,32'h3e784c69,32'h3e813770, 32'h3e70b290,32'h3e85045d, 32'h3e64077b,32'h3e8b59e8,// invsqrt(16.3344) = 0.2474 +32'h3fdecc35,32'h3f3e2892,32'h3f45eb88, 32'h3f385659,32'h3f4bbdc1, 32'h3f2ea2a5,32'h3f557175,// invsqrt(1.7406) = 0.7580 +32'h3f06d4f0,32'h3facd8a2,32'h3fb3e6b3, 32'h3fa78e15,32'h3fb9313f, 32'h3f9ebc7f,32'h3fc202d5,// invsqrt(0.5267) = 1.3779 +32'h3e861371,32'h3ff52128,32'h3fff2282, 32'h3feda024,32'h400351c3, 32'h3fe11e73,32'h4009929c,// invsqrt(0.2619) = 1.9542 +32'h3fb51157,32'h3f52ef97,32'h3f5b8ba7, 32'h3f4c7a8a,32'h3f6200b4, 32'h3f41b776,32'h3f6cc3c9,// invsqrt(1.4146) = 0.8408 +32'h400abb39,32'h3f2a6651,32'h3f315ad1, 32'h3f252ef0,32'h3f369232, 32'h3f1c7d4f,32'h3f3f43d3,// invsqrt(2.1677) = 0.6792 +32'h42578dbc,32'h3e08b3fe,32'h3e0e4866, 32'h3e0484b0,32'h3e1277b4, 32'h3dfb165a,32'h3e197137,// invsqrt(53.8884) = 0.1362 +32'h4114824f,32'h3ea4b1d8,32'h3eab6abc, 32'h3e9fa72d,32'h3eb07567, 32'h3e97400f,32'h3eb8dc85,// invsqrt(9.2818) = 0.3282 +32'h3f2daf0b,32'h3f984ab1,32'h3f9e81fd, 32'h3f93a139,32'h3fa32b75, 32'h3f8bdc1b,32'h3faaf093,// invsqrt(0.6785) = 1.2141 +32'h3ee81c03,32'h3fba4e18,32'h3fc1e8ca, 32'h3fb49a12,32'h3fc79cd0, 32'h3fab18b2,32'h3fd11e30,// invsqrt(0.4533) = 1.4852 +32'h40ab28d5,32'h3ed8f497,32'h3ee1cf8d, 32'h3ed2505e,32'h3ee873c6, 32'h3ec73eaa,32'h3ef3857a,// invsqrt(5.3487) = 0.4324 +32'h3c935c50,32'h40e9d1bd,32'h40f35ce9, 32'h40e2a95c,32'h40fa854a, 32'h40d6bb64,32'h410339a1,// invsqrt(0.0180) = 7.4560 +32'h3f081e53,32'h3fac0701,32'h3fb30c83, 32'h3fa6c2df,32'h3fb850a5, 32'h3f9dfbfb,32'h3fc11789,// invsqrt(0.5317) = 1.3714 +32'h3ddc3c37,32'h403f42f4,32'h40471171, 32'h40396817,32'h404cec4f, 32'h402fa5fb,32'h4056ae6b,// invsqrt(0.1075) = 3.0495 +32'h3fe3e048,32'h3f3c0712,32'h3f43b3c4, 32'h3f36458c,32'h3f49754a, 32'h3f2cadad,32'h3f530d29,// invsqrt(1.7803) = 0.7495 +32'h3e344ff9,32'h4015775e,32'h401b9124, 32'h4010e40b,32'h40202477, 32'h400943d4,32'h4027c4ae,// invsqrt(0.1761) = 2.3831 +32'h3f11fe31,32'h3fa61b9b,32'h3face343, 32'h3fa105dd,32'h3fb1f901, 32'h3f988c4a,32'h3fba7294,// invsqrt(0.5703) = 1.3242 +32'h405ad814,32'h3f07abe8,32'h3f0d3588, 32'h3f0384af,32'h3f115cc1, 32'h3ef9314b,32'h3f1848ca,// invsqrt(3.4194) = 0.5408 +32'h3f72acfc,32'h3f80d672,32'h3f8618aa, 32'h3f79c98e,32'h3f8a0a55, 32'h3f6ca400,32'h3f909d1c,// invsqrt(0.9480) = 1.0271 +32'h3f064e3a,32'h3fad2f3b,32'h3fb440d5, 32'h3fa7e208,32'h3fb98e08, 32'h3f9f0c07,32'h3fc26409,// invsqrt(0.5246) = 1.3806 +32'h3f9038c6,32'h3f6c599a,32'h3f75ff38, 32'h3f651d64,32'h3f7d3b6e, 32'h3f590e5e,32'h3f84a53a,// invsqrt(1.1267) = 0.9421 +32'h3ed68306,32'h3fc1cbd9,32'h3fc9b4d2, 32'h3fbbdd1e,32'h3fcfa38c, 32'h3fb1f9e6,32'h3fd986c4,// invsqrt(0.4190) = 1.5449 +32'h3f0ea33c,32'h3fa80cd8,32'h3faee8cc, 32'h3fa2e7e1,32'h3fb40dc3, 32'h3f9a54f0,32'h3fbca0b4,// invsqrt(0.5572) = 1.3397 +32'h40981cca,32'h3ee6235e,32'h3eef8814, 32'h3edf17d6,32'h3ef6939c, 32'h3ed359f3,32'h3f0128bf,// invsqrt(4.7535) = 0.4587 +32'h3fa514f1,32'h3f5ce9bf,32'h3f65ee10, 32'h3f562683,32'h3f6cb14d, 32'h3f4ae11e,32'h3f77f6b2,// invsqrt(1.2897) = 0.8806 +32'h3f5cca07,32'h3f871294,32'h3f8c95f2, 32'h3f82f00c,32'h3f90b87a, 32'h3f7817ac,32'h3f979cb0,// invsqrt(0.8625) = 1.0768 +32'h3fa63e93,32'h3f5c23a6,32'h3f651fe0, 32'h3f556679,32'h3f6bdd0d, 32'h3f4a2b30,32'h3f771857,// invsqrt(1.2988) = 0.8775 +32'h3f8a777c,32'h3f71362f,32'h3f7b0e9a, 32'h3f69d3e0,32'h3f813875, 32'h3f5d855b,32'h3f875fb8,// invsqrt(1.0818) = 0.9615 +32'h42ae0000,32'h3dd72d62,32'h3ddff5c4, 32'h3dd09718,32'h3de68c0e, 32'h3dc59c9e,32'h3df18688,// invsqrt(87.0000) = 0.1072 +32'h431095f1,32'h3da6ea0a,32'h3dadba20, 32'h3da1cdfa,32'h3db2d630, 32'h3d9949e0,32'h3dbb5a4a,// invsqrt(144.5857) = 0.0832 +32'h3f12a61f,32'h3fa5bc64,32'h3fac802a, 32'h3fa0a990,32'h3fb192fe, 32'h3f9834da,32'h3fba07b5,// invsqrt(0.5728) = 1.3212 +32'h410bbd9d,32'h3ea9c87d,32'h3eb0b68d, 32'h3ea495f2,32'h3eb5e918, 32'h3e9bec5e,32'h3ebe92ac,// invsqrt(8.7338) = 0.3384 +32'h40feeed4,32'h3eb1c514,32'h3eb90697, 32'h3eac53f1,32'h3ebe77b9, 32'h3ea3420c,32'h3ec7899e,// invsqrt(7.9667) = 0.3543 +32'h3f6c7ca4,32'h3f82833b,32'h3f87d6f5, 32'h3f7d08e1,32'h3f8bd5c0, 32'h3f6fb792,32'h3f927e67,// invsqrt(0.9238) = 1.0404 +32'h3f898fdf,32'h3f7200eb,32'h3f7be19b, 32'h3f6a9866,32'h3f81a510, 32'h3f5e3f89,32'h3f87d17e,// invsqrt(1.0747) = 0.9646 +32'h410d616d,32'h3ea8cbae,32'h3eafaf6c, 32'h3ea3a0e0,32'h3eb4da3a, 32'h3e9b0432,32'h3ebd76e8,// invsqrt(8.8363) = 0.3364 +32'h3eea801c,32'h3fb95a53,32'h3fc0eb12, 32'h3fb3adc3,32'h3fc697a1, 32'h3faa38d3,32'h3fd00c91,// invsqrt(0.4580) = 1.4776 +32'h40348f3b,32'h3f155d2d,32'h3f1b75e1, 32'h3f10caa7,32'h3f200867, 32'h3f092bc6,32'h3f27a748,// invsqrt(2.8212) = 0.5954 +32'h3f86e27e,32'h3f7464bb,32'h3f7e5e65, 32'h3f6ce97c,32'h3f82ecd2, 32'h3f607168,32'h3f8928dc,// invsqrt(1.0538) = 0.9741 +32'h3e61f86f,32'h400583da,32'h400af6f2, 32'h40016d87,32'h400f0d45, 32'h3ff53b51,32'h4015dd23,// invsqrt(0.2207) = 2.1287 +32'h3d65cc2c,32'h40846609,32'h4089cd78, 32'h40805877,32'h408ddb0b, 32'h40732e5b,32'h40949c54,// invsqrt(0.0561) = 4.2219 +32'h3f49da52,32'h3f8d442d,32'h3f930844, 32'h3f88f11d,32'h3f975b55, 32'h3f81bc00,32'h3f9e9072,// invsqrt(0.7885) = 1.1262 +32'h3f46b872,32'h3f8e6015,32'h3f942fc2, 32'h3f8a0452,32'h3f988b84, 32'h3f82c0ba,32'h3f9fcf1c,// invsqrt(0.7763) = 1.1350 +32'h40298461,32'h3f1a26ec,32'h3f2071a7, 32'h3f156edf,32'h3f2529b3, 32'h3f0d9175,32'h3f2d071d,// invsqrt(2.6487) = 0.6144 +32'h3f71f18f,32'h3f81084f,32'h3f864c91, 32'h3f7a2a3b,32'h3f8a3fc2, 32'h3f6cff96,32'h3f90d515,// invsqrt(0.9451) = 1.0286 +32'h406711ee,32'h3f040895,32'h3f096c33, 32'h3efffbbe,32'h3f0d76e9, 32'h3ef282b4,32'h3f14336e,// invsqrt(3.6105) = 0.5263 +32'h403b9bf4,32'h3f1287e4,32'h3f1882fc, 32'h3f0e0b91,32'h3f1cff4f, 32'h3f0691b2,32'h3f24792e,// invsqrt(2.9314) = 0.5841 +32'h3ff46d9b,32'h3f358cb6,32'h3f3cf5b8, 32'h3f2ffdf4,32'h3f42847a, 32'h3f26bab2,32'h3f4bc7bd,// invsqrt(1.9096) = 0.7237 +32'h3f0eb6aa,32'h3fa80167,32'h3faedce3, 32'h3fa2dcca,32'h3fb40180, 32'h3f9a4a6e,32'h3fbc93dc,// invsqrt(0.5575) = 1.3393 +32'h3f96d90c,32'h3f6719cf,32'h3f708893, 32'h3f6006bb,32'h3f779ba7, 32'h3f543c46,32'h3f81b30e,// invsqrt(1.1785) = 0.9212 +32'h41344517,32'h3e957be1,32'h3e9b95d5, 32'h3e90e86a,32'h3ea0294c, 32'h3e8947f8,32'h3ea7c9be,// invsqrt(11.2669) = 0.2979 +32'h401afad7,32'h3f213846,32'h3f27ccdb, 32'h3f1c48d6,32'h3f2cbc4a, 32'h3f140f1b,32'h3f34f605,// invsqrt(2.4216) = 0.6426 +32'h4035d44f,32'h3f14d76d,32'h3f1aeaab, 32'h3f1048ff,32'h3f1f7919, 32'h3f08b0f1,32'h3f271127,// invsqrt(2.8411) = 0.5933 +32'h3f7d4852,32'h3f7c38e7,32'h3f83422f, 32'h3f74804d,32'h3f871e7b, 32'h3f67a1f8,32'h3f8d8da6,// invsqrt(0.9894) = 1.0054 +32'h40f17174,32'h3eb6ab20,32'h3ebe1fd2, 32'h3eb11399,32'h3ec3b759, 32'h3ea7c1ba,32'h3ecd0938,// invsqrt(7.5451) = 0.3641 +32'h4006a00c,32'h3f2cfa92,32'h3f340a06, 32'h3f27aefb,32'h3f39559d, 32'h3f1edbab,32'h3f4228ed,// invsqrt(2.1035) = 0.6895 +32'h3edee281,32'h3fbe1f0f,32'h3fc5e1a1, 32'h3fb84d20,32'h3fcbb390, 32'h3fae99e9,32'h3fd566c7,// invsqrt(0.4353) = 1.5156 +32'h40722b5f,32'h3f00f8e7,32'h3f063c88, 32'h3efa0c5e,32'h3f0a2f41, 32'h3eece34b,32'h3f10c3ca,// invsqrt(3.7839) = 0.5141 +32'h3eed9d17,32'h3fb82274,32'h3fbfa678, 32'h3fb27f70,32'h3fc5497c, 32'h3fa91a6a,32'h3fceae82,// invsqrt(0.4641) = 1.4679 +32'h3f250eca,32'h3f9c3873,32'h3fa298cb, 32'h3f977031,32'h3fa7610d, 32'h3f8f77c2,32'h3faf597c,// invsqrt(0.6448) = 1.2454 +32'h3df4be88,32'h40356eb0,32'h403cd678, 32'h402fe0d9,32'h4042644f, 32'h40269f1f,32'h404ba609,// invsqrt(0.1195) = 2.8927 +32'h3f13ba23,32'h3fa52147,32'h3fabdeb7, 32'h3fa01332,32'h3fb0eccc, 32'h3f97a666,32'h3fb95999,// invsqrt(0.5771) = 1.3164 +32'h40329837,32'h3f162ef2,32'h3f1c5036, 32'h3f119600,32'h3f20e928, 32'h3f09ec6c,32'h3f2892bc,// invsqrt(2.7905) = 0.5986 +32'h3f2588fc,32'h3f9bfec0,32'h3fa25cbd, 32'h3f973841,32'h3fa7233b, 32'h3f8f42c5,32'h3faf18b7,// invsqrt(0.6466) = 1.2436 +32'h3c63d35f,32'h4104f867,32'h410a65ce, 32'h4100e659,32'h410e77db, 32'h40f43b2f,32'h4115409d,// invsqrt(0.0139) = 8.4802 +32'h3f376eed,32'h3f943078,32'h3f9a3ce6, 32'h3f8fa726,32'h3f9ec638, 32'h3f88179e,32'h3fa655c0,// invsqrt(0.7165) = 1.1814 +32'h3e5a3d7c,32'h4007dbed,32'h400d6783, 32'h4003b33c,32'h40119034, 32'h3ff9897e,32'h40187eb1,// invsqrt(0.2131) = 2.1661 +32'h3f366f6e,32'h3f949818,32'h3f9aa8c0, 32'h3f900b9a,32'h3f9f353e, 32'h3f8876c8,32'h3fa6ca10,// invsqrt(0.7126) = 1.1846 +32'h3f0972b1,32'h3fab317d,32'h3fb22e49, 32'h3fa5f3e4,32'h3fb76be2, 32'h3f9d37e6,32'h3fc027e0,// invsqrt(0.5369) = 1.3647 +32'h420ca544,32'h3e293c71,32'h3e3024c9, 32'h3e240e2f,32'h3e35530b, 32'h3e1b6bc0,32'h3e3df57a,// invsqrt(35.1614) = 0.1686 +32'h3fbbfd6d,32'h3f4f0412,32'h3f57772d, 32'h3f48adbe,32'h3f5dcd82, 32'h3f3e1ddd,32'h3f685d63,// invsqrt(1.4687) = 0.8252 +32'h3f0247f7,32'h3fafd6cb,32'h3fb70422, 32'h3faa74cb,32'h3fbc6623, 32'h3fa17c1e,32'h3fc55ed0,// invsqrt(0.5089) = 1.4018 +32'h3fd60ace,32'h3f42023d,32'h3f49ed6f, 32'h3f3c11d8,32'h3f4fddd4, 32'h3f322bda,32'h3f59c3d2,// invsqrt(1.6722) = 0.7733 +32'h3fa5dad3,32'h3f5c65cf,32'h3f6564bd, 32'h3f55a69c,32'h3f6c23f0, 32'h3f4a67f2,32'h3f77629a,// invsqrt(1.2957) = 0.8785 +32'h3dbcb579,32'h404e9f06,32'h40570e02, 32'h40484bca,32'h405d613e, 32'h403dc110,32'h4067ebf8,// invsqrt(0.0921) = 3.2943 +32'h3fccab4d,32'h3f4666c5,32'h3f4e7fdd, 32'h3f4053f3,32'h3f5492af, 32'h3f363496,32'h3f5eb20c,// invsqrt(1.5990) = 0.7908 +32'h3f8d1a95,32'h3f6ef270,32'h3f78b330, 32'h3f67a1df,32'h3f8001e0, 32'h3f5b70ee,32'h3f861a59,// invsqrt(1.1024) = 0.9524 +32'h3e820caa,32'h3ff8e534,32'h400186f4, 32'h3ff146ad,32'h40055637, 32'h3fe493cd,32'h400bafa8,// invsqrt(0.2540) = 1.9842 +32'h408fddee,32'h3eeca42d,32'h3ef64cd7, 32'h3ee565af,32'h3efd8b55, 32'h3ed952db,32'h3f04cf14,// invsqrt(4.4958) = 0.4716 +32'h3f875bb9,32'h3f73f732,32'h3f7dec63, 32'h3f6c7f4d,32'h3f82b224, 32'h3f600ccf,32'h3f88eb62,// invsqrt(1.0575) = 0.9724 +32'h3f06d85e,32'h3facd66f,32'h3fb3e469, 32'h3fa78bf3,32'h3fb92ee5, 32'h3f9eba7b,32'h3fc2005d,// invsqrt(0.5267) = 1.3779 +32'h3f049658,32'h3fae4d98,32'h3fb56ae2, 32'h3fa8f7a0,32'h3fbac0da, 32'h3fa01304,32'h3fc3a576,// invsqrt(0.5179) = 1.3895 +32'h3f870de5,32'h3f743d73,32'h3f7e3583, 32'h3f6cc368,32'h3f82d7c7, 32'h3f604d55,32'h3f8912d0,// invsqrt(1.0551) = 0.9735 +32'h3f320cb4,32'h3f9669bd,32'h3f9c8d67, 32'h3f91cefe,32'h3fa12826, 32'h3f8a226a,32'h3fa8d4ba,// invsqrt(0.6955) = 1.1991 +32'h4006d170,32'h3f2cdae0,32'h3f33e908, 32'h3f279041,32'h3f3933a7, 32'h3f1ebe8f,32'h3f420559,// invsqrt(2.1065) = 0.6890 +32'h3f25b5cd,32'h3f9be9a6,32'h3fa246c6, 32'h3f9723cd,32'h3fa70c9f, 32'h3f8f2f64,32'h3faf0108,// invsqrt(0.6473) = 1.2429 +32'h3f922dd2,32'h3f6ac32d,32'h3f745835, 32'h3f639368,32'h3f7b87fa, 32'h3f57991f,32'h3f83c121,// invsqrt(1.1420) = 0.9358 +32'h3f6185ab,32'h3f85a5cf,32'h3f8b1a4a, 32'h3f818e72,32'h3f8f31a6, 32'h3f7579af,32'h3f960340,// invsqrt(0.8809) = 1.0654 +32'h3f35ee69,32'h3f94ccbf,32'h3f9adf8d, 32'h3f903ea4,32'h3f9f6da8, 32'h3f88a722,32'h3fa7052a,// invsqrt(0.7107) = 1.1862 +32'h3e3d6398,32'h4011d735,32'h4017cb18, 32'h400d604b,32'h401c4203, 32'h4005ef70,32'h4023b2de,// invsqrt(0.1850) = 2.3253 +32'h3ec52fe2,32'h3fca2168,32'h3fd26176, 32'h3fc3f15d,32'h3fd89181, 32'h3fb9a14a,32'h3fe2e194,// invsqrt(0.3851) = 1.6114 +32'h3ddb0a71,32'h403fc845,32'h40479c33, 32'h4039e953,32'h404d7b25, 32'h40302069,32'h4057440f,// invsqrt(0.1070) = 3.0578 +32'h3f462d9c,32'h3f8e91eb,32'h3f9463a1, 32'h3f8a34a2,32'h3f98c0ea, 32'h3f82ee7f,32'h3fa0070d,// invsqrt(0.7741) = 1.1366 +32'h3ef3dab5,32'h3fb5c35d,32'h3fbd2e9a, 32'h3fb032ee,32'h3fc2bf08, 32'h3fa6ece2,32'h3fcc0514,// invsqrt(0.4763) = 1.4490 +32'h3faa17d7,32'h3f59a26b,32'h3f62847a, 32'h3f52f8e0,32'h3f692e06, 32'h3f47de4e,32'h3f744898,// invsqrt(1.3289) = 0.8675 +32'h408a7973,32'h3ef13479,32'h3efb0cd2, 32'h3ee9d237,32'h3f01378a, 32'h3edd83c9,32'h3f075ec2,// invsqrt(4.3273) = 0.4807 +32'h3fb1f0a9,32'h3f54c819,32'h3f5d7773, 32'h3f4e4496,32'h3f63faf6, 32'h3f436965,32'h3f6ed627,// invsqrt(1.3902) = 0.8481 +32'h3d8a6ede,32'h40713db1,32'h407b166a, 32'h4069db27,32'h40813c7b, 32'h405d8c40,32'h408763ee,// invsqrt(0.0676) = 3.8463 +32'h3f2d19b0,32'h3f988c56,32'h3f9ec650, 32'h3f93e0dc,32'h3fa371ca, 32'h3f8c1864,32'h3fab3a42,// invsqrt(0.6762) = 1.2161 +32'h3f3c1e4a,32'h3f925518,32'h3f984e1e, 32'h3f8dda53,32'h3f9cc8e3, 32'h3f86630c,32'h3fa4402a,// invsqrt(0.7348) = 1.1666 +32'h3f254258,32'h3f9c2013,32'h3fa27f6d, 32'h3f975890,32'h3fa746f0, 32'h3f8f6160,32'h3faf3e20,// invsqrt(0.6455) = 1.2446 +32'h3fb1a763,32'h3f54f3f6,32'h3f5da51a, 32'h3f4e6f1b,32'h3f6429f5, 32'h3f4391ae,32'h3f6f0762,// invsqrt(1.3879) = 0.8488 +32'h40cbab2f,32'h3ec6e35d,32'h3ecf018b, 32'h3ec0ccbb,32'h3ed5182d, 32'h3eb6a702,32'h3edf3de6,// invsqrt(6.3646) = 0.3964 +32'h3f29be8a,32'h3f9a0c81,32'h3fa05628, 32'h3f955543,32'h3fa50d65, 32'h3f8d7932,32'h3face976,// invsqrt(0.6631) = 1.2281 +32'h40d18000,32'h3ec419c4,32'h3ecc1ad1, 32'h3ebe18fa,32'h3ed21b9a, 32'h3eb417a9,32'h3edc1ceb,// invsqrt(6.5469) = 0.3908 +32'h3f5fdce2,32'h3f86245f,32'h3f8b9e05, 32'h3f820923,32'h3f8fb941, 32'h3f766227,32'h3f969151,// invsqrt(0.8745) = 1.0694 +32'h404e2d35,32'h3f0bc6f7,32'h3f117b7f, 32'h3f077f92,32'h3f15c2e4, 32'h3f005de8,32'h3f1ce48e,// invsqrt(3.2215) = 0.5571 +32'h3ef7617f,32'h3fb47682,32'h3fbbd42a, 32'h3faef045,32'h3fc15a67, 32'h3fa5bb34,32'h3fca8f78,// invsqrt(0.4832) = 1.4386 +32'h3efa4e72,32'h3fb367bb,32'h3fbaba55, 32'h3fade9c8,32'h3fc03848, 32'h3fa4c287,32'h3fc95f89,// invsqrt(0.4889) = 1.4302 +32'h3df14840,32'h4036bab8,32'h403e300e, 32'h403122b8,32'h4043c80e, 32'h4027d00c,32'h404d1aba,// invsqrt(0.1178) = 2.9134 +32'h3f2d300d,32'h3f98827d,32'h3f9ebc0f, 32'h3f93d74f,32'h3fa3673d, 32'h3f8c0f59,32'h3fab2f33,// invsqrt(0.6765) = 1.2158 +32'h3f5ee3f1,32'h3f866f34,32'h3f8bebe7, 32'h3f8251ac,32'h3f90096e, 32'h3f76eb97,32'h3f96e54e,// invsqrt(0.8707) = 1.0717 +32'h3e8c524a,32'h3fef9cbc,32'h3ff96470, 32'h3fe846f5,32'h40005d1c, 32'h3fdc0d54,32'h400679ec,// invsqrt(0.2741) = 1.9102 +32'h3f1f5e84,32'h3f9efbf1,32'h3fa5792a, 32'h3f9a1e07,32'h3faa5715, 32'h3f920180,32'h3fb2739c,// invsqrt(0.6225) = 1.2674 +32'h3f9989b8,32'h3f65113c,32'h3f6e6ac2, 32'h3f5e0e19,32'h3f756de5, 32'h3f525e32,32'h3f808ee6,// invsqrt(1.1995) = 0.9131 +32'h3e2620bf,32'h401bb76f,32'h40221283, 32'h4016f320,32'h4026d6d2, 32'h400f0146,32'h402ec8ac,// invsqrt(0.1622) = 2.4827 +32'h3ea2ef18,32'h3fde5d48,32'h3fe770c4, 32'h3fd78eac,32'h3fee3f60, 32'h3fcc3652,32'h3ff997ba,// invsqrt(0.3182) = 1.7727 +32'h3f7b5afc,32'h3f7d2ff2,32'h3f83c2bf, 32'h3f756fc8,32'h3f87a2d4, 32'h3f6884d9,32'h3f8e184c,// invsqrt(0.9819) = 1.0092 +32'h3f5e49b0,32'h3f869dd0,32'h3f8c1c6b, 32'h3f827edc,32'h3f903b60, 32'h3f774136,32'h3f9719a1,// invsqrt(0.8683) = 1.0732 +32'h3f8a9028,32'h3f7120b5,32'h3f7af83f, 32'h3f69bf0e,32'h3f812cf3, 32'h3f5d71a1,32'h3f8753aa,// invsqrt(1.0825) = 0.9611 +32'h3f29faae,32'h3f99f13e,32'h3fa039c8, 32'h3f953ad6,32'h3fa4f030, 32'h3f8d6029,32'h3faccadd,// invsqrt(0.6640) = 1.2272 +32'h3d57e601,32'h40889809,32'h408e2b4d, 32'h40846996,32'h409259c0, 32'h407ae301,32'h409951d6,// invsqrt(0.0527) = 4.3557 +32'h3f47c6e5,32'h3f8dff95,32'h3f93cb52, 32'h3f89a6c8,32'h3f982420, 32'h3f82681c,32'h3f9f62cc,// invsqrt(0.7804) = 1.1320 +32'h3e87d838,32'h3ff3874d,32'h3ffd77ed, 32'h3fec12d5,32'h40027632, 32'h3fdfa60d,32'h4008ac96,// invsqrt(0.2653) = 1.9414 +32'h3f7d3c54,32'h3f7c3edf,32'h3f83454a, 32'h3f748617,32'h3f8721ae, 32'h3f67a774,32'h3f8d9100,// invsqrt(0.9892) = 1.0054 +32'h3f9bcb2d,32'h3f636729,32'h3f6caf4b, 32'h3f5c7111,32'h3f73a563, 32'h3f50d6e7,32'h3f7f3f8d,// invsqrt(1.2171) = 0.9064 +32'h3f6f27a5,32'h3f81c858,32'h3f871470, 32'h3f7b9e8b,32'h3f8b0d83, 32'h3f6e604e,32'h3f91aca1,// invsqrt(0.9342) = 1.0346 +32'h3e1b1f9c,32'h40212529,32'h4027b8f7, 32'h401c364f,32'h402ca7d1, 32'h4013fd8e,32'h4034e092,// invsqrt(0.1515) = 2.5693 +32'h4004f5ca,32'h3f2e0efd,32'h3f3529b9, 32'h3f28baf0,32'h3f3a7dc6, 32'h3f1fd985,32'h3f435f31,// invsqrt(2.0775) = 0.6938 +32'h3f1c22a1,32'h3fa09f47,32'h3fa72d9e, 32'h3f9bb487,32'h3fac185f, 32'h3f93829b,32'h3fb44a4b,// invsqrt(0.6099) = 1.2805 +32'h3fcc6042,32'h3f468b2f,32'h3f4ea5c3, 32'h3f407740,32'h3f54b9b2, 32'h3f365606,32'h3f5edaec,// invsqrt(1.5967) = 0.7914 +32'h3f8fa4c2,32'h3f6cd340,32'h3f767dd5, 32'h3f659351,32'h3f7dbdc5, 32'h3f597e17,32'h3f84e980,// invsqrt(1.1222) = 0.9440 +32'h404a998f,32'h3f0d0172,32'h3f12c2cf, 32'h3f08b06b,32'h3f1713d5, 32'h3f017eb6,32'h3f1e458a,// invsqrt(3.1656) = 0.5620 +32'h3e65bdf1,32'h40046a23,32'h4009d1bc, 32'h40005c71,32'h400ddf6f, 32'h3ff335e3,32'h4014a0ee,// invsqrt(0.2244) = 2.1112 +32'h3ec73f71,32'h3fc9151e,32'h3fd14a39, 32'h3fc2ed49,32'h3fd7720d, 32'h3fb8aae7,32'h3fe1b46f,// invsqrt(0.3892) = 1.6030 +32'h3f2d98d8,32'h3f98546e,32'h3f9e8c1e, 32'h3f93aaa9,32'h3fa335e3, 32'h3f8be50c,32'h3faafb80,// invsqrt(0.6781) = 1.2144 +32'h407eb9b8,32'h3efb81c2,32'h3f02e2df, 32'h3ef3cec3,32'h3f06bc5e, 32'h3ee6f9c6,32'h3f0d26dd,// invsqrt(3.9801) = 0.5012 +32'h400ecdcc,32'h3f27f3cb,32'h3f2eceb9, 32'h3f22cf98,32'h3f33f2ec, 32'h3f1a3def,32'h3f3c8495,// invsqrt(2.2313) = 0.6695 +32'h3f886476,32'h3f7309f9,32'h3f7cf57c, 32'h3f6b9958,32'h3f82330f, 32'h3f5f32f5,32'h3f886640,// invsqrt(1.0656) = 0.9687 +32'h3fbd0a32,32'h3f4e70b4,32'h3f56ddcc, 32'h3f481ee3,32'h3f5d2f9d, 32'h3f3d9686,32'h3f67b7fa,// invsqrt(1.4769) = 0.8229 +32'h3dff7e80,32'h40319311,32'h4038d28a, 32'h402c2377,32'h403e4225, 32'h40231420,32'h4047517c,// invsqrt(0.1248) = 2.8312 +32'h3f892650,32'h3f725dfa,32'h3f7c4278, 32'h3f6af29d,32'h3f81d6eb, 32'h3f5e9500,32'h3f8805b9,// invsqrt(1.0715) = 0.9661 +32'h3f5dab47,32'h3f86cde2,32'h3f8c4e73, 32'h3f82ad75,32'h3f906edf, 32'h3f77997f,32'h3f974f95,// invsqrt(0.8659) = 1.0747 +32'h3fee3fae,32'h3f37e394,32'h3f3f6508, 32'h3f32427d,32'h3f45061f, 32'h3f28e0ad,32'h3f4e67ef,// invsqrt(1.8613) = 0.7330 +32'h402685fd,32'h3f1b8811,32'h3f21e137, 32'h3f16c535,32'h3f26a413, 32'h3f0ed5c7,32'h3f2e9381,// invsqrt(2.6019) = 0.6199 +32'h3fa4e6bb,32'h3f5d08b1,32'h3f660e46, 32'h3f564483,32'h3f6cd275, 32'h3f4afd89,32'h3f78196f,// invsqrt(1.2883) = 0.8810 +32'h3fa196bf,32'h3f5f49b8,32'h3f6866da, 32'h3f5873df,32'h3f6f3cb3, 32'h3f4d0f75,32'h3f7aa11d,// invsqrt(1.2624) = 0.8900 +32'h3fd0097d,32'h3f44c9f7,32'h3f4cd236, 32'h3f3ec3c9,32'h3f52d865, 32'h3f34b97b,32'h3f5ce2b3,// invsqrt(1.6253) = 0.7844 +32'h3f3f2227,32'h3f912c73,32'h3f97195d, 32'h3f8cbac3,32'h3f9b8b0d, 32'h3f85529e,32'h3fa2f332,// invsqrt(0.7466) = 1.1573 +32'h3fabe221,32'h3f587f86,32'h3f6155b6, 32'h3f51dee3,32'h3f67f659, 32'h3f46d328,32'h3f730214,// invsqrt(1.3428) = 0.8630 +32'h3ffa7145,32'h3f335b42,32'h3f3aad59, 32'h3f2dddb0,32'h3f402aea, 32'h3f24b712,32'h3f495188,// invsqrt(1.9566) = 0.7149 +32'h3f30d5b9,32'h3f96edc5,32'h3f9d16d3, 32'h3f924efb,32'h3fa1b59d, 32'h3f8a9bab,32'h3fa968ed,// invsqrt(0.6908) = 1.2032 +32'h3f6930df,32'h3f836e86,32'h3f88cbda, 32'h3f7ed10e,32'h3f8cd1d9, 32'h3f7167bd,32'h3f938682,// invsqrt(0.9109) = 1.0478 +32'h3f771eb6,32'h3f7f5909,32'h3f84e294, 32'h3f7787f0,32'h3f88cb20, 32'h3f6a80c9,32'h3f8f4eb4,// invsqrt(0.9653) = 1.0178 +32'h3fe67ac6,32'h3f3af66f,32'h3f429800, 32'h3f353d41,32'h3f48512d, 32'h3f2bb34b,32'h3f51db23,// invsqrt(1.8006) = 0.7452 +32'h3f44c3b2,32'h3f8f14cd,32'h3f94ebdb, 32'h3f8ab383,32'h3f994d25, 32'h3f8366b2,32'h3fa099f6,// invsqrt(0.7686) = 1.1406 +32'h40502648,32'h3f0b1cfa,32'h3f10ca92, 32'h3f06dac9,32'h3f150cc3, 32'h3eff8398,32'h3f1c25c0,// invsqrt(3.2523) = 0.5545 +32'h40894eb9,32'h3ef23a4d,32'h3efc1d55, 32'h3eead007,32'h3f01c3ce, 32'h3ede743c,32'h3f07f1b3,// invsqrt(4.2909) = 0.4828 +32'h3f172411,32'h3fa34124,32'h3fa9eafc, 32'h3f9e41c2,32'h3faeea5e, 32'h3f95ed75,32'h3fb73eab,// invsqrt(0.5904) = 1.3015 +32'h40143e9f,32'h3f24d76d,32'h3f2b91d9, 32'h3f1fcb9b,32'h3f309dab, 32'h3f176293,32'h3f3906b3,// invsqrt(2.3163) = 0.6571 +32'h3f65cac5,32'h3f846671,32'h3f89cde3, 32'h3f8058db,32'h3f8ddb79, 32'h3f732f18,32'h3f949cc8,// invsqrt(0.8976) = 1.0555 +32'h3fb42a81,32'h3f53768d,32'h3f5c181f, 32'h3f4cfd5e,32'h3f62914e, 32'h3f423367,32'h3f6d5b45,// invsqrt(1.4075) = 0.8429 +32'h3ec5118f,32'h3fca30f5,32'h3fd271a6, 32'h3fc40070,32'h3fd8a22a, 32'h3fb9af92,32'h3fe2f308,// invsqrt(0.3849) = 1.6119 +32'h3f4c7f68,32'h3f8c598d,32'h3f921411, 32'h3f880dab,32'h3f965ff3, 32'h3f80e487,32'h3f9d8917,// invsqrt(0.7988) = 1.1189 +32'h3f80e802,32'h3f79ff1d,32'h3f8219a9, 32'h3f7257f5,32'h3f85ed3d, 32'h3f6596b2,32'h3f8c4ddf,// invsqrt(1.0071) = 0.9965 +32'h401c58e9,32'h3f208363,32'h3f271096, 32'h3f1b997c,32'h3f2bfa7c, 32'h3f1368fc,32'h3f342afc,// invsqrt(2.4429) = 0.6398 +32'h3ec9298c,32'h3fc81f93,32'h3fd04aa9, 32'h3fc1ff43,32'h3fd66af9, 32'h3fb7c968,32'h3fe0a0d4,// invsqrt(0.3929) = 1.5954 +32'h3ed7afe7,32'h3fc1447e,32'h3fc927f0, 32'h3fbb59e7,32'h3fcf1287, 32'h3fb17d98,32'h3fd8eed6,// invsqrt(0.4213) = 1.5407 +32'h40c655c2,32'h3ec98b71,32'h3ed1c560, 32'h3ec35ffc,32'h3ed7f0d4, 32'h3eb91791,32'h3ee2393f,// invsqrt(6.1980) = 0.4017 +32'h3fa6d21f,32'h3f5bc236,32'h3f64ba76, 32'h3f550805,32'h3f6b74a7, 32'h3f49d1b4,32'h3f76aaf8,// invsqrt(1.3033) = 0.8760 +32'h3ecd004c,32'h3fc63da0,32'h3fce550a, 32'h3fc02c11,32'h3fd46699, 32'h3fb60ecc,32'h3fde83de,// invsqrt(0.4004) = 1.5804 +32'h413e3e2c,32'h3e918355,32'h3e9773cb, 32'h3e8d0efc,32'h3e9be824, 32'h3e85a268,32'h3ea354b8,// invsqrt(11.8902) = 0.2900 +32'h3f00a3c1,32'h3fb0f514,32'h3fb82e1a, 32'h3fab8a4f,32'h3fbd98df, 32'h3fa28308,32'h3fc6a026,// invsqrt(0.5025) = 1.4107 +32'h3fbe3dbe,32'h3f4dc992,32'h3f562fd7, 32'h3f477cdf,32'h3f5c7c8b, 32'h3f3cfd09,32'h3f66fc61,// invsqrt(1.4863) = 0.8203 +32'h3f049af7,32'h3fae4a8e,32'h3fb567b8, 32'h3fa8f4ae,32'h3fbabd98, 32'h3fa01039,32'h3fc3a20d,// invsqrt(0.5180) = 1.3894 +32'h41885555,32'h3e731775,32'h3e7d0385, 32'h3e6ba66a,32'h3e823a48, 32'h3e5f3f57,32'h3e886dd2,// invsqrt(17.0417) = 0.2422 +32'h3fb926ce,32'h3f5098be,32'h3f591c5d, 32'h3f4a3606,32'h3f5f7f14, 32'h3f3f917f,32'h3f6a239b,// invsqrt(1.4465) = 0.8315 +32'h3e9a6176,32'h3fe470f5,32'h3fedc3ef, 32'h3fdd72b9,32'h3ff4c22b, 32'h3fd1cb00,32'h400034f2,// invsqrt(0.3015) = 1.8211 +32'h3d8d0042,32'h406f08bd,32'h4078ca67, 32'h4067b77e,32'h40800dd3, 32'h405b856a,32'h408626dd,// invsqrt(0.0688) = 3.8111 +32'h3f3f032e,32'h3f913837,32'h3f97259d, 32'h3f8cc62b,32'h3f9b97a9, 32'h3f855d6c,32'h3fa30068,// invsqrt(0.7461) = 1.1577 +32'h3f428271,32'h3f8fe881,32'h3f95c832, 32'h3f8b80bb,32'h3f9a2ff7, 32'h3f84291d,32'h3fa18795,// invsqrt(0.7598) = 1.1472 +32'h3f32ad16,32'h3f96262c,32'h3f9c4714, 32'h3f918d7e,32'h3fa0dfc2, 32'h3f89e45d,32'h3fa888e3,// invsqrt(0.6980) = 1.1970 +32'h3f5c9001,32'h3f872457,32'h3f8ca86f, 32'h3f830144,32'h3f90cb82, 32'h3f78384c,32'h3f97b0a0,// invsqrt(0.8616) = 1.0773 +32'h3d0e86cb,32'h40a81d9c,32'h40aefa3f, 32'h40a2f821,32'h40b41fb9, 32'h409a6455,32'h40bcb385,// invsqrt(0.0348) = 5.3608 +32'h3fadd8cf,32'h3f5745a2,32'h3f600f01, 32'h3f50ae9a,32'h3f66a608, 32'h3f45b2e2,32'h3f71a1c0,// invsqrt(1.3582) = 0.8581 +32'h3f3bb095,32'h3f927fd6,32'h3f987a9a, 32'h3f8e03c2,32'h3f9cf6ae, 32'h3f868a4c,32'h3fa47024,// invsqrt(0.7332) = 1.1679 +32'h40bd1df2,32'h3ece65ec,32'h3ed6d292, 32'h3ec8146f,32'h3edd240f, 32'h3ebd8c9f,32'h3ee7abdf,// invsqrt(5.9099) = 0.4113 +32'h3e9d4b72,32'h3fe250b9,32'h3feb8d7d, 32'h3fdb6326,32'h3ff27b10, 32'h3fcfd732,32'h3ffe0704,// invsqrt(0.3072) = 1.8042 +32'h3e653542,32'h40049198,32'h4009face, 32'h400082b0,32'h400e09b6, 32'h3ff37e5c,32'h4014cd38,// invsqrt(0.2238) = 2.1137 +32'h3f95299d,32'h3f686716,32'h3f71e374, 32'h3f6149ce,32'h3f7900bc, 32'h3f556e58,32'h3f826e19,// invsqrt(1.1653) = 0.9263 +32'h3f60bd21,32'h3f85e162,32'h3f8b584c, 32'h3f81c833,32'h3f8f717b, 32'h3f75e71d,32'h3f964620,// invsqrt(0.8779) = 1.0673 +32'h3ebc80ba,32'h3fcebbed,32'h3fd72c16, 32'h3fc867ce,32'h3fdd8034, 32'h3fbddb9a,32'h3fe80c68,// invsqrt(0.3682) = 1.6481 +32'h3fedc47c,32'h3f381332,32'h3f3f9698, 32'h3f3270a6,32'h3f453924, 32'h3f290c68,32'h3f4e9d62,// invsqrt(1.8576) = 0.7337 +32'h3ee436ff,32'h3fbbe355,32'h3fc38e92, 32'h3fb622e8,32'h3fc94f00, 32'h3fac8cdc,32'h3fd2e50c,// invsqrt(0.4457) = 1.4978 +32'h4155c800,32'h3e8944c3,32'h3e8edf15, 32'h3e851107,32'h3e9312d1, 32'h3e7c2042,32'h3e9a13b7,// invsqrt(13.3613) = 0.2736 +32'h3e82adb9,32'h3ff84ba3,32'h40013709, 32'h3ff0b1d0,32'h400503f3, 32'h3fe406c5,32'h400b5978,// invsqrt(0.2552) = 1.9794 +32'h3f8a88a2,32'h3f712741,32'h3f7aff0f, 32'h3f69c566,32'h3f813075, 32'h3f5d77a4,32'h3f875756,// invsqrt(1.0823) = 0.9612 +32'h3eceb991,32'h3fc5699a,32'h3fcd785c, 32'h3fbf5e88,32'h3fd3836e, 32'h3fb54c15,32'h3fdd95e1,// invsqrt(0.4038) = 1.5738 +32'h3ecd9be6,32'h3fc5f28e,32'h3fce06e8, 32'h3fbfe34b,32'h3fd4162b, 32'h3fb5c9dc,32'h3fde2f9b,// invsqrt(0.4016) = 1.5780 +32'h3ff2a94b,32'h3f36359b,32'h3f3da581, 32'h3f30a1ad,32'h3f43396f, 32'h3f2755cd,32'h3f4c854f,// invsqrt(1.8958) = 0.7263 +32'h40f16b86,32'h3eb6ad5e,32'h3ebe2228, 32'h3eb115c6,32'h3ec3b9c0, 32'h3ea7c3c9,32'h3ecd0bbd,// invsqrt(7.5444) = 0.3641 +32'h41811438,32'h3e79d449,32'h3e82035f, 32'h3e722e71,32'h3e85d64c, 32'h3e656f5d,32'h3e8c35d5,// invsqrt(16.1349) = 0.2490 +32'h42b064c4,32'h3dd5b65c,32'h3dde6f6f, 32'h3dcf2b8d,32'h3de4fa3d, 32'h3dc44434,32'h3defe196,// invsqrt(88.1968) = 0.1065 +32'h3e7f10dd,32'h3ffb56c7,32'h4002cc82, 32'h3ff3a51a,32'h4006a559, 32'h3fe6d24f,32'h400d0ebe,// invsqrt(0.2491) = 2.0037 +32'h3f80e4d3,32'h3f7a0233,32'h3f821b44, 32'h3f725af3,32'h3f85eee5, 32'h3f659988,32'h3f8c4f9a,// invsqrt(1.0070) = 0.9965 +32'h3e87098e,32'h3ff44160,32'h3ffe3998, 32'h3fecc736,32'h4002d9e1, 32'h3fe050f0,32'h40091504,// invsqrt(0.2637) = 1.9472 +32'h40012928,32'h3f30999a,32'h3f37cee4, 32'h3f2b31a2,32'h3f3d36dc, 32'h3f222f06,32'h3f463979,// invsqrt(2.0181) = 0.7039 +32'h3ebbe326,32'h3fcf128c,32'h3fd7863e, 32'h3fc8bbc6,32'h3fdddd04, 32'h3fbe2b28,32'h3fe86da2,// invsqrt(0.3670) = 1.6508 +32'h3ee81414,32'h3fba5147,32'h3fc1ec1b, 32'h3fb49d28,32'h3fc7a03a, 32'h3fab1b9f,32'h3fd121c3,// invsqrt(0.4533) = 1.4853 +32'h40065afa,32'h3f2d2703,32'h3f343847, 32'h3f27da10,32'h3f39853a, 32'h3f1f047b,32'h3f425acf,// invsqrt(2.0993) = 0.6902 +32'h3ef8a62c,32'h3fb4008a,32'h3fbb5960, 32'h3fae7de9,32'h3fc0dc01, 32'h3fa54edc,32'h3fca0b0e,// invsqrt(0.4856) = 1.4350 +32'h3e231621,32'h401d296e,32'h4023939c, 32'h401859cb,32'h4028633f, 32'h40105511,32'h403067f9,// invsqrt(0.1593) = 2.5058 +32'h41323a54,32'h3e96567b,32'h3e9c795c, 32'h3e91bc53,32'h3ea11385, 32'h3e8a10bb,32'h3ea8bf1d,// invsqrt(11.1392) = 0.2996 +32'h3fd44ea1,32'h3f42ccc6,32'h3f4ac03c, 32'h3f3cd62e,32'h3f50b6d4, 32'h3f32e5da,32'h3f5aa728,// invsqrt(1.6586) = 0.7765 +32'h3f8db607,32'h3f6e6f3e,32'h3f782aa4, 32'h3f6722b2,32'h3f7f7730, 32'h3f5af872,32'h3f85d0b8,// invsqrt(1.1071) = 0.9504 +32'h3ce72760,32'h40bab094,32'h40c24f4c, 32'h40b4f98a,32'h40c80656, 32'h40ab7325,32'h40d18cbb,// invsqrt(0.0282) = 5.9531 +32'h3ff684f4,32'h3f34c729,32'h3f3c281b, 32'h3f2f3e74,32'h3f41b0d0, 32'h3f260545,32'h3f4ae9ff,// invsqrt(1.9259) = 0.7206 +32'h3e2f883f,32'h40177cdf,32'h401dabc4, 32'h4012d9b4,32'h40224ef0, 32'h400b1f17,32'h402a098d,// invsqrt(0.1714) = 2.4153 +32'h3f548207,32'h3f89ade3,32'h3f8f4c7f, 32'h3f8576ef,32'h3f938373, 32'h3f7ce158,32'h3f9a89b6,// invsqrt(0.8301) = 1.0976 +32'h3f53b2b1,32'h3f89f13e,32'h3f8f929a, 32'h3f85b83a,32'h3f93cb9e, 32'h3f7d5d0f,32'h3f9ad550,// invsqrt(0.8269) = 1.0997 +32'h3fd7a5c9,32'h3f414906,32'h3f492ca8, 32'h3f3b5e4c,32'h3f4f1762, 32'h3f3181c2,32'h3f58f3ed,// invsqrt(1.6847) = 0.7704 +32'h40577d38,32'h3f08b93b,32'h3f0e4dda, 32'h3f0489c3,32'h3f127d51, 32'h3efb1ff8,32'h3f197718,// invsqrt(3.3670) = 0.5450 +32'h3f5424e5,32'h3f89cc18,32'h3f8f6bf0, 32'h3f859437,32'h3f93a3d1, 32'h3f7d18d4,32'h3f9aab9e,// invsqrt(0.8287) = 1.0985 +32'h40e4cf13,32'h3ebba4da,32'h3ec34d8a, 32'h3eb5e656,32'h3ec90c0e, 32'h3eac537a,32'h3ed29eea,// invsqrt(7.1503) = 0.3740 +32'h3f916016,32'h3f6b6911,32'h3f7504dd, 32'h3f643437,32'h3f7c39b7, 32'h3f583178,32'h3f841e3b,// invsqrt(1.1357) = 0.9383 +32'h3f95be4e,32'h3f67f396,32'h3f716b3f, 32'h3f60d9d9,32'h3f7884fd, 32'h3f550447,32'h3f822d48,// invsqrt(1.1699) = 0.9246 +32'h3f2941bc,32'h3f9a4542,32'h3fa0913a, 32'h3f958c48,32'h3fa54a34, 32'h3f8dad51,32'h3fad292b,// invsqrt(0.6612) = 1.2298 +32'h3f84377b,32'h3f76d8d4,32'h3f807611, 32'h3f6f4a5b,32'h3f843d4d, 32'h3f62b23c,32'h3f8a895d,// invsqrt(1.0329) = 0.9839 +32'h3edea361,32'h3fbe3a01,32'h3fc5fdad, 32'h3fb8673f,32'h3fcbd06f, 32'h3faeb2a8,32'h3fd58506,// invsqrt(0.4348) = 1.5165 +32'h3e9972b5,32'h3fe52268,32'h3fee7ca1, 32'h3fde1ebe,32'h3ff5804c, 32'h3fd26df8,32'h40009889,// invsqrt(0.2997) = 1.8266 +32'h3eafe07f,32'h3fd606a9,32'h3fdec304, 32'h3fcf7966,32'h3fe55048, 32'h3fc48df5,32'h3ff03bb9,// invsqrt(0.3435) = 1.7062 +32'h4214b9a7,32'h3e249330,32'h3e2b4ad4, 32'h3e1f8975,32'h3e30548f, 32'h3e1723e8,32'h3e38ba1c,// invsqrt(37.1813) = 0.1640 +32'h4014b3b9,32'h3f249678,32'h3f2b4e3e, 32'h3f1f8ca3,32'h3f305813, 32'h3f1726ec,32'h3f38bdcb,// invsqrt(2.3235) = 0.6560 +32'h40039692,32'h3f2ef6ac,32'h3f361adc, 32'h3f299b87,32'h3f3b7601, 32'h3f20ae4a,32'h3f44633e,// invsqrt(2.0561) = 0.6974 +32'h4229be2c,32'h3e1a0cac,32'h3e205654, 32'h3e15556d,32'h3e250d93, 32'h3e0d795a,32'h3e2ce9a6,// invsqrt(42.4357) = 0.1535 +32'h4022f570,32'h3f1d3931,32'h3f23a404, 32'h3f186913,32'h3f287423, 32'h3f10638c,32'h3f3079ab,// invsqrt(2.5462) = 0.6267 +32'h3f76ee16,32'h3f7f722c,32'h3f84efaa, 32'h3f77a050,32'h3f88d898, 32'h3f6a97e0,32'h3f8f5cd0,// invsqrt(0.9646) = 1.0182 +32'h3c165e72,32'h4123ac48,32'h412a5a80, 32'h411ea99f,32'h412f5d29, 32'h41164fda,32'h4137b6ee,// invsqrt(0.0092) = 10.4383 +32'h3f231f82,32'h3f9d24ea,32'h3fa38ee9, 32'h3f98556a,32'h3fa85e68, 32'h3f9050eb,32'h3fb062e7,// invsqrt(0.6372) = 1.2527 +32'h3ffe5352,32'h3f31fb65,32'h3f393f1f, 32'h3f2c8898,32'h3f3eb1ec, 32'h3f2373ef,32'h3f47c695,// invsqrt(1.9869) = 0.7094 +32'h3f29d1a0,32'h3f9a03d9,32'h3fa04d25, 32'h3f954cdf,32'h3fa5041f, 32'h3f8d713f,32'h3facdfbf,// invsqrt(0.6634) = 1.2278 +32'h3fc95b92,32'h3f4806b6,32'h3f5030c8, 32'h3f41e729,32'h3f565055, 32'h3f37b292,32'h3f6084ec,// invsqrt(1.5731) = 0.7973 +32'h3f3d58ac,32'h3f91db6a,32'h3f97cf78, 32'h3f8d645f,32'h3f9c4683, 32'h3f85f34c,32'h3fa3b796,// invsqrt(0.7396) = 1.1628 +32'h3f5994ad,32'h3f881097,32'h3f8d9e54, 32'h3f83e649,32'h3f91c8a1, 32'h3f79ea39,32'h3f98b9ce,// invsqrt(0.8499) = 1.0847 +32'h3e53a999,32'h4009f435,32'h400f95af, 32'h4005bb19,32'h4013cecb, 32'h3ffd6280,32'h401ad8a4,// invsqrt(0.2067) = 2.1995 +32'h4037d596,32'h3f140712,32'h3f1a11ce, 32'h3f0f7f04,32'h3f1e99dc, 32'h3f07f198,32'h3f262748,// invsqrt(2.8724) = 0.5900 +32'h3fad07af,32'h3f57c791,32'h3f60963f, 32'h3f512c90,32'h3f673140, 32'h3f462a37,32'h3f723399,// invsqrt(1.3518) = 0.8601 +32'h3f868325,32'h3f74bb4a,32'h3f7eb87c, 32'h3f6d3d64,32'h3f831b31, 32'h3f60c0e6,32'h3f895970,// invsqrt(1.0509) = 0.9755 +32'h3f2d48a7,32'h3f9877a9,32'h3f9eb0ca, 32'h3f93ccd0,32'h3fa35ba2, 32'h3f8c0566,32'h3fab230c,// invsqrt(0.6769) = 1.2155 +32'h3f797eed,32'h3f7e210d,32'h3f844038, 32'h3f765981,32'h3f8823fd, 32'h3f696245,32'h3f8e9f9c,// invsqrt(0.9746) = 1.0130 +32'h420a9800,32'h3e2a7bf6,32'h3e317159, 32'h3e2543ec,32'h3e36a964, 32'h3e1c9131,32'h3e3f5c1f,// invsqrt(34.6484) = 0.1699 +32'h3f8a55d9,32'h3f715381,32'h3f7b2d1d, 32'h3f69f04b,32'h3f814829, 32'h3f5da047,32'h3f87702b,// invsqrt(1.0807) = 0.9619 +32'h41a81ac2,32'h3e5aeafd,32'h3e63da75, 32'h3e543763,32'h3e6a8e0f, 32'h3e490c0d,32'h3e75b965,// invsqrt(21.0131) = 0.2182 +32'h3f00398d,32'h3fb13e4e,32'h3fb87a51, 32'h3fabd14b,32'h3fbde753, 32'h3fa2c647,32'h3fc6f257,// invsqrt(0.5009) = 1.4130 +32'h3c239285,32'h411ceda1,32'h4123555f, 32'h41181fd3,32'h4128232d, 32'h41101e26,32'h413024da,// invsqrt(0.0100) = 10.0082 +32'h4041b2db,32'h3f103589,32'h3f16185f, 32'h3f0bcb68,32'h3f1a8280, 32'h3f046fdc,32'h3f21de0c,// invsqrt(3.0265) = 0.5748 +32'h3f54222c,32'h3f89ccfb,32'h3f8f6cdb, 32'h3f859512,32'h3f93a4c4, 32'h3f7d1a74,32'h3f9aac9c,// invsqrt(0.8286) = 1.0985 +32'h3fa43f19,32'h3f5d7960,32'h3f66838e, 32'h3f56b1be,32'h3f6d4b30, 32'h3f4b6505,32'h3f7897e9,// invsqrt(1.2832) = 0.8828 +32'h3f3208a0,32'h3f966b76,32'h3f9c8f32, 32'h3f91d0a9,32'h3fa129ff, 32'h3f8a23ff,32'h3fa8d6a9,// invsqrt(0.6954) = 1.1991 +32'h3f14cf38,32'h3fa48743,32'h3fab3e6a, 32'h3f9f7de5,32'h3fb047c7, 32'h3f9718f4,32'h3fb8acb8,// invsqrt(0.5813) = 1.3116 +32'h3f7e67a7,32'h3f7baa4f,32'h3f82f7fa, 32'h3f73f614,32'h3f86d218, 32'h3f671f05,32'h3f8d3da0,// invsqrt(0.9938) = 1.0031 +32'h408ebe95,32'h3eed91e4,32'h3ef74441, 32'h3ee64c1f,32'h3efe8a07, 32'h3eda2d2b,32'h3f05547e,// invsqrt(4.4608) = 0.4735 +32'h3f0c09b2,32'h3fa99a58,32'h3fb08685, 32'h3fa46935,32'h3fb5b7a7, 32'h3f9bc1fd,32'h3fbe5edf,// invsqrt(0.5470) = 1.3521 +32'h3efbb608,32'h3fb2e768,32'h3fba34c5, 32'h3fad6d62,32'h3fbfaeca, 32'h3fa44cad,32'h3fc8cf7f,// invsqrt(0.4916) = 1.4262 +32'h3f7ca0de,32'h3f7c8c70,32'h3f836da8, 32'h3f74d148,32'h3f874b3c, 32'h3f67eeb0,32'h3f8dbc88,// invsqrt(0.9868) = 1.0067 +32'h3f8d6720,32'h3f6eb1bb,32'h3f786fd7, 32'h3f676325,32'h3f7fbe6d, 32'h3f5b3582,32'h3f85f608,// invsqrt(1.1047) = 0.9514 +32'h40e095f8,32'h3ebd6663,32'h3ec5216d, 32'h3eb79a1c,32'h3ecaedb4, 32'h3eadf051,32'h3ed4977f,// invsqrt(7.0183) = 0.3775 +32'h3d4c1e9e,32'h408c7ad0,32'h409236ae, 32'h40882de9,32'h40968395, 32'h40810312,32'h409dae6c,// invsqrt(0.0498) = 4.4796 +32'h3f2846bb,32'h3f9ab826,32'h3fa108ce, 32'h3f95fba7,32'h3fa5c54d, 32'h3f8e16d4,32'h3fadaa20,// invsqrt(0.6573) = 1.2334 +32'h3f1e46b0,32'h3f9f883e,32'h3fa60b30, 32'h3f9aa607,32'h3faaed67, 32'h3f928258,32'h3fb31116,// invsqrt(0.6183) = 1.2718 +32'h3e3a3584,32'h401314a8,32'h40191580, 32'h400e9406,32'h401d9622, 32'h400712f8,32'h40251730,// invsqrt(0.1818) = 2.3450 +32'h3eca6110,32'h3fc78552,32'h3fcfaa1c, 32'h3fc169bb,32'h3fd5c5b3, 32'h3fb73bbe,32'h3fdff3b0,// invsqrt(0.3953) = 1.5906 +32'h408e5af9,32'h3eede4f4,32'h3ef79ab4, 32'h3ee69ca3,32'h3efee305, 32'h3eda7972,32'h3f05831b,// invsqrt(4.4486) = 0.4741 +32'h3de7f9b8,32'h403a5bdd,32'h4041f71f, 32'h4034a76b,32'h4047ab91, 32'h402b2558,32'h40512da4,// invsqrt(0.1133) = 2.9713 +32'h3e97c897,32'h3fe6632b,32'h3fefca7b, 32'h3fdf55af,32'h3ff6d7f7, 32'h3fd3948b,32'h40014c8e,// invsqrt(0.2965) = 1.8366 +32'h3f2ee1bf,32'h3f97c4eb,32'h3f9df6c1, 32'h3f931f8b,32'h3fa29c21, 32'h3f8b6141,32'h3faa5a6b,// invsqrt(0.6831) = 1.2099 +32'h3eae070c,32'h3fd72907,32'h3fdff13b, 32'h3fd092df,32'h3fe68763, 32'h3fc5989e,32'h3ff181a4,// invsqrt(0.3399) = 1.7152 +32'h410f109c,32'h3ea7cc8f,32'h3eaea5e3, 32'h3ea2a990,32'h3eb3c8e2, 32'h3e9a19e6,32'h3ebc588c,// invsqrt(8.9416) = 0.3344 +32'h3f4341e8,32'h3f8fa1e1,32'h3f957eb1, 32'h3f8b3c45,32'h3f99e44d, 32'h3f83e842,32'h3fa13850,// invsqrt(0.7627) = 1.1450 +32'h3ff0d9ab,32'h3f36e4a6,32'h3f3e5bb2, 32'h3f314b5d,32'h3f43f4fb, 32'h3f27f68e,32'h3f4d49ca,// invsqrt(1.8816) = 0.7290 +32'h3db6cd4e,32'h4051eed5,32'h405a806b, 32'h404b81a5,32'h4060ed9b, 32'h4040cbaa,32'h406ba396,// invsqrt(0.0893) = 3.3471 +32'h3eb51ac0,32'h3fd2ea1c,32'h3fdb85f4, 32'h3fcc753b,32'h3fe1fad5, 32'h3fc1b26e,32'h3fecbda2,// invsqrt(0.3537) = 1.6814 +32'h3fb547e4,32'h3f52cfd8,32'h3f5b6a9c, 32'h3f4c5bc4,32'h3f61deb0, 32'h3f419a4e,32'h3f6ca026,// invsqrt(1.4163) = 0.8403 +32'h40222df3,32'h3f1d99c6,32'h3f24088a, 32'h3f18c6b3,32'h3f28db9d, 32'h3f10bc3e,32'h3f30e612,// invsqrt(2.5341) = 0.6282 +32'h40e4046b,32'h3ebbf82b,32'h3ec3a441, 32'h3eb6371a,32'h3ec96552, 32'h3eac9ffd,32'h3ed2fc6f,// invsqrt(7.1255) = 0.3746 +32'h40d96e03,32'h3ec07dd3,32'h3ec8592a, 32'h3eba9952,32'h3ece3dac, 32'h3eb0c726,32'h3ed80fd9,// invsqrt(6.7947) = 0.3836 +32'h3ecd2191,32'h3fc62d8c,32'h3fce444e, 32'h3fc01c7b,32'h3fd4555f, 32'h3fb60008,32'h3fde71d2,// invsqrt(0.4006) = 1.5799 +32'h3fde75e6,32'h3f3e4d72,32'h3f4611ea, 32'h3f387a18,32'h3f4be544, 32'h3f2ec483,32'h3f559ad9,// invsqrt(1.7380) = 0.7585 +32'h40203938,32'h3f1e8f4b,32'h3f250814, 32'h3f19b4b3,32'h3f29e2ab, 32'h3f119db7,32'h3f31f9a7,// invsqrt(2.5035) = 0.6320 +32'h3f897114,32'h3f721c05,32'h3f7bfdd1, 32'h3f6ab2ac,32'h3f81b395, 32'h3f5e586d,32'h3f87e0b4,// invsqrt(1.0738) = 0.9650 +32'h4009e495,32'h3f2aeabc,32'h3f31e4a4, 32'h3f25af4e,32'h3f372012, 32'h3f1cf6eb,32'h3f3fd875,// invsqrt(2.1546) = 0.6813 +32'h3fbcfe04,32'h3f4e775b,32'h3f56e4b7, 32'h3f482555,32'h3f5d36bd, 32'h3f3d9ca2,32'h3f67bf70,// invsqrt(1.4765) = 0.8230 +32'h3dc20468,32'h404bc666,32'h405417a4, 32'h40458978,32'h405a5492, 32'h403b23eb,32'h4064ba1f,// invsqrt(0.0947) = 3.2490 +32'h3f673dcd,32'h3f83fc0e,32'h3f895f28, 32'h3f7fe373,32'h3f8d697c, 32'h3f726bb1,32'h3f94255e,// invsqrt(0.9033) = 1.0522 +32'h3f7fce5b,32'h3f7af99f,32'h3f829c07, 32'h3f734acb,32'h3f867370, 32'h3f667cc1,32'h3f8cda76,// invsqrt(0.9992) = 1.0004 +32'h3fb7893b,32'h3f51833f,32'h3f5a1071, 32'h3f4b195a,32'h3f607a56, 32'h3f4068dc,32'h3f6b2ad4,// invsqrt(1.4339) = 0.8351 +32'h3fafe641,32'h3f560329,32'h3f5ebf5f, 32'h3f4f7601,32'h3f654c87, 32'h3f448abd,32'h3f7037cb,// invsqrt(1.3742) = 0.8530 +32'h3f81fdad,32'h3f78f38d,32'h3f818e6b, 32'h3f715496,32'h3f855de7, 32'h3f64a0fa,32'h3f8bb7b5,// invsqrt(1.0156) = 0.9923 +32'h40467f4d,32'h3f0e7492,32'h3f144515, 32'h3f0a182f,32'h3f18a177, 32'h3f02d38b,32'h3f1fe61b,// invsqrt(3.1015) = 0.5678 +32'h3ffa75b7,32'h3f3359aa,32'h3f3aabb1, 32'h3f2ddc25,32'h3f402937, 32'h3f24b59d,32'h3f494fbf,// invsqrt(1.9567) = 0.7149 +32'h3f826293,32'h3f789327,32'h3f815c41, 32'h3f70f723,32'h3f852a42, 32'h3f644872,32'h3f8b819b,// invsqrt(1.0186) = 0.9908 +32'h3f4c48fc,32'h3f8c6c3e,32'h3f922784, 32'h3f881fc9,32'h3f9673f9, 32'h3f80f5b1,32'h3f9d9e11,// invsqrt(0.7980) = 1.1194 +32'h3fe6ad4d,32'h3f3ae1f4,32'h3f4282af, 32'h3f352967,32'h3f483b3b, 32'h3f2ba07c,32'h3f51c426,// invsqrt(1.8022) = 0.7449 +32'h3f61aa04,32'h3f859b0b,32'h3f8b0f15, 32'h3f818402,32'h3f8f261e, 32'h3f7565ea,32'h3f95f72b,// invsqrt(0.8815) = 1.0651 +32'h3ea4596e,32'h3fdd67a2,32'h3fe67116, 32'h3fd6a08b,32'h3fed382d, 32'h3fcb54b9,32'h3ff883ff,// invsqrt(0.3210) = 1.7650 +32'h3faafaed,32'h3f5911b5,32'h3f61eddb, 32'h3f526c98,32'h3f6892f8, 32'h3f475967,32'h3f73a629,// invsqrt(1.3358) = 0.8652 +32'h3fdf0c58,32'h3f3e0d39,32'h3f45cf11, 32'h3f383bd6,32'h3f4ba074, 32'h3f2e8988,32'h3f5552c2,// invsqrt(1.7426) = 0.7575 +32'h3ed5cfec,32'h3fc21cf2,32'h3fca093b, 32'h3fbc2bbc,32'h3fcffa72, 32'h3fb24462,32'h3fd9e1cd,// invsqrt(0.4176) = 1.5475 +32'h3f9c4879,32'h3f630bef,32'h3f6c5057, 32'h3f5c18a1,32'h3f7343a5, 32'h3f508320,32'h3f7ed927,// invsqrt(1.2210) = 0.9050 +32'h40058b94,32'h3f2dad44,32'h3f34c402, 32'h3f285c34,32'h3f3a1512, 32'h3f1f7fc6,32'h3f42f180,// invsqrt(2.0866) = 0.6923 +32'h4023560b,32'h3f1d0aab,32'h3f237398, 32'h3f183bfa,32'h3f28424a, 32'h3f1038d2,32'h3f304572,// invsqrt(2.5521) = 0.6260 +32'h402dd76d,32'h3f183900,32'h3f1e6f92, 32'h3f139012,32'h3f231880, 32'h3f0bcbdb,32'h3f2adcb7,// invsqrt(2.7163) = 0.6068 +32'h3e092194,32'h402b6417,32'h403262f3, 32'h402624f2,32'h4037a218, 32'h401d665e,32'h404060ac,// invsqrt(0.1339) = 2.7326 +32'h402d2a0b,32'h3f188522,32'h3f1ebed0, 32'h3f13d9e0,32'h3f236a12, 32'h3f0c11c6,32'h3f2b322c,// invsqrt(2.7057) = 0.6079 +32'h3d71e3c8,32'h40810bfc,32'h40865064, 32'h407a315b,32'h408a43b2, 32'h406d0656,32'h4090d935,// invsqrt(0.0591) = 4.1150 +32'h3faec316,32'h3f56b526,32'h3f5f78a0, 32'h3f50228b,32'h3f660b3b, 32'h3f452e33,32'h3f70ff93,// invsqrt(1.3653) = 0.8558 +32'h3eef62d6,32'h3fb7739e,32'h3fbef080, 32'h3fb1d5f5,32'h3fc48e29, 32'h3fa879da,32'h3fcdea44,// invsqrt(0.4676) = 1.4625 +32'h3e0893dc,32'h402bbcec,32'h4032bf68, 32'h40267b0e,32'h40380146, 32'h401db7f3,32'h4040c461,// invsqrt(0.1334) = 2.7382 +32'h3fe3b1b8,32'h3f3c1a4b,32'h3f43c7c6, 32'h3f36582e,32'h3f4989e2, 32'h3f2cbf54,32'h3f5322bc,// invsqrt(1.7789) = 0.7498 +32'h3f8e7034,32'h3f6dd338,32'h3f778840, 32'h3f668b73,32'h3f7ed005, 32'h3f5a6929,32'h3f857927,// invsqrt(1.1128) = 0.9480 +32'h3f46f461,32'h3f8e4aa1,32'h3f94196f, 32'h3f89ef88,32'h3f987488, 32'h3f82ad07,32'h3f9fb709,// invsqrt(0.7772) = 1.1343 +32'h3f63beb2,32'h3f84fe70,32'h3f8a6c16, 32'h3f80ec33,32'h3f8e7e53, 32'h3f744645,32'h3f954763,// invsqrt(0.8896) = 1.0602 +32'h407afdc2,32'h3efd5ef4,32'h3f03db36, 32'h3ef59d5a,32'h3f07bc03, 32'h3ee8b005,32'h3f0e32ae,// invsqrt(3.9217) = 0.5050 +32'h3f8b2ad7,32'h3f709a8e,32'h3f7a6c9e, 32'h3f693d02,32'h3f80e515, 32'h3f5cf66d,32'h3f87085f,// invsqrt(1.0872) = 0.9590 +32'h3f5f17b7,32'h3f865f99,32'h3f8bdbaa, 32'h3f82428d,32'h3f8ff8b7, 32'h3f76cef0,32'h3f96d3cc,// invsqrt(0.8715) = 1.0712 +32'h41bfd583,32'h3e4cee67,32'h3e554bb9, 32'h3e46a869,32'h3e5b91b7, 32'h3e3c33c2,32'h3e66065e,// invsqrt(23.9793) = 0.2042 +32'h3ecfe526,32'h3fc4db2a,32'h3fcce41c, 32'h3fbed474,32'h3fd2ead2, 32'h3fb4c946,32'h3fdcf600,// invsqrt(0.4060) = 1.5693 +32'h403db97c,32'h3f11b62e,32'h3f17a8b8, 32'h3f0d4047,32'h3f1c1e9f, 32'h3f05d11b,32'h3f238dcb,// invsqrt(2.9644) = 0.5808 +32'h3d7f735d,32'h407b264e,32'h4082b347, 32'h4073761c,32'h40868b60, 32'h4066a5c9,32'h408cf389,// invsqrt(0.0624) = 4.0043 +32'h3f9008ca,32'h3f6c80f5,32'h3f76282f, 32'h3f65438b,32'h3f7d6599, 32'h3f593283,32'h3f84bb50,// invsqrt(1.1253) = 0.9427 +32'h3d9cb6b2,32'h4062bc08,32'h406bfd2d, 32'h405bcb2d,32'h4072ee09, 32'h405039bf,32'h407e7f77,// invsqrt(0.0765) = 3.6150 +32'h3ff56686,32'h3f35308b,32'h3f3c95cb, 32'h3f2fa49c,32'h3f4221ba, 32'h3f26660d,32'h3f4b6049,// invsqrt(1.9172) = 0.7222 +32'h3f20dbd6,32'h3f9e3f11,32'h3fa4b495, 32'h3f9966ef,32'h3fa98cb7, 32'h3f91540b,32'h3fb19f9b,// invsqrt(0.6284) = 1.2615 +32'h3eaff5c7,32'h3fd5f9b8,32'h3fdeb58b, 32'h3fcf6cd9,32'h3fe54269, 32'h3fc48211,32'h3ff02d31,// invsqrt(0.3437) = 1.7058 +32'h3eee68c0,32'h3fb7d3bd,32'h3fbf548b, 32'h3fb23322,32'h3fc4f526, 32'h3fa8d220,32'h3fce5628,// invsqrt(0.4656) = 1.4655 +32'h3f34eb15,32'h3f95373e,32'h3f9b4e66, 32'h3f90a5e1,32'h3f9fdfc3, 32'h3f8908f0,32'h3fa77cb4,// invsqrt(0.7067) = 1.1895 +32'h3f8c5917,32'h3f6f96ee,32'h3f795e65, 32'h3f684154,32'h3f8059ff, 32'h3f5c07fe,32'h3f8676aa,// invsqrt(1.0965) = 0.9550 +32'h3f97ed92,32'h3f66471f,32'h3f6fad4b, 32'h3f5f3a7f,32'h3f76b9eb, 32'h3f537ac9,32'h3f813cd0,// invsqrt(1.1869) = 0.9179 +32'h3f8994a3,32'h3f71fcba,32'h3f7bdd3e, 32'h3f6a9456,32'h3f81a2d1, 32'h3f5e3bb0,32'h3f87cf24,// invsqrt(1.0748) = 0.9646 +32'h3f381bfa,32'h3f93eac3,32'h3f99f458, 32'h3f8f6393,32'h3f9e7b87, 32'h3f87d798,32'h3fa60782,// invsqrt(0.7192) = 1.1792 +32'h422c66b6,32'h3e18db71,32'h3e1f18a5, 32'h3e142d8a,32'h3e23c68c, 32'h3e0c610a,32'h3e2b930c,// invsqrt(43.1003) = 0.1523 +32'h3e649ca1,32'h4004bdd2,32'h400a28d6, 32'h4000ad90,32'h400e3918, 32'h3ff3cf97,32'h4014fedc,// invsqrt(0.2233) = 2.1164 +32'h4040e30d,32'h3f108322,32'h3f166924, 32'h3f0c16a1,32'h3f1ad5a5, 32'h3f04b720,32'h3f223526,// invsqrt(3.0139) = 0.5760 +32'h3ee25909,32'h3fbca94d,32'h3fc45c9f, 32'h3fb6e2d0,32'h3fca231c, 32'h3fad42aa,32'h3fd3c342,// invsqrt(0.4421) = 1.5040 +32'h3f5f7a3d,32'h3f8641f7,32'h3f8bbcd3, 32'h3f8225d3,32'h3f8fd8f7, 32'h3f769883,32'h3f96b289,// invsqrt(0.8730) = 1.0703 +32'h3f4532a2,32'h3f8eec88,32'h3f94c1f0, 32'h3f8a8c79,32'h3f9921ff, 32'h3f8341b6,32'h3fa06cc2,// invsqrt(0.7703) = 1.1394 +32'h406906c0,32'h3f037a66,32'h3f08d836, 32'h3efee814,32'h3f0cde92, 32'h3ef17d8c,32'h3f1393d6,// invsqrt(3.6410) = 0.5241 +32'h3e7f53bb,32'h3ffb35dc,32'h4002bb60, 32'h3ff38530,32'h400693b6, 32'h3fe6b413,32'h400cfc44,// invsqrt(0.2493) = 2.0026 +32'h40a77360,32'h3edb584c,32'h3ee44c3a, 32'h3ed4a159,32'h3eeb032d, 32'h3ec97070,32'h3ef63417,// invsqrt(5.2328) = 0.4372 +32'h3fcaf678,32'h3f473bd5,32'h3f4f5d9f, 32'h3f41227e,32'h3f5576f6, 32'h3f36f841,32'h3f5fa133,// invsqrt(1.5856) = 0.7941 +32'h3eff238c,32'h3fb1b2b5,32'h3fb8f379, 32'h3fac4223,32'h3fbe640b, 32'h3fa3312e,32'h3fc77500,// invsqrt(0.4983) = 1.4166 +32'h3ffd90e8,32'h3f323f93,32'h3f398617, 32'h3f2ccab1,32'h3f3efaf9, 32'h3f23b28c,32'h3f48131e,// invsqrt(1.9810) = 0.7105 +32'h3d4d39e0,32'h408c19bc,32'h4091d1a4, 32'h4087cfce,32'h40961b92, 32'h4080a9eb,32'h409d4175,// invsqrt(0.0501) = 4.4675 +32'h3f29de75,32'h3f99fe07,32'h3fa04717, 32'h3f95473b,32'h3fa4fde3, 32'h3f8d6be7,32'h3facd937,// invsqrt(0.6636) = 1.2276 +32'h3f8d4ec1,32'h3f6ec64f,32'h3f788543, 32'h3f677719,32'h3f7fd479, 32'h3f5b4868,32'h3f860195,// invsqrt(1.1040) = 0.9517 +32'h3fc9bd74,32'h3f47d629,32'h3f4ffe40, 32'h3f41b819,32'h3f561c51, 32'h3f3785fc,32'h3f604e6e,// invsqrt(1.5761) = 0.7965 +32'h3f2f6334,32'h3f978cde,32'h3f9dbc6a, 32'h3f92e935,32'h3fa26013, 32'h3f8b2dc7,32'h3faa1b81,// invsqrt(0.6851) = 1.2081 +32'h3ef9e407,32'h3fb38dea,32'h3fbae213, 32'h3fae0ecc,32'h3fc06132, 32'h3fa4e599,32'h3fc98a65,// invsqrt(0.4881) = 1.4314 +32'h3f8fc248,32'h3f6cbaed,32'h3f766484, 32'h3f657bbd,32'h3f7da3b5, 32'h3f5967c0,32'h3f84dbd9,// invsqrt(1.1231) = 0.9436 +32'h3f92d1cb,32'h3f6a3ff0,32'h3f73cf9c, 32'h3f63142f,32'h3f7afb5d, 32'h3f572099,32'h3f83777a,// invsqrt(1.1470) = 0.9337 +32'h3fbaf049,32'h3f4f98e3,32'h3f581211, 32'h3f493e01,32'h3f5e6cf3, 32'h3f3ea687,32'h3f69046d,// invsqrt(1.4605) = 0.8275 +32'h3f466a52,32'h3f8e7c1a,32'h3f944cec, 32'h3f8a1f7c,32'h3f98a98a, 32'h3f82da76,32'h3f9fee90,// invsqrt(0.7751) = 1.1359 +32'h3f6ce24e,32'h3f826736,32'h3f87b9cb, 32'h3f7cd28f,32'h3f8bb7bb, 32'h3f6f841b,32'h3f925ef4,// invsqrt(0.9253) = 1.0396 +32'h3fb0593b,32'h3f55bd59,32'h3f5e76b5, 32'h3f4f3253,32'h3f6501bb, 32'h3f444aa0,32'h3f6fe96e,// invsqrt(1.3777) = 0.8520 +32'h40df509c,32'h3ebdf02a,32'h3ec5b0d3, 32'h3eb81fac,32'h3ecb8152, 32'h3eae6ed9,32'h3ed53225,// invsqrt(6.9786) = 0.3785 +32'h3eea75f1,32'h3fb95e58,32'h3fc0ef41, 32'h3fb3b1a8,32'h3fc69bf0, 32'h3faa3c84,32'h3fd01114,// invsqrt(0.4579) = 1.4777 +32'h3e27df7d,32'h401ae7b2,32'h40213a4c, 32'h401629bf,32'h4025f83f, 32'h400e427f,32'h402ddf7f,// invsqrt(0.1639) = 2.4698 +32'h40999a75,32'h3ee504c1,32'h3eee5dc3, 32'h3ede01ff,32'h3ef56085, 32'h3ed252bb,32'h3f0087e4,// invsqrt(4.8001) = 0.4564 +32'h3f532a07,32'h3f8a1dda,32'h3f8fc108, 32'h3f85e378,32'h3f93fb6a, 32'h3f7daeff,32'h3f9b0763,// invsqrt(0.8249) = 1.1011 +32'h3fa407e6,32'h3f5d9ea1,32'h3f66aa54, 32'h3f56d5db,32'h3f6d731b, 32'h3f4b873c,32'h3f78c1bb,// invsqrt(1.2815) = 0.8834 +32'h3fe5d387,32'h3f3b3a69,32'h3f42dec1, 32'h3f357f27,32'h3f489a03, 32'h3f2bf1b9,32'h3f522771,// invsqrt(1.7955) = 0.7463 +32'h3f160a01,32'h3fa3da50,32'h3faa8a68, 32'h3f9ed63e,32'h3faf8e7a, 32'h3f967a20,32'h3fb7ea98,// invsqrt(0.5861) = 1.3062 +32'h40041642,32'h3f2ea206,32'h3f35c2c2, 32'h3f294979,32'h3f3b1b4f, 32'h3f20608d,32'h3f44043b,// invsqrt(2.0639) = 0.6961 +32'h42175701,32'h3e2325a9,32'h3e29ce61, 32'h3e1e271e,32'h3e2eccec, 32'h3e15d438,32'h3e371fd2,// invsqrt(37.8350) = 0.1626 +32'h3f597ca0,32'h3f88181c,32'h3f8da628, 32'h3f83ed94,32'h3f91d0b0, 32'h3f79f80a,32'h3f98c23f,// invsqrt(0.8496) = 1.0849 +32'h3f8d7d63,32'h3f6e9ef3,32'h3f785c4b, 32'h3f6750f1,32'h3f7faa4d, 32'h3f5b2442,32'h3f85eb7e,// invsqrt(1.1054) = 0.9511 +32'h3ec6fc84,32'h3fc936eb,32'h3fd16d68, 32'h3fc30e0e,32'h3fd79646, 32'h3fb8c9f3,32'h3fe1da61,// invsqrt(0.3886) = 1.6041 +32'h3f30870d,32'h3f970f63,32'h3f9d39d0, 32'h3f926f92,32'h3fa1d9a2, 32'h3f8aba8b,32'h3fa98ea9,// invsqrt(0.6896) = 1.2042 +32'h3f80c077,32'h3f7a257e,32'h3f822da2, 32'h3f727d29,32'h3f8601cd, 32'h3f65b9f1,32'h3f8c6369,// invsqrt(1.0059) = 0.9971 +32'h3f6f870e,32'h3f81ae7c,32'h3f86f986, 32'h3f7b6c68,32'h3f8af1ce, 32'h3f6e30cf,32'h3f918f9b,// invsqrt(0.9357) = 1.0338 +32'h42a61fbb,32'h3ddc3814,32'h3de53525, 32'h3dd57a48,32'h3debf2f2, 32'h3dca3df4,32'h3df72f46,// invsqrt(83.0620) = 0.1097 +32'h3e843c6e,32'h3ff6d436,32'h400073a9, 32'h3fef45e1,32'h40043ad4, 32'h3fe2adfd,32'h400a86c5,// invsqrt(0.2583) = 1.9677 +32'h3f49c42b,32'h3f8d4bee,32'h3f931056, 32'h3f88f8a0,32'h3f9763a4, 32'h3f81c31f,32'h3f9e9925,// invsqrt(0.7881) = 1.1264 +32'h3f5d137e,32'h3f86fc20,32'h3f8c7e95, 32'h3f82da4a,32'h3f90a06c, 32'h3f77ee71,32'h3f97837e,// invsqrt(0.8636) = 1.0761 +32'h42b45781,32'h3dd35c29,32'h3ddbfca9, 32'h3dcce3ca,32'h3de27508, 32'h3dc21b2b,32'h3ded3da7,// invsqrt(90.1709) = 0.1053 +32'h3fd3af17,32'h3f431620,32'h3f4b0c95, 32'h3f3d1d4a,32'h3f51056c, 32'h3f332938,32'h3f5af97e,// invsqrt(1.6538) = 0.7776 +32'h3ea40dde,32'h3fdd9a99,32'h3fe6a621, 32'h3fd6d1f2,32'h3fed6ec8, 32'h3fcb8387,32'h3ff8bd33,// invsqrt(0.3204) = 1.7666 +32'h3ede656c,32'h3fbe547e,32'h3fc61940, 32'h3fb880ed,32'h3fcbecd1, 32'h3faecafc,32'h3fd5a2c2,// invsqrt(0.4344) = 1.5173 +32'h419b96cf,32'h3e638d6b,32'h3e6cd71b, 32'h3e5c9626,32'h3e73ce60, 32'h3e50fa09,32'h3e7f6a7d,// invsqrt(19.4486) = 0.2268 +32'h3f11c5a2,32'h3fa63bd1,32'h3fad04ca, 32'h3fa12517,32'h3fb21b85, 32'h3f98a9e0,32'h3fba96bc,// invsqrt(0.5694) = 1.3252 +32'h40cee5a9,32'h3ec55490,32'h3ecd6276, 32'h3ebf4a23,32'h3ed36ce3, 32'h3eb538c3,32'h3edd7e43,// invsqrt(6.4655) = 0.3933 +32'h3e87bdb1,32'h3ff39f18,32'h3ffd90b0, 32'h3fec29e5,32'h400282f1, 32'h3fdfbbe7,32'h4008b9f1,// invsqrt(0.2651) = 1.9421 +32'h3fc14513,32'h3f4c2b2b,32'h3f548085, 32'h3f45eb27,32'h3f5ac089, 32'h3f3b8076,32'h3f652b3a,// invsqrt(1.5099) = 0.8138 +32'h3e0d8ac6,32'h4028b304,32'h402f95c0, 32'h402388f7,32'h4034bfcd, 32'h401aed8b,32'h403d5b39,// invsqrt(0.1382) = 2.6897 +32'h406edd19,32'h3f01dc96,32'h3f072982, 32'h3efbc5ca,32'h3f0b2333, 32'h3eee857c,32'h3f11c35a,// invsqrt(3.7322) = 0.5176 +32'h3f04eefb,32'h3fae1372,32'h3fb52e5c, 32'h3fa8bf42,32'h3fba828c, 32'h3f9fdd9d,32'h3fc36431,// invsqrt(0.5193) = 1.3877 +32'h3fa06e0f,32'h3f6017d1,32'h3f693d5c, 32'h3f593ba8,32'h3f701984, 32'h3f4dccba,32'h3f7b8872,// invsqrt(1.2534) = 0.8932 +32'h3edb3b14,32'h3fbfb2fe,32'h3fc7860e, 32'h3fb9d4b2,32'h3fcd645a, 32'h3fb00cdf,32'h3fd72c2d,// invsqrt(0.4282) = 1.5282 +32'h3fc7a5c2,32'h3f48e190,32'h3f511491, 32'h3f42bb50,32'h3f573ad2, 32'h3f387b8f,32'h3f617a93,// invsqrt(1.5597) = 0.8007 +32'h3d018b58,32'h40b056a0,32'h40b7892e, 32'h40aaf0b5,32'h40bcef19, 32'h40a1f183,32'h40c5ee4b,// invsqrt(0.0316) = 5.6230 +32'h42156fea,32'h3e242eb5,32'h3e2ae23f, 32'h3e1f280e,32'h3e2fe8e6, 32'h3e16c7a1,32'h3e384953,// invsqrt(37.3593) = 0.1636 +32'h3f808df1,32'h3f7a56a1,32'h3f824734, 32'h3f72accb,32'h3f861c1f, 32'h3f65e711,32'h3f8c7efc,// invsqrt(1.0043) = 0.9978 +32'h3f38a78f,32'h3f93b2d0,32'h3f99ba1c, 32'h3f8f2d56,32'h3f9e3f96, 32'h3f87a437,32'h3fa5c8b5,// invsqrt(0.7213) = 1.1774 +32'h3ea0872e,32'h3fe00647,32'h3fe92b1b, 32'h3fd92aa8,32'h3ff006ba, 32'h3fcdbc9f,32'h3ffb74c3,// invsqrt(0.3135) = 1.7859 +32'h3df95329,32'h4033c20d,32'h403b1857, 32'h402e4156,32'h4040990e, 32'h4025157a,32'h4049c4ea,// invsqrt(0.1217) = 2.8660 +32'h3eb748d8,32'h3fd1a809,32'h3fda36bb, 32'h3fcb3d04,32'h3fe0a1c0, 32'h3fc08aa5,32'h3feb541f,// invsqrt(0.3580) = 1.6714 +32'h4100db78,32'h3eb0cecf,32'h3eb80645, 32'h3eab6536,32'h3ebd6fde, 32'h3ea25fe3,32'h3ec67531,// invsqrt(8.0536) = 0.3524 +32'h408d5e87,32'h3eeeb8fd,32'h3ef87765, 32'h3ee76a2f,32'h3effc633, 32'h3edb3c2c,32'h3f05fa1b,// invsqrt(4.4178) = 0.4758 +32'h405e61d1,32'h3f069683,32'h3f0c14d1, 32'h3f0277c8,32'h3f10338c, 32'h3ef733cc,32'h3f17116e,// invsqrt(3.4747) = 0.5365 +32'h3f870d32,32'h3f743e15,32'h3f7e362b, 32'h3f6cc405,32'h3f82d81e, 32'h3f604dea,32'h3f89132b,// invsqrt(1.0551) = 0.9735 +32'h3f48ad84,32'h3f8dade6,32'h3f93764e, 32'h3f895799,32'h3f97cc9b, 32'h3f821d17,32'h3f9f071d,// invsqrt(0.7839) = 1.1295 +32'h3ef7f993,32'h3fb43f23,32'h3fbb9a88, 32'h3faeba98,32'h3fc11f14, 32'h3fa5885a,32'h3fca5152,// invsqrt(0.4843) = 1.4369 +32'h3fb55f7d,32'h3f52c221,32'h3f5b5c56, 32'h3f4c4e78,32'h3f61cffe, 32'h3f418db5,32'h3f6c90c1,// invsqrt(1.4170) = 0.8401 +32'h4045d963,32'h3f0eb040,32'h3f148333, 32'h3f0a520a,32'h3f18e16a, 32'h3f030a5b,32'h3f202919,// invsqrt(3.0914) = 0.5688 +32'h3f199d9c,32'h3fa1ef20,32'h3fa88b2c, 32'h3f9cfa17,32'h3fad8035, 32'h3f94b709,32'h3fb5c343,// invsqrt(0.6001) = 1.2909 +32'h3f57496c,32'h3f88c9ac,32'h3f8e5ef7, 32'h3f8499b4,32'h3f928ef0, 32'h3f7b3e2d,32'h3f99898d,// invsqrt(0.8410) = 1.0905 +32'h3fe1b767,32'h3f3ceccd,32'h3f44a2e0, 32'h3f37243f,32'h3f4a6b6f, 32'h3f2d80a8,32'h3f540f06,// invsqrt(1.7634) = 0.7530 +32'h3ed51d3e,32'h3fc26e41,32'h3fca5ddb, 32'h3fbc7a8d,32'h3fd0518f, 32'h3fb28f0d,32'h3fda3d0f,// invsqrt(0.4162) = 1.5500 +32'h3ea004b6,32'h3fe06189,32'h3fe98a16, 32'h3fd9831e,32'h3ff06880, 32'h3fce106e,32'h3ffbdb31,// invsqrt(0.3125) = 1.7888 +32'h4066ad50,32'h3f04255d,32'h3f098a28, 32'h3f0019c6,32'h3f0d95c0, 32'h3ef2b792,32'h3f1453bd,// invsqrt(3.6043) = 0.5267 +32'h3f731e8d,32'h3f80b857,32'h3f85f955, 32'h3f798f30,32'h3f89ea14, 32'h3f6c6cb4,32'h3f907b52,// invsqrt(0.9497) = 1.0261 +32'h3f032f6c,32'h3faf3b68,32'h3fb66266, 32'h3fa9de28,32'h3fbbbfa6, 32'h3fa0ed6a,32'h3fc4b065,// invsqrt(0.5124) = 1.3969 +32'h3e0082d1,32'h40310bc0,32'h403845b3, 32'h402ba04a,32'h403db12a, 32'h402297db,32'h4046b999,// invsqrt(0.1255) = 2.8228 +32'h3f45a32b,32'h3f8ec3d1,32'h3f949791, 32'h3f8a6502,32'h3f98f660, 32'h3f831c52,32'h3fa03f10,// invsqrt(0.7720) = 1.1381 +32'h3fdbc21b,32'h3f3f7810,32'h3f4748b8, 32'h3f399b92,32'h3f4d2536, 32'h3f2fd6c0,32'h3f56ea08,// invsqrt(1.7169) = 0.7632 +32'h421b351f,32'h3e2119fe,32'h3e27ad56, 32'h3e1c2b7b,32'h3e2c9bd9, 32'h3e13f34c,32'h3e34d408,// invsqrt(38.8019) = 0.1605 +32'h3fe3ed02,32'h3f3c01d2,32'h3f43ae4e, 32'h3f364076,32'h3f496faa, 32'h3f2ca8db,32'h3f530745,// invsqrt(1.7807) = 0.7494 +32'h40025bc0,32'h3f2fc973,32'h3f36f63e, 32'h3f2a67da,32'h3f3c57d6, 32'h3f216fdc,32'h3f454fd4,// invsqrt(2.0368) = 0.7007 +32'h408ad4cc,32'h3ef0e512,32'h3efaba2c, 32'h3ee9853d,32'h3f010d00, 32'h3edd3adc,32'h3f073231,// invsqrt(4.3385) = 0.4801 +32'h40892b8a,32'h3ef2595c,32'h3efc3da8, 32'h3eeaee22,32'h3f01d471, 32'h3ede90c2,32'h3f080321,// invsqrt(4.2866) = 0.4830 +32'h3f250c7a,32'h3f9c398b,32'h3fa299ef, 32'h3f977140,32'h3fa7623a, 32'h3f8f78c4,32'h3faf5ab6,// invsqrt(0.6447) = 1.2454 +32'h3e84cca3,32'h3ff64e0d,32'h40002dd8, 32'h3feec3d3,32'h4003f2f5, 32'h3fe232c8,32'h400a3b7a,// invsqrt(0.2594) = 1.9635 +32'h40f2b178,32'h3eb63289,32'h3ebda250, 32'h3eb09eb4,32'h3ec33626, 32'h3ea752fc,32'h3ecc81de,// invsqrt(7.5842) = 0.3631 +32'h3ff297b1,32'h3f363c37,32'h3f3dac63, 32'h3f30a816,32'h3f434084, 32'h3f275bdf,32'h3f4c8cbb,// invsqrt(1.8953) = 0.7264 +32'h3fc3ade5,32'h3f4ae862,32'h3f53308f, 32'h3f44b23f,32'h3f5966b1, 32'h3f3a5806,32'h3f63c0ea,// invsqrt(1.5287) = 0.8088 +32'h3ed0f433,32'h3fc45b52,32'h3fcc5f0c, 32'h3fbe5886,32'h3fd261d8, 32'h3fb453de,32'h3fdc6681,// invsqrt(0.4081) = 1.5653 +32'h3f5e5ac7,32'h3f8698a4,32'h3f8c1708, 32'h3f8279d8,32'h3f9035d4, 32'h3f7737b4,32'h3f9713d2,// invsqrt(0.8686) = 1.0730 +32'h3e9e93b3,32'h3fe16603,32'h3fea9933, 32'h3fda7fa0,32'h3ff17f96, 32'h3fceffa5,32'h3ffcff91,// invsqrt(0.3097) = 1.7969 +32'h3fd555ed,32'h3f42546b,32'h3f4a42f7, 32'h3f3c6182,32'h3f5035e0, 32'h3f327752,32'h3f5a2010,// invsqrt(1.6667) = 0.7746 +32'h3fc4fe12,32'h3f4a3af5,32'h3f527c0f, 32'h3f440a22,32'h3f58ace2, 32'h3f39b8c2,32'h3f62fe42,// invsqrt(1.5390) = 0.8061 +32'h3fa436ca,32'h3f5d7efb,32'h3f668963, 32'h3f56b72d,32'h3f6d5131, 32'h3f4b6a2a,32'h3f789e34,// invsqrt(1.2829) = 0.8829 +32'h403444ab,32'h3f157c0e,32'h3f1b9604, 32'h3f10e895,32'h3f20297d, 32'h3f094822,32'h3f27c9f0,// invsqrt(2.8167) = 0.5958 +32'h3facaee9,32'h3f57ff01,32'h3f60cff1, 32'h3f51624d,32'h3f676ca5, 32'h3f465d20,32'h3f7271d2,// invsqrt(1.3491) = 0.8610 +32'h3e27cc5f,32'h401af085,32'h4021437b, 32'h4016324d,32'h402601b3, 32'h400e4a9a,32'h402de966,// invsqrt(0.1639) = 2.4703 +32'h405ee7d3,32'h3f066e08,32'h3f0beab0, 32'h3f02508b,32'h3f10082d, 32'h3ef6e972,32'h3f16e3ff,// invsqrt(3.4829) = 0.5358 +32'h410997aa,32'h3eab1a7b,32'h3eb21657, 32'h3ea5dd97,32'h3eb7533b, 32'h3e9d22c5,32'h3ec00e0d,// invsqrt(8.5995) = 0.3410 +32'h3f8a3a33,32'h3f716ba2,32'h3f7b463b, 32'h3f6a07b0,32'h3f815517, 32'h3f5db671,32'h3f877db7,// invsqrt(1.0799) = 0.9623 +32'h3f255160,32'h3f9c18fa,32'h3fa2780a, 32'h3f9751af,32'h3fa73f55, 32'h3f8f5adb,32'h3faf3629,// invsqrt(0.6458) = 1.2444 +32'h3f988a68,32'h3f65d09f,32'h3f6f31f3, 32'h3f5ec79f,32'h3f763af3, 32'h3f530df5,32'h3f80fa4f,// invsqrt(1.1917) = 0.9160 +32'h3eeedc60,32'h3fb7a739,32'h3fbf2637, 32'h3fb207fc,32'h3fc4c574, 32'h3fa8a93f,32'h3fce2431,// invsqrt(0.4665) = 1.4641 +32'h3f35913d,32'h3f94f2e8,32'h3f9b0746, 32'h3f9063a3,32'h3f9f968b, 32'h3f88ca2e,32'h3fa73000,// invsqrt(0.7092) = 1.1874 +32'h3fbf69ad,32'h3f4d2818,32'h3f5587c6, 32'h3f46e056,32'h3f5bcf88, 32'h3f3c68be,32'h3f664721,// invsqrt(1.4954) = 0.8177 +32'h40bd7638,32'h3ece35d2,32'h3ed6a081, 32'h3ec7e5cd,32'h3edcf085, 32'h3ebd6072,32'h3ee775e0,// invsqrt(5.9207) = 0.4110 +32'h3f4b521f,32'h3f8cc163,32'h3f928024, 32'h3f887254,32'h3f96cf34, 32'h3f8143e4,32'h3f9dfda4,// invsqrt(0.7942) = 1.1221 +32'h3f3d718b,32'h3f91d1d7,32'h3f97c581, 32'h3f8d5b17,32'h3f9c3c41, 32'h3f85ea81,32'h3fa3acd7,// invsqrt(0.7400) = 1.1625 +32'h3e5dd513,32'h4006c12e,32'h400c413a, 32'h4002a125,32'h40106143, 32'h3ff7822a,32'h40174153,// invsqrt(0.2166) = 2.1485 +32'h3f996758,32'h3f652ae5,32'h3f6e8576, 32'h3f5e26f8,32'h3f758962, 32'h3f5275c2,32'h3f809d4c,// invsqrt(1.1985) = 0.9135 +32'h41eed2d3,32'h3e37aae6,32'h3e3f2a09, 32'h3e320b8b,32'h3e44c963, 32'h3e28ac9e,32'h3e4e2850,// invsqrt(29.8529) = 0.1830 +32'h3f701e36,32'h3f8185a4,32'h3f86cf04, 32'h3f7b1d39,32'h3f8ac60b, 32'h3f6de5ca,32'h3f9161c3,// invsqrt(0.9380) = 1.0325 +32'h3f98b15c,32'h3f65b34d,32'h3f6f136f, 32'h3f5eab33,32'h3f761b89, 32'h3f52f308,32'h3f80e9da,// invsqrt(1.1929) = 0.9156 +32'h3ee90e0b,32'h3fb9ed41,32'h3fc18400, 32'h3fb43c33,32'h3fc7350f, 32'h3faabfc4,32'h3fd0b17e,// invsqrt(0.4552) = 1.4822 +32'h3e0ba2e9,32'h4029d8b8,32'h4030c772, 32'h4024a5ae,32'h4035fa7c, 32'h401bfb46,32'h403ea4e4,// invsqrt(0.1364) = 2.7080 +32'h401efb8e,32'h3f1f2d65,32'h3f25aca2, 32'h3f1a4df6,32'h3f2a8c10, 32'h3f122ee9,32'h3f32ab1d,// invsqrt(2.4841) = 0.6345 +32'h40065759,32'h3f2d295a,32'h3f343ab6, 32'h3f27dc54,32'h3f3987bc, 32'h3f1f06a1,32'h3f425d6f,// invsqrt(2.0991) = 0.6902 +32'h3f3ab675,32'h3f92e1d6,32'h3f98e09a, 32'h3f8e62c2,32'h3f9d5fae, 32'h3f86e44c,32'h3fa4de24,// invsqrt(0.7293) = 1.1709 +32'h3e921241,32'h3fead953,32'h3ff46f41, 32'h3fe3a8e0,32'h3ffb9fb4, 32'h3fd7ad76,32'h4003cd8f,// invsqrt(0.2853) = 1.8722 +32'h4085012d,32'h3ef61d63,32'h3f001484, 32'h3eee94a6,32'h3f03d8e3, 32'h3ee20617,32'h3f0a202a,// invsqrt(4.1564) = 0.4905 +32'h3f9d0817,32'h3f62813e,32'h3f6bbffc, 32'h3f5b922f,32'h3f72af0b, 32'h3f5003c0,32'h3f7e3d7a,// invsqrt(1.2268) = 0.9028 +32'h3f1cedf1,32'h3fa03719,32'h3fa6c12f, 32'h3f9b4f89,32'h3faba8bf, 32'h3f9322ed,32'h3fb3d55b,// invsqrt(0.6130) = 1.2772 +32'h3ece0e3a,32'h3fc5bb9d,32'h3fcdcdb8, 32'h3fbfae08,32'h3fd3db4c, 32'h3fb59766,32'h3fddf1ee,// invsqrt(0.4025) = 1.5763 +32'h406ab43b,32'h3f0301e4,32'h3f085ac8, 32'h3efdfe70,32'h3f0c5d74, 32'h3ef0a034,32'h3f130c92,// invsqrt(3.6673) = 0.5222 +32'h3f86d627,32'h3f746fea,32'h3f7e6a08, 32'h3f6cf453,32'h3f82f2d0, 32'h3f607bad,32'h3f892f23,// invsqrt(1.0534) = 0.9743 +32'h3f3fbd98,32'h3f90f18f,32'h3f96dc12, 32'h3f8c81ac,32'h3f9b4bf4, 32'h3f851c88,32'h3fa2b118,// invsqrt(0.7490) = 1.1555 +32'h3e1882fc,32'h402284e6,32'h4029270f, 32'h401d8b48,32'h402e20ae, 32'h40154095,32'h40366b61,// invsqrt(0.1489) = 2.5912 +32'h3e6ccea8,32'h40026c9f,32'h4007bf6d, 32'h3ffcdd0b,32'h400bbd86, 32'h3fef8e0b,32'h40126507,// invsqrt(0.2313) = 2.0795 +32'h3fad1513,32'h3f57bf38,32'h3f608d8e, 32'h3f512478,32'h3f67284e, 32'h3f46228c,32'h3f722a3a,// invsqrt(1.3522) = 0.8600 +32'h3f66d076,32'h3f841b4d,32'h3f897faf, 32'h3f801004,32'h3f8d8af8, 32'h3f72a516,32'h3f944871,// invsqrt(0.9016) = 1.0531 +32'h3f59f240,32'h3f87f35e,32'h3f8d7fea, 32'h3f83c9f5,32'h3f91a953, 32'h3f79b48e,32'h3f989901,// invsqrt(0.8514) = 1.0838 +32'h3f8130e2,32'h3f79b890,32'h3f81f4f2, 32'h3f721391,32'h3f85c772, 32'h3f6555e7,32'h3f8c2646,// invsqrt(1.0093) = 0.9954 +32'h3d345da2,32'h409571b5,32'h409b8b3f, 32'h4090de8e,32'h40a01e66, 32'h40893ea1,32'h40a7be53,// invsqrt(0.0440) = 4.7654 +32'h3f7bf43d,32'h3f7ce2e6,32'h3f839aa7, 32'h3f752519,32'h3f87798e, 32'h3f683e17,32'h3f8ded0e,// invsqrt(0.9842) = 1.0080 +32'h3e79b1b9,32'h3ffe0732,32'h400432c3, 32'h3ff64071,32'h40081624, 32'h3fe94a86,32'h400e9119,// invsqrt(0.2438) = 2.0251 +32'h3f4d4ed8,32'h3f8c1294,32'h3f91ca32, 32'h3f87c8de,32'h3f9613e8, 32'h3f80a359,32'h3f9d396d,// invsqrt(0.8020) = 1.1166 +32'h3e1bf999,32'h4020b466,32'h4027439a, 32'h401bc900,32'h402c2f00, 32'h40139600,32'h40346200,// invsqrt(0.1523) = 2.5623 +32'h42669ee6,32'h3e04297f,32'h3e098e74, 32'h3e001dc6,32'h3e0d9a2c, 32'h3df2bf27,32'h3e14585f,// invsqrt(57.6552) = 0.1317 +32'h3f583331,32'h3f887fa5,32'h3f8e11ea, 32'h3f8451f0,32'h3f923f9e, 32'h3f7ab633,32'h3f993674,// invsqrt(0.8445) = 1.0882 +32'h3f945199,32'h3f691015,32'h3f72935a, 32'h3f61eda2,32'h3f79b5ce, 32'h3f56098c,32'h3f82ccf2,// invsqrt(1.1587) = 0.9290 +32'h3e1f2188,32'h401f1a65,32'h402598dd, 32'h401a3b8c,32'h402a77b6, 32'h40121d77,32'h403295cb,// invsqrt(0.1554) = 2.5367 +32'h3f6d1f9d,32'h3f82565a,32'h3f87a83e, 32'h3f7cb1dd,32'h3f8ba5a9, 32'h3f6f6522,32'h3f924c07,// invsqrt(0.9263) = 1.0390 +32'h402b573f,32'h3f195458,32'h3f1f967a, 32'h3f14a2bd,32'h3f244815, 32'h3f0cd012,32'h3f2c1ac0,// invsqrt(2.6772) = 0.6112 +32'h405aef20,32'h3f07a4c4,32'h3f0d2e1a, 32'h3f037dc3,32'h3f11551b, 32'h3ef9242e,32'h3f1840c7,// invsqrt(3.4208) = 0.5407 +32'h40889979,32'h3ef2dacc,32'h3efcc462, 32'h3eeb6b9c,32'h3f0219c9, 32'h3edf07a2,32'h3f084bc6,// invsqrt(4.2687) = 0.4840 +32'h407241e8,32'h3f00f2e8,32'h3f06364a, 32'h3efa00bc,32'h3f0a28d4, 32'h3eecd847,32'h3f10bd0f,// invsqrt(3.7853) = 0.5140 +32'h3f074860,32'h3fac8ed3,32'h3fb399e1, 32'h3fa74689,32'h3fb8e22b, 32'h3f9e78b7,32'h3fc1affd,// invsqrt(0.5284) = 1.3756 +32'h3f1cdd0e,32'h3fa03fb9,32'h3fa6ca29, 32'h3f9b57e5,32'h3fabb1fd, 32'h3f932ad9,32'h3fb3df09,// invsqrt(0.6127) = 1.2775 +32'h3ed3755c,32'h3fc330c0,32'h3fcb284a, 32'h3fbd3718,32'h3fd121f2, 32'h3fb341ab,32'h3fdb175f,// invsqrt(0.4130) = 1.5560 +32'h3fe80981,32'h3f3a5586,32'h3f41f086, 32'h3f34a146,32'h3f47a4c6, 32'h3f2b1f85,32'h3f512687,// invsqrt(1.8128) = 0.7427 +32'h3ecb0558,32'h3fc73488,32'h3fcf5606, 32'h3fc11b6a,32'h3fd56f24, 32'h3fb6f18d,32'h3fdf9901,// invsqrt(0.3965) = 1.5881 +32'h3ee8cdb4,32'h3fba06f1,32'h3fc19ebc, 32'h3fb45518,32'h3fc75094, 32'h3faad75a,32'h3fd0ce52,// invsqrt(0.4547) = 1.4830 +32'h3fadd493,32'h3f574841,32'h3f6011bb, 32'h3f50b124,32'h3f66a8d8, 32'h3f45b54b,32'h3f71a4b1,// invsqrt(1.3580) = 0.8581 +32'h3ca8621d,32'h40dabc96,32'h40e3aa29, 32'h40d40a67,32'h40ea5c57, 32'h40c8e16f,32'h40f5854f,// invsqrt(0.0206) = 6.9750 +32'h408d8ea3,32'h3eee9069,32'h3ef84d29, 32'h3ee742d9,32'h3eff9ab9, 32'h3edb16e8,32'h3f05e355,// invsqrt(4.4237) = 0.4755 +32'h3eb37064,32'h3fd3e41b,32'h3fdc8a26, 32'h3fcd6792,32'h3fe306ae, 32'h3fc29803,32'h3fedd63d,// invsqrt(0.3505) = 1.6892 +32'h3e215815,32'h401e0217,32'h4024751d, 32'h40192bd2,32'h40294b62, 32'h40111c0b,32'h40315b29,// invsqrt(0.1576) = 2.5193 +32'h418f271e,32'h3e6d3b17,32'h3e76e9e9, 32'h3e65f7fa,32'h3e7e2d06, 32'h3e59dd73,32'h3e8523c6,// invsqrt(17.8941) = 0.2364 +32'h3efb208f,32'h3fb31c9e,32'h3fba6c28, 32'h3fada0f8,32'h3fbfe7ce, 32'h3fa47d8c,32'h3fc90b3a,// invsqrt(0.4905) = 1.4279 +32'h406f00b7,32'h3f01d2e9,32'h3f071f6f, 32'h3efbb307,32'h3f0b18d5, 32'h3eee73b6,32'h3f11b87d,// invsqrt(3.7344) = 0.5175 +32'h3f24fcb2,32'h3f9c4104,32'h3fa2a1b6, 32'h3f97787f,32'h3fa76a3b, 32'h3f8f7fa0,32'h3faf631a,// invsqrt(0.6445) = 1.2456 +32'h3f8873ff,32'h3f72fc23,32'h3f7ce715, 32'h3f6b8bee,32'h3f822ba5, 32'h3f5f2640,32'h3f885e7c,// invsqrt(1.0660) = 0.9685 +32'h3f410adc,32'h3f90743b,32'h3f9659a1, 32'h3f8c082f,32'h3f9ac5ad, 32'h3f84a970,32'h3fa2246c,// invsqrt(0.7541) = 1.1516 +32'h4033d975,32'h3f15a896,32'h3f1bc45d, 32'h3f1113c0,32'h3f205932, 32'h3f097106,32'h3f27fbec,// invsqrt(2.8101) = 0.5965 +32'h3f90f758,32'h3f6bbe0d,32'h3f755d51, 32'h3f648699,32'h3f7c94c5, 32'h3f587f84,32'h3f844ded,// invsqrt(1.1325) = 0.9397 +32'h3ff6f3f8,32'h3f349e83,32'h3f3bfdcc, 32'h3f2f170b,32'h3f418543, 32'h3f25dff0,32'h3f4abc5e,// invsqrt(1.9293) = 0.7199 +32'h3f3548f7,32'h3f951096,32'h3f9b262a, 32'h3f908068,32'h3f9fb658, 32'h3f88e570,32'h3fa75150,// invsqrt(0.7081) = 1.1883 +32'h3f890784,32'h3f727935,32'h3f7c5ecf, 32'h3f6b0d02,32'h3f81e581, 32'h3f5eae02,32'h3f881501,// invsqrt(1.0705) = 0.9665 +32'h401e444a,32'h3f1f8973,32'h3f260c73, 32'h3f1aa734,32'h3f2aeeb2, 32'h3f128374,32'h3f331272,// invsqrt(2.4729) = 0.6359 +32'h3f0702ce,32'h3facbb43,32'h3fb3c821, 32'h3fa7719c,32'h3fb911c8, 32'h3f9ea187,32'h3fc1e1dd,// invsqrt(0.5274) = 1.3770 +32'h3f865b34,32'h3f74dfa8,32'h3f7ede56, 32'h3f6d60a5,32'h3f832eac, 32'h3f60e24c,32'h3f896dd9,// invsqrt(1.0497) = 0.9761 +32'h409ba1c7,32'h3ee38566,32'h3eeccec4, 32'h3edc8e61,32'h3ef3c5c9, 32'h3ed0f2ac,32'h3eff617e,// invsqrt(4.8635) = 0.4534 +32'h3e46e80f,32'h400e4f09,32'h40141e05, 32'h4009f3cd,32'h40187941, 32'h4002b113,32'h401fbbfb,// invsqrt(0.1942) = 2.2690 +32'h3f415007,32'h3f905a61,32'h3f963eb9, 32'h3f8bef20,32'h3f9aa9fa, 32'h3f8491b2,32'h3fa20768,// invsqrt(0.7551) = 1.1508 +32'h3fb0450b,32'h3f55c996,32'h3f5e8372, 32'h3f4f3e31,32'h3f650ed7, 32'h3f4455dd,32'h3f6ff72b,// invsqrt(1.3771) = 0.8522 +32'h3f85acd8,32'h3f757f27,32'h3f7f8458, 32'h3f6dfb43,32'h3f83841e, 32'h3f6174c6,32'h3f89c75d,// invsqrt(1.0443) = 0.9785 +32'h3e004574,32'h40313614,32'h403871c2, 32'h402bc952,32'h403dde84, 32'h4022beba,32'h4046e91c,// invsqrt(0.1253) = 2.8254 +32'h40bc4771,32'h3ecedb5e,32'h3ed74ccf, 32'h3ec88648,32'h3edda1e4, 32'h3ebdf87a,32'h3ee82fb2,// invsqrt(5.8837) = 0.4123 +32'h3e2db10c,32'h401849d0,32'h401e8112, 32'h4013a05f,32'h40232a83, 32'h400bdb4c,32'h402aef96,// invsqrt(0.1696) = 2.4281 +32'h3f79e592,32'h3f7decd6,32'h3f84250d, 32'h3f7626e5,32'h3f880805, 32'h3f693252,32'h3f8e824f,// invsqrt(0.9762) = 1.0121 +32'h40a796f9,32'h3edb40ff,32'h3ee433fa, 32'h3ed48ac4,32'h3eeaea36, 32'h3ec95b0a,32'h3ef619f0,// invsqrt(5.2372) = 0.4370 +32'h3fa733b2,32'h3f5b820d,32'h3f6477af, 32'h3f54c9d3,32'h3f6b2fe9, 32'h3f4996c8,32'h3f7662f4,// invsqrt(1.3063) = 0.8750 +32'h3f8fe79f,32'h3f6c9c35,32'h3f76448b, 32'h3f655df5,32'h3f7d82cb, 32'h3f594b8a,32'h3f84ca9b,// invsqrt(1.1243) = 0.9431 +32'h40f6a426,32'h3eb4bbba,32'h3ebc1c35, 32'h3eaf335f,32'h3ec1a491, 32'h3ea5fac6,32'h3ecadd2a,// invsqrt(7.7075) = 0.3602 +32'h3ffb4520,32'h3f330f95,32'h3f3a5e96, 32'h3f2d9455,32'h3f3fd9d7, 32'h3f247194,32'h3f48fc98,// invsqrt(1.9630) = 0.7137 +32'h3f962c70,32'h3f679e79,32'h3f7112a8, 32'h3f608757,32'h3f7829cb, 32'h3f54b61c,32'h3f81fd83,// invsqrt(1.1732) = 0.9232 +32'h42ce8a29,32'h3dc58041,32'h3dcd8ff0, 32'h3dbf747d,32'h3dd39bb3, 32'h3db560e2,32'h3dddaf4e,// invsqrt(103.2698) = 0.0984 +32'h408beaa6,32'h3eeff56a,32'h3ef9c0bc, 32'h3ee89cec,32'h3f008c9d, 32'h3edc5ec4,32'h3f06abb1,// invsqrt(4.3724) = 0.4782 +32'h412ab07a,32'h3e999f2d,32'h3e9fe45e, 32'h3e94eb49,32'h3ea49843, 32'h3e8d14cc,32'h3eac6ec0,// invsqrt(10.6681) = 0.3062 +32'h3ec9de05,32'h3fc7c60a,32'h3fcfed78, 32'h3fc1a877,32'h3fd60b0b, 32'h3fb7772e,32'h3fe03c54,// invsqrt(0.3943) = 1.5926 +32'h3f838a28,32'h3f777b40,32'h3f80ca97, 32'h3f6fe7ce,32'h3f849450, 32'h3f634765,32'h3f8ae485,// invsqrt(1.0277) = 0.9865 +32'h404cffd3,32'h3f0c2d91,32'h3f11e648, 32'h3f07e307,32'h3f1630d1, 32'h3f00bc21,32'h3f1d57b7,// invsqrt(3.2031) = 0.5587 +32'h3e2bedd3,32'h40191124,32'h401f5089, 32'h40146199,32'h40240015, 32'h400c925b,32'h402bcf53,// invsqrt(0.1679) = 2.4405 +32'h3ba4e1ea,32'h415d0bec,32'h416611a2, 32'h415647a3,32'h416cd5eb, 32'h414b0080,32'h41781d0e,// invsqrt(0.0050) = 14.0974 +32'h4036b78c,32'h3f147ac2,32'h3f1a8a38, 32'h3f0fef2a,32'h3f1f15d0, 32'h3f085bd7,32'h3f26a923,// invsqrt(2.8550) = 0.5918 +32'h3e694828,32'h400367f7,32'h4008c506, 32'h3ffec456,32'h400ccad1, 32'h3ff15bb0,32'h40137f24,// invsqrt(0.2278) = 2.0951 +32'h3fd8c9b6,32'h3f40c6b7,32'h3f48a507, 32'h3f3adffa,32'h3f4e8bc4, 32'h3f310a16,32'h3f5861a9,// invsqrt(1.6937) = 0.7684 +32'h3f96815c,32'h3f675d18,32'h3f70ce9c, 32'h3f6047f6,32'h3f77e3be, 32'h3f547a11,32'h3f81d8d2,// invsqrt(1.1758) = 0.9222 +32'h3fcb2553,32'h3f4724da,32'h3f4f45b3, 32'h3f410c36,32'h3f555e56, 32'h3f36e325,32'h3f5f8767,// invsqrt(1.5871) = 0.7938 +32'h3fadf99d,32'h3f573155,32'h3f5ff9e1, 32'h3f509aed,32'h3f669049, 32'h3f45a03f,32'h3f718af7,// invsqrt(1.3592) = 0.8578 +32'h3fa06d89,32'h3f60182e,32'h3f693dbd, 32'h3f593c03,32'h3f7019e9, 32'h3f4dcd11,32'h3f7b88db,// invsqrt(1.2533) = 0.8932 +32'h3f2cb4fc,32'h3f98b8c9,32'h3f9ef493, 32'h3f940bf2,32'h3fa3a16a, 32'h3f8c4136,32'h3fab6c26,// invsqrt(0.6746) = 1.2175 +32'h3f0ed213,32'h3fa7f147,32'h3faecc1b, 32'h3fa2cd28,32'h3fb3f03a, 32'h3f9a3b9f,32'h3fbc81c3,// invsqrt(0.5579) = 1.3388 +32'h3f88a3cf,32'h3f72d19c,32'h3f7cbad2, 32'h3f6b62b4,32'h3f8214dd, 32'h3f5eff32,32'h3f88469e,// invsqrt(1.0675) = 0.9679 +32'h4037e901,32'h3f13ff41,32'h3f1a09ac, 32'h3f0f7770,32'h3f1e917c, 32'h3f07ea6a,32'h3f261e82,// invsqrt(2.8736) = 0.5899 +32'h40847047,32'h3ef6a3e0,32'h3f005a82, 32'h3eef1706,32'h3f0420ef, 32'h3ee2819a,32'h3f0a6ba5,// invsqrt(4.1387) = 0.4916 +32'h3fa9f2c7,32'h3f59ba25,32'h3f629d2c, 32'h3f530fe1,32'h3f694771, 32'h3f47f418,32'h3f74633a,// invsqrt(1.3277) = 0.8679 +32'h3f7316a4,32'h3f80ba6f,32'h3f85fb83, 32'h3f799340,32'h3f89ec52, 32'h3f6c708d,32'h3f907dac,// invsqrt(0.9496) = 1.0262 +32'h3facafe4,32'h3f57fe64,32'h3f60cf4e, 32'h3f5161b5,32'h3f676bfd, 32'h3f465c90,32'h3f727122,// invsqrt(1.3491) = 0.8609 +32'h3f2e70cc,32'h3f97f606,32'h3f9e29dc, 32'h3f934f25,32'h3fa2d0bd, 32'h3f8b8e59,32'h3faa9189,// invsqrt(0.6814) = 1.2114 +32'h3fed28ce,32'h3f384f93,32'h3f3fd56f, 32'h3f32ab2e,32'h3f4579d4, 32'h3f2943da,32'h3f4ee128,// invsqrt(1.8528) = 0.7347 +32'h3ebe87a0,32'h3fcda1a8,32'h3fd6064c, 32'h3fc7562e,32'h3fdc51c6, 32'h3fbcd861,32'h3fe6cf93,// invsqrt(0.3721) = 1.6393 +32'h3f1bb5cc,32'h3fa0d75f,32'h3fa76800, 32'h3f9beae7,32'h3fac5479, 32'h3f93b61e,32'h3fb48942,// invsqrt(0.6082) = 1.2822 +32'h408b0a74,32'h3ef0b692,32'h3efa89c8, 32'h3ee9582b,32'h3f00f418, 32'h3edd1028,32'h3f071819,// invsqrt(4.3450) = 0.4797 +32'h3e7bcbdb,32'h3ffcf72d,32'h4003a534, 32'h3ff538c0,32'h4007846a, 32'h3fe850b6,32'h400df86f,// invsqrt(0.2459) = 2.0166 +32'h4019b1df,32'h3f21e474,32'h3f288010, 32'h3f1cefbf,32'h3f2d74c5, 32'h3f14ad3b,32'h3f35b749,// invsqrt(2.4015) = 0.6453 +32'h3e9ef28f,32'h3fe122b7,32'h3fea5327, 32'h3fda3e63,32'h3ff1377b, 32'h3fcec1d7,32'h3ffcb407,// invsqrt(0.3104) = 1.7948 +32'h3be28318,32'h413c97c8,32'h41444a62, 32'h4136d1d4,32'h414a1056, 32'h412d3293,32'h4153af97,// invsqrt(0.0069) = 12.0276 +32'h3f327807,32'h3f963c7d,32'h3f9c5e4d, 32'h3f91a320,32'h3fa0f7aa, 32'h3f89f8db,32'h3fa8a1ef,// invsqrt(0.6971) = 1.1977 +32'h40402357,32'h3f10cb29,32'h3f16b41b, 32'h3f0c5c74,32'h3f1b22d0, 32'h3f04f945,32'h3f2285ff,// invsqrt(3.0022) = 0.5771 +32'h4108ae83,32'h3eabac2c,32'h3eb2adfa, 32'h3ea66ad2,32'h3eb7ef54, 32'h3e9da891,32'h3ec0b195,// invsqrt(8.5426) = 0.3421 +32'h42a2c58e,32'h3dde79a6,32'h3de78e4a, 32'h3dd7aa2c,32'h3dee5dc4, 32'h3dcc505f,32'h3df9b791,// invsqrt(81.3858) = 0.1108 +32'h3f487045,32'h3f8dc38a,32'h3f938cd3, 32'h3f896c92,32'h3f97e3ca, 32'h3f8230f6,32'h3f9f1f66,// invsqrt(0.7830) = 1.1301 +32'h3f94660a,32'h3f690008,32'h3f7282a5, 32'h3f61de12,32'h3f79a49a, 32'h3f55facd,32'h3f82c3ef,// invsqrt(1.1594) = 0.9287 +32'h3f0bed47,32'h3fa9ab90,32'h3fb09871, 32'h3fa479e6,32'h3fb5ca1a, 32'h3f9bd1cd,32'h3fbe7233,// invsqrt(0.5466) = 1.3526 +32'h40066e9b,32'h3f2d1a5f,32'h3f342b1f, 32'h3f27cdcf,32'h3f3977af, 32'h3f1ef8df,32'h3f424c9f,// invsqrt(2.1005) = 0.6900 +32'h41a74258,32'h3e5b7870,32'h3e646dae, 32'h3e54c081,32'h3e6b259d, 32'h3e498df4,32'h3e76582a,// invsqrt(20.9074) = 0.2187 +32'h412fe7b0,32'h3e9753c1,32'h3e9d80f8, 32'h3e92b1d8,32'h3ea222e2, 32'h3e8af954,32'h3ea9db66,// invsqrt(10.9941) = 0.3016 +32'h408b65e6,32'h3ef06791,32'h3efa378d, 32'h3ee90b95,32'h3f00c9c5, 32'h3edcc79a,32'h3f06ebc2,// invsqrt(4.3562) = 0.4791 +32'h3e220739,32'h401dac9a,32'h40241c22, 32'h4018d8f3,32'h4028efc9, 32'h4010cd88,32'h4030fb34,// invsqrt(0.1582) = 2.5139 +32'h3e1ca0b7,32'h40205e94,32'h4026ea46, 32'h401b75ce,32'h402bd30c, 32'h4013472f,32'h403401ab,// invsqrt(0.1530) = 2.5569 +32'h3d0987ed,32'h40ab2445,32'h40b22087, 32'h40a5e714,32'h40b75db8, 32'h409d2bc2,32'h40c0190a,// invsqrt(0.0336) = 5.4573 +32'h3f0ec29c,32'h3fa7fa60,32'h3faed592, 32'h3fa2d5f9,32'h3fb3f9f9, 32'h3f9a43fa,32'h3fbc8bf8,// invsqrt(0.5577) = 1.3391 +32'h3f8bbb47,32'h3f701e13,32'h3f79eb0f, 32'h3f68c457,32'h3f80a266, 32'h3f5c841c,32'h3f86c283,// invsqrt(1.0917) = 0.9571 +32'h3fe58627,32'h3f3b59f5,32'h3f42ff97, 32'h3f359dbc,32'h3f48bbd0, 32'h3f2c0eb2,32'h3f524ada,// invsqrt(1.7932) = 0.7468 +32'h40dc1f17,32'h3ebf4f9b,32'h3ec71e9d, 32'h3eb9745b,32'h3eccf9dd, 32'h3eafb199,32'h3ed6bc9f,// invsqrt(6.8788) = 0.3813 +32'h3f2cf224,32'h3f989dc6,32'h3f9ed876, 32'h3f93f1c3,32'h3fa38479, 32'h3f8c2868,32'h3fab4dd4,// invsqrt(0.6756) = 1.2166 +32'h3f59a114,32'h3f880cb6,32'h3f8d9a4a, 32'h3f83e286,32'h3f91c47a, 32'h3f79e31a,32'h3f98b573,// invsqrt(0.8501) = 1.0846 +32'h3e616dec,32'h4005acd8,32'h400b219d, 32'h40019545,32'h400f3931, 32'h3ff5869d,32'h40160b27,// invsqrt(0.2201) = 2.1313 +32'h3f9072d0,32'h3f6c2a1a,32'h3f75cdc7, 32'h3f64ef57,32'h3f7d0889, 32'h3f58e2be,32'h3f848a91,// invsqrt(1.1285) = 0.9413 +32'h3f538195,32'h3f8a0141,32'h3f8fa343, 32'h3f85c7bf,32'h3f93dcc5, 32'h3f7d7a77,32'h3f9ae749,// invsqrt(0.8262) = 1.1002 +32'h3df915a9,32'h4033d83c,32'h403b2f6e, 32'h402e56d7,32'h4040b0d3, 32'h402529d9,32'h4049ddd1,// invsqrt(0.1216) = 2.8674 +32'h3f290ce4,32'h3f9a5d5d,32'h3fa0aa51, 32'h3f95a3a6,32'h3fa56408, 32'h3f8dc375,32'h3fad4439,// invsqrt(0.6604) = 1.2306 +32'h3f8f50b6,32'h3f6d18a7,32'h3f76c611, 32'h3f65d697,32'h3f7e0821, 32'h3f59bdd3,32'h3f851073,// invsqrt(1.1197) = 0.9451 +32'h3f80922c,32'h3f7a5282,32'h3f824510, 32'h3f72a8cd,32'h3f8619eb, 32'h3f65e349,32'h3f8c7cad,// invsqrt(1.0045) = 0.9978 +32'h3fa6bce4,32'h3f5bd033,32'h3f64c906, 32'h3f551594,32'h3f6b83a4, 32'h3f49de8c,32'h3f76baac,// invsqrt(1.3026) = 0.8762 +32'h3e088399,32'h402bc726,32'h4032ca0e, 32'h402684f9,32'h40380c3b, 32'h401dc157,32'h4040cfdd,// invsqrt(0.1333) = 2.7388 +32'h401a02b2,32'h3f21b9f3,32'h3f2853d3, 32'h3f1cc68b,32'h3f2d473b, 32'h3f148633,32'h3f358793,// invsqrt(2.4064) = 0.6446 +32'h3edd204e,32'h3fbee036,32'h3fc6aaac, 32'h3fb9085f,32'h3fcc8283, 32'h3faf4b4c,32'h3fd63f96,// invsqrt(0.4319) = 1.5217 +32'h4001d7c4,32'h3f3022b4,32'h3f375324, 32'h3f2abe60,32'h3f3cb778, 32'h3f21c1d4,32'h3f45b404,// invsqrt(2.0288) = 0.7021 +32'h40a34bf0,32'h3ede1e08,32'h3ee72eee, 32'h3ed7515b,32'h3eedfb9b, 32'h3ecbfc3c,32'h3ef950bb,// invsqrt(5.1030) = 0.4427 +32'h3e0d66a7,32'h4028c88f,32'h402fac2d, 32'h40239dd9,32'h4034d6e3, 32'h401b0155,32'h403d7367,// invsqrt(0.1381) = 2.6911 +32'h3ee31d3a,32'h3fbc57bf,32'h3fc407bc, 32'h3fb693c0,32'h3fc9cbba, 32'h3facf7c4,32'h3fd367b6,// invsqrt(0.4436) = 1.5015 +32'h3f1804c1,32'h3fa2c852,32'h3fa96d3b, 32'h3f9dcca2,32'h3fae68ea, 32'h3f957e7f,32'h3fb6b70d,// invsqrt(0.5938) = 1.2977 +32'h3f176d9a,32'h3fa3197c,32'h3fa9c1b5, 32'h3f9e1b50,32'h3faebfe0, 32'h3f95c909,32'h3fb71227,// invsqrt(0.5915) = 1.3002 +32'h3e2b52d0,32'h40195654,32'h401f988c, 32'h4014a4aa,32'h40244a36, 32'h400cd1e5,32'h402c1cfb,// invsqrt(0.1673) = 2.4448 +32'h3f10720e,32'h3fa6fec5,32'h3fadcfb3, 32'h3fa1e213,32'h3fb2ec65, 32'h3f995ce9,32'h3fbb718f,// invsqrt(0.5642) = 1.3313 +32'h3fbce201,32'h3f4e86aa,32'h3f56f4a6, 32'h3f48342c,32'h3f5d4724, 32'h3f3daab1,32'h3f67d09f,// invsqrt(1.4756) = 0.8232 +32'h4107d53f,32'h3eac3541,32'h3eb33ca7, 32'h3ea6efb5,32'h3eb88233, 32'h3e9e2675,32'h3ec14b73,// invsqrt(8.4896) = 0.3432 +32'h40b42bad,32'h3ed375dd,32'h3edc1769, 32'h3eccfcb4,32'h3ee29092, 32'h3ec232c6,32'h3eed5a80,// invsqrt(5.6303) = 0.4214 +32'h402f27a7,32'h3f17a69f,32'h3f1dd737, 32'h3f13022c,32'h3f227baa, 32'h3f0b456d,32'h3f2a3869,// invsqrt(2.7368) = 0.6045 +32'h3f8f7c6c,32'h3f6cf487,32'h3f76a078, 32'h3f65b393,32'h3f7de16d, 32'h3f599ca6,32'h3f84fc2d,// invsqrt(1.1210) = 0.9445 +32'h3f84edf2,32'h3f762f2f,32'h3f801dc7, 32'h3f6ea5e7,32'h3f83e26b, 32'h3f62166f,32'h3f8a2a27,// invsqrt(1.0385) = 0.9813 +32'h3f6ab7f7,32'h3f8300d9,32'h3f8859b3, 32'h3f7dfc6b,32'h3f8c5c56, 32'h3f709e4b,32'h3f930b67,// invsqrt(0.9169) = 1.0444 +32'h40072f5e,32'h3f2c9ec8,32'h3f33aa7d, 32'h3f275601,32'h3f38f345, 32'h3f1e8760,32'h3f41c1e7,// invsqrt(2.1123) = 0.6881 +32'h406d0cb5,32'h3f025b8c,32'h3f07ada6, 32'h3efcbbf0,32'h3f0bab3a, 32'h3eef6ead,32'h3f1251dc,// invsqrt(3.7039) = 0.5196 +32'h3f732f4c,32'h3f80b3e8,32'h3f85f4b8, 32'h3f798698,32'h3f89e554, 32'h3f6c6490,32'h3f907658,// invsqrt(0.9499) = 1.0260 +32'h3eb215b3,32'h3fd4b1f7,32'h3fdd606a, 32'h3fce2f22,32'h3fe3e340, 32'h3fc35512,32'h3feebd50,// invsqrt(0.3478) = 1.6956 +32'h3f952729,32'h3f6868ff,32'h3f71e571, 32'h3f614ba8,32'h3f7902c8, 32'h3f557019,32'h3f826f2c,// invsqrt(1.1653) = 0.9264 +32'h3ffb8b4a,32'h3f32f69a,32'h3f3a4496, 32'h3f2d7c1d,32'h3f3fbf13, 32'h3f245aa2,32'h3f48e08e,// invsqrt(1.9652) = 0.7133 +32'h3f0170e2,32'h3fb068a5,32'h3fb79bf0, 32'h3fab022e,32'h3fbd0268, 32'h3fa20210,32'h3fc60286,// invsqrt(0.5056) = 1.4063 +32'h3c03670b,32'h412f164d,32'h41363bc9, 32'h4129ba31,32'h413b97e5, 32'h4120cb57,32'h414486bf,// invsqrt(0.0080) = 11.1663 +32'h4012de5d,32'h3f259ca6,32'h3f2c5f1f, 32'h3f208aca,32'h3f3170fa, 32'h3f1817b2,32'h3f39e412,// invsqrt(2.2948) = 0.6601 +32'h3f4137fc,32'h3f90635c,32'h3f964812, 32'h3f8bf7d4,32'h3f9ab39a, 32'h3f8499f2,32'h3fa2117c,// invsqrt(0.7548) = 1.1511 +32'h3d486c5a,32'h408dc4ec,32'h40938e44, 32'h40896dea,32'h4097e546, 32'h4082323c,32'h409f20f4,// invsqrt(0.0489) = 4.5207 +32'h3f3426bf,32'h3f958878,32'h3f9ba2f0, 32'h3f90f49e,32'h3fa036ca, 32'h3f895388,32'h3fa7d7e0,// invsqrt(0.7037) = 1.1921 +32'h3e8c05df,32'h3fefde16,32'h3ff9a874, 32'h3fe8864e,32'h4000801e, 32'h3fdc4958,32'h40069e99,// invsqrt(0.2735) = 1.9122 +32'h3f0d938e,32'h3fa8adc9,32'h3faf904f, 32'h3fa383e5,32'h3fb4ba33, 32'h3f9ae8be,32'h3fbd555a,// invsqrt(0.5530) = 1.3447 +32'h410855e3,32'h3eabe3f0,32'h3eb2e804, 32'h3ea6a0e1,32'h3eb82b13, 32'h3e9ddbc7,32'h3ec0f02d,// invsqrt(8.5210) = 0.3426 +32'h408f2eb7,32'h3eed34cb,32'h3ef6e35b, 32'h3ee5f1df,32'h3efe2647, 32'h3ed9d7ab,32'h3f05203e,// invsqrt(4.4745) = 0.4727 +32'h3f1a4e3a,32'h3fa19259,32'h3fa82a9b, 32'h3f9ca027,32'h3fad1ccd, 32'h3f9461d4,32'h3fb55b20,// invsqrt(0.6028) = 1.2880 +32'h3f219c57,32'h3f9de0b5,32'h3fa4525f, 32'h3f990b76,32'h3fa9279e, 32'h3f90fd63,32'h3fb135b1,// invsqrt(0.6313) = 1.2586 +32'h3fa41844,32'h3f5d9393,32'h3f669ed3, 32'h3f56cb24,32'h3f6d6742, 32'h3f4b7d14,32'h3f78b552,// invsqrt(1.2820) = 0.8832 +32'h3ab8fdf6,32'h41d0afc3,32'h41d93453, 32'h41ca4c57,32'h41df97bf, 32'h41bfa6a4,32'h41ea3d73,// invsqrt(0.0014) = 26.6182 +32'h3fb199cb,32'h3f54fc1c,32'h3f5dad96, 32'h3f4e7701,32'h3f6432b1, 32'h3f43992a,32'h3f6f1088,// invsqrt(1.3875) = 0.8490 +32'h3f8bd2df,32'h3f7009d0,32'h3f79d5f8, 32'h3f68b0b2,32'h3f80978b, 32'h3f5c7180,32'h3f86b724,// invsqrt(1.0924) = 0.9568 +32'h3eacf168,32'h3fd7d577,32'h3fe0a4b5, 32'h3fd13a08,32'h3fe74024, 32'h3fc636fa,32'h3ff24332,// invsqrt(0.3378) = 1.7206 +32'h3fa54fd8,32'h3f5cc261,32'h3f65c516, 32'h3f560058,32'h3f6c871e, 32'h3f4abcf5,32'h3f77ca81,// invsqrt(1.2915) = 0.8799 +32'h406445c7,32'h3f04d710,32'h3f0a431c, 32'h3f00c608,32'h3f0e5424, 32'h3ef3fdf4,32'h3f151b32,// invsqrt(3.5668) = 0.5295 +32'h3e2ce349,32'h4018a455,32'h401edf49, 32'h4013f81e,32'h40238b80, 32'h400c2e6e,32'h402b5530,// invsqrt(0.1688) = 2.4337 +32'h3e82e20d,32'h3ff819fc,32'h40011d32, 32'h3ff081ae,32'h4004e959, 32'h3fe3d92b,32'h400b3d9a,// invsqrt(0.2556) = 1.9778 +32'h3fcd7255,32'h3f460694,32'h3f4e1bbe, 32'h3f3ff6b4,32'h3f542b9e, 32'h3f35dc3e,32'h3f5e4614,// invsqrt(1.6051) = 0.7893 +32'h3fdf1b4d,32'h3f3e06da,32'h3f45c870, 32'h3f3835aa,32'h3f4b99a0, 32'h3f2e83ae,32'h3f554b9c,// invsqrt(1.7430) = 0.7574 +32'h3e8055a0,32'h3ffa8d88,32'h400263c7, 32'h3ff2e204,32'h40063989, 32'h3fe6197d,32'h400c9dcc,// invsqrt(0.2507) = 1.9974 +32'h3f1e2864,32'h3f9f9785,32'h3fa61b17, 32'h3f9ab4d7,32'h3faafdc5, 32'h3f929060,32'h3fb3223c,// invsqrt(0.6178) = 1.2723 +32'h40b41d4d,32'h3ed37e4d,32'h3edc2031, 32'h3ecd04e2,32'h3ee2999c, 32'h3ec23a86,32'h3eed63f9,// invsqrt(5.6286) = 0.4215 +32'h3e903a30,32'h3fec5871,32'h3ff5fe03, 32'h3fe51c44,32'h3ffd3a30, 32'h3fd90d4e,32'h4004a493,// invsqrt(0.2817) = 1.8841 +32'h3f95c790,32'h3f67ec6b,32'h3f7163c9, 32'h3f60d2e6,32'h3f787d4e, 32'h3f54fdb1,32'h3f822942,// invsqrt(1.1702) = 0.9244 +32'h3fdb8e8c,32'h3f3f8e8a,32'h3f47601c, 32'h3f39b15c,32'h3f4d3d4a, 32'h3f2feb64,32'h3f570342,// invsqrt(1.7153) = 0.7635 +32'h3f309c97,32'h3f97062d,32'h3f9d3039, 32'h3f9266a4,32'h3fa1cfc2, 32'h3f8ab214,32'h3fa98452,// invsqrt(0.6899) = 1.2040 +32'h3b97012e,32'h4166fb17,32'h4170689b, 32'h415fe8f5,32'h41777abd, 32'h41542010,32'h4181a1d1,// invsqrt(0.0046) = 14.7309 +32'h3f377cb0,32'h3f942ae9,32'h3f9a371d, 32'h3f8fa1c3,32'h3f9ec043, 32'h3f881283,32'h3fa64f83,// invsqrt(0.7167) = 1.1812 +32'h3f9460b3,32'h3f690439,32'h3f728701, 32'h3f61e222,32'h3f79a918, 32'h3f55fea7,32'h3f82c64a,// invsqrt(1.1592) = 0.9288 +32'h3f24fe78,32'h3f9c402d,32'h3fa2a0d6, 32'h3f9777ae,32'h3fa76954, 32'h3f8f7eda,32'h3faf6228,// invsqrt(0.6445) = 1.2456 +32'h3e70f52c,32'h40014bd1,32'h400692d5, 32'h3ffaad1e,32'h400a8817, 32'h3fed7b95,32'h401120db,// invsqrt(0.2353) = 2.0615 +32'h3f70a171,32'h3f81624e,32'h3f86aa3c, 32'h3f7ad8b6,32'h3f8aa02f, 32'h3f6da4e3,32'h3f913a19,// invsqrt(0.9400) = 1.0314 +32'h3f182130,32'h3fa2b91b,32'h3fa95d65, 32'h3f9dbde3,32'h3fae589d, 32'h3f957086,32'h3fb6a5fa,// invsqrt(0.5943) = 1.2972 +32'h4014cc1d,32'h3f2488fa,32'h3f2b4034, 32'h3f1f7f8f,32'h3f30499f, 32'h3f171a88,32'h3f38aea6,// invsqrt(2.3250) = 0.6558 +32'h3fccafcf,32'h3f466496,32'h3f4e7d97, 32'h3f4051d6,32'h3f549058, 32'h3f363295,32'h3f5eaf99,// invsqrt(1.5991) = 0.7908 +32'h40e5d9d5,32'h3ebb37d8,32'h3ec2dc15, 32'h3eb57caa,32'h3ec89742, 32'h3eabef5d,32'h3ed2248f,// invsqrt(7.1828) = 0.3731 +32'h3d9760db,32'h4066b20d,32'h40701c96, 32'h405fa228,32'h40772c7c, 32'h4053dcfd,32'h408178d4,// invsqrt(0.0739) = 3.6782 +32'h3f24298c,32'h3f9ca561,32'h3fa30a2b, 32'h3f97d9c9,32'h3fa7d5c3, 32'h3f8fdbcc,32'h3fafd3c0,// invsqrt(0.6413) = 1.2488 +32'h3e813f79,32'h3ff9aa78,32'h4001ed9c, 32'h3ff205e7,32'h4005bfe5, 32'h3fe548f6,32'h400c1e5d,// invsqrt(0.2524) = 1.9903 +32'h3e2a94d5,32'h4019ab9f,32'h401ff152, 32'h4014f759,32'h4024a599, 32'h400d203a,32'h402c7cb8,// invsqrt(0.1666) = 2.4501 +32'h3f2d3f0f,32'h3f987be1,32'h3f9eb52f, 32'h3f93d0e8,32'h3fa36028, 32'h3f8c0947,32'h3fab27c9,// invsqrt(0.6767) = 1.2156 +32'h3f8f5aed,32'h3f6d1035,32'h3f76bd47, 32'h3f65ce68,32'h3f7dff14, 32'h3f59b611,32'h3f850bb5,// invsqrt(1.1200) = 0.9449 +32'h40795fe0,32'h3efe30df,32'h3f044874, 32'h3ef668d9,32'h3f082c78, 32'h3ee970cd,32'h3f0ea87d,// invsqrt(3.8965) = 0.5066 +32'h3e774296,32'h3fff4682,32'h4004d8f1, 32'h3ff775fc,32'h4008c134, 32'h3fea6fc7,32'h400f444f,// invsqrt(0.2415) = 2.0350 +32'h3f6ba0a3,32'h3f82c01b,32'h3f881650, 32'h3f7d7ee5,32'h3f8c16f8, 32'h3f70275f,32'h3f92c2ba,// invsqrt(0.9204) = 1.0423 +32'h3f874401,32'h3f740c94,32'h3f7e02a5, 32'h3f6c9408,32'h3f82bd99, 32'h3f602074,32'h3f88f763,// invsqrt(1.0568) = 0.9728 +32'h3f4c1d4f,32'h3f8c7b43,32'h3f923727, 32'h3f882e59,32'h3f968411, 32'h3f81037c,32'h3f9daeee,// invsqrt(0.7973) = 1.1199 +32'h40ba008e,32'h3ed01e80,32'h3ed89d22, 32'h3ec9bf87,32'h3edefc1b, 32'h3ebf213c,32'h3ee99a66,// invsqrt(5.8126) = 0.4148 +32'h3e050332,32'h402e0637,32'h40352097, 32'h4028b26f,32'h403a745f, 32'h401fd176,32'h40435558,// invsqrt(0.1299) = 2.7746 +32'h3ea0079f,32'h3fe05f7e,32'h3fe987f6, 32'h3fd98124,32'h3ff06650, 32'h3fce0e8e,32'h3ffbd8e6,// invsqrt(0.3126) = 1.7887 +32'h41440f7d,32'h3e8f567f,32'h3e95303b, 32'h3e8af332,32'h3e999388, 32'h3e83a307,32'h3ea0e3b3,// invsqrt(12.2538) = 0.2857 +32'h40003944,32'h3f313e80,32'h3f387a86, 32'h3f2bd17c,32'h3f3de78a, 32'h3f22c676,32'h3f46f290,// invsqrt(2.0035) = 0.7065 +32'h414eeb16,32'h3e8b86c6,32'h3e9138ae, 32'h3e874157,32'h3e957e1d, 32'h3e8022f4,32'h3e9c9c80,// invsqrt(12.9324) = 0.2781 +32'h4011b771,32'h3f2643e9,32'h3f2d0d37, 32'h3f212cef,32'h3f322431, 32'h3f18b14e,32'h3f3a9fd2,// invsqrt(2.2768) = 0.6627 +32'h3fb49956,32'h3f5335a0,32'h3f5bd48c, 32'h3f4cbe6e,32'h3f624bbe, 32'h3f41f7c7,32'h3f6d1265,// invsqrt(1.4109) = 0.8419 +32'h3fef8844,32'h3f376548,32'h3f3ee194, 32'h3f31c80f,32'h3f447ecd, 32'h3f286cb0,32'h3f4dda2c,// invsqrt(1.8713) = 0.7310 +32'h3f3779f9,32'h3f942c02,32'h3f9a3840, 32'h3f8fa2d3,32'h3f9ec16f, 32'h3f881384,32'h3fa650be,// invsqrt(0.7167) = 1.1812 +32'h3f1726b1,32'h3fa33fb9,32'h3fa9e982, 32'h3f9e4063,32'h3faee8d9, 32'h3f95ec28,32'h3fb73d14,// invsqrt(0.5904) = 1.3014 +32'h3f7d79b3,32'h3f7c2054,32'h3f833566, 32'h3f74687c,32'h3f871152, 32'h3f678b68,32'h3f8d7fdc,// invsqrt(0.9901) = 1.0050 +32'h3f7aa1ee,32'h3f7d8d5a,32'h3f83f35b, 32'h3f75ca54,32'h3f87d4de, 32'h3f68daa1,32'h3f8e4cb8,// invsqrt(0.9790) = 1.0107 +32'h3e792245,32'h3ffe504a,32'h400458cd, 32'h3ff6874c,32'h40083d4c, 32'h3fe98da7,32'h400eba1f,// invsqrt(0.2433) = 2.0274 +32'h3e8bf678,32'h3fefeb48,32'h3ff9b630, 32'h3fe89319,32'h40008730, 32'h3fdc5576,32'h4006a601,// invsqrt(0.2734) = 1.9126 +32'h40a24abf,32'h3edecdc3,32'h3ee7e5d5, 32'h3ed7fbb5,32'h3eeeb7e3, 32'h3ecc9d9e,32'h3efa15fa,// invsqrt(5.0716) = 0.4440 +32'h3fbab68c,32'h3f4fb8fa,32'h3f583377, 32'h3f495d1c,32'h3f5e8f54, 32'h3f3ec3ff,32'h3f692871,// invsqrt(1.4587) = 0.8280 +32'h43277000,32'h3d9b1b3c,32'h3da16ff0, 32'h3d965bb5,32'h3da62f77, 32'h3d8e71d4,32'h3dae1958,// invsqrt(167.4375) = 0.0773 +32'h3f716660,32'h3f812d7c,32'h3f867343, 32'h3f7a7250,32'h3f8a6798, 32'h3f6d43e0,32'h3f90fed0,// invsqrt(0.9430) = 1.0298 +32'h3ce14343,32'h40bd1d7b,32'h40c4d58b, 32'h40b75370,32'h40ca9f96, 32'h40adad5c,32'h40d445aa,// invsqrt(0.0275) = 6.0305 +32'h3ccc3214,32'h40c6a1a1,32'h40cebd1f, 32'h40c08d02,32'h40d4d1be, 32'h40b66aa3,32'h40def41d,// invsqrt(0.0249) = 6.3339 +32'h3f44113e,32'h3f8f55db,32'h3f952f91, 32'h3f8af293,32'h3f9992d9, 32'h3f83a271,32'h3fa0e2fb,// invsqrt(0.7659) = 1.1427 +32'h40dbc425,32'h3ebf772d,32'h3ec747cb, 32'h3eb99ab6,32'h3ecd2442, 32'h3eafd5f0,32'h3ed6e908,// invsqrt(6.8677) = 0.3816 +32'h3fd911b2,32'h3f40a6bd,32'h3f4883bf, 32'h3f3ac0fb,32'h3f4e6981, 32'h3f30ecb8,32'h3f583dc4,// invsqrt(1.6959) = 0.7679 +32'h4110263a,32'h3ea72aac,32'h3eadfd64, 32'h3ea20ca1,32'h3eb31b6f, 32'h3e99853a,32'h3ebba2d6,// invsqrt(9.0093) = 0.3332 +32'h3e84b069,32'h3ff6683e,32'h40003b7a, 32'h3feedd38,32'h400400fd, 32'h3fe24ad6,32'h400a4a2e,// invsqrt(0.2592) = 1.9643 +32'h3d8802f6,32'h40736106,32'h407d5016, 32'h406bedba,32'h408261b1, 32'h405f82e6,32'h4088971b,// invsqrt(0.0664) = 3.8804 +32'h3dfe5e11,32'h4031f7a2,32'h40393b36, 32'h402c84f3,32'h403eade5, 32'h4023707b,32'h4047c25d,// invsqrt(0.1242) = 2.8375 +32'h4073bb5f,32'h3f008ee7,32'h3f05ce35, 32'h3ef93edb,32'h3f09bdaf, 32'h3eec2099,32'h3f104ccf,// invsqrt(3.8083) = 0.5124 +32'h3dda9271,32'h403ffce3,32'h4047d2f7, 32'h403a1c54,32'h404db386, 32'h403050bc,32'h40577f1e,// invsqrt(0.1067) = 3.0610 +32'h3f4af720,32'h3f8ce0ed,32'h3f92a0f7, 32'h3f8890e6,32'h3f96f0fe, 32'h3f8160da,32'h3f9e210a,// invsqrt(0.7928) = 1.1231 +32'h40824ddd,32'h3ef8a6e7,32'h3f016688, 32'h3ef10a49,32'h3f0534d8, 32'h3ee45a96,32'h3f0b8cb1,// invsqrt(4.0720) = 0.4956 +32'h3edabfbe,32'h3fbfe901,32'h3fc7be45, 32'h3fba090e,32'h3fcd9e38, 32'h3fb03e79,32'h3fd768cd,// invsqrt(0.4272) = 1.5299 +32'h3f26e7e0,32'h3f9b5a6f,32'h3fa1b1b7, 32'h3f9698f8,32'h3fa6732e, 32'h3f8eabde,32'h3fae6048,// invsqrt(0.6520) = 1.2385 +32'h3e3a9774,32'h4012ee09,32'h4018ed4d, 32'h400e6e96,32'h401d6cc0, 32'h4006ef80,32'h4024ebd6,// invsqrt(0.1822) = 2.3426 +32'h4092e360,32'h3eea31eb,32'h3ef3c104, 32'h3ee30697,32'h3efaec57, 32'h3ed713b8,32'h3f036f9b,// invsqrt(4.5903) = 0.4667 +32'h3e8a0893,32'h3ff19704,32'h3ffb7362, 32'h3fea31bd,32'h40016c54, 32'h3fddde48,32'h4007960f,// invsqrt(0.2696) = 1.9259 +32'h3f4c7234,32'h3f8c5e15,32'h3f9218c8, 32'h3f881210,32'h3f9664ce, 32'h3f80e8b1,32'h3f9d8e2d,// invsqrt(0.7986) = 1.1190 +32'h408c4668,32'h3eefa6e2,32'h3ef96f00, 32'h3ee850cb,32'h3f00628b, 32'h3edc16a6,32'h3f067f9e,// invsqrt(4.3836) = 0.4776 +32'h3ef6ec72,32'h3fb4a143,32'h3fbc00a9, 32'h3faf19b6,32'h3fc18836, 32'h3fa5e277,32'h3fcabf75,// invsqrt(0.4823) = 1.4400 +32'h3e8ebcdf,32'h3fed9351,32'h3ff745bd, 32'h3fe64d80,32'h3ffe8b8e, 32'h3fda2e7a,32'h4005554a,// invsqrt(0.2788) = 1.8939 +32'h3d6b9721,32'h4082c2be,32'h4088190f, 32'h407d8404,32'h408c19cc, 32'h40702c39,32'h4092c5b1,// invsqrt(0.0575) = 4.1697 +32'h42611670,32'h3e05c6d0,32'h3e0b3ca4, 32'h3e01ae71,32'h3e0f5503, 32'h3df5b64f,32'h3e16284d,// invsqrt(56.2719) = 0.1333 +32'h3e9ffd9e,32'h3fe06682,32'h3fe98f44, 32'h3fd987f1,32'h3ff06dd5, 32'h3fce1500,32'h3ffbe0c7,// invsqrt(0.3125) = 1.7889 +32'h3e580b80,32'h40088c2e,32'h400e1ef6, 32'h40045e18,32'h40124d0c, 32'h3ffacd3a,32'h40194487,// invsqrt(0.2110) = 2.1771 +32'h3f294332,32'h3f9a4498,32'h3fa09089, 32'h3f958ba2,32'h3fa5497e, 32'h3f8dacb5,32'h3fad286b,// invsqrt(0.6612) = 1.2298 +32'h3f04cbe6,32'h3fae2a6e,32'h3fb54649, 32'h3fa8d58b,32'h3fba9b2d, 32'h3f9ff2b9,32'h3fc37dff,// invsqrt(0.5187) = 1.3884 +32'h3e864bd4,32'h3ff4edac,32'h3ffeecec, 32'h3fed6e3b,32'h4003362e, 32'h3fe0ef2b,32'h400975b7,// invsqrt(0.2623) = 1.9526 +32'h3ea53553,32'h3fdcd418,32'h3fe5d786, 32'h3fd61185,32'h3fec9a19, 32'h3fcacd3a,32'h3ff7de64,// invsqrt(0.3227) = 1.7604 +32'h40af53b2,32'h3ed65c89,32'h3edf1c65, 32'h3ecfcca4,32'h3ee5ac4a, 32'h3ec4dcd2,32'h3ef09c1d,// invsqrt(5.4790) = 0.4272 +32'h3e86d6b6,32'h3ff46f68,32'h3ffe6982, 32'h3fecf3d6,32'h4002f28a, 32'h3fe07b36,32'h40092eda,// invsqrt(0.2634) = 1.9486 +32'h41177d20,32'h3ea31120,32'h3ea9b902, 32'h3e9e1337,32'h3eaeb6eb, 32'h3e95c15c,32'h3eb708c6,// invsqrt(9.4680) = 0.3250 +32'h3d001ab0,32'h40b153a6,32'h40b89088, 32'h40abe5fc,32'h40bdfe32, 32'h40a2d9e2,32'h40c70a4d,// invsqrt(0.0313) = 5.6546 +32'h3f32c92c,32'h3f961a61,32'h3f9c3acd, 32'h3f91820f,32'h3fa0d31f, 32'h3f89d988,32'h3fa87ba6,// invsqrt(0.6984) = 1.1966 +32'h3dcc1d5d,32'h4046abb5,32'h404ec79d, 32'h404096c7,32'h4054dc8b, 32'h403673e5,32'h405eff6d,// invsqrt(0.0997) = 3.1676 +32'h40cbc193,32'h3ec6d870,32'h3ecef62b, 32'h3ec0c223,32'h3ed50c77, 32'h3eb69cf8,32'h3edf31a2,// invsqrt(6.3674) = 0.3963 +32'h3f38f8d9,32'h3f939258,32'h3f999852, 32'h3f8f0dde,32'h3f9e1ccc, 32'h3f878666,32'h3fa5a444,// invsqrt(0.7225) = 1.1764 +32'h3f3216cc,32'h3f96657a,32'h3f9c88f8, 32'h3f91cadd,32'h3fa12395, 32'h3f8a1e80,32'h3fa8cff2,// invsqrt(0.6957) = 1.1990 +32'h3f6dbb16,32'h3f822bb4,32'h3f877bda, 32'h3f7c5f2d,32'h3f8b77f7, 32'h3f6f16cd,32'h3f921c28,// invsqrt(0.9286) = 1.0377 +32'h3e63ae4d,32'h40050339,32'h400a7112, 32'h4000f0d7,32'h400e8375, 32'h3ff44f11,32'h40154cc3,// invsqrt(0.2223) = 2.1207 +32'h401b2925,32'h3f212036,32'h3f27b3cf, 32'h3f1c3182,32'h3f2ca282, 32'h3f13f902,32'h3f34db02,// invsqrt(2.4244) = 0.6422 +32'h3ec7a1a0,32'h3fc8e3a5,32'h3fd116bb, 32'h3fc2bd54,32'h3fd73d0c, 32'h3fb87d78,32'h3fe17ce8,// invsqrt(0.3899) = 1.6015 +32'h3fad7c2a,32'h3f577f15,32'h3f604acd, 32'h3f50e64b,32'h3f66e397, 32'h3f45e7a6,32'h3f71e23c,// invsqrt(1.3554) = 0.8590 +32'h3f625035,32'h3f8569f3,32'h3f8adbfd, 32'h3f81546c,32'h3f8ef184, 32'h3f750bbe,32'h3f95c011,// invsqrt(0.8840) = 1.0636 +32'h3f85ae5f,32'h3f757dc0,32'h3f7f82e2, 32'h3f6df9e7,32'h3f83835e, 32'h3f61737c,32'h3f89c693,// invsqrt(1.0444) = 0.9785 +32'h3fa38933,32'h3f5df46a,32'h3f67039e, 32'h3f572904,32'h3f6dcf04, 32'h3f4bd604,32'h3f792204,// invsqrt(1.2776) = 0.8847 +32'h3f8c9ebc,32'h3f6f5b92,32'h3f79209d, 32'h3f6807c9,32'h3f803a32, 32'h3f5bd17b,32'h3f865559,// invsqrt(1.0986) = 0.9541 +32'h3f2a89ec,32'h3f99b08a,32'h3f9ff670, 32'h3f94fc1d,32'h3fa4aadd, 32'h3f8d24bd,32'h3fac823d,// invsqrt(0.6662) = 1.2252 +32'h41514a3d,32'h3e8abbd0,32'h3e906570, 32'h3e867c98,32'h3e94a4a8, 32'h3e7ed120,32'h3e9bb8b0,// invsqrt(13.0806) = 0.2765 +32'h3ed6035d,32'h3fc2059d,32'h3fc9f0f1, 32'h3fbc151d,32'h3fcfe171, 32'h3fb22ef3,32'h3fd9c79b,// invsqrt(0.4180) = 1.5467 +32'h401c885d,32'h3f206b0d,32'h3f26f741, 32'h3f1b81e5,32'h3f2be069, 32'h3f1352a3,32'h3f340fab,// invsqrt(2.4458) = 0.6394 +32'h3e14d58f,32'h402483c2,32'h402b3ac4, 32'h401f7a80,32'h40304406, 32'h401715bc,32'h4038a8ca,// invsqrt(0.1453) = 2.6230 +32'h3f637d83,32'h3f85117c,32'h3f8a7fea, 32'h3f80feaa,32'h3f8e92bc, 32'h3f746942,32'h3f955cc5,// invsqrt(0.8886) = 1.0608 +32'h420243e6,32'h3e2fd98a,32'h3e3706fd, 32'h3e2a7773,32'h3e3c6913, 32'h3e217ea3,32'h3e4561e3,// invsqrt(32.5663) = 0.1752 +32'h40ac7e93,32'h3ed81d42,32'h3ee0ef6e, 32'h3ed17fa0,32'h3ee78d10, 32'h3ec678e9,32'h3ef293c7,// invsqrt(5.3905) = 0.4307 +32'h3f898f39,32'h3f72017d,32'h3f7be233, 32'h3f6a98f4,32'h3f81a55e, 32'h3f5e400f,32'h3f87d1d0,// invsqrt(1.0747) = 0.9646 +32'h4030fa0b,32'h3f16de48,32'h3f1d06b3, 32'h3f123ff7,32'h3f21a503, 32'h3f0a8d70,32'h3f29578a,// invsqrt(2.7653) = 0.6014 +32'h3ff87837,32'h3f34112e,32'h3f3b6ab3, 32'h3f2e8e0b,32'h3f40edd7, 32'h3f255e26,32'h3f4a1dbc,// invsqrt(1.9412) = 0.7177 +32'h3fa5cedb,32'h3f5c6dc3,32'h3f656d05, 32'h3f55ae52,32'h3f6c2c76, 32'h3f4a6f40,32'h3f776b88,// invsqrt(1.2954) = 0.8786 +32'h41222f0f,32'h3e9d993c,32'h3ea407fa, 32'h3e98c62d,32'h3ea8db09, 32'h3e90bbbf,32'h3eb0e577,// invsqrt(10.1365) = 0.3141 +32'h3f9dff51,32'h3f61cfc2,32'h3f6b0742, 32'h3f5ae622,32'h3f71f0e2, 32'h3f4f60c2,32'h3f7d7642,// invsqrt(1.2344) = 0.9001 +32'h40062fe7,32'h3f2d42cc,32'h3f345532, 32'h3f27f4ff,32'h3f39a2ff, 32'h3f1f1dff,32'h3f4279ff,// invsqrt(2.0967) = 0.6906 +32'h3f4c5dbf,32'h3f8c651c,32'h3f922018, 32'h3f8818df,32'h3f966c55, 32'h3f80ef24,32'h3f9d9610,// invsqrt(0.7983) = 1.1192 +32'h4138ee17,32'h3e9396a3,32'h3e999cc9, 32'h3e8f1206,32'h3e9e2166, 32'h3e878a57,32'h3ea5a915,// invsqrt(11.5581) = 0.2941 +32'h3fcfe79b,32'h3f44da00,32'h3f4ce2e6, 32'h3f3ed354,32'h3f52e992, 32'h3f34c834,32'h3f5cf4b2,// invsqrt(1.6243) = 0.7846 +32'h3f837e47,32'h3f77866e,32'h3f80d068, 32'h3f6ff2a4,32'h3f849a4d, 32'h3f6351a9,32'h3f8aeacb,// invsqrt(1.0273) = 0.9866 +32'h3e4dd6c7,32'h400be44c,32'h40119a06, 32'h40079c01,32'h4015e251, 32'h400078d8,32'h401d057a,// invsqrt(0.2010) = 2.2304 +32'h3e7bb6a7,32'h3ffd01d4,32'h4003aac0, 32'h3ff54315,32'h40078a20, 32'h3fe85a7f,32'h400dfe6a,// invsqrt(0.2458) = 2.0170 +32'h456f86db,32'h3c81ae8a,32'h3c86f994, 32'h3c7b6c83,32'h3c8af1dd, 32'h3c6e30e8,32'h3c918faa,// invsqrt(3832.4285) = 0.0162 +32'h3f2b6fa5,32'h3f99496e,32'h3f9f8b1f, 32'h3f94982a,32'h3fa43c64, 32'h3f8cc60d,32'h3fac0e81,// invsqrt(0.6697) = 1.2220 +32'h3f61694a,32'h3f85ae38,32'h3f8b230c, 32'h3f81969a,32'h3f8f3aaa, 32'h3f758924,32'h3f960cb2,// invsqrt(0.8805) = 1.0657 +32'h3f96a02c,32'h3f67456c,32'h3f70b5f9, 32'h3f603104,32'h3f77ca62, 32'h3f546454,32'h3f81cb89,// invsqrt(1.1768) = 0.9218 +32'h3fbaa274,32'h3f4fc428,32'h3f583f1a, 32'h3f4967f3,32'h3f5e9b4f, 32'h3f3ece44,32'h3f6934fe,// invsqrt(1.4581) = 0.8281 +32'h3f505ffc,32'h3f8b09b6,32'h3f90b684, 32'h3f86c81c,32'h3f94f81e, 32'h3f7f6034,32'h3f9c1020,// invsqrt(0.8140) = 1.1084 +32'h3f42a1a4,32'h3f8fdcf7,32'h3f95bc31, 32'h3f8b758d,32'h3f9a239b, 32'h3f841e85,32'h3fa17aa3,// invsqrt(0.7603) = 1.1469 +32'h3e82a0a1,32'h3ff85815,32'h40013d83, 32'h3ff0bde0,32'h40050a9d, 32'h3fe41232,32'h400b6074,// invsqrt(0.2551) = 1.9798 +32'h3ea2bebe,32'h3fde7e4e,32'h3fe79322, 32'h3fd7aeaf,32'h3fee62c1, 32'h3fcc54a6,32'h3ff9bcca,// invsqrt(0.3179) = 1.7737 +32'h3ee33969,32'h3fbc4c10,32'h3fc3fb94, 32'h3fb6886e,32'h3fc9bf36, 32'h3faced0a,32'h3fd35a9a,// invsqrt(0.4438) = 1.5011 +32'h3e9d5555,32'h3fe2499d,32'h3feb8617, 32'h3fdb5c42,32'h3ff27372, 32'h3fcfd0ab,32'h3ffdff09,// invsqrt(0.3073) = 1.8040 +32'h3f97bc0a,32'h3f666cb2,32'h3f6fd466, 32'h3f5f5eeb,32'h3f76e22d, 32'h3f539d4b,32'h3f8151e7,// invsqrt(1.1854) = 0.9185 +32'h3efa2eb0,32'h3fb3731e,32'h3fbac62e, 32'h3fadf4d1,32'h3fc0447b, 32'h3fa4ccfc,32'h3fc96c50,// invsqrt(0.4886) = 1.4306 +32'h3f16c6ab,32'h3fa373ad,32'h3faa1f95, 32'h3f9e72bf,32'h3faf2083, 32'h3f961bde,32'h3fb77764,// invsqrt(0.5890) = 1.3030 +32'h3e0c5b9b,32'h402968d3,32'h403052fb, 32'h40243935,32'h40358299, 32'h401b9483,32'h403e274b,// invsqrt(0.1371) = 2.7010 +32'h3fb9b375,32'h3f5049af,32'h3f58ca15, 32'h3f49e964,32'h3f5f2a60, 32'h3f3f48e5,32'h3f69cadf,// invsqrt(1.4508) = 0.8302 +32'h40455809,32'h3f0edefc,32'h3f14b3d8, 32'h3f0a7f58,32'h3f19137c, 32'h3f033546,32'h3f205d8e,// invsqrt(3.0835) = 0.5695 +32'h3f904627,32'h3f6c4ea4,32'h3f75f3d0, 32'h3f6512c4,32'h3f7d2fb0, 32'h3f59044e,32'h3f849f13,// invsqrt(1.1271) = 0.9419 +32'h3ff52f96,32'h3f3544d7,32'h3f3caaea, 32'h3f2fb848,32'h3f423778, 32'h3f2678b0,32'h3f4b7710,// invsqrt(1.9155) = 0.7225 +32'h3ef05713,32'h3fb71650,32'h3fbe8f62, 32'h3fb17b81,32'h3fc42a31, 32'h3fa8242a,32'h3fcd8188,// invsqrt(0.4694) = 1.4596 +32'h3fb805bd,32'h3f513c53,32'h3f59c69f, 32'h3f4ad499,32'h3f602e59, 32'h3f4027ba,32'h3f6adb38,// invsqrt(1.4377) = 0.8340 +32'h3ed0e767,32'h3fc46156,32'h3fcc654f, 32'h3fbe5e5b,32'h3fd26849, 32'h3fb45963,32'h3fdc6d41,// invsqrt(0.4080) = 1.5655 +32'h3f89a18b,32'h3f71f161,32'h3f7bd16f, 32'h3f6a8956,32'h3f819cbd, 32'h3f5e3144,32'h3f87c8c6,// invsqrt(1.0752) = 0.9644 +32'h40a1f152,32'h3edf0b3f,32'h3ee825d3, 32'h3ed8374f,32'h3eeef9c3, 32'h3eccd615,32'h3efa5afd,// invsqrt(5.0607) = 0.4445 +32'h40963905,32'h3ee794c6,32'h3ef10890, 32'h3ee07def,32'h3ef81f67, 32'h3ed4ad34,32'h3f01f811,// invsqrt(4.6945) = 0.4615 +32'h3f991a1d,32'h3f6564aa,32'h3f6ec197, 32'h3f5e5ef9,32'h3f75c749, 32'h3f52aad1,32'h3f80bdb9,// invsqrt(1.1961) = 0.9144 +32'h3ee947e1,32'h3fb9d634,32'h3fc16c02, 32'h3fb425da,32'h3fc71c5c, 32'h3faaaa98,32'h3fd0979e,// invsqrt(0.4556) = 1.4815 +32'h3f7cd912,32'h3f7c705d,32'h3f835f0c, 32'h3f74b611,32'h3f873c32, 32'h3f67d4e7,32'h3f8dacc6,// invsqrt(0.9877) = 1.0062 +32'h3d84600c,32'h4076b2ff,32'h40806260, 32'h406f25ad,32'h40842908, 32'h40628f7c,32'h408a7421,// invsqrt(0.0646) = 3.9333 +32'h3f435cb8,32'h3f8f9805,32'h3f95746e, 32'h3f8b32b7,32'h3f99d9bd, 32'h3f83df34,32'h3fa12d40,// invsqrt(0.7631) = 1.1447 +32'h3e95ecbc,32'h3fe7cfa9,32'h3ff145da, 32'h3fe0b705,32'h3ff85e7f, 32'h3fd4e348,32'h4002191e,// invsqrt(0.2928) = 1.8480 +32'h3ffd7a38,32'h3f32478d,32'h3f398e63, 32'h3f2cd26c,32'h3f3f0384, 32'h3f23b9df,32'h3f481c11,// invsqrt(1.9803) = 0.7106 +32'h3fa5c48c,32'h3f5c749d,32'h3f657427, 32'h3f55b4f7,32'h3f6c33cd, 32'h3f4a758b,32'h3f777339,// invsqrt(1.2951) = 0.8787 +32'h3f1a3a36,32'h3fa19cd5,32'h3fa83585, 32'h3f9caa51,32'h3fad2809, 32'h3f946b75,32'h3fb566e5,// invsqrt(0.6025) = 1.2884 +32'h3ec35eeb,32'h3fcb1160,32'h3fd35b3a, 32'h3fc4d9fc,32'h3fd9929e, 32'h3fba7dac,32'h3fe3eeee,// invsqrt(0.3816) = 1.6188 +32'h3f099c9e,32'h3fab1767,32'h3fb21322, 32'h3fa5da9a,32'h3fb74fee, 32'h3f9d1ff0,32'h3fc00a98,// invsqrt(0.5375) = 1.3639 +32'h3ee73d83,32'h3fbaa7a4,32'h3fc245fe, 32'h3fb4f0e0,32'h3fc7fcc2, 32'h3fab6aef,32'h3fd182b3,// invsqrt(0.4516) = 1.4880 +32'h3e71a645,32'h40011c67,32'h4006617b, 32'h3ffa5130,32'h400a554a, 32'h3fed247f,32'h4010eba3,// invsqrt(0.2360) = 2.0585 +32'h3ee406f7,32'h3fbbf71e,32'h3fc3a32a, 32'h3fb63615,32'h3fc96433, 32'h3fac9f07,32'h3fd2fb41,// invsqrt(0.4454) = 1.4984 +32'h3f92edf1,32'h3f6a297f,32'h3f73b840, 32'h3f62fe6d,32'h3f7ae351, 32'h3f570bfc,32'h3f836ae1,// invsqrt(1.1479) = 0.9334 +32'h3f9ac59f,32'h3f6426fe,32'h3f6d76f4, 32'h3f5d2b06,32'h3f7472ec, 32'h3f518713,32'h3f800b6f,// invsqrt(1.2092) = 0.9094 +32'h3f27b895,32'h3f9af9a8,32'h3fa14cfe, 32'h3f963b28,32'h3fa60b7e, 32'h3f8e52fe,32'h3fadf3a8,// invsqrt(0.6552) = 1.2355 +32'h3aa5aec5,32'h41dc831a,32'h41e5833a, 32'h41d5c302,32'h41ec4352, 32'h41ca82d9,32'h41f7837b,// invsqrt(0.0013) = 28.1265 +32'h3f894acd,32'h3f723dc3,32'h3f7c20ef, 32'h3f6ad361,32'h3f81c5a8, 32'h3f5e776a,32'h3f87f3a4,// invsqrt(1.0726) = 0.9656 +32'h3f51cd66,32'h3f8a906c,32'h3f903846, 32'h3f865288,32'h3f94762a, 32'h3f7e816d,32'h3f9b87fc,// invsqrt(0.8195) = 1.1046 +32'h3f95e0a8,32'h3f67d900,32'h3f714f92, 32'h3f60c012,32'h3f786880, 32'h3f54ebdb,32'h3f821e5b,// invsqrt(1.1709) = 0.9241 +32'h3ed7a2e4,32'h3fc14a52,32'h3fc92e02, 32'h3fbb5f8e,32'h3fcf18c6, 32'h3fb182f3,32'h3fd8f561,// invsqrt(0.4212) = 1.5409 +32'h3f7669ad,32'h3f7fb6c4,32'h3f85135c, 32'h3f77e2ce,32'h3f88fd57, 32'h3f6ad6de,32'h3f8f834f,// invsqrt(0.9625) = 1.0193 +32'h3f00823b,32'h3fb10c27,32'h3fb8461f, 32'h3faba0ae,32'h3fbdb198, 32'h3fa29839,32'h3fc6ba0d,// invsqrt(0.5020) = 1.4114 +32'h3ff639c4,32'h3f34e2c1,32'h3f3c44d3, 32'h3f2f5933,32'h3f41ce61, 32'h3f261e9c,32'h3f4b08f8,// invsqrt(1.9236) = 0.7210 +32'h40077415,32'h3f2c72fa,32'h3f337ce4, 32'h3f272b89,32'h3f38c455, 32'h3f1e5f24,32'h3f4190ba,// invsqrt(2.1165) = 0.6874 +32'h407a96f6,32'h3efd92e6,32'h3f03f63f, 32'h3ef5cfb6,32'h3f07d7d7, 32'h3ee8dfba,32'h3f0e4fd5,// invsqrt(3.9155) = 0.5054 +32'h3de57f8f,32'h403b5ca6,32'h40430264, 32'h4035a058,32'h4048beb2, 32'h402c112b,32'h40524ddf,// invsqrt(0.1121) = 2.9873 +32'h40cc343f,32'h3ec6a093,32'h3ecebc07, 32'h3ec08bfc,32'h3ed4d09e, 32'h3eb669ac,32'h3edef2ee,// invsqrt(6.3814) = 0.3959 +32'h3f886ac8,32'h3f730458,32'h3f7cefa0, 32'h3f6b93e3,32'h3f82300b, 32'h3f5f2dc9,32'h3f886317,// invsqrt(1.0658) = 0.9687 +32'h3fa0ab6b,32'h3f5fed02,32'h3f6910ce, 32'h3f591229,32'h3f6feba7, 32'h3f4da56a,32'h3f7b5866,// invsqrt(1.2552) = 0.8926 +32'h4041f732,32'h3f101c1f,32'h3f15fded, 32'h3f0bb2c6,32'h3f1a6746, 32'h3f045885,32'h3f21c187,// invsqrt(3.0307) = 0.5744 +32'h3f38e813,32'h3f93990a,32'h3f999f49, 32'h3f8f145a,32'h3f9e23f8, 32'h3f878c8b,32'h3fa5abc7,// invsqrt(0.7223) = 1.1766 +32'h3f0c46a3,32'h3fa9757c,32'h3fb06029, 32'h3fa4457c,32'h3fb5902a, 32'h3f9ba024,32'h3fbe3582,// invsqrt(0.5480) = 1.3509 +32'h3ead9c8c,32'h3fd76afb,32'h3fe035e1, 32'h3fd0d2cf,32'h3fe6ce0d, 32'h3fc5d530,32'h3ff1cbac,// invsqrt(0.3391) = 1.7173 +32'h3f610fa3,32'h3f85c8d6,32'h3f8b3ebf, 32'h3f81b066,32'h3f8f572e, 32'h3f75ba05,32'h3f962a91,// invsqrt(0.8791) = 1.0665 +32'h3ee7fb50,32'h3fba5b39,32'h3fc1f675, 32'h3fb4a6cc,32'h3fc7aae2, 32'h3fab24c2,32'h3fd12ced,// invsqrt(0.4531) = 1.4856 +32'h40687935,32'h3f03a267,32'h3f0901d9, 32'h3eff35a3,32'h3f0d096e, 32'h3ef1c706,32'h3f13c0bd,// invsqrt(3.6324) = 0.5247 +32'h3e8c7ed0,32'h3fef76c1,32'h3ff93ce9, 32'h3fe82224,32'h400048c3, 32'h3fdbea73,32'h4006649c,// invsqrt(0.2744) = 1.9090 +32'h3ed4aaee,32'h3fc2a27c,32'h3fca9437, 32'h3fbcad2e,32'h3fd08984, 32'h3fb2bf03,32'h3fda77af,// invsqrt(0.4154) = 1.5516 +32'h411434fc,32'h3ea4dcc9,32'h3eab976d, 32'h3e9fd0cd,32'h3eb0a369, 32'h3e97677f,32'h3eb90cb7,// invsqrt(9.2629) = 0.3286 +32'h3f1567f9,32'h3fa43312,32'h3faae6c9, 32'h3f9f2c48,32'h3fafed92, 32'h3f96cba2,32'h3fb84e38,// invsqrt(0.5836) = 1.3090 +32'h3f6ca711,32'h3f827788,32'h3f87cac6, 32'h3f7cf230,32'h3f8bc936, 32'h3f6fa213,32'h3f927144,// invsqrt(0.9244) = 1.0401 +32'h3edc6310,32'h3fbf3218,32'h3fc6ffe4, 32'h3fb957be,32'h3fccda3e, 32'h3faf967e,32'h3fd69b7e,// invsqrt(0.4304) = 1.5242 +32'h3dc454b1,32'h404a921e,32'h4052d6c6, 32'h40445ea0,32'h40590a44, 32'h403a08cd,32'h40636017,// invsqrt(0.0959) = 3.2298 +32'h3f1b0397,32'h3fa133b9,32'h3fa7c81f, 32'h3f9c446d,32'h3facb76b, 32'h3f940aee,32'h3fb4f0ea,// invsqrt(0.6055) = 1.2851 +32'h3dfac413,32'h40333da2,32'h403a8e84, 32'h402dc0f8,32'h40400b2e, 32'h40249bde,32'h40493048,// invsqrt(0.1224) = 2.8578 +32'h3f05644c,32'h3fadc6d4,32'h3fb4de9e, 32'h3fa874fd,32'h3fba3075, 32'h3f9f9740,32'h3fc30e32,// invsqrt(0.5211) = 1.3853 +32'h3c2f2a47,32'h4117a57c,32'h411dd609, 32'h41130113,32'h41227a73, 32'h410b4463,32'h412a3723,// invsqrt(0.0107) = 9.6713 +32'h40314e0c,32'h3f16ba86,32'h3f1ce17c, 32'h3f121d4e,32'h3f217eb4, 32'h3f0a6c9a,32'h3f292f68,// invsqrt(2.7704) = 0.6008 +32'h3dc4588f,32'h404a901f,32'h4052d4b3, 32'h40445cb1,32'h40590821, 32'h403a06f8,32'h40635dda,// invsqrt(0.0959) = 3.2296 +32'h3d742e9c,32'h4080708e,32'h4085ae9e, 32'h40790403,32'h40899d2a, 32'h406be8db,32'h40902abf,// invsqrt(0.0596) = 4.0957 +32'h40757ec6,32'h3f00187f,32'h3f0552f7, 32'h3ef8594a,32'h3f093ed1, 32'h3eeb471d,32'h3f0fc7e7,// invsqrt(3.8359) = 0.5106 +32'h3f4696b2,32'h3f8e6c2d,32'h3f943c59, 32'h3f8a100c,32'h3f98987a, 32'h3f82cbd6,32'h3f9fdcb0,// invsqrt(0.7757) = 1.1354 +32'h3e449e1a,32'h400f227a,32'h4014fa16, 32'h400ac0c4,32'h40195bcc, 32'h40037341,32'h4020a94f,// invsqrt(0.1920) = 2.2821 +32'h3fe9d0ab,32'h3f399fd0,32'h3f413365, 32'h3f33f11f,32'h3f46e215, 32'h3f2a78a4,32'h3f505a90,// invsqrt(1.8267) = 0.7399 +32'h3f1aba89,32'h3fa159c3,32'h3fa7efb6, 32'h3f9c694c,32'h3face02c, 32'h3f942ddc,32'h3fb51b9c,// invsqrt(0.6044) = 1.2863 +32'h3e949d2c,32'h3fe8d4cc,32'h3ff255a5, 32'h3fe1b428,32'h3ff97648, 32'h3fd5d319,32'h4002abac,// invsqrt(0.2903) = 1.8561 +32'h3fec0642,32'h3f38c0e1,32'h3f404b5e, 32'h3f331905,32'h3f45f33b, 32'h3f29abea,32'h3f4f6056,// invsqrt(1.8439) = 0.7364 +32'h3d149880,32'h40a4a58b,32'h40ab5def, 32'h409f9b40,32'h40b0683a, 32'h409734c4,32'h40b8ceb6,// invsqrt(0.0363) = 5.2502 +32'h3f1d3207,32'h3fa01463,32'h3fa69d0f, 32'h3f9b2de3,32'h3fab838f, 32'h3f93030d,32'h3fb3ae65,// invsqrt(0.6140) = 1.2761 +32'h3f40973e,32'h3f909f91,32'h3f9686bb, 32'h3f8c3231,32'h3f9af41b, 32'h3f84d13c,32'h3fa25510,// invsqrt(0.7523) = 1.1529 +32'h414569e8,32'h3e8ed884,32'h3e94ad1c, 32'h3e8a7912,32'h3e990c8e, 32'h3e832f55,32'h3ea0564b,// invsqrt(12.3384) = 0.2847 +32'h3f193bdc,32'h3fa222bf,32'h3fa8c0e6, 32'h3f9d2c21,32'h3fadb783, 32'h3f94e670,32'h3fb5fd34,// invsqrt(0.5986) = 1.2925 +32'h40455f91,32'h3f0edc42,32'h3f14b101, 32'h3f0a7cb4,32'h3f191090, 32'h3f0332c5,32'h3f205a7f,// invsqrt(3.0840) = 0.5694 +32'h3e2cdfd8,32'h4018a5da,32'h401ee0dd, 32'h4013f996,32'h40238d20, 32'h400c2fd2,32'h402b56e4,// invsqrt(0.1688) = 2.4338 +32'h404faecd,32'h3f0b44f8,32'h3f10f432, 32'h3f07018e,32'h3f15379c, 32'h3effcd0c,32'h3f1c52a4,// invsqrt(3.2450) = 0.5551 +32'h3f912cad,32'h3f6b92bc,32'h3f75303b, 32'h3f645c9b,32'h3f7c665b, 32'h3f5857bc,32'h3f84359d,// invsqrt(1.1342) = 0.9390 +32'h3fbf5798,32'h3f4d31ca,32'h3f5591dc, 32'h3f46e9bc,32'h3f5bd9ea, 32'h3f3c71a4,32'h3f665202,// invsqrt(1.4949) = 0.8179 +32'h3f09b119,32'h3fab0aad,32'h3fb205e3, 32'h3fa5ce44,32'h3fb7424c, 32'h3f9d1441,32'h3fbffc4f,// invsqrt(0.5379) = 1.3635 +32'h404ff6f4,32'h3f0b2ccd,32'h3f10db0a, 32'h3f06ea20,32'h3f151db8, 32'h3effa0a9,32'h3f1c3784,// invsqrt(3.2494) = 0.5547 +32'h3eb076d6,32'h3fd5ab6a,32'h3fde640c, 32'h3fcf20f2,32'h3fe4ee84, 32'h3fc43a28,32'h3fefd54e,// invsqrt(0.3447) = 1.7034 +32'h3c19fada,32'h4121be11,32'h4128581d, 32'h411cca89,32'h412d4ba5, 32'h411489fb,32'h41358c33,// invsqrt(0.0094) = 10.3152 +32'h3f6140d6,32'h3f85ba38,32'h3f8b2f89, 32'h3f81a23c,32'h3f8f4786, 32'h3f759f2f,32'h3f961a2b,// invsqrt(0.8799) = 1.0661 +32'h3fc22bd5,32'h3f4bb1b5,32'h3f54021b, 32'h3f457569,32'h3f5a3e67, 32'h3f3b10ea,32'h3f64a2e6,// invsqrt(1.5170) = 0.8119 +32'h3f3390e6,32'h3f95c6cf,32'h3f9be3d3, 32'h3f91310d,32'h3fa07995, 32'h3f898cc9,32'h3fa81dd9,// invsqrt(0.7014) = 1.1940 +32'h3f278033,32'h3f9b13bb,32'h3fa16821, 32'h3f96546f,32'h3fa6276d, 32'h3f8e6af0,32'h3fae10ec,// invsqrt(0.6543) = 1.2363 +32'h402863e4,32'h3f1aaac0,32'h3f20fadc, 32'h3f15eeaa,32'h3f25b6f2, 32'h3f0e0a86,32'h3f2d9b16,// invsqrt(2.6311) = 0.6165 +32'h39d28515,32'h4243a004,32'h424b9c1a, 32'h423da2f5,32'h42519929, 32'h4233a7da,32'h425b9444,// invsqrt(0.0004) = 49.9044 +32'h400dd4c9,32'h3f2886fa,32'h3f2f67ea, 32'h3f235e46,32'h3f34909e, 32'h3f1ac51a,32'h3f3d29ca,// invsqrt(2.2161) = 0.6717 +32'h3eb27f16,32'h3fd47324,32'h3fdd1f06, 32'h3fcdf23a,32'h3fe39ff0, 32'h3fc31b60,32'h3fee76ca,// invsqrt(0.3486) = 1.6936 +32'h3f4968d8,32'h3f8d6bf3,32'h3f9331aa, 32'h3f8917ab,32'h3f9785f3, 32'h3f81e087,32'h3f9ebd17,// invsqrt(0.7868) = 1.1274 +32'h3f5922a9,32'h3f88344b,32'h3f8dc37d, 32'h3f8408e5,32'h3f91eee3, 32'h3f7a2bce,32'h3f98e1e1,// invsqrt(0.8482) = 1.0858 +32'h3f5a9dbe,32'h3f87be01,32'h3f8d485f, 32'h3f83963a,32'h3f917026, 32'h3f79528a,32'h3f985d1b,// invsqrt(0.8540) = 1.0821 +32'h3f9b8881,32'h3f6397e2,32'h3f6ce200, 32'h3f5ca04b,32'h3f73d997, 32'h3f5103a6,32'h3f7f763c,// invsqrt(1.2151) = 0.9072 +32'h3e405707,32'h4010b7b3,32'h40169fd9, 32'h400c4996,32'h401b0df6, 32'h4004e765,32'h40227027,// invsqrt(0.1878) = 2.3074 +32'h3e0ad9c7,32'h402a5390,32'h4031474c, 32'h40251cc2,32'h40367e1a, 32'h401c6c16,32'h403f2ec6,// invsqrt(0.1356) = 2.7157 +32'h3eab55da,32'h3fd8d814,32'h3fe1b1e1, 32'h3fd234bb,32'h3fe8553b, 32'h3fc7247c,32'h3ff3657b,// invsqrt(0.3346) = 1.7287 +32'h3e005525,32'h40312b3e,32'h4038667a, 32'h402bbed1,32'h403dd2e7, 32'h4022b4c6,32'h4046dcf2,// invsqrt(0.1253) = 2.8248 +32'h3eb0e106,32'h3fd56b3d,32'h3fde2140, 32'h3fcee2bc,32'h3fe4a9c2, 32'h3fc3ff39,32'h3fef8d45,// invsqrt(0.3455) = 1.7014 +32'h3f0af4c7,32'h3faa4303,32'h3fb13613, 32'h3fa50cb7,32'h3fb66c5f, 32'h3f9c5ce4,32'h3fbf1c33,// invsqrt(0.5428) = 1.3573 +32'h3de87bc3,32'h403a27b7,32'h4041c0d8, 32'h403474dd,32'h404773b1, 32'h402af573,32'h4050f31b,// invsqrt(0.1135) = 2.9680 +32'h4035aa7d,32'h3f14e88e,32'h3f1afc7e, 32'h3f105999,32'h3f1f8b73, 32'h3f08c0ac,32'h3f272460,// invsqrt(2.8385) = 0.5935 +32'h3f71fc18,32'h3f810580,32'h3f8649a4, 32'h3f7a24c9,32'h3f8a3cc0, 32'h3f6cfa6d,32'h3f90d1ed,// invsqrt(0.9453) = 1.0286 +32'h3fb513ac,32'h3f52ee3b,32'h3f5b8a3d, 32'h3f4c7939,32'h3f61ff3f, 32'h3f41b636,32'h3f6cc242,// invsqrt(1.4147) = 0.8408 +32'h3f9e0b1d,32'h3f61c754,32'h3f6afe7c, 32'h3f5addf6,32'h3f71e7da, 32'h3f4f5904,32'h3f7d6ccc,// invsqrt(1.2347) = 0.8999 +32'h3ec2ffe7,32'h3fcb42d4,32'h3fd38eb2, 32'h3fc509ec,32'h3fd9c79a, 32'h3fbaab16,32'h3fe42670,// invsqrt(0.3809) = 1.6204 +32'h3ffdce99,32'h3f3229e8,32'h3f396f88, 32'h3f2cb5af,32'h3f3ee3c1, 32'h3f239ea6,32'h3f47faca,// invsqrt(1.9829) = 0.7102 +32'h3f63bcbe,32'h3f84ff02,32'h3f8a6cae, 32'h3f80ecc0,32'h3f8e7ef0, 32'h3f744752,32'h3f954807,// invsqrt(0.8896) = 1.0602 +32'h3f0cd4e0,32'h3fa91fd3,32'h3fb00701, 32'h3fa3f272,32'h3fb53462, 32'h3f9b5179,32'h3fbdd55b,// invsqrt(0.5501) = 1.3482 +32'h3f472d70,32'h3f8e363e,32'h3f940436, 32'h3f89dbc4,32'h3f985eb0, 32'h3f829a4e,32'h3f9fa026,// invsqrt(0.7780) = 1.1337 +32'h3f1e1ff1,32'h3f9f9bc8,32'h3fa61f88, 32'h3f9ab8f9,32'h3fab0257, 32'h3f92944a,32'h3fb32706,// invsqrt(0.6177) = 1.2724 +32'h3f701455,32'h3f81884e,32'h3f86d1ca, 32'h3f7b2264,32'h3f8ac8e6, 32'h3f6deaaf,32'h3f9164c0,// invsqrt(0.9378) = 1.0326 +32'h3f91c163,32'h3f6b1a70,32'h3f74b306, 32'h3f63e7fe,32'h3f7be578, 32'h3f57e942,32'h3f83f21a,// invsqrt(1.1387) = 0.9371 +32'h4017a794,32'h3f22fa4c,32'h3f29a13f, 32'h3f1dfd15,32'h3f2e9e75, 32'h3f15ac64,32'h3f36ef26,// invsqrt(2.3696) = 0.6496 +32'h3f6383fe,32'h3f850f97,32'h3f8a7df1, 32'h3f80fcd4,32'h3f8e90b4, 32'h3f7465c7,32'h3f955aa4,// invsqrt(0.8887) = 1.0608 +32'h3fcc1374,32'h3f46b088,32'h3f4ecca2, 32'h3f409b74,32'h3f54e1b6, 32'h3f367853,32'h3f5f04d7,// invsqrt(1.5943) = 0.7920 +32'h3f1d9c4e,32'h3f9fde61,32'h3fa664d8, 32'h3f9af988,32'h3fab49b2, 32'h3f92d174,32'h3fb371c6,// invsqrt(0.6157) = 1.2745 +32'h3f5f3976,32'h3f865571,32'h3f8bd117, 32'h3f8238b4,32'h3f8fedd4, 32'h3f76bc47,32'h3f96c864,// invsqrt(0.8720) = 1.0709 +32'h3f9ab125,32'h3f643618,32'h3f6d86ab, 32'h3f5d39a9,32'h3f748319, 32'h3f5194f1,32'h3f8013e9,// invsqrt(1.2085) = 0.9096 +32'h3ff02c18,32'h3f3726b1,32'h3f3ea06f, 32'h3f318b62,32'h3f443bbe, 32'h3f283335,32'h3f4d93eb,// invsqrt(1.8763) = 0.7300 +32'h4014b870,32'h3f2493dc,32'h3f2b4b87, 32'h3f1f8a1c,32'h3f305548, 32'h3f172487,32'h3f38badd,// invsqrt(2.3238) = 0.6560 +32'h3f096469,32'h3fab3a62,32'h3fb2378b, 32'h3fa5fc84,32'h3fb7756a, 32'h3f9d4012,32'h3fc031dd,// invsqrt(0.5367) = 1.3650 +32'h3f86affa,32'h3f74928c,32'h3f7e8e14, 32'h3f6d15e6,32'h3f83055d, 32'h3f609b7b,32'h3f894292,// invsqrt(1.0522) = 0.9749 +32'h3f5939e0,32'h3f882d04,32'h3f8dbbea, 32'h3f8401d7,32'h3f91e717, 32'h3f7a1e70,32'h3f98d9b6,// invsqrt(0.8485) = 1.0856 +32'h3e60eb02,32'h4005d3ba,32'h400b4a15, 32'h4001baf5,32'h400f62d9, 32'h3ff5ce06,32'h401636cb,// invsqrt(0.2196) = 2.1337 +32'h3f999acd,32'h3f65047f,32'h3f6e5d7f, 32'h3f5e01bf,32'h3f75603f, 32'h3f52527f,32'h3f8087bf,// invsqrt(1.2000) = 0.9129 +32'h40cbe69d,32'h3ec6c65f,32'h3ecee35e, 32'h3ec0b0a1,32'h3ed4f91d, 32'h3eb68c62,32'h3edf1d5c,// invsqrt(6.3719) = 0.3962 +32'h4000989b,32'h3f30fcc0,32'h3f383616, 32'h3f2b91bf,32'h3f3da117, 32'h3f228a14,32'h3f46a8c3,// invsqrt(2.0093) = 0.7055 +32'h3f86f5b0,32'h3f74535a,32'h3f7e4c4e, 32'h3f6cd8a3,32'h3f82e382, 32'h3f606172,32'h3f891f1b,// invsqrt(1.0544) = 0.9739 +32'h3ec9cda7,32'h3fc7ce24,32'h3fcff5e6, 32'h3fc1b052,32'h3fd613b8, 32'h3fb77e9e,32'h3fe0456c,// invsqrt(0.3941) = 1.5928 +32'h3fc5ca07,32'h3f49d295,32'h3f520f6d, 32'h3f43a4f4,32'h3f583d0e, 32'h3f3958e7,32'h3f62891b,// invsqrt(1.5452) = 0.8045 +32'h3de6a8c3,32'h403ae3ca,32'h40428499, 32'h40352b30,32'h40483d34, 32'h402ba22d,32'h4051c637,// invsqrt(0.1126) = 2.9797 +32'h40221d6f,32'h3f1da1cd,32'h3f2410e5, 32'h3f18ce7b,32'h3f28e437, 32'h3f10c39d,32'h3f30ef15,// invsqrt(2.5330) = 0.6283 +32'h3f6fd43a,32'h3f81999d,32'h3f86e3cd, 32'h3f7b43f2,32'h3f8adb71, 32'h3f6e0a79,32'h3f91782e,// invsqrt(0.9368) = 1.0332 +32'h40137888,32'h3f2545fe,32'h3f2c04ee, 32'h3f2036ca,32'h3f311422, 32'h3f17c81d,32'h3f3982cf,// invsqrt(2.3042) = 0.6588 +32'h42c3693a,32'h3dcb0c05,32'h3dd355a7, 32'h3dc4d4cb,32'h3dd98ce1, 32'h3dba78c1,32'h3de3e8eb,// invsqrt(97.7055) = 0.1012 +32'h3e919c65,32'h3feb384b,32'h3ff4d21a, 32'h3fe404f0,32'h3ffc0576, 32'h3fd804ae,32'h400402dc,// invsqrt(0.2844) = 1.8752 +32'h3f3542df,32'h3f951317,32'h3f9b28c5, 32'h3f9082d5,32'h3f9fb907, 32'h3f88e7bd,32'h3fa7541f,// invsqrt(0.7081) = 1.1884 +32'h4005db0f,32'h3f2d79ac,32'h3f348e50, 32'h3f282a31,32'h3f39ddcb, 32'h3f1f5065,32'h3f42b797,// invsqrt(2.0915) = 0.6915 +32'h3f428354,32'h3f8fe82d,32'h3f95c7db, 32'h3f8b806a,32'h3f9a2f9e, 32'h3f8428d0,32'h3fa18738,// invsqrt(0.7598) = 1.1472 +32'h3fcc3d68,32'h3f469c1f,32'h3f4eb764, 32'h3f4087ab,32'h3f54cbd7, 32'h3f366594,32'h3f5eedee,// invsqrt(1.5956) = 0.7917 +32'h3de89aa4,32'h403a1b5b,32'h4041b3fb, 32'h403468e2,32'h40476674, 32'h402aea1a,32'h4050e53c,// invsqrt(0.1136) = 2.9673 +32'h3ff20500,32'h3f367369,32'h3f3de5d5, 32'h3f30dd97,32'h3f437ba7, 32'h3f278e8f,32'h3f4ccaaf,// invsqrt(1.8908) = 0.7272 +32'h3f3b2ec6,32'h3f92b299,32'h3f98af71, 32'h3f8e34f8,32'h3f9d2d12, 32'h3f86b8eb,32'h3fa4a91f,// invsqrt(0.7312) = 1.1695 +32'h401b1d8c,32'h3f21263b,32'h3f27ba14, 32'h3f1c3759,32'h3f2ca8f7, 32'h3f13fe8a,32'h3f34e1c6,// invsqrt(2.4237) = 0.6423 +32'h402b034d,32'h3f1979f5,32'h3f1fbda1, 32'h3f14c734,32'h3f247062, 32'h3f0cf29d,32'h3f2c44f9,// invsqrt(2.6721) = 0.6118 +32'h3d982bdf,32'h406617f6,32'h406f7c35, 32'h405f0cc8,32'h40768764, 32'h40534f7a,32'h40812259,// invsqrt(0.0743) = 3.6686 +32'h3f7a034c,32'h3f7dddbd,32'h3f841d31, 32'h3f761842,32'h3f87ffef, 32'h3f692475,32'h3f8e79d6,// invsqrt(0.9766) = 1.0119 +32'h3fd418ce,32'h3f42e57c,32'h3f4ad9f4, 32'h3f3cee22,32'h3f50d14e, 32'h3f32fc8c,32'h3f5ac2e4,// invsqrt(1.6570) = 0.7769 +32'h40bef80f,32'h3ecd6517,32'h3ed5c741, 32'h3ec71b77,32'h3edc10e1, 32'h3ebca0c1,32'h3ee68b97,// invsqrt(5.9678) = 0.4093 +32'h3eed073d,32'h3fb85c9f,32'h3fbfe303, 32'h3fb2b7d3,32'h3fc587cf, 32'h3fa94fd6,32'h3fceefcc,// invsqrt(0.4629) = 1.4697 +32'h3f2bb228,32'h3f992bbb,32'h3f9f6c35, 32'h3f947b5f,32'h3fa41c91, 32'h3f8caac6,32'h3fabed2a,// invsqrt(0.6707) = 1.2211 +32'h40ce8c69,32'h3ec57f2d,32'h3ecd8ed1, 32'h3ebf7372,32'h3ed39a8c, 32'h3eb55fe6,32'h3eddae19,// invsqrt(6.4546) = 0.3936 +32'h3ed84bb7,32'h3fc0fed4,32'h3fc8df6f, 32'h3fbb1660,32'h3fcec7e4, 32'h3fb13d9f,32'h3fd8a0a5,// invsqrt(0.4225) = 1.5385 +32'h3f846b8a,32'h3f76a84a,32'h3f805cce, 32'h3f6f1b4d,32'h3f84234c, 32'h3f6285a8,32'h3f8a6e1f,// invsqrt(1.0345) = 0.9832 +32'h3eaf4fc1,32'h3fd65ef1,32'h3fdf1ee6, 32'h3fcfcefa,32'h3fe5aede, 32'h3fc4df08,32'h3ff09ed0,// invsqrt(0.3424) = 1.7090 +32'h3d63ac8a,32'h408503bd,32'h408a719b, 32'h4080f157,32'h408e8401, 32'h40745002,32'h40954d57,// invsqrt(0.0556) = 4.2415 +32'h3f1b65d5,32'h3fa100bc,32'h3fa7930d, 32'h3f9c1300,32'h3fac80ca, 32'h3f93dc1b,32'h3fb4b7af,// invsqrt(0.6070) = 1.2835 +32'h3da7359f,32'h405b80c9,32'h4064765f, 32'h4054c899,32'h406b2e8f, 32'h4049959f,32'h40766189,// invsqrt(0.0816) = 3.4997 +32'h40450ce0,32'h3f0efa39,32'h3f14d031, 32'h3f0a99bf,32'h3f1930ab, 32'h3f034e49,32'h3f207c21,// invsqrt(3.0789) = 0.5699 +32'h3e09211f,32'h402b6460,32'h40326340, 32'h40262539,32'h4037a267, 32'h401d66a2,32'h404060fe,// invsqrt(0.1339) = 2.7327 +32'h3f8ad1e0,32'h3f70e79b,32'h3f7abcd0, 32'h3f6987b2,32'h3f810e5c, 32'h3f5d3d30,32'h3f87339d,// invsqrt(1.0845) = 0.9602 +32'h3efc5f3c,32'h3fb2ab65,32'h3fb9f64f, 32'h3fad3336,32'h3fbf6e7e, 32'h3fa41591,32'h3fc88c23,// invsqrt(0.4929) = 1.4243 +32'h3f57f1a8,32'h3f889459,32'h3f8e2777, 32'h3f846603,32'h3f9255cd, 32'h3f7adc3b,32'h3f994db2,// invsqrt(0.8435) = 1.0888 +32'h3e8f342e,32'h3fed3045,32'h3ff6dea5, 32'h3fe5ed7c,32'h3ffe216e, 32'h3fd9d383,32'h40051db4,// invsqrt(0.2797) = 1.8909 +32'h40e15ad3,32'h3ebd1398,32'h3ec4cb40, 32'h3eb749da,32'h3eca94fe, 32'h3eada448,32'h3ed43a90,// invsqrt(7.0423) = 0.3768 +32'h41cd0b41,32'h3e463854,32'h3e4e4f86, 32'h3e4026ee,32'h3e5460ec, 32'h3e3609ef,32'h3e5e7deb,// invsqrt(25.6305) = 0.1975 +32'h3f728ca2,32'h3f80df09,32'h3f86219b, 32'h3f79da35,32'h3f8a1389, 32'h3f6cb3c7,32'h3f90a6c1,// invsqrt(0.9475) = 1.0274 +32'h3ea2e509,32'h3fde6425,32'h3fe777e8, 32'h3fd79553,32'h3fee46bb, 32'h3fcc3ca0,32'h3ff99f6e,// invsqrt(0.3182) = 1.7729 +32'h3faef006,32'h3f569991,32'h3f5f5beb, 32'h3f5007ce,32'h3f65edae, 32'h3f4514de,32'h3f70e09e,// invsqrt(1.3667) = 0.8554 +32'h403a50a0,32'h3f1309f4,32'h3f190a5c, 32'h3f0e89a6,32'h3f1d8aaa, 32'h3f070924,32'h3f250b2c,// invsqrt(2.9112) = 0.5861 +32'h3f4a631c,32'h3f8d1468,32'h3f92d68c, 32'h3f88c2ce,32'h3f972826, 32'h3f819021,32'h3f9e5ad3,// invsqrt(0.7906) = 1.1247 +32'h3ec3f6c0,32'h3fcac2a6,32'h3fd3094a, 32'h3fc48dac,32'h3fd93e44, 32'h3fba355f,32'h3fe39691,// invsqrt(0.3827) = 1.6164 +32'h3f6848ed,32'h3f83b014,32'h3f891015, 32'h3f7f5028,32'h3f8d1816, 32'h3f71e026,32'h3f93d017,// invsqrt(0.9074) = 1.0498 +32'h4009e9c0,32'h3f2ae788,32'h3f31e14e, 32'h3f25ac32,32'h3f371ca4, 32'h3f1cf3fa,32'h3f3fd4dc,// invsqrt(2.1549) = 0.6812 +32'h3f09a3fb,32'h3fab12d3,32'h3fb20e5f, 32'h3fa5d62b,32'h3fb74b07, 32'h3f9d1bbd,32'h3fc00575,// invsqrt(0.5377) = 1.3638 +32'h40a9ce64,32'h3ed9d178,32'h3ee2b572, 32'h3ed3267c,32'h3ee9606e, 32'h3ec80983,32'h3ef47d67,// invsqrt(5.3064) = 0.4341 +32'h3e3c1893,32'h40125751,32'h4018506f, 32'h400ddc7b,32'h401ccb45, 32'h40066516,32'h402442aa,// invsqrt(0.1837) = 2.3332 +32'h3fc3b213,32'h3f4ae637,32'h3f532e4e, 32'h3f44b025,32'h3f59645f, 32'h3f3a5608,32'h3f63be7c,// invsqrt(1.5289) = 0.8088 +32'h3f2e5aad,32'h3f97ffaa,32'h3f9e33e5, 32'h3f93587d,32'h3fa2db11, 32'h3f8b9733,32'h3faa9c5b,// invsqrt(0.6811) = 1.2117 +32'h3ef57d0c,32'h3fb5283b,32'h3fbc8d23, 32'h3faf9c8d,32'h3fc218d1, 32'h3fa65e6a,32'h3fcb56f4,// invsqrt(0.4795) = 1.4442 +32'h405aa65c,32'h3f07bb54,32'h3f0d4596, 32'h3f0393a2,32'h3f116d48, 32'h3ef94d9f,32'h3f185a1a,// invsqrt(3.4164) = 0.5410 +32'h3edbc292,32'h3fbf77dc,32'h3fc74882, 32'h3fb99b60,32'h3fcd24fe, 32'h3fafd691,32'h3fd6e9cd,// invsqrt(0.4292) = 1.5264 +32'h4087bcc0,32'h3ef39ff0,32'h3efd9192, 32'h3eec2ab7,32'h3f028365, 32'h3edfbcae,32'h3f08ba6a,// invsqrt(4.2418) = 0.4855 +32'h4018f6e2,32'h3f224749,32'h3f28e6ed, 32'h3f1d4f8d,32'h3f2ddea9, 32'h3f1507ff,32'h3f362637,// invsqrt(2.3901) = 0.6468 +32'h3f9905f6,32'h3f6573c5,32'h3f6ed14f, 32'h3f5e6d9d,32'h3f75d777, 32'h3f52b8af,32'h3f80c632,// invsqrt(1.1955) = 0.9146 +32'h3c89150f,32'h40f26d3a,32'h40fc5257, 32'h40eb0165,32'h4101df16, 32'h40dea302,32'h41080e48,// invsqrt(0.0167) = 7.7304 +32'h401ebd4d,32'h3f1f4c98,32'h3f25cd1c, 32'h3f1a6c36,32'h3f2aad7e, 32'h3f124b91,32'h3f32ce23,// invsqrt(2.4803) = 0.6350 +32'h3fae32b0,32'h3f570e11,32'h3f5fd52c, 32'h3f5078bd,32'h3f666a81, 32'h3f457fdc,32'h3f716362,// invsqrt(1.3609) = 0.8572 +32'h4110f38a,32'h3ea6b41e,32'h3ead8200, 32'h3ea199b5,32'h3eb29c69, 32'h3e99185a,32'h3ebb1dc4,// invsqrt(9.0595) = 0.3322 +32'h3fab6d79,32'h3f58c923,32'h3f61a253, 32'h3f52263e,32'h3f684538, 32'h3f4716c2,32'h3f7354b4,// invsqrt(1.3393) = 0.8641 +32'h3f5faef5,32'h3f863224,32'h3f8bac5a, 32'h3f82167c,32'h3f8fc802, 32'h3f767b71,32'h3f96a0c5,// invsqrt(0.8738) = 1.0698 +32'h3fc312e6,32'h3f4b38ee,32'h3f538465, 32'h3f450054,32'h3f59bcfe, 32'h3f3aa1fe,32'h3f641b54,// invsqrt(1.5240) = 0.8100 +32'h3f694ef6,32'h3f83660c,32'h3f88c308, 32'h3f7ec0a0,32'h3f8cc8c4, 32'h3f71582c,32'h3f937cfe,// invsqrt(0.9114) = 1.0475 +32'h40110945,32'h3f26a7a1,32'h3f2d7500, 32'h3f218d99,32'h3f328f07, 32'h3f190ce2,32'h3f3b0fbe,// invsqrt(2.2662) = 0.6643 +32'h3f85b764,32'h3f757579,32'h3f7f7a44, 32'h3f6df1e0,32'h3f837eee, 32'h3f616be1,32'h3f89c1ed,// invsqrt(1.0447) = 0.9784 +32'h42517b08,32'h3e0aaba7,32'h3e10549f, 32'h3e066cee,32'h3e149358, 32'h3dfeb372,32'h3e1ba68d,// invsqrt(52.3701) = 0.1382 +32'h41920221,32'h3e6ae64b,32'h3e747cc0, 32'h3e63b572,32'h3e7bad98, 32'h3e57b95e,32'h3e83d4d6,// invsqrt(18.2510) = 0.2341 +32'h3ffa2b4b,32'h3f337455,32'h3f3ac773, 32'h3f2df5ff,32'h3f4045c9, 32'h3f24ce1a,32'h3f496dae,// invsqrt(1.9544) = 0.7153 +32'h3f34296a,32'h3f95875c,32'h3f9ba1c8, 32'h3f90f38b,32'h3fa03599, 32'h3f895283,32'h3fa7d6a1,// invsqrt(0.7038) = 1.1920 +32'h3f3b2a33,32'h3f92b464,32'h3f98b14e, 32'h3f8e36b5,32'h3f9d2efd, 32'h3f86ba90,32'h3fa4ab22,// invsqrt(0.7311) = 1.1695 +32'h3f793dcb,32'h3f7e423f,32'h3f84517f, 32'h3f7679b0,32'h3f8835c6, 32'h3f6980c1,32'h3f8eb23d,// invsqrt(0.9736) = 1.0135 +32'h3f965178,32'h3f6781f0,32'h3f70f4f4, 32'h3f606bac,32'h3f780b38, 32'h3f549be7,32'h3f81ed7f,// invsqrt(1.1744) = 0.9228 +32'h3f2875d0,32'h3f9aa285,32'h3fa0f24c, 32'h3f95e6b1,32'h3fa5ae21, 32'h3f8e02f8,32'h3fad91da,// invsqrt(0.6580) = 1.2327 +32'h40811f24,32'h3ef9c9b8,32'h3f01fde0, 32'h3ef22433,32'h3f05d0a3, 32'h3ee565a9,32'h3f0c2fe7,// invsqrt(4.0351) = 0.4978 +32'h4012eaa3,32'h3f2595ba,32'h3f2c57ec, 32'h3f208415,32'h3f316991, 32'h3f181158,32'h3f39dc4f,// invsqrt(2.2956) = 0.6600 +32'h405dde29,32'h3f06be6b,32'h3f0c3e5b, 32'h3f029e78,32'h3f105e4e, 32'h3ef77d19,32'h3f173e3a,// invsqrt(3.4667) = 0.5371 +32'h4092e245,32'h3eea32cc,32'h3ef3c1ef, 32'h3ee30773,32'h3efaed49, 32'h3ed71488,32'h3f03701a,// invsqrt(4.5901) = 0.4668 +32'h3f005333,32'h3fb12c96,32'h3fb867e0, 32'h3fabc01e,32'h3fbdd458, 32'h3fa2b602,32'h3fc6de74,// invsqrt(0.5013) = 1.4124 +32'h3ea9f0ca,32'h3fd9bb6b,32'h3fe29e7f, 32'h3fd3111c,32'h3fe948ce, 32'h3fc7f543,32'h3ff464a7,// invsqrt(0.3319) = 1.7357 +32'h3f2a1238,32'h3f99e696,32'h3fa02eb2, 32'h3f953082,32'h3fa4e4c6, 32'h3f8d5660,32'h3facbee8,// invsqrt(0.6643) = 1.2269 +32'h3cee4d0d,32'h40b7de6c,32'h40bf5faa, 32'h40b23d7e,32'h40c50098, 32'h40a8dbf0,32'h40ce6226,// invsqrt(0.0291) = 5.8632 +32'h3f04b6e0,32'h3fae3839,32'h3fb554a4, 32'h3fa8e2e9,32'h3fbaa9f5, 32'h3f9fff64,32'h3fc38d7a,// invsqrt(0.5184) = 1.3889 +32'h3f9d080f,32'h3f628143,32'h3f6bc002, 32'h3f5b9234,32'h3f72af12, 32'h3f5003c6,32'h3f7e3d80,// invsqrt(1.2268) = 0.9028 +32'h40973304,32'h3ee6d503,32'h3ef040f9, 32'h3edfc40b,32'h3ef751f1, 32'h3ed3fd18,32'h3f018c72,// invsqrt(4.7250) = 0.4600 +32'h40045964,32'h3f2e75b6,32'h3f3594a4, 32'h3f291e84,32'h3f3aebd6, 32'h3f2037dc,32'h3f43d27e,// invsqrt(2.0680) = 0.6954 +32'h3f087eab,32'h3fabca40,32'h3fb2cd48, 32'h3fa687fa,32'h3fb80f8e, 32'h3f9dc430,32'h3fc0d358,// invsqrt(0.5332) = 1.3695 +32'h40141574,32'h3f24ee55,32'h3f2ba9b1, 32'h3f1fe1d0,32'h3f30b636, 32'h3f17779c,32'h3f39206a,// invsqrt(2.3138) = 0.6574 +32'h3fa5190a,32'h3f5ce702,32'h3f65eb36, 32'h3f5623db,32'h3f6cae5d, 32'h3f4ade99,32'h3f77f39f,// invsqrt(1.2898) = 0.8805 +32'h40c65b09,32'h3ec988c2,32'h3ed1c296, 32'h3ec35d63,32'h3ed7edf5, 32'h3eb9151b,32'h3ee2363d,// invsqrt(6.1986) = 0.4017 +32'h41146969,32'h3ea4bfa8,32'h3eab791c, 32'h3e9fb490,32'h3eb08434, 32'h3e974cbf,32'h3eb8ec05,// invsqrt(9.2757) = 0.3283 +32'h3ef5c322,32'h3fb50e64,32'h3fbc723f, 32'h3faf8381,32'h3fc1fd23, 32'h3fa646b0,32'h3fcb39f4,// invsqrt(0.4800) = 1.4434 +32'h3f1bd424,32'h3fa0c7b6,32'h3fa757b2, 32'h3f9bdbb8,32'h3fac43b0, 32'h3f93a7bc,32'h3fb477ac,// invsqrt(0.6087) = 1.2817 +32'h3f7d804d,32'h3f7c1d0c,32'h3f8333b0, 32'h3f74654d,32'h3f870f90, 32'h3f678864,32'h3f8d7e04,// invsqrt(0.9902) = 1.0049 +32'h3f745e34,32'h3f80640b,32'h3f85a199, 32'h3f78ebc2,32'h3f898fc3, 32'h3f6bd1e0,32'h3f901cb4,// invsqrt(0.9546) = 1.0235 +32'h3f058281,32'h3fadb32a,32'h3fb4ca27, 32'h3fa861ed,32'h3fba1b65, 32'h3f9f8532,32'h3fc2f820,// invsqrt(0.5215) = 1.3847 +32'h404e46da,32'h3f0bbe46,32'h3f117273, 32'h3f077725,32'h3f15b995, 32'h3f0055ed,32'h3f1cdacd,// invsqrt(3.2231) = 0.5570 +32'h3fb57b5e,32'h3f52b1f0,32'h3f5b4b7c, 32'h3f4c3ec6,32'h3f61bea6, 32'h3f417ed7,32'h3f6c7e95,// invsqrt(1.4178) = 0.8398 +32'h3e50b4b0,32'h400aed7c,32'h40109922, 32'h4006acbe,32'h4014d9e0, 32'h3fff2c5b,32'h401bf070,// invsqrt(0.2038) = 2.2150 +32'h3fa7da35,32'h3f5b1511,32'h3f640641, 32'h3f54602d,32'h3f6abb25, 32'h3f4932b2,32'h3f75e8a0,// invsqrt(1.3113) = 0.8733 +32'h3fe607d7,32'h3f3b251e,32'h3f42c898, 32'h3f356a83,32'h3f488333, 32'h3f2bde2c,32'h3f520f8b,// invsqrt(1.7971) = 0.7460 +32'h3edf044b,32'h3fbe10a7,32'h3fc5d2a3, 32'h3fb83f2a,32'h3fcba420, 32'h3fae8cae,32'h3fd5569c,// invsqrt(0.4356) = 1.5152 +32'h3f09c59c,32'h3faafdf1,32'h3fb1f8a2, 32'h3fa5c1ec,32'h3fb734a6, 32'h3f9d088e,32'h3fbfee04,// invsqrt(0.5382) = 1.3631 +32'h3f8cd3ce,32'h3f6f2e74,32'h3f78f1a8, 32'h3f67dc0d,32'h3f802207, 32'h3f5ba80d,32'h3f863c08,// invsqrt(1.1002) = 0.9534 +32'h4070f8db,32'h3f014ad4,32'h3f0691ce, 32'h3efaab34,32'h3f0a8708, 32'h3eed79c5,32'h3f111fc0,// invsqrt(3.7652) = 0.5154 +32'h3f266d89,32'h3f9b937e,32'h3fa1ed1a, 32'h3f96d048,32'h3fa6b050, 32'h3f8ee044,32'h3faea054,// invsqrt(0.6501) = 1.2402 +32'h3e31cf14,32'h401683cc,32'h401ca886, 32'h4011e841,32'h40214411, 32'h400a3a58,32'h4028f1fa,// invsqrt(0.1736) = 2.3998 +32'h3d9943db,32'h4065456b,32'h406ea112, 32'h405e40af,32'h4075a5cf, 32'h40528e1f,32'h4080ac2f,// invsqrt(0.0748) = 3.6555 +32'h3f8eccf6,32'h3f6d85ee,32'h3f7737ce, 32'h3f664086,32'h3f7e7d36, 32'h3f5a222e,32'h3f854dc7,// invsqrt(1.1156) = 0.9468 +32'h3fe6c84c,32'h3f3ad705,32'h3f42774f, 32'h3f351ece,32'h3f482f86, 32'h3f2b9673,32'h3f51b7e1,// invsqrt(1.8030) = 0.7447 +32'h3f7ead5f,32'h3f7b87db,32'h3f82e60c, 32'h3f73d4ae,32'h3f86bfa3, 32'h3f66ff61,32'h3f8d2a4a,// invsqrt(0.9948) = 1.0026 +32'h400193d8,32'h3f3050d8,32'h3f37832a, 32'h3f2aeb1b,32'h3f3ce8e7, 32'h3f21ec34,32'h3f45e7ce,// invsqrt(2.0246) = 0.7028 +32'h3eddecde,32'h3fbe8829,32'h3fc64f07, 32'h3fb8b304,32'h3fcc242c, 32'h3faefa6f,32'h3fd5dcc1,// invsqrt(0.4334) = 1.5189 +32'h3f2c6f20,32'h3f98d7b6,32'h3f9f14c2, 32'h3f9429ec,32'h3fa3c28c, 32'h3f8c5d9c,32'h3fab8edc,// invsqrt(0.6736) = 1.2185 +32'h3eba155c,32'h3fd012dd,32'h3fd89106, 32'h3fc9b440,32'h3fdeefa4, 32'h3fbf168d,32'h3fe98d57,// invsqrt(0.3634) = 1.6588 +32'h4016e1a2,32'h3f236511,32'h3f2a1061, 32'h3f1e6496,32'h3f2f10dc, 32'h3f160e73,32'h3f3766ff,// invsqrt(2.3575) = 0.6513 +32'h3ea1dfe6,32'h3fdf173f,32'h3fe83251, 32'h3fd842f1,32'h3fef069f, 32'h3fcce11a,32'h3ffa6876,// invsqrt(0.3162) = 1.7785 +32'h3fa481dd,32'h3f5d4c6a,32'h3f6654c2, 32'h3f568628,32'h3f6d1b04, 32'h3f4b3bba,32'h3f786572,// invsqrt(1.2852) = 0.8821 +32'h40880f47,32'h3ef35602,32'h3efd449e, 32'h3eebe30c,32'h3f025bca, 32'h3edf78c8,32'h3f0890ec,// invsqrt(4.2519) = 0.4850 +32'h3ed5e454,32'h3fc213b0,32'h3fc9ff98, 32'h3fbc22c2,32'h3fcff086, 32'h3fb23be0,32'h3fd9d768,// invsqrt(0.4178) = 1.5472 +32'h3fbb1d8d,32'h3f4f7fc5,32'h3f57f7ed, 32'h3f4925a8,32'h3f5e520a, 32'h3f3e8f76,32'h3f68e83c,// invsqrt(1.4618) = 0.8271 +32'h3faa881c,32'h3f595abc,32'h3f6239de, 32'h3f52b363,32'h3f68e137, 32'h3f479c78,32'h3f73f822,// invsqrt(1.3323) = 0.8664 +32'h3f858cff,32'h3f759c6b,32'h3f7fa2cd, 32'h3f6e17a1,32'h3f8393cc, 32'h3f618fa6,32'h3f89d7c9,// invsqrt(1.0434) = 0.9790 +32'h3fbcb89a,32'h3f4e9d50,32'h3f570c39, 32'h3f484a21,32'h3f5d5f67, 32'h3f3dbf7d,32'h3f67ea0b,// invsqrt(1.4744) = 0.8236 +32'h404b8ef6,32'h3f0cac59,32'h3f126a3d, 32'h3f085dee,32'h3f16b8a8, 32'h3f013090,32'h3f1de606,// invsqrt(3.1806) = 0.5607 +32'h3ff7f5f4,32'h3f344074,32'h3f3b9be7, 32'h3f2ebbdf,32'h3f41207d, 32'h3f258990,32'h3f4a52cc,// invsqrt(1.9372) = 0.7185 +32'h3e98bb66,32'h3fe5abc0,32'h3fef0b94, 32'h3fdea3e2,32'h3ff61372, 32'h3fd2ec19,32'h4000e59e,// invsqrt(0.2983) = 1.8309 +32'h406619cf,32'h3f044fb2,32'h3f09b636, 32'h3f0042ce,32'h3f0dc31a, 32'h3ef30551,32'h3f148340,// invsqrt(3.5953) = 0.5274 +32'h3ded39bd,32'h403848ff,32'h403fce96, 32'h4032a4cd,32'h404572c7, 32'h40293dcf,32'h404ed9c5,// invsqrt(0.1158) = 2.9382 +32'h3ee4c89d,32'h3fbba781,32'h3fc3504d, 32'h3fb5e8e8,32'h3fc90ee6, 32'h3fac55ea,32'h3fd2a1e5,// invsqrt(0.4468) = 1.4960 +32'h3c3ba9d3,32'h41128279,32'h41187d59, 32'h410e0651,32'h411cf981, 32'h41068cb8,32'h4124731a,// invsqrt(0.0115) = 9.3437 +32'h40724f3a,32'h3f00ef5c,32'h3f06329a, 32'h3ef9f9dd,32'h3f0a2508, 32'h3eecd1c4,32'h3f10b914,// invsqrt(3.7861) = 0.5139 +32'h3d8e487e,32'h406df466,32'h4077aac8, 32'h4066ab9c,32'h407ef392, 32'h405a87a2,32'h40858bc6,// invsqrt(0.0695) = 3.7939 +32'h3f840cb1,32'h3f7700d0,32'h3f808adf, 32'h3f6f711d,32'h3f8452b8, 32'h3f62d6f3,32'h3f8a9fcd,// invsqrt(1.0316) = 0.9845 +32'h3f673eea,32'h3f83fbbd,32'h3f895ed4, 32'h3f7fe2d6,32'h3f8d6925, 32'h3f726b1b,32'h3f942502,// invsqrt(0.9033) = 1.0522 +32'h3e8052ea,32'h3ffa902e,32'h40026527, 32'h3ff2e494,32'h40063af4, 32'h3fe61beb,32'h400c9f48,// invsqrt(0.2506) = 1.9975 +32'h3f0e6396,32'h3fa83263,32'h3faf0fdf, 32'h3fa30c46,32'h3fb435fc, 32'h3f9a776a,32'h3fbccad8,// invsqrt(0.5562) = 1.3409 +32'h3dc10fcd,32'h404c4754,32'h40549dd5, 32'h40460674,32'h405adeb6, 32'h403b9a53,32'h40654ad7,// invsqrt(0.0943) = 3.2570 +32'h3daa71e5,32'h405968e5,32'h4062489b, 32'h4052c11d,32'h4068f063, 32'h4047a97a,32'h40740806,// invsqrt(0.0832) = 3.4664 +32'h404ae568,32'h3f0ce714,32'h3f12a75e, 32'h3f0896dd,32'h3f16f795, 32'h3f016680,32'h3f1e27f2,// invsqrt(3.1703) = 0.5616 +32'h3f59465c,32'h3f88291a,32'h3f8db7d8, 32'h3f83fe0d,32'h3f91e2e5, 32'h3f7a1740,32'h3f98d552,// invsqrt(0.8487) = 1.0855 +32'h3f73d063,32'h3f80895d,32'h3f85c870, 32'h3f79341b,32'h3f89b7be, 32'h3f6c166b,32'h3f904697,// invsqrt(0.9524) = 1.0247 +32'h3eb2d592,32'h3fd43fbf,32'h3fdce988, 32'h3fcdc068,32'h3fe368de, 32'h3fc2ec2c,32'h3fee3d1a,// invsqrt(0.3493) = 1.6920 +32'h3f62f679,32'h3f85390c,32'h3f8aa917, 32'h3f812504,32'h3f8ebd20, 32'h3f74b1ed,32'h3f95892d,// invsqrt(0.8866) = 1.0620 +32'h3f72846f,32'h3f80e137,32'h3f8623e0, 32'h3f79de6e,32'h3f8a15df, 32'h3f6cb7c7,32'h3f90a932,// invsqrt(0.9473) = 1.0274 +32'h3f7c92aa,32'h3f7c938a,32'h3f83715b, 32'h3f74d82b,32'h3f874f0a, 32'h3f67f536,32'h3f8dc085,// invsqrt(0.9866) = 1.0068 +32'h3e91be15,32'h3feb1d1a,32'h3ff4b5cd, 32'h3fe3ea95,32'h3ffbe853, 32'h3fd7ebb5,32'h4003f399,// invsqrt(0.2847) = 1.8743 +32'h406b3682,32'h3f02dd97,32'h3f083500, 32'h3efdb80e,32'h3f0c368f, 32'h3ef05d87,32'h3f12e3d2,// invsqrt(3.6752) = 0.5216 +32'h3adac308,32'h41bfe790,32'h41c7bcc4, 32'h41ba07a8,32'h41cd9cac, 32'h41b03d26,32'h41d7672e,// invsqrt(0.0017) = 24.4776 +32'h3fb672a6,32'h3f5222f7,32'h3f5ab6ad, 32'h3f4bb42e,32'h3f612576, 32'h3f40fb8a,32'h3f6bde1a,// invsqrt(1.4254) = 0.8376 +32'h4108c38e,32'h3eab9ef7,32'h3eb2a03b, 32'h3ea65e05,32'h3eb7e12d, 32'h3e9d9c70,32'h3ec0a2c2,// invsqrt(8.5477) = 0.3420 +32'h3f00a514,32'h3fb0f42b,32'h3fb82d27, 32'h3fab896d,32'h3fbd97e5, 32'h3fa28232,32'h3fc69f20,// invsqrt(0.5025) = 1.4107 +32'h3f338f31,32'h3f95c785,32'h3f9be490, 32'h3f9131be,32'h3fa07a58, 32'h3f898d70,32'h3fa81ea6,// invsqrt(0.7014) = 1.1940 +32'h4093e6f0,32'h3ee96410,32'h3ef2eac2, 32'h3ee23f0a,32'h3efa0fc8, 32'h3ed656ab,32'h3f02fc13,// invsqrt(4.6219) = 0.4651 +32'h402496f6,32'h3f1c7147,32'h3f22d3f1, 32'h3f17a747,32'h3f279df1, 32'h3f0fabf3,32'h3f2f9945,// invsqrt(2.5717) = 0.6236 +32'h3f97625f,32'h3f66b0e6,32'h3f701b62, 32'h3f5fa109,32'h3f772b3f, 32'h3f53dbed,32'h3f81782d,// invsqrt(1.1827) = 0.9195 +32'h3f0f1d4c,32'h3fa7c51f,32'h3fae9e25, 32'h3fa2a25a,32'h3fb3c0ea, 32'h3f9a1312,32'h3fbc5032,// invsqrt(0.5590) = 1.3375 +32'h3e892769,32'h3ff25d02,32'h3ffc4174, 32'h3feaf1ab,32'h4001d665, 32'h3fde941c,32'h4008052d,// invsqrt(0.2679) = 1.9321 +32'h4175231e,32'h3e80306f,32'h3e856be2, 32'h3e7887b4,32'h3e895878, 32'h3e6b7317,32'h3e8fe2c7,// invsqrt(15.3211) = 0.2555 +32'h3f9cc6f0,32'h3f62b049,32'h3f6bf0f3, 32'h3f5bbfc9,32'h3f72e173, 32'h3f502ef5,32'h3f7e7247,// invsqrt(1.2248) = 0.9036 +32'h40848a8e,32'h3ef68b6c,32'h3f004dc8, 32'h3eeeff51,32'h3f0413d5, 32'h3ee26b25,32'h3f0a5dec,// invsqrt(4.1419) = 0.4914 +32'h40283e5c,32'h3f1abbff,32'h3f210ccf, 32'h3f15ff62,32'h3f25c96c, 32'h3f0e1a5d,32'h3f2dae71,// invsqrt(2.6288) = 0.6168 +32'h4088045d,32'h3ef35fc5,32'h3efd4ec7, 32'h3eebec82,32'h3f026105, 32'h3edf81bf,32'h3f089666,// invsqrt(4.2505) = 0.4850 +32'h41636514,32'h3e8518a2,32'h3e8a875a, 32'h3e810598,32'h3e8e9a64, 32'h3e747663,32'h3e9564cb,// invsqrt(14.2122) = 0.2653 +32'h41032a5f,32'h3eaf3ec7,32'h3eb665e9, 32'h3ea9e16d,32'h3ebbc343, 32'h3ea0f082,32'h3ec4b42e,// invsqrt(8.1978) = 0.3493 +32'h3de84868,32'h403a3c4a,32'h4041d642, 32'h403488cf,32'h404789bd, 32'h402b0859,32'h40510a33,// invsqrt(0.1134) = 2.9693 +32'h3fc537b3,32'h3f4a1d67,32'h3f525d4b, 32'h3f43ed7b,32'h3f588d37, 32'h3f399d9d,32'h3f62dd15,// invsqrt(1.5408) = 0.8056 +32'h3e727500,32'h4000e551,32'h40062825, 32'h3ff9e663,32'h400a1a44, 32'h3fecbf51,32'h4010adce,// invsqrt(0.2368) = 2.0551 +32'h3ff27f37,32'h3f364569,32'h3f3db5f5, 32'h3f30b100,32'h3f434a5e, 32'h3f276451,32'h3f4c970d,// invsqrt(1.8945) = 0.7265 +32'h3c790db1,32'h40fe5acc,32'h41045e46, 32'h40f6917d,32'h410842ee, 32'h40e9974e,32'h410ec005,// invsqrt(0.0152) = 8.1108 +32'h403c0923,32'h3f125d53,32'h3f1856af, 32'h3f0de24e,32'h3f1cd1b4, 32'h3f066a9a,32'h3f244968,// invsqrt(2.9381) = 0.5834 +32'h3ffede8d,32'h3f31cac1,32'h3f390c7f, 32'h3f2c5972,32'h3f3e7dce, 32'h3f234743,32'h3f478ffd,// invsqrt(1.9912) = 0.7087 +32'h3eb9ef5f,32'h3fd0281e,32'h3fd8a724, 32'h3fc9c8d9,32'h3fdf0669, 32'h3fbf2a11,32'h3fe9a531,// invsqrt(0.3632) = 1.6594 +32'h3eb7c5ed,32'h3fd160a3,32'h3fd9ec6b, 32'h3fcaf7cd,32'h3fe05541, 32'h3fc04913,32'h3feb03fb,// invsqrt(0.3589) = 1.6691 +32'h3f236c16,32'h3f9d0014,32'h3fa36892, 32'h3f9831b5,32'h3fa836f1, 32'h3f902f18,32'h3fb0398f,// invsqrt(0.6384) = 1.2516 +32'h3e4515f6,32'h400ef6ed,32'h4014ccc3, 32'h400a968d,32'h40192d23, 32'h40034b42,32'h4020786e,// invsqrt(0.1925) = 2.2794 +32'h3f3800c8,32'h3f93f5b1,32'h3f99ffb8, 32'h3f8f6e2b,32'h3f9e873d, 32'h3f87e1a2,32'h3fa613c6,// invsqrt(0.7188) = 1.1795 +32'h401a76fc,32'h3f217d07,32'h3f28146b, 32'h3f1c8b7d,32'h3f2d05f5, 32'h3f144e40,32'h3f354332,// invsqrt(2.4135) = 0.6437 +32'h3dba6f7e,32'h404fe08b,32'h40585ca6, 32'h40498378,32'h405eb9ba, 32'h403ee856,32'h406954dc,// invsqrt(0.0910) = 3.3144 +32'h3e4bb310,32'h400c9fe1,32'h40125d43, 32'h400851d8,32'h4016ab4c, 32'h4001251d,32'h401dd807,// invsqrt(0.1989) = 2.2421 +32'h3fd577f0,32'h3f4244ef,32'h3f4a32d9, 32'h3f3c527f,32'h3f502549, 32'h3f32691a,32'h3f5a0eae,// invsqrt(1.6677) = 0.7744 +32'h3f805c7f,32'h3f7a86d3,32'h3f826049, 32'h3f72db84,32'h3f8635f1, 32'h3f661354,32'h3f8c9a09,// invsqrt(1.0028) = 0.9986 +32'h3f86beab,32'h3f748536,32'h3f7e8034, 32'h3f6d08f9,32'h3f82fe39, 32'h3f608f3c,32'h3f893b17,// invsqrt(1.0527) = 0.9747 +32'h3ee10739,32'h3fbd36b4,32'h3fc4efca, 32'h3fb76be2,32'h3fcaba9c, 32'h3fadc486,32'h3fd461f9,// invsqrt(0.4395) = 1.5084 +32'h41cd39fa,32'h3e4621c2,32'h3e4e3809, 32'h3e40110e,32'h3e5448be, 32'h3e35f535,32'h3e5e6497,// invsqrt(25.6533) = 0.1974 +32'h3f820090,32'h3f78f0c9,32'h3f818cfb, 32'h3f7151e8,32'h3f855c6c, 32'h3f649e70,32'h3f8bb628,// invsqrt(1.0156) = 0.9923 +32'h3f81d910,32'h3f7916a4,32'h3f81a0ae, 32'h3f71769a,32'h3f8570b3, 32'h3f64c133,32'h3f8bcb66,// invsqrt(1.0144) = 0.9929 +32'h3f806e22,32'h3f7a759f,32'h3f825755, 32'h3f72cad6,32'h3f862cba, 32'h3f660388,32'h3f8c9061,// invsqrt(1.0034) = 0.9983 +32'h3fa648ec,32'h3f5c1ccc,32'h3f6518c0, 32'h3f555fd6,32'h3f6bd5b6, 32'h3f4a24e5,32'h3f7710a7,// invsqrt(1.2991) = 0.8774 +32'h3fe9758f,32'h3f39c405,32'h3f415915, 32'h3f341439,32'h3f4708e1, 32'h3f2a99e5,32'h3f508335,// invsqrt(1.8239) = 0.7405 +32'h3ea9aa5f,32'h3fd9e896,32'h3fe2cd82, 32'h3fd33ce5,32'h3fe97933, 32'h3fc81ebe,32'h3ff4975a,// invsqrt(0.3314) = 1.7372 +32'h3ede348a,32'h3fbe696d,32'h3fc62f09, 32'h3fb89538,32'h3fcc033e, 32'h3faede35,32'h3fd5ba41,// invsqrt(0.4340) = 1.5180 +32'h3f0e493e,32'h3fa841f5,32'h3faf2013, 32'h3fa31b5d,32'h3fb446ab, 32'h3f9a85b7,32'h3fbcdc51,// invsqrt(0.5558) = 1.3413 +32'h42b652ee,32'h3dd2353d,32'h3ddac9b3, 32'h3dcbc5e5,32'h3de1390b, 32'h3dc10c52,32'h3debf29e,// invsqrt(91.1620) = 0.1047 +32'h3f60c899,32'h3f85ddf8,32'h3f8b54be, 32'h3f81c4e3,32'h3f8f6dd3, 32'h3f75e0d7,32'h3f96424a,// invsqrt(0.8781) = 1.0672 +32'h3fc26065,32'h3f4b9629,32'h3f53e56e, 32'h3f455ab4,32'h3f5a20e2, 32'h3f3af79d,32'h3f6483f9,// invsqrt(1.5186) = 0.8115 +32'h3ef6f1be,32'h3fb49f53,32'h3fbbfea5, 32'h3faf17d6,32'h3fc18622, 32'h3fa5e0b0,32'h3fcabd48,// invsqrt(0.4823) = 1.4399 +32'h3f83ef8c,32'h3f771c16,32'h3f809911, 32'h3f6f8b8e,32'h3f846155, 32'h3f62f000,32'h3f8aaf1c,// invsqrt(1.0307) = 0.9850 +32'h3e2aaaab,32'h4019a1ca,32'h401fe716, 32'h4014edd1,32'h40249b0f, 32'h400d1732,32'h402c71ae,// invsqrt(0.1667) = 2.4495 +32'h3f152a7f,32'h3fa454e4,32'h3fab09fe, 32'h3f9f4d12,32'h3fb011d0, 32'h3f96eab2,32'h3fb87430,// invsqrt(0.5827) = 1.3100 +32'h40a42447,32'h3edd8b78,32'h3ee69662, 32'h3ed6c348,32'h3eed5e92, 32'h3ecb75a2,32'h3ef8ac38,// invsqrt(5.1294) = 0.4415 +32'h3e3ac03a,32'h4012ddfe,32'h4018dc9a, 32'h400e5f08,32'h401d5b90, 32'h4006e0c4,32'h4024d9d4,// invsqrt(0.1824) = 2.3416 +32'h3f3ce73e,32'h3f92072e,32'h3f97fd06, 32'h3f8d8ecc,32'h3f9c7568, 32'h3f861b7e,32'h3fa3e8b6,// invsqrt(0.7379) = 1.1641 +32'h3f6c3f09,32'h3f82943e,32'h3f87e8aa, 32'h3f7d29dc,32'h3f8be7fa, 32'h3f6fd6d1,32'h3f929180,// invsqrt(0.9228) = 1.0410 +32'h3f0b28b3,32'h3faa233c,32'h3fb11500, 32'h3fa4ede9,32'h3fb64a53, 32'h3f9c3fb5,32'h3fbef887,// invsqrt(0.5436) = 1.3563 +32'h3f97cc4e,32'h3f666059,32'h3f6fc78b, 32'h3f5f52f3,32'h3f76d4f1, 32'h3f5391f3,32'h3f814af8,// invsqrt(1.1859) = 0.9183 +32'h411140f3,32'h3ea687ac,32'h3ead53be, 32'h3ea16e9f,32'h3eb26ccb, 32'h3e98ef89,32'h3ebaebe1,// invsqrt(9.0784) = 0.3319 +32'h3e3b77dd,32'h401295fe,32'h401891aa, 32'h400e193d,32'h401d0e6b, 32'h40069ea5,32'h40248903,// invsqrt(0.1831) = 2.3371 +32'h40a1e401,32'h3edf146b,32'h3ee82f5f, 32'h3ed84033,32'h3eef0397, 32'h3eccde81,32'h3efa6549,// invsqrt(5.0591) = 0.4446 +32'h40aaf507,32'h3ed91574,32'h3ee1f1c2, 32'h3ed2703a,32'h3ee896fc, 32'h3ec75cd8,32'h3ef3aa5e,// invsqrt(5.3424) = 0.4326 +32'h3e1d3b3e,32'h40200fb2,32'h4026982c, 32'h401b2956,32'h402b7e88, 32'h4012febe,32'h4033a921,// invsqrt(0.1535) = 2.5520 +32'h4004bac7,32'h3f2e35aa,32'h3f3551fa, 32'h3f28e06e,32'h3f3aa736, 32'h3f1ffd0a,32'h3f438a9a,// invsqrt(2.0739) = 0.6944 +32'h3f680717,32'h3f83c2c2,32'h3f892386, 32'h3f7f745e,32'h3f8d2c19, 32'h3f720274,32'h3f93e50e,// invsqrt(0.9064) = 1.0504 +32'h403bd94f,32'h3f126ff4,32'h3f186a12, 32'h3f0df45c,32'h3f1ce5aa, 32'h3f067bb6,32'h3f245e50,// invsqrt(2.9351) = 0.5837 +32'h3f97fec3,32'h3f663a19,32'h3f6f9fbb, 32'h3f5f2dde,32'h3f76abf6, 32'h3f536ed3,32'h3f813581,// invsqrt(1.1875) = 0.9177 +32'h3f889e98,32'h3f72d63f,32'h3f7cbfa5, 32'h3f6b6733,32'h3f821759, 32'h3f5f0373,32'h3f884938,// invsqrt(1.0673) = 0.9679 +32'h3fdf7b72,32'h3f3dddf5,32'h3f459de0, 32'h3f380e06,32'h3f4b6dd0, 32'h3f2e5e20,32'h3f551db6,// invsqrt(1.7460) = 0.7568 +32'h3d9a0f28,32'h4064adf3,32'h406e036a, 32'h405dadd8,32'h40750384, 32'h40520303,32'h4080572c,// invsqrt(0.0752) = 3.6460 +32'h3f41d858,32'h3f902796,32'h3f9609db, 32'h3f8bbde3,32'h3f9a738f, 32'h3f84630d,32'h3fa1ce65,// invsqrt(0.7572) = 1.1492 +32'h3e3b1f0d,32'h4012b8c3,32'h4018b5db, 32'h400e3af1,32'h401d33ad, 32'h4006be94,32'h4024b00a,// invsqrt(0.1827) = 2.3393 +32'h3fbebda1,32'h3f4d848a,32'h3f55e7fe, 32'h3f4739f4,32'h3f5c3294, 32'h3f3cbda4,32'h3f66aee4,// invsqrt(1.4902) = 0.8192 +32'h3f57fb20,32'h3f88915b,32'h3f8e2459, 32'h3f84631c,32'h3f925298, 32'h3f7ad6bc,32'h3f994a56,// invsqrt(0.8437) = 1.0887 +32'h3e58e828,32'h400846a8,32'h400dd69a, 32'h40041ab3,32'h4012028f, 32'h3ffa4d88,32'h4018f67e,// invsqrt(0.2118) = 2.1728 +32'h3ef7b75a,32'h3fb4573a,32'h3fbbb39a, 32'h3faed1f2,32'h3fc138e2, 32'h3fa59e79,32'h3fca6c5b,// invsqrt(0.4838) = 1.4377 +32'h3e979aa2,32'h3fe68613,32'h3fefeed0, 32'h3fdf7786,32'h3ff6fd5e, 32'h3fd3b49a,32'h40016025,// invsqrt(0.2961) = 1.8377 +32'h3f026d65,32'h3fafbd8e,32'h3fb6e9dd, 32'h3faa5c53,32'h3fbc4b19, 32'h3fa164f1,32'h3fc5427b,// invsqrt(0.5095) = 1.4010 +32'h3f0958fb,32'h3fab4182,32'h3fb23ef6, 32'h3fa6036c,32'h3fb77d0c, 32'h3f9d469c,32'h3fc039dc,// invsqrt(0.5365) = 1.3652 +32'h3ec5e818,32'h3fc9c340,32'h3fd1ff76, 32'h3fc39616,32'h3fd82ca0, 32'h3fb94ad2,32'h3fe277e4,// invsqrt(0.3865) = 1.6084 +32'h40a56139,32'h3edcb6c7,32'h3ee5b903, 32'h3ed5f51a,32'h3eec7ab0, 32'h3ecab24e,32'h3ef7bd7c,// invsqrt(5.1681) = 0.4399 +32'h3f5b3eeb,32'h3f878c12,32'h3f8d1467, 32'h3f8365d4,32'h3f913aa6, 32'h3f78f6d4,32'h3f982510,// invsqrt(0.8564) = 1.0806 +32'h3f6f9cf6,32'h3f81a88e,32'h3f86f35a, 32'h3f7b60e9,32'h3f8aeb73, 32'h3f6e25ea,32'h3f9188f3,// invsqrt(0.9360) = 1.0336 +32'h3f1e3cb6,32'h3f9f8d45,32'h3fa6106d, 32'h3f9aaae8,32'h3faaf2ca, 32'h3f9286f6,32'h3fb316bc,// invsqrt(0.6181) = 1.2719 +32'h4002b4e4,32'h3f2f8d77,32'h3f36b7cf, 32'h3f2a2db4,32'h3f3c1792, 32'h3f2138c6,32'h3f450c80,// invsqrt(2.0423) = 0.6997 +32'h3ec8fc5e,32'h3fc83610,32'h3fd06210, 32'h3fc2150f,32'h3fd68311, 32'h3fb7de0e,32'h3fe0ba12,// invsqrt(0.3926) = 1.5961 +32'h3f6ff976,32'h3f818f8f,32'h3f86d956, 32'h3f7b3072,32'h3f8ad0ab, 32'h3f6df800,32'h3f916ce4,// invsqrt(0.9374) = 1.0329 +32'h3f0aca86,32'h3faa5cec,32'h3fb1510a, 32'h3fa525d5,32'h3fb68821, 32'h3f9c74af,32'h3fbf3947,// invsqrt(0.5422) = 1.3581 +32'h3f90688e,32'h3f6c327d,32'h3f75d682, 32'h3f64f779,32'h3f7d1185, 32'h3f58ea72,32'h3f848f46,// invsqrt(1.1282) = 0.9415 +32'h3f9084a4,32'h3f6c1b88,32'h3f75be9e, 32'h3f64e138,32'h3f7cf8ee, 32'h3f58d55e,32'h3f848264,// invsqrt(1.1290) = 0.9411 +32'h3f97c8bc,32'h3f66630f,32'h3f6fca5d, 32'h3f5f5593,32'h3f76d7d9, 32'h3f539471,32'h3f814c7e,// invsqrt(1.1858) = 0.9183 +32'h40539c1b,32'h3f09f89b,32'h3f0f9a43, 32'h3f05bf5d,32'h3f13d381, 32'h3efd6a95,32'h3f1add94,// invsqrt(3.3064) = 0.5499 +32'h3ef3d60e,32'h3fb5c518,32'h3fbd3068, 32'h3fb0349d,32'h3fc2c0e3, 32'h3fa6ee7a,32'h3fcc0706,// invsqrt(0.4762) = 1.4491 +32'h3fcfef15,32'h3f44d676,32'h3f4cdf36, 32'h3f3ecfe5,32'h3f52e5c7, 32'h3f34c4f4,32'h3f5cf0b8,// invsqrt(1.6245) = 0.7846 +32'h3e324968,32'h40165020,32'h401c72be, 32'h4011b629,32'h40210cb5, 32'h400a0ae4,32'h4028b7fa,// invsqrt(0.1741) = 2.3966 +32'h3e50fa47,32'h400ad658,32'h4010810e, 32'h40069650,32'h4014c116, 32'h3fff01dc,32'h401bd678,// invsqrt(0.2041) = 2.2136 +32'h3f8d0378,32'h3f6f0604,32'h3f78c792, 32'h3f67b4da,32'h3f800c5e, 32'h3f5b82ea,32'h3f862556,// invsqrt(1.1017) = 0.9527 +32'h3e140d30,32'h4024f2f0,32'h402bae7c, 32'h401fe646,32'h4030bb26, 32'h40177bd7,32'h40392595,// invsqrt(0.1446) = 2.6299 +32'h409a60c8,32'h3ee47176,32'h3eedc476, 32'h3edd7336,32'h3ef4c2b6, 32'h3ed1cb77,32'h3f00353a,// invsqrt(4.8243) = 0.4553 +32'h3f471f21,32'h3f8e3b5a,32'h3f940988, 32'h3f89e0b8,32'h3f98642a, 32'h3f829eff,32'h3f9fa5e3,// invsqrt(0.7778) = 1.1339 +32'h3e7b2917,32'h3ffd4917,32'h4003cfd5, 32'h3ff58828,32'h4007b04c, 32'h3fe89bf0,32'h400e2668,// invsqrt(0.2453) = 2.0192 +32'h4033463e,32'h3f15e5fc,32'h3f1c0444, 32'h3f114f45,32'h3f209afb, 32'h3f09a96a,32'h3f2840d6,// invsqrt(2.8012) = 0.5975 +32'h3f09465a,32'h3fab4d21,32'h3fb24b0d, 32'h3fa60eaf,32'h3fb7897f, 32'h3f9d5148,32'h3fc046e6,// invsqrt(0.5362) = 1.3656 +32'h42174b60,32'h3e232bee,32'h3e29d4e8, 32'h3e1e2d32,32'h3e2ed3a4, 32'h3e15d9fa,32'h3e3726dc,// invsqrt(37.8236) = 0.1626 +32'h4020efe7,32'h3f1e3533,32'h3f24aa4f, 32'h3f195d5e,32'h3f298224, 32'h3f114afa,32'h3f319488,// invsqrt(2.5146) = 0.6306 +32'h3ef96f26,32'h3fb3b7f7,32'h3fbb0dd7, 32'h3fae378f,32'h3fc08e3f, 32'h3fa50c36,32'h3fc9b998,// invsqrt(0.4872) = 1.4327 +32'h3f63fc65,32'h3f84ec70,32'h3f8a595a, 32'h3f80dac0,32'h3f8e6b0a, 32'h3f742536,32'h3f95332f,// invsqrt(0.8906) = 1.0597 +32'h40873024,32'h3ef41e82,32'h3efe154d, 32'h3eeca568,32'h3f02c733, 32'h3ee030ea,32'h3f090172,// invsqrt(4.2246) = 0.4865 +32'h3e309719,32'h40170886,32'h401d32aa, 32'h401268ea,32'h4021d246, 32'h400ab43c,32'h402986f4,// invsqrt(0.1725) = 2.4081 +32'h3ea01b53,32'h3fe051b0,32'h3fe97997, 32'h3fd973c1,32'h3ff05785, 32'h3fce01e0,32'h3ffbc967,// invsqrt(0.3127) = 1.7883 +32'h3f81fc7d,32'h3f78f4b0,32'h3f818f03, 32'h3f7155b0,32'h3f855e83, 32'h3f64a205,32'h3f8bb858,// invsqrt(1.0155) = 0.9923 +32'h40b22887,32'h3ed4a6ba,32'h3edd54b7, 32'h3ece243c,32'h3ee3d734, 32'h3ec34abf,32'h3eeeb0b1,// invsqrt(5.5674) = 0.4238 +32'h3f8bbd03,32'h3f701c96,32'h3f79e982, 32'h3f68c2e5,32'h3f80a19a, 32'h3f5c82be,32'h3f86c1ad,// invsqrt(1.0917) = 0.9571 +32'h3f3d351b,32'h3f91e91f,32'h3f97ddbd, 32'h3f8d71a8,32'h3f9c5534, 32'h3f85ffe3,32'h3fa3c6f9,// invsqrt(0.7391) = 1.1632 +32'h3f871933,32'h3f74333b,32'h3f7e2adf, 32'h3f6cb980,32'h3f82d24d, 32'h3f6043f2,32'h3f890d14,// invsqrt(1.0555) = 0.9734 +32'h3fd2841c,32'h3f43a078,32'h3f4b9c92, 32'h3f3da365,32'h3f5199a5, 32'h3f33a844,32'h3f5b94c6,// invsqrt(1.6447) = 0.7798 +32'h40d5e5ee,32'h3ec212f6,32'h3ec9fed6, 32'h3ebc220e,32'h3ecfefbe, 32'h3eb23b35,32'h3ed9d697,// invsqrt(6.6843) = 0.3868 +32'h3f4cf1e4,32'h3f8c3254,32'h3f91eb3e, 32'h3f87e7a5,32'h3f9635ed, 32'h3f80c082,32'h3f9d5d10,// invsqrt(0.8006) = 1.1176 +32'h4095c960,32'h3ee7eb04,32'h3ef16252, 32'h3ee0d189,32'h3ef87bcd, 32'h3ed4fc67,32'h3f022878,// invsqrt(4.6808) = 0.4622 +32'h3f2c08d6,32'h3f990520,32'h3f9f4407, 32'h3f9455f2,32'h3fa3f334, 32'h3f8c8751,32'h3fabc1d5,// invsqrt(0.6720) = 1.2199 +32'h403e9abe,32'h3f115ffb,32'h3f174f00, 32'h3f0cecb7,32'h3f1bc243, 32'h3f0581f0,32'h3f232d0a,// invsqrt(2.9782) = 0.5795 +32'h40870b17,32'h3ef43ffc,32'h3efe3826, 32'h3eecc5dd,32'h3f02d923, 32'h3ee04fa9,32'h3f09143d,// invsqrt(4.2201) = 0.4868 +32'h3f51f1f5,32'h3f8a845b,32'h3f902bb7, 32'h3f8646d5,32'h3f94693d, 32'h3f7e6b43,32'h3f9b7a70,// invsqrt(0.8201) = 1.1042 +32'h40126bd9,32'h3f25dd5c,32'h3f2ca27a, 32'h3f20c986,32'h3f31b650, 32'h3f185320,32'h3f3a2cb6,// invsqrt(2.2878) = 0.6611 +32'h3ce0fd5e,32'h40bd3ad9,32'h40c4f41b, 32'h40b76fe7,32'h40cabf0d, 32'h40adc854,32'h40d466a0,// invsqrt(0.0275) = 6.0341 +32'h3faebef7,32'h3f56b7af,32'h3f5f7b43, 32'h3f502500,32'h3f660df2, 32'h3f453086,32'h3f71026c,// invsqrt(1.3652) = 0.8559 +32'h3fa7d1ec,32'h3f5b1a7a,32'h3f640be2, 32'h3f54656c,32'h3f6ac0f0, 32'h3f4937a9,32'h3f75eeb3,// invsqrt(1.3111) = 0.8733 +32'h3f9faee0,32'h3f609dcf,32'h3f69c8d3, 32'h3f59bd8d,32'h3f70a915, 32'h3f4e47c9,32'h3f7c1ed9,// invsqrt(1.2475) = 0.8953 +32'h3efce250,32'h3fb27d11,32'h3fb9c616, 32'h3fad064c,32'h3fbf3cda, 32'h3fa3eb04,32'h3fc85822,// invsqrt(0.4939) = 1.4229 +32'h3fb27ad0,32'h3f5475af,32'h3f5d21ab, 32'h3f4df4b1,32'h3f63a2a9, 32'h3f431db5,32'h3f6e79a5,// invsqrt(1.3944) = 0.8469 +32'h402f0ac9,32'h3f17b320,32'h3f1de43c, 32'h3f130e4c,32'h3f228910, 32'h3f0b50e9,32'h3f2a4673,// invsqrt(2.7350) = 0.6047 +32'h3fb7ddf8,32'h3f5152f3,32'h3f59de2b, 32'h3f4aea88,32'h3f604696, 32'h3f403c81,32'h3f6af49d,// invsqrt(1.4365) = 0.8344 +32'h405b051b,32'h3f079df5,32'h3f0d2705, 32'h3f03772a,32'h3f114dd0, 32'h3ef917ae,32'h3f183923,// invsqrt(3.4222) = 0.5406 +32'h4088d233,32'h3ef2a86f,32'h3efc8ff6, 32'h3eeb3ac9,32'h3f01fecd, 32'h3eded960,32'h3f082f82,// invsqrt(4.2757) = 0.4836 +32'h3f77d5c1,32'h3f7efaac,32'h3f84b178, 32'h3f772c77,32'h3f889893, 32'h3f6a2a20,32'h3f8f19be,// invsqrt(0.9681) = 1.0163 +32'h3f86cfa7,32'h3f7475cf,32'h3f7e702b, 32'h3f6cfa0a,32'h3f82f5f8, 32'h3f608117,32'h3f893272,// invsqrt(1.0532) = 0.9744 +32'h4002e62c,32'h3f2f6c68,32'h3f369568, 32'h3f2a0da9,32'h3f3bf427, 32'h3f211a6a,32'h3f44e766,// invsqrt(2.0453) = 0.6992 +32'h3f9995e5,32'h3f650827,32'h3f6e614d, 32'h3f5e054a,32'h3f75642a, 32'h3f5255db,32'h3f8089cd,// invsqrt(1.1999) = 0.9129 +32'h405fc29e,32'h3f062c3f,32'h3f0ba637, 32'h3f0210c5,32'h3f0fc1b1, 32'h3ef6709d,32'h3f169a27,// invsqrt(3.4963) = 0.5348 +32'h3fbd7b08,32'h3f4e3333,32'h3f569dc7, 32'h3f47e344,32'h3f5cedb6, 32'h3f3d5e0a,32'h3f6772f0,// invsqrt(1.4803) = 0.8219 +32'h3fcf8e72,32'h3f450443,32'h3f4d0ee3, 32'h3f3efc4c,32'h3f5316da, 32'h3f34ef04,32'h3f5d2422,// invsqrt(1.6215) = 0.7853 +32'h41cd71ff,32'h3e4606bd,32'h3e4e1be9, 32'h3e3ff6dc,32'h3e542bca, 32'h3e35dc64,32'h3e5e4642,// invsqrt(25.6807) = 0.1973 +32'h3f3f879b,32'h3f9105fb,32'h3f96f153, 32'h3f8c9578,32'h3f9b61d6, 32'h3f852f4a,32'h3fa2c804,// invsqrt(0.7482) = 1.1561 +32'h3d44ac67,32'h408f1d46,32'h4094f4ac, 32'h408abbb9,32'h40995639, 32'h40836e7a,32'h40a0a378,// invsqrt(0.0480) = 4.5636 +32'h3fb14c2c,32'h3f552ab7,32'h3f5dde17, 32'h3f4ea42f,32'h3f64649f, 32'h3f43c3f6,32'h3f6f44d8,// invsqrt(1.3851) = 0.8497 +32'h3fb509b1,32'h3f52f40c,32'h3f5b904b, 32'h3f4c7edc,32'h3f62057a, 32'h3f41bb8d,32'h3f6cc8c9,// invsqrt(1.4144) = 0.8409 +32'h400db8c5,32'h3f2897a2,32'h3f2f7940, 32'h3f236e6b,32'h3f34a277, 32'h3f1ad466,32'h3f3d3c7c,// invsqrt(2.2144) = 0.6720 +32'h3fe9331a,32'h3f39de7b,32'h3f41749f, 32'h3f342de0,32'h3f47253a, 32'h3f2ab232,32'h3f50a0e8,// invsqrt(1.8219) = 0.7409 +32'h3f86554f,32'h3f74e507,32'h3f7ee3ed, 32'h3f6d65da,32'h3f83318d, 32'h3f60e73b,32'h3f8970dd,// invsqrt(1.0495) = 0.9761 +32'h4054b3c1,32'h3f099dca,32'h3f0f3bbe, 32'h3f056754,32'h3f137234, 32'h3efcc3c7,32'h3f1a77a4,// invsqrt(3.3235) = 0.5485 +32'h402da2a2,32'h3f185022,32'h3f1e87a6, 32'h3f13a67f,32'h3f233149, 32'h3f0be11a,32'h3f2af6ae,// invsqrt(2.7131) = 0.6071 +32'h3f93299b,32'h3f69fa02,32'h3f7386d4, 32'h3f62d066,32'h3f7ab070, 32'h3f56e060,32'h3f83503b,// invsqrt(1.1497) = 0.9326 +32'h3e9d9dee,32'h3fe2157a,32'h3feb4fd2, 32'h3fdb29b7,32'h3ff23b95, 32'h3fcfa0c9,32'h3ffdc483,// invsqrt(0.3078) = 1.8023 +32'h3f9586e3,32'h3f681e8e,32'h3f7197f8, 32'h3f610380,32'h3f78b306, 32'h3f552bbc,32'h3f824565,// invsqrt(1.1682) = 0.9252 +32'h3f1fa6ea,32'h3f9ed7e1,32'h3fa553a1, 32'h3f99fb11,32'h3faa3071, 32'h3f91e061,32'h3fb24b21,// invsqrt(0.6236) = 1.2663 +32'h3f42e931,32'h3f8fc28d,32'h3f95a0b3, 32'h3f8b5bf2,32'h3f9a074e, 32'h3f840643,32'h3fa15cfd,// invsqrt(0.7614) = 1.1460 +32'h3f299234,32'h3f9a20a3,32'h3fa06b1d, 32'h3f9568c8,32'h3fa522f8, 32'h3f8d8bb0,32'h3fad0010,// invsqrt(0.6624) = 1.2287 +32'h3f699b5c,32'h3f83508e,32'h3f88aca8, 32'h3f7e96f3,32'h3f8cb1bc, 32'h3f7130b1,32'h3f9364de,// invsqrt(0.9125) = 1.0468 +32'h3f2098fc,32'h3f9e5ffd,32'h3fa4d6d9, 32'h3f9986d9,32'h3fa9affd, 32'h3f917247,32'h3fb1c48f,// invsqrt(0.6273) = 1.2626 +32'h3f8b5375,32'h3f707779,32'h3f7a481b, 32'h3f691b00,32'h3f80d24a, 32'h3f5cd636,32'h3f86f4af,// invsqrt(1.0885) = 0.9585 +32'h3f844c6b,32'h3f76c54b,32'h3f806be6, 32'h3f6f376a,32'h3f8432d6, 32'h3f62a04a,32'h3f8a7e66,// invsqrt(1.0336) = 0.9836 +32'h3f5ce515,32'h3f870a4e,32'h3f8c8d56, 32'h3f82e808,32'h3f90af9c, 32'h3f78087a,32'h3f979367,// invsqrt(0.8629) = 1.0765 +32'h411de194,32'h3e9fbb4b,32'h3ea64053, 32'h3e9ad785,32'h3eab2419, 32'h3e92b13a,32'h3eb34a64,// invsqrt(9.8676) = 0.3183 +32'h3f2f8f8c,32'h3f9779b9,32'h3f9da87d, 32'h3f92d6a6,32'h3fa24b90, 32'h3f8b1c32,32'h3faa0604,// invsqrt(0.6858) = 1.2076 +32'h40227f28,32'h3f1d725f,32'h3f23df88, 32'h3f18a081,32'h3f28b167, 32'h3f10980f,32'h3f30b9d9,// invsqrt(2.5390) = 0.6276 +32'h3fa394ea,32'h3f5dec77,32'h3f66fb57, 32'h3f57214f,32'h3f6dc67f, 32'h3f4bceb6,32'h3f791918,// invsqrt(1.2780) = 0.8846 +32'h400f1479,32'h3f27ca4b,32'h3f2ea387, 32'h3f22a75d,32'h3f33c675, 32'h3f1a17d2,32'h3f3c5600,// invsqrt(2.2356) = 0.6688 +32'h3f1c47ca,32'h3fa08c2e,32'h3fa719bc, 32'h3f9ba202,32'h3fac03e8, 32'h3f937110,32'h3fb434da,// invsqrt(0.6105) = 1.2799 +32'h3f022c4b,32'h3fafe97b,32'h3fb71795, 32'h3faa86e8,32'h3fbc7a28, 32'h3fa18d47,32'h3fc573c9,// invsqrt(0.5085) = 1.4024 +32'h3efad08a,32'h3fb3392e,32'h3fba89e2, 32'h3fadbca8,32'h3fc00668, 32'h3fa497c7,32'h3fc92b49,// invsqrt(0.4899) = 1.4288 +32'h3fac6cce,32'h3f582865,32'h3f60fb05, 32'h3f518a6c,32'h3f6798fe, 32'h3f468323,32'h3f72a047,// invsqrt(1.3471) = 0.8616 +32'h41430672,32'h3e8fb7c4,32'h3e959579, 32'h3e8b517d,32'h3e99fbc1, 32'h3e83fc5c,32'h3ea150e2,// invsqrt(12.1891) = 0.2864 +32'h412df813,32'h3e982ab7,32'h3e9e60b4, 32'h3e938239,32'h3ea30931, 32'h3e8bbebc,32'h3eaaccae,// invsqrt(10.8731) = 0.3033 +32'h3f4f7f35,32'h3f8b54f0,32'h3f9104d0, 32'h3f871108,32'h3f9548b8, 32'h3f7fea60,32'h3f9c6490,// invsqrt(0.8105) = 1.1107 +32'h3f98247e,32'h3f661d8b,32'h3f6f8203, 32'h3f5f1230,32'h3f768d5e, 32'h3f53549a,32'h3f81257a,// invsqrt(1.1886) = 0.9172 +32'h3fe16f77,32'h3f3d0af0,32'h3f44c23e, 32'h3f374176,32'h3f4a8bb8, 32'h3f2d9c55,32'h3f5430d9,// invsqrt(1.7612) = 0.7535 +32'h3e08b249,32'h402ba9ce,32'h4032ab82, 32'h40266886,32'h4037ecca, 32'h401da664,32'h4040aeec,// invsqrt(0.1335) = 2.7370 +32'h3e995c9b,32'h3fe532eb,32'h3fee8dd0, 32'h3fde2ebf,32'h3ff591fb, 32'h3fd27d20,32'h4000a1cd,// invsqrt(0.2995) = 1.8272 +32'h3fce40ca,32'h3f45a35e,32'h3f4db47c, 32'h3f3f9688,32'h3f53c152, 32'h3f358122,32'h3f5dd6b8,// invsqrt(1.6114) = 0.7878 +32'h3f86d1c0,32'h3f7473e8,32'h3f7e6e30, 32'h3f6cf832,32'h3f82f4f3, 32'h3f607f58,32'h3f893160,// invsqrt(1.0533) = 0.9744 +32'h3ff40a28,32'h3f35b1b0,32'h3f3d1c34, 32'h3f3021cc,32'h3f42ac18, 32'h3f26dca7,32'h3f4bf13d,// invsqrt(1.9066) = 0.7242 +32'h3f6e3fe3,32'h3f820768,32'h3f875613, 32'h3f7c18ce,32'h3f8b5113, 32'h3f6ed421,32'h3f91f36a,// invsqrt(0.9307) = 1.0366 +32'h3f8fa9a9,32'h3f6ccf36,32'h3f7679a0, 32'h3f658f66,32'h3f7db970, 32'h3f597a60,32'h3f84e73b,// invsqrt(1.1224) = 0.9439 +32'h3f807369,32'h3f7a707a,32'h3f8254a8, 32'h3f72c5da,32'h3f8629f8, 32'h3f65fece,32'h3f8c8d7e,// invsqrt(1.0035) = 0.9982 +32'h3f885a61,32'h3f7312f5,32'h3f7cfed5, 32'h3f6ba20d,32'h3f8237df, 32'h3f5f3b34,32'h3f886b4b,// invsqrt(1.0653) = 0.9689 +32'h40263912,32'h3f1bac09,32'h3f2206a7, 32'h3f16e814,32'h3f26ca9c, 32'h3f0ef6cf,32'h3f2ebbe1,// invsqrt(2.5972) = 0.6205 +32'h3ee83b74,32'h3fba417b,32'h3fc1dbaa, 32'h3fb48dd8,32'h3fc78f4e, 32'h3fab0d1e,32'h3fd11008,// invsqrt(0.4536) = 1.4848 +32'h3d97cf33,32'h40665e27,32'h406fc543, 32'h405f50d2,32'h4076d298, 32'h40538ff0,32'h408149bd,// invsqrt(0.0741) = 3.6730 +32'h3fbfc4f1,32'h3f4cf741,32'h3f5554f1, 32'h3f46b0fe,32'h3f5b9b34, 32'h3f3c3be3,32'h3f66104f,// invsqrt(1.4982) = 0.8170 +32'h3f07060a,32'h3facb931,32'h3fb3c5fa, 32'h3fa76f9b,32'h3fb90f91, 32'h3f9e9fa1,32'h3fc1df8b,// invsqrt(0.5274) = 1.3769 +32'h43271ccd,32'h3d9b41d3,32'h3da1981b, 32'h3d96811e,32'h3da658d0, 32'h3d8e9545,32'h3dae44a9,// invsqrt(167.1125) = 0.0774 +32'h40c3e873,32'h3ecaca0c,32'h3ed310fd, 32'h3ec494d8,32'h3ed94632, 32'h3eba3c2b,32'h3ee39edf,// invsqrt(6.1221) = 0.4042 +32'h4004a4f0,32'h3f2e4401,32'h3f3560e7, 32'h3f28ee55,32'h3f3ab693, 32'h3f200a35,32'h3f439ab3,// invsqrt(2.0726) = 0.6946 +32'h406624e7,32'h3f044c81,32'h3f09b2e5, 32'h3f003fb7,32'h3f0dbfaf, 32'h3ef2ff75,32'h3f147fab,// invsqrt(3.5960) = 0.5273 +32'h3dd6c194,32'h4041af9d,32'h4049976f, 32'h403bc1bf,32'h404f854d, 32'h4031dff9,32'h40596713,// invsqrt(0.1049) = 3.0881 +32'h3ec332fa,32'h3fcb283a,32'h3fd37303, 32'h3fc4f024,32'h3fd9ab1a, 32'h3fba92a9,32'h3fe40895,// invsqrt(0.3812) = 1.6196 +32'h3f963eb6,32'h3f679063,32'h3f7103ff, 32'h3f6079af,32'h3f781ab3, 32'h3f54a92c,32'h3f81f59b,// invsqrt(1.1738) = 0.9230 +32'h40033ea1,32'h3f2f3140,32'h3f3657d5, 32'h3f29d451,32'h3f3bb4c5, 32'h3f20e417,32'h3f44a4ff,// invsqrt(2.0507) = 0.6983 +32'h3f20d42d,32'h3f9e42d6,32'h3fa4b880, 32'h3f996a96,32'h3fa990c0, 32'h3f915780,32'h3fb1a3d6,// invsqrt(0.6282) = 1.2616 +32'h3ed73370,32'h3fc17c59,32'h3fc96214, 32'h3fbb900e,32'h3fcf4e60, 32'h3fb1b0e5,32'h3fd92d89,// invsqrt(0.4203) = 1.5425 +32'h407cc1e1,32'h3efc7bf2,32'h3f036513, 32'h3ef4c14b,32'h3f074266, 32'h3ee7df8b,32'h3f0db347,// invsqrt(3.9493) = 0.5032 +32'h40aa8ccc,32'h3ed957bf,32'h3ee236c1, 32'h3ed2b07d,32'h3ee8de03, 32'h3ec799ba,32'h3ef3f4c6,// invsqrt(5.3297) = 0.4332 +32'h4043de04,32'h3f0f6898,32'h3f154312, 32'h3f0b04be,32'h3f19a6ec, 32'h3f03b3a6,32'h3f20f804,// invsqrt(3.0604) = 0.5716 +32'h3f85c990,32'h3f7564cd,32'h3f7f68ea, 32'h3f6de1b6,32'h3f837600, 32'h3f615c92,32'h3f89b892,// invsqrt(1.0452) = 0.9781 +32'h3ebabdb2,32'h3fcfb500,32'h3fd82f53, 32'h3fc95941,32'h3fde8b11, 32'h3fbec058,32'h3fe923fa,// invsqrt(0.3647) = 1.6558 +32'h3fd619b2,32'h3f41fb7e,32'h3f49e66a, 32'h3f3c0b4e,32'h3f4fd69a, 32'h3f3225a8,32'h3f59bc40,// invsqrt(1.6727) = 0.7732 +32'h3fb0319d,32'h3f55d55f,32'h3f5e8fb7, 32'h3f4f499e,32'h3f651b78, 32'h3f4460b0,32'h3f700466,// invsqrt(1.3765) = 0.8523 +32'h3fe944d4,32'h3f39d76b,32'h3f416d45, 32'h3f342707,32'h3f471da9, 32'h3f2aabb6,32'h3f5098fa,// invsqrt(1.8224) = 0.7408 +32'h3fb76221,32'h3f519994,32'h3f5a27af, 32'h3f4b2f00,32'h3f609244, 32'h3f407d5f,32'h3f6b43e5,// invsqrt(1.4327) = 0.8355 +32'h3f9ee691,32'h3f612b36,32'h3f6a5bfe, 32'h3f5a469f,32'h3f714095, 32'h3f4ec9a4,32'h3f7cbd90,// invsqrt(1.2414) = 0.8975 +32'h3f324b45,32'h3f964f57,32'h3f9c71ed, 32'h3f91b567,32'h3fa10bdd, 32'h3f8a0a2b,32'h3fa8b719,// invsqrt(0.6965) = 1.1983 +32'h3f7424a2,32'h3f80732d,32'h3f85b159, 32'h3f790919,32'h3f899ffa, 32'h3f6bedac,32'h3f902db0,// invsqrt(0.9537) = 1.0240 +32'h41065de9,32'h3ead251f,32'h3eb43650, 32'h3ea7d83c,32'h3eb98334, 32'h3e9f02bf,32'h3ec258b1,// invsqrt(8.3979) = 0.3451 +32'h3e9fcf21,32'h3fe08723,32'h3fe9b139, 32'h3fd9a792,32'h3ff090ca, 32'h3fce32f6,32'h3ffc0566,// invsqrt(0.3121) = 1.7899 +32'h41b30de0,32'h3e541e5d,32'h3e5cc6c9, 32'h3e4da00c,32'h3e63451a, 32'h3e42cd84,32'h3e6e17a2,// invsqrt(22.3818) = 0.2114 +32'h3ebfbb42,32'h3fccfc6e,32'h3fd55a54, 32'h3fc6b603,32'h3fdba0bf, 32'h3fbc40a4,32'h3fe6161e,// invsqrt(0.3745) = 1.6341 +32'h3fc85f63,32'h3f48846e,32'h3f50b3a2, 32'h3f426108,32'h3f56d708, 32'h3f382607,32'h3f611209,// invsqrt(1.5654) = 0.7993 +32'h3f05b250,32'h3fad9419,32'h3fb4a9d1, 32'h3fa843cf,32'h3fb9fa1b, 32'h3f9f68a9,32'h3fc2d541,// invsqrt(0.5223) = 1.3838 +32'h3f7b7198,32'h3f7d2490,32'h3f83bcd2, 32'h3f7564bf,32'h3f879cba, 32'h3f687a64,32'h3f8e11e8,// invsqrt(0.9822) = 1.0090 +32'h3e86e786,32'h3ff4602d,32'h3ffe59a7, 32'h3fece512,32'h4002ea61, 32'h3fe06d39,32'h4009264e,// invsqrt(0.2635) = 1.9481 +32'h3f60f914,32'h3f85cf8a,32'h3f8b45ba, 32'h3f81b6e7,32'h3f8f5e5d, 32'h3f75c657,32'h3f963219,// invsqrt(0.8788) = 1.0667 +32'h40a200ff,32'h3edf0074,32'h3ee81a98, 32'h3ed82cd9,32'h3eeeee33, 32'h3ecccc2c,32'h3efa4ee0,// invsqrt(5.0626) = 0.4444 +32'h3e7b1e35,32'h3ffd4e94,32'h4003d2b0, 32'h3ff58d7a,32'h4007b33d, 32'h3fe8a0fb,32'h400e297d,// invsqrt(0.2452) = 2.0193 +32'h3f81ee85,32'h3f790211,32'h3f8195f9, 32'h3f7162a8,32'h3f8565ae, 32'h3f64ae4f,32'h3f8bbfdb,// invsqrt(1.0151) = 0.9925 +32'h3f15c584,32'h3fa3ffc3,32'h3faab162, 32'h3f9efa8b,32'h3fafb699, 32'h3f969c83,32'h3fb814a1,// invsqrt(0.5850) = 1.3074 +32'h3ffa9fcd,32'h3f334a9a,32'h3f3a9c04, 32'h3f2dcd8b,32'h3f401913, 32'h3f24a7c7,32'h3f493ed7,// invsqrt(1.9580) = 0.7147 +32'h3f05f772,32'h3fad674a,32'h3fb47b2e, 32'h3fa8185f,32'h3fb9ca19, 32'h3f9f3f83,32'h3fc2a2f5,// invsqrt(0.5233) = 1.3824 +32'h42046319,32'h3e2e6f51,32'h3e358dfb, 32'h3e291851,32'h3e3ae4fb, 32'h3e2031fc,32'h3e43cb50,// invsqrt(33.0968) = 0.1738 +32'h3fe10177,32'h3f3d3920,32'h3f44f250, 32'h3f376e3c,32'h3f4abd34, 32'h3f2dc6bf,32'h3f5464b1,// invsqrt(1.7579) = 0.7542 +32'h3e04fe15,32'h402e098f,32'h40352413, 32'h4028b5ad,32'h403a77f5, 32'h401fd489,32'h40435919,// invsqrt(0.1299) = 2.7748 +32'h3f957a01,32'h3f68288f,32'h3f71a260, 32'h3f610d31,32'h3f78bdbd, 32'h3f5534eb,32'h3f824b01,// invsqrt(1.1678) = 0.9254 +32'h3fce5cad,32'h3f459603,32'h3f4da696, 32'h3f3f8996,32'h3f53b304, 32'h3f3574df,32'h3f5dc7bb,// invsqrt(1.6122) = 0.7876 +32'h3f247d58,32'h3f9c7d75,32'h3fa2e09f, 32'h3f97b316,32'h3fa7aafe, 32'h3f8fb723,32'h3fafa6f1,// invsqrt(0.6425) = 1.2475 +32'h3f068c6e,32'h3fad072e,32'h3fb41726, 32'h3fa7bb35,32'h3fb9631f, 32'h3f9ee73f,32'h3fc23715,// invsqrt(0.5256) = 1.3794 +32'h409c0215,32'h3ee33f22,32'h3eec85a0, 32'h3edc4a43,32'h3ef37a7f, 32'h3ed0b224,32'h3eff129e,// invsqrt(4.8753) = 0.4529 +32'h3f1ec8f8,32'h3f9f46be,32'h3fa5c704, 32'h3f9a6689,32'h3faaa739, 32'h3f924631,32'h3fb2c791,// invsqrt(0.6203) = 1.2697 +32'h40804240,32'h3efaa074,32'h3f026da0, 32'h3ef2f45c,32'h3f0643ac, 32'h3ee62ade,32'h3f0ca86b,// invsqrt(4.0081) = 0.4995 +32'h4196b798,32'h3e673373,32'h3e70a344, 32'h3e601f97,32'h3e77b721, 32'h3e5453d3,32'h3e81c173,// invsqrt(18.8396) = 0.2304 +32'h3f5e5ed4,32'h3f86976a,32'h3f8c15c2, 32'h3f8278a8,32'h3f903484, 32'h3f773574,32'h3f971272,// invsqrt(0.8686) = 1.0730 +32'h3e7e931a,32'h3ffb94d5,32'h4002eccc, 32'h3ff3e140,32'h4006c696, 32'h3fe70b4a,32'h400d3191,// invsqrt(0.2486) = 2.0056 +32'h3ed1141f,32'h3fc44c54,32'h3fcc4f72, 32'h3fbe49fe,32'h3fd251c8, 32'h3fb44619,32'h3fdc55ad,// invsqrt(0.4084) = 1.5649 +32'h40d3159b,32'h3ec35d01,32'h3ecb5659, 32'h3ebd61fe,32'h3ed1515c, 32'h3eb36a4f,32'h3edb490b,// invsqrt(6.5964) = 0.3894 +32'h3f0ca095,32'h3fa93f42,32'h3fb027b8, 32'h3fa410ea,32'h3fb55610, 32'h3f9b6e57,32'h3fbdf8a3,// invsqrt(0.5493) = 1.3492 +32'h3f90df8b,32'h3f6bd169,32'h3f757178, 32'h3f64995f,32'h3f7ca983, 32'h3f58914c,32'h3f8458cb,// invsqrt(1.1318) = 0.9400 +32'h3f5dfc97,32'h3f86b52f,32'h3f8c34bd, 32'h3f829583,32'h3f905469, 32'h3f776c21,32'h3f9733db,// invsqrt(0.8671) = 1.0739 +32'h3f93d84f,32'h3f696f9b,32'h3f72f6c6, 32'h3f624a3b,32'h3f7a1c27, 32'h3f566146,32'h3f83028e,// invsqrt(1.1550) = 0.9305 +32'h40230498,32'h3f1d31e2,32'h3f239c68, 32'h3f1861fd,32'h3f286c4d, 32'h3f105cd4,32'h3f307176,// invsqrt(2.5472) = 0.6266 +32'h3f3c9e77,32'h3f922357,32'h3f981a55, 32'h3f8daa18,32'h3f9c9394, 32'h3f86355a,32'h3fa40852,// invsqrt(0.7368) = 1.1650 +32'h402c49e2,32'h3f18e83a,32'h3f1f25f4, 32'h3f1439ef,32'h3f23d43f, 32'h3f0c6cc8,32'h3f2ba166,// invsqrt(2.6920) = 0.6095 +32'h3f433025,32'h3f8fa86a,32'h3f95857e, 32'h3f8b429b,32'h3f99eb4d, 32'h3f83ee42,32'h3fa13fa6,// invsqrt(0.7625) = 1.1452 +32'h3f8d61ff,32'h3f6eb60f,32'h3f787459, 32'h3f676758,32'h3f7fc310, 32'h3f5b397c,32'h3f85f876,// invsqrt(1.1046) = 0.9515 +32'h3f7bca41,32'h3f7cf7fb,32'h3f83a59f, 32'h3f753987,32'h3f8784d8, 32'h3f685173,32'h3f8df8e3,// invsqrt(0.9836) = 1.0083 +32'h40278788,32'h3f1b1057,32'h3f216499, 32'h3f165125,32'h3f2623cb, 32'h3f0e67d2,32'h3f2e0d1e,// invsqrt(2.6176) = 0.6181 +32'h417db43e,32'h3e7c033c,32'h3e832641, 32'h3e744c46,32'h3e8701bb, 32'h3e6770ae,32'h3e8d6f87,// invsqrt(15.8565) = 0.2511 +32'h3fbfaab6,32'h3f4d0547,32'h3f556389, 32'h3f46be96,32'h3f5baa3a, 32'h3f3c48c4,32'h3f66200c,// invsqrt(1.4974) = 0.8172 +32'h4050889d,32'h3f0afc2a,32'h3f10a86a, 32'h3f06bafa,32'h3f14e99a, 32'h3eff4752,32'h3f1c00eb,// invsqrt(3.2583) = 0.5540 +32'h3ff5b7b6,32'h3f35129a,32'h3f3c76a0, 32'h3f2f8795,32'h3f4201a5, 32'h3f264a8d,32'h3f4b3ead,// invsqrt(1.9197) = 0.7218 +32'h3facf020,32'h3f57d643,32'h3f60a58a, 32'h3f513acf,32'h3f6740ff, 32'h3f4637b6,32'h3f724418,// invsqrt(1.3511) = 0.8603 +32'h4022c2a2,32'h3f1d51b9,32'h3f23bd8d, 32'h3f1880db,32'h3f288e6b, 32'h3f107a13,32'h3f309533,// invsqrt(2.5431) = 0.6271 +32'h3e0b789c,32'h4029f278,32'h4030e23e, 32'h4024bea3,32'h40361613, 32'h401c12ec,32'h403ec1cb,// invsqrt(0.1362) = 2.7096 +32'h3e81ff66,32'h3ff8f1e7,32'h40018d90, 32'h3ff152fc,32'h40055d05, 32'h3fe49f76,32'h400bb6c8,// invsqrt(0.2539) = 1.9846 +32'h3f0217dc,32'h3faff74b,32'h3fb725f5, 32'h3faa944b,32'h3fbc88f5, 32'h3fa199f6,32'h3fc5834a,// invsqrt(0.5082) = 1.4028 +32'h41424499,32'h3e8fff67,32'h3e95e007, 32'h3e8b96ee,32'h3e9a4880, 32'h3e843e25,32'h3ea1a149,// invsqrt(12.1417) = 0.2870 +32'h3f6c0287,32'h3f82a4fa,32'h3f87fa14, 32'h3f7d4a4d,32'h3f8bf9e7, 32'h3f6ff58d,32'h3f92a448,// invsqrt(0.9219) = 1.0415 +32'h3f866c30,32'h3f74d02f,32'h3f7ece3b, 32'h3f6d51a6,32'h3f832662, 32'h3f60d416,32'h3f89652a,// invsqrt(1.0502) = 0.9758 +32'h40c4caec,32'h3eca553b,32'h3ed29767, 32'h3ec4239a,32'h3ed8c908, 32'h3eb9d0e2,32'h3ee31bc0,// invsqrt(6.1498) = 0.4032 +32'h40bbf91d,32'h3ecf0672,32'h3ed779a6, 32'h3ec8b00b,32'h3eddd00d, 32'h3ebe200b,32'h3ee8600d,// invsqrt(5.8742) = 0.4126 +32'h3f6f27ad,32'h3f81c855,32'h3f87146e, 32'h3f7b9e87,32'h3f8b0d80, 32'h3f6e604a,32'h3f91ac9f,// invsqrt(0.9342) = 1.0346 +32'h3f1942d3,32'h3fa21f0f,32'h3fa8bd10, 32'h3f9d288f,32'h3fadb391, 32'h3f94e30e,32'h3fb5f912,// invsqrt(0.5987) = 1.2924 +32'h41107119,32'h3ea6ff53,32'h3eadd047, 32'h3ea1e29c,32'h3eb2ecfe, 32'h3e995d6c,32'h3ebb722e,// invsqrt(9.0276) = 0.3328 +32'h42f2408a,32'h3db65cfc,32'h3dbdce7e, 32'h3db0c7da,32'h3dc363a0, 32'h3da779f7,32'h3dccb183,// invsqrt(121.1261) = 0.0909 +32'h3fc062c7,32'h3f4ca31c,32'h3f54fd5c, 32'h3f465f6c,32'h3f5b410c, 32'h3f3bee9c,32'h3f65b1dc,// invsqrt(1.5030) = 0.8157 +32'h3daebc6e,32'h4056b93d,32'h405f7ce2, 32'h40502682,32'h40660f9e, 32'h404531f5,32'h4071042b,// invsqrt(0.0853) = 3.4235 +32'h3ff8d0b0,32'h3f33f128,32'h3f3b495e, 32'h3f2e6f00,32'h3f40cb86, 32'h3f2540bc,32'h3f49f9ca,// invsqrt(1.9439) = 0.7172 +32'h3f824de5,32'h3f78a6e0,32'h3f816684, 32'h3f710a41,32'h3f8534d3, 32'h3f645a8f,32'h3f8b8cad,// invsqrt(1.0180) = 0.9911 +32'h3dffd10b,32'h40317669,32'h4038b4b7, 32'h402c07af,32'h403e2371, 32'h4022f9ce,32'h40473152,// invsqrt(0.1249) = 2.8294 +32'h3f8f9871,32'h3f6cdd68,32'h3f768866, 32'h3f659d28,32'h3f7dc8a6, 32'h3f59876a,32'h3f84ef32,// invsqrt(1.1218) = 0.9441 +32'h3f28e519,32'h3f9a6f8b,32'h3fa0bd3d, 32'h3f95b545,32'h3fa57783, 32'h3f8dd427,32'h3fad58a1,// invsqrt(0.6597) = 1.2312 +32'h3e6826b8,32'h4003b9c8,32'h40091a2e, 32'h3fff62f6,32'h400d227b, 32'h3ff1f1f7,32'h4013dafa,// invsqrt(0.2267) = 2.1002 +32'h3f83db2a,32'h3f772f2f,32'h3f80a301, 32'h3f6f9e10,32'h3f846b90, 32'h3f630189,32'h3f8ab9d4,// invsqrt(1.0301) = 0.9853 +32'h3f9b3505,32'h3f63d50f,32'h3f6d21ad, 32'h3f5cdb99,32'h3f741b23, 32'h3f513bd5,32'h3f7fbae7,// invsqrt(1.2126) = 0.9081 +32'h3efef5cb,32'h3fb1c2a6,32'h3fb90410, 32'h3fac5196,32'h3fbe7520, 32'h3fa33fd2,32'h3fc786e4,// invsqrt(0.4980) = 1.4171 +32'h408d451e,32'h3eeece74,32'h3ef88dbc, 32'h3ee77efd,32'h3effdd33, 32'h3edb4fe2,32'h3f060627,// invsqrt(4.4147) = 0.4759 +32'h3f217ce2,32'h3f9df015,32'h3fa4625f, 32'h3f991a5e,32'h3fa93816, 32'h3f910b81,32'h3fb146f3,// invsqrt(0.6308) = 1.2591 +32'h3f4ad87c,32'h3f8ceb91,32'h3f92ac09, 32'h3f889b36,32'h3f96fc64, 32'h3f816a9f,32'h3f9e2cfb,// invsqrt(0.7924) = 1.1234 +32'h400742c7,32'h3f2c9265,32'h3f339d99, 32'h3f2749ff,32'h3f38e5ff, 32'h3f1e7bff,32'h3f41b3ff,// invsqrt(2.1135) = 0.6879 +32'h3f575285,32'h3f88c6c9,32'h3f8e5bf5, 32'h3f8496e7,32'h3f928bd7, 32'h3f7b38de,32'h3f99864f,// invsqrt(0.8411) = 1.0904 +32'h3ce0adfd,32'h40bd5c43,32'h40c516e3, 32'h40b7904c,32'h40cae2da, 32'h40ade704,32'h40d48c22,// invsqrt(0.0274) = 6.0383 +32'h41198d7c,32'h3ea1f7a1,32'h3ea89405, 32'h3e9d0255,32'h3ead8951, 32'h3e94bed8,32'h3eb5cccf,// invsqrt(9.5970) = 0.3228 +32'h3df89db7,32'h40340399,32'h403b5c90, 32'h402e80e1,32'h4040df49, 32'h402551ac,32'h404a0e7e,// invsqrt(0.1214) = 2.8701 +32'h3fb6e409,32'h3f51e1c9,32'h3f5a72d7, 32'h3f4b74ff,32'h3f60dfa1, 32'h3f40bfae,32'h3f6b94f2,// invsqrt(1.4288) = 0.8366 +32'h3f88096d,32'h3f735b3e,32'h3f7d4a11, 32'h3f6be81f,32'h3f825e98, 32'h3f5f7d96,32'h3f8893dc,// invsqrt(1.0628) = 0.9700 +32'h4036ea87,32'h3f146610,32'h3f1a74ae, 32'h3f0fdb1a,32'h3f1effa4, 32'h3f0848d6,32'h3f2691e8,// invsqrt(2.8581) = 0.5915 +32'h3f805bcb,32'h3f7a8783,32'h3f8260a5, 32'h3f72dc2e,32'h3f86364f, 32'h3f6613f5,32'h3f8c9a6b,// invsqrt(1.0028) = 0.9986 +32'h3f872783,32'h3f74264c,32'h3f7e1d6a, 32'h3f6cacf6,32'h3f82cb60, 32'h3f603812,32'h3f8905d2,// invsqrt(1.0559) = 0.9732 +32'h3f6636c2,32'h3f844760,32'h3f89ad8e, 32'h3f803abe,32'h3f8dba30, 32'h3f72f609,32'h3f9479e9,// invsqrt(0.8993) = 1.0545 +32'h3fbc807e,32'h3f4ebc0e,32'h3f572c38, 32'h3f4867ee,32'h3f5d8058, 32'h3f3ddbb9,32'h3f680c8d,// invsqrt(1.4727) = 0.8240 +32'h3e00bfe6,32'h4030e1bc,32'h403819f8, 32'h402b778f,32'h403d8425, 32'h40227144,32'h40468a70,// invsqrt(0.1257) = 2.8202 +32'h3f8dacad,32'h3f6e771d,32'h3f7832d5, 32'h3f672a53,32'h3f7f7f9f, 32'h3f5affad,32'h3f85d523,// invsqrt(1.1068) = 0.9505 +32'h3fe5b358,32'h3f3b4786,32'h3f42ec67, 32'h3f358bde,32'h3f48a810, 32'h3f2bfdc5,32'h3f523629,// invsqrt(1.7945) = 0.7465 +32'h3ed2ad6a,32'h3fc38d4a,32'h3fcb889b, 32'h3fbd90cc,32'h3fd18518, 32'h3fb396a7,32'h3fdb7f3d,// invsqrt(0.4115) = 1.5589 +32'h3f0dc033,32'h3fa89337,32'h3faf74a7, 32'h3fa36a23,32'h3fb49dbb, 32'h3f9ad057,32'h3fbd3787,// invsqrt(0.5537) = 1.3439 +32'h3ee1c778,32'h3fbce615,32'h3fc49be1, 32'h3fb71dbb,32'h3fca643b, 32'h3fad7a7c,32'h3fd4077b,// invsqrt(0.4410) = 1.5059 +32'h402595b9,32'h3f1bf8bf,32'h3f22567e, 32'h3f173271,32'h3f271ccd, 32'h3f0f3d42,32'h3f2f11fc,// invsqrt(2.5873) = 0.6217 +32'h401a4fb3,32'h3f219194,32'h3f2829ce, 32'h3f1c9f68,32'h3f2d1bfa, 32'h3f14611f,32'h3f355a43,// invsqrt(2.4111) = 0.6440 +32'h40ca328a,32'h3ec79c45,32'h3ecfc1ff, 32'h3ec17ffa,32'h3ed5de4a, 32'h3eb750d2,32'h3ee00d72,// invsqrt(6.3187) = 0.3978 +32'h40009684,32'h3f30fe30,32'h3f383795, 32'h3f2b9324,32'h3f3da2a0, 32'h3f228b65,32'h3f46aa5f,// invsqrt(2.0092) = 0.7055 +32'h3f01fa4f,32'h3fb00b4b,32'h3fb73ac6, 32'h3faaa7ae,32'h3fbc9e62, 32'h3fa1ac54,32'h3fc599bc,// invsqrt(0.5077) = 1.4034 +32'h3f109868,32'h3fa6e89e,32'h3fadb8a5, 32'h3fa1cc9a,32'h3fb2d4aa, 32'h3f994892,32'h3fbb58b2,// invsqrt(0.5648) = 1.3306 +32'h3fef2944,32'h3f3789b1,32'h3f3f077a, 32'h3f31eb5b,32'h3f44a5d1, 32'h3f288e21,32'h3f4e030b,// invsqrt(1.8684) = 0.7316 +32'h3fd15513,32'h3f442dde,32'h3f4c2fbd, 32'h3f3e2c76,32'h3f523124, 32'h3f342a1f,32'h3f5c337b,// invsqrt(1.6354) = 0.7820 +32'h41556f35,32'h3e89614e,32'h3e8efcca, 32'h3e852cb2,32'h3e933166, 32'h3e7c54af,32'h3e9a33c0,// invsqrt(13.3397) = 0.2738 +32'h3f1587d2,32'h3fa42194,32'h3faad495, 32'h3f9f1b54,32'h3fafdad6, 32'h3f96bb93,32'h3fb83a97,// invsqrt(0.5841) = 1.3084 +32'h3ced8bec,32'h40b8291b,32'h40bfad65, 32'h40b285e3,32'h40c5509d, 32'h40a92086,32'h40ceb5fa,// invsqrt(0.0290) = 5.8725 +32'h400d6ed4,32'h3f28c3ae,32'h3f2fa718, 32'h3f23991e,32'h3f34d1a8, 32'h3f1afcd9,32'h3f3d6ded,// invsqrt(2.2099) = 0.6727 +32'h42301a42,32'h3e173e05,32'h3e1d6a59, 32'h3e129cc6,32'h3e220b98, 32'h3e0ae55e,32'h3e29c300,// invsqrt(44.0256) = 0.1507 +32'h3f8f091b,32'h3f6d53f9,32'h3f7703cf, 32'h3f661019,32'h3f7e47af, 32'h3f59f44d,32'h3f8531bd,// invsqrt(1.1175) = 0.9460 +32'h3f5ab26c,32'h3f87b796,32'h3f8d41b0, 32'h3f839001,32'h3f916945, 32'h3f7946bf,32'h3f9855e6,// invsqrt(0.8543) = 1.0819 +32'h3f95b803,32'h3f67f876,32'h3f717052, 32'h3f60de92,32'h3f788a36, 32'h3f5508c0,32'h3f823004,// invsqrt(1.1697) = 0.9246 +32'h3f60b8d6,32'h3f85e2aa,32'h3f8b59a1, 32'h3f81c970,32'h3f8f72da, 32'h3f75e976,32'h3f96478f,// invsqrt(0.8778) = 1.0673 +32'h3f1fd315,32'h3f9ec1ed,32'h3fa53cc7, 32'h3f99e5c9,32'h3faa18eb, 32'h3f91cc37,32'h3fb2327d,// invsqrt(0.6243) = 1.2656 +32'h3fdcac13,32'h3f3f1274,32'h3f46def6, 32'h3f393912,32'h3f4cb858, 32'h3f2f7970,32'h3f5677fa,// invsqrt(1.7240) = 0.7616 +32'h3c96010c,32'h40e7bff7,32'h40f13583, 32'h40e0a7cd,32'h40f84dad, 32'h40d4d4dd,32'h4102104e,// invsqrt(0.0183) = 7.3900 +32'h3f8d290f,32'h3f6ee62f,32'h3f78a66f, 32'h3f6795fe,32'h3f7ff6a0, 32'h3f5b65ae,32'h3f861378,// invsqrt(1.1028) = 0.9522 +32'h3f239c9b,32'h3f9ce8cb,32'h3fa35055, 32'h3f981b22,32'h3fa81dfe, 32'h3f9019b5,32'h3fb01f6b,// invsqrt(0.6391) = 1.2509 +32'h3f957faf,32'h3f682426,32'h3f719dc9, 32'h3f6108eb,32'h3f78b903, 32'h3f5530de,32'h3f824888,// invsqrt(1.1680) = 0.9253 +32'h3ea78126,32'h3fdb4f47,32'h3fe442d7, 32'h3fd4989b,32'h3feaf983, 32'h3fc96827,32'h3ff629f7,// invsqrt(0.3272) = 1.7483 +32'h3fad0596,32'h3f57c8e0,32'h3f60979b, 32'h3f512dd4,32'h3f6732a8, 32'h3f462b6b,32'h3f723511,// invsqrt(1.3517) = 0.8601 +32'h418df050,32'h3e6e3e45,32'h3e77f7ab, 32'h3e66f338,32'h3e7f42b8, 32'h3e5acb79,32'h3e85b53c,// invsqrt(17.7423) = 0.2374 +32'h3fb9965a,32'h3f505a04,32'h3f58db14, 32'h3f49f938,32'h3f5f3be0, 32'h3f3f57e4,32'h3f69dd34,// invsqrt(1.4499) = 0.8305 +32'h3f9af3e1,32'h3f6404ee,32'h3f6d5380, 32'h3f5d0a01,32'h3f744e6d, 32'h3f5167cb,32'h3f7ff0a3,// invsqrt(1.2106) = 0.9089 +32'h3fc62f79,32'h3f499ee7,32'h3f51d9a3, 32'h3f4372db,32'h3f5805af, 32'h3f392971,32'h3f624f19,// invsqrt(1.5483) = 0.8037 +32'h3e3ec184,32'h40115134,32'h40173f9e, 32'h400cde64,32'h401bb26e, 32'h4005745e,32'h40231c74,// invsqrt(0.1863) = 2.3169 +32'h3f799003,32'h3f7e185a,32'h3f843bb1, 32'h3f765113,32'h3f881f55, 32'h3f695a48,32'h3f8e9aba,// invsqrt(0.9749) = 1.0128 +32'h4043068b,32'h3f0fb7bb,32'h3f15956f, 32'h3f0b5174,32'h3f19fbb6, 32'h3f03fc53,32'h3f2150d7,// invsqrt(3.0473) = 0.5729 +32'h3f1c8c69,32'h3fa068fa,32'h3fa6f519, 32'h3f9b7fe2,32'h3fabde30, 32'h3f9350bb,32'h3fb40d57,// invsqrt(0.6115) = 1.2788 +32'h403eb668,32'h3f11556f,32'h3f174406, 32'h3f0ce27e,32'h3f1bb6f8, 32'h3f057842,32'h3f232134,// invsqrt(2.9799) = 0.5793 +32'h3d3f9ce7,32'h4090fdeb,32'h4096e8ef, 32'h408c8da8,32'h409b5932, 32'h408527e2,32'h40a2bef8,// invsqrt(0.0468) = 4.6235 +32'h4107fe40,32'h3eac1b49,32'h3eb3219f, 32'h3ea6d688,32'h3eb86660, 32'h3e9e0e9c,32'h3ec12e4c,// invsqrt(8.4996) = 0.3430 +32'h3f0c29c3,32'h3fa986f0,32'h3fb07252, 32'h3fa45666,32'h3fb5a2dc, 32'h3f9bb02a,32'h3fbe4918,// invsqrt(0.5475) = 1.3515 +32'h3ef144fb,32'h3fb6bbf5,32'h3fbe3157, 32'h3fb123ea,32'h3fc3c962, 32'h3fa7d12f,32'h3fcd1c1d,// invsqrt(0.4712) = 1.4567 +32'h3ebd44b9,32'h3fce50c6,32'h3fd6bc90, 32'h3fc7ffef,32'h3fdd0d67, 32'h3fbd7934,32'h3fe79423,// invsqrt(0.3697) = 1.6447 +32'h3e239976,32'h401cea4d,32'h402351e7, 32'h40181c99,32'h40281f9b, 32'h40101b17,32'h4030211d,// invsqrt(0.1598) = 2.5018 +32'h40543f29,32'h3f09c391,32'h3f0f630f, 32'h3f058bf2,32'h3f139aae, 32'h3efd092a,32'h3f1aa20b,// invsqrt(3.3164) = 0.5491 +32'h3fb93618,32'h3f509021,32'h3f591367, 32'h3f4a2dad,32'h3f5f75db, 32'h3f3f8997,32'h3f6a19f1,// invsqrt(1.4470) = 0.8313 +32'h3faf8fb7,32'h3f5637e1,32'h3f5ef63e, 32'h3f4fa91c,32'h3f658504, 32'h3f44bb28,32'h3f7072f8,// invsqrt(1.3716) = 0.8539 +32'h3f86090b,32'h3f752aaa,32'h3f7f2c67, 32'h3f6da95b,32'h3f8356db, 32'h3f61272e,32'h3f8997f1,// invsqrt(1.0472) = 0.9772 +32'h3fb89c76,32'h3f50e6d8,32'h3f596da7, 32'h3f4a81bc,32'h3f5fd2c2, 32'h3f3fd939,32'h3f6a7b45,// invsqrt(1.4423) = 0.8327 +32'h3fbe018d,32'h3f4dea28,32'h3f5651c2, 32'h3f479c76,32'h3f5c9f74, 32'h3f3d1af6,32'h3f6720f4,// invsqrt(1.4844) = 0.8208 +32'h3dced7d0,32'h40455b2b,32'h404d6956, 32'h403f508a,32'h405373f6, 32'h40353ed3,32'h405d85ad,// invsqrt(0.1010) = 3.1466 +32'h3f86468e,32'h3f74f27b,32'h3f7ef1ee, 32'h3f6d72e6,32'h3f8338c2, 32'h3f60f396,32'h3f89786a,// invsqrt(1.0490) = 0.9764 +32'h404ff290,32'h3f0b2e45,32'h3f10dc91, 32'h3f06eb8c,32'h3f151f4a, 32'h3effa35a,32'h3f1c3929,// invsqrt(3.2492) = 0.5548 +32'h3f323384,32'h3f96595b,32'h3f9c7c59, 32'h3f91bf1c,32'h3fa11698, 32'h3f8a135e,32'h3fa8c256,// invsqrt(0.6961) = 1.1986 +32'h3fa237ec,32'h3f5edab0,32'h3f67f349, 32'h3f58083c,32'h3f6ec5bc, 32'h3f4ca97c,32'h3f7a247c,// invsqrt(1.2673) = 0.8883 +32'h3ee511a5,32'h3fbb8994,32'h3fc33128, 32'h3fb5cbe6,32'h3fc8eed6, 32'h3fac3a6e,32'h3fd2804e,// invsqrt(0.4474) = 1.4950 +32'h3efca027,32'h3fb2946e,32'h3fb9de68, 32'h3fad1cf2,32'h3fbf55e4, 32'h3fa4007a,32'h3fc8725c,// invsqrt(0.4934) = 1.4236 +32'h3f8d1f45,32'h3f6eee78,32'h3f78af0f, 32'h3f679e06,32'h3f7fff80, 32'h3f5b6d49,32'h3f86181e,// invsqrt(1.1025) = 0.9524 +32'h3ed7c9fe,32'h3fc138ce,32'h3fc91bc7, 32'h3fbb4e94,32'h3fcf0602, 32'h3fb172dd,32'h3fd8e1b9,// invsqrt(0.4215) = 1.5404 +32'h3f44371a,32'h3f8f4806,32'h3f95212b, 32'h3f8ae52b,32'h3f998407, 32'h3f8395bd,32'h3fa0d375,// invsqrt(0.7665) = 1.1422 +32'h3f82a58c,32'h3f785368,32'h3f813b14, 32'h3f70b958,32'h3f85081c, 32'h3f640de7,32'h3f8b5dd4,// invsqrt(1.0207) = 0.9898 +32'h3ef1f278,32'h3fb67a65,32'h3fbded1b, 32'h3fb0e45d,32'h3fc38323, 32'h3fa794fa,32'h3fccd286,// invsqrt(0.4726) = 1.4547 +32'h3f70065c,32'h3f818c13,32'h3f86d5b6, 32'h3f7b29b3,32'h3f8accf0, 32'h3f6df19c,32'h3f9168fc,// invsqrt(0.9376) = 1.0327 +32'h3f6fbf3d,32'h3f819f49,32'h3f86e9b5, 32'h3f7b4ef1,32'h3f8ae185, 32'h3f6e14e5,32'h3f917e8c,// invsqrt(0.9365) = 1.0333 +32'h409ec555,32'h3ee142c5,32'h3eea7484, 32'h3eda5d76,32'h3ef159d4, 32'h3ecedf48,32'h3efcd802,// invsqrt(4.9616) = 0.4489 +32'h40f3e137,32'h3eb5c0f0,32'h3ebd2c14, 32'h3eb03095,32'h3ec2bc6f, 32'h3ea6eaa8,32'h3ecc025c,// invsqrt(7.6212) = 0.3622 +32'h403767c4,32'h3f14335c,32'h3f1a3fe8, 32'h3f0fa9f4,32'h3f1ec950, 32'h3f081a45,32'h3f2658ff,// invsqrt(2.8657) = 0.5907 +32'h4042618c,32'h3f0ff4ad,32'h3f15d4de, 32'h3f0b8c89,32'h3f1a3d03, 32'h3f04344c,32'h3f219540,// invsqrt(3.0372) = 0.5738 +32'h3ea5ab49,32'h3fdc856c,32'h3fe585a4, 32'h3fd5c541,32'h3fec45cf, 32'h3fca84fa,32'h3ff78616,// invsqrt(0.3236) = 1.7580 +32'h3f6c1d87,32'h3f829d82,32'h3f87f24e, 32'h3f7d3bd2,32'h3f8bf1e7, 32'h3f6fe7d5,32'h3f929be6,// invsqrt(0.9223) = 1.0413 +32'h3f1ca547,32'h3fa05c3e,32'h3fa6e7d8, 32'h3f9b738a,32'h3fabd08c, 32'h3f93450a,32'h3fb3ff0c,// invsqrt(0.6119) = 1.2784 +32'h3fcc28ea,32'h3f46a616,32'h3f4ec1c4, 32'h3f409154,32'h3f54d686, 32'h3f366ebc,32'h3f5ef91e,// invsqrt(1.5950) = 0.7918 +32'h3e2b8c88,32'h40193c86,32'h401f7db0, 32'h40148ba6,32'h40242e90, 32'h400cba32,32'h402c0004,// invsqrt(0.1675) = 2.4432 +32'h3eff2019,32'h3fb1b3e9,32'h3fb8f4b9, 32'h3fac434d,32'h3fbe6555, 32'h3fa33249,32'h3fc77659,// invsqrt(0.4983) = 1.4166 +32'h3fbd0612,32'h3f4e72f5,32'h3f56e023, 32'h3f482112,32'h3f5d3206, 32'h3f3d9898,32'h3f67ba80,// invsqrt(1.4767) = 0.8229 +32'h3f350ff8,32'h3f95280a,32'h3f9b3e93, 32'h3f909725,32'h3f9fcf79, 32'h3f88fafa,32'h3fa76ba4,// invsqrt(0.7073) = 1.1891 +32'h400c1625,32'h3f2992ce,32'h3f307ead, 32'h3f2461e8,32'h3f35af94, 32'h3f1bbb11,32'h3f3e566b,// invsqrt(2.1889) = 0.6759 +32'h4045bd8c,32'h3f0eba4b,32'h3f148da7, 32'h3f0a5bc6,32'h3f18ec2c, 32'h3f031393,32'h3f20345f,// invsqrt(3.0897) = 0.5689 +32'h3ef71566,32'h3fb4924a,32'h3fbbf114, 32'h3faf0b33,32'h3fc1782b, 32'h3fa5d4b7,32'h3fcaaea7,// invsqrt(0.4826) = 1.4395 +32'h3e1873d5,32'h40228cfa,32'h40292f77, 32'h401d931c,32'h402e2954, 32'h401547ff,32'h40367471,// invsqrt(0.1489) = 2.5917 +32'h403d3974,32'h3f11e772,32'h3f17dbfe, 32'h3f0d7008,32'h3f1c5368, 32'h3f05fe59,32'h3f23c517,// invsqrt(2.9566) = 0.5816 +32'h3f6f52a4,32'h3f81bcae,32'h3f87084d, 32'h3f7b87ef,32'h3f8b0104, 32'h3f6e4ae3,32'h3f919f8b,// invsqrt(0.9349) = 1.0343 +32'h3f31e370,32'h3f967b2e,32'h3f9c9f8e, 32'h3f91dfe6,32'h3fa13ad6, 32'h3f8a326e,32'h3fa8e84e,// invsqrt(0.6949) = 1.1996 +32'h3f0abaf0,32'h3faa667d,32'h3fb15b00, 32'h3fa52f1c,32'h3fb69262, 32'h3f9c7d79,32'h3fbf4405,// invsqrt(0.5419) = 1.3584 +32'h401501a4,32'h3f246b6a,32'h3f2b216e, 32'h3f1f62e7,32'h3f3029f1, 32'h3f16ff61,32'h3f388d77,// invsqrt(2.3282) = 0.6554 +32'h3f319723,32'h3f969b7f,32'h3f9cc130, 32'h3f91ff39,32'h3fa15d75, 32'h3f8a501b,32'h3fa90c93,// invsqrt(0.6937) = 1.2006 +32'h40026db4,32'h3f2fbd59,32'h3f36e9a5, 32'h3f2a5c1f,32'h3f3c4adf, 32'h3f2164bf,32'h3f45423f,// invsqrt(2.0379) = 0.7005 +32'h3d09d956,32'h40aaf1b4,32'h40b1ebe6, 32'h40a5b610,32'h40b7278a, 32'h409cfd52,32'h40bfe048,// invsqrt(0.0337) = 5.4510 +32'h3dff658b,32'h40319bbe,32'h4038db92, 32'h402c2be0,32'h403e4b70, 32'h40231c17,32'h40475b39,// invsqrt(0.1247) = 2.8318 +32'h3fb9ea31,32'h3f502b04,32'h3f58aa28, 32'h3f49cba8,32'h3f5f0984, 32'h3f3f2cba,32'h3f69a872,// invsqrt(1.4525) = 0.8298 +32'h407a79ff,32'h3efda18f,32'h3f03fddf, 32'h3ef5ddea,32'h3f07dfb1, 32'h3ee8ed2f,32'h3f0e580e,// invsqrt(3.9137) = 0.5055 +32'h3d9e9545,32'h406164e6,32'h406a9809, 32'h405a7e8b,32'h40717e63, 32'h404efe9e,32'h407cfe50,// invsqrt(0.0774) = 3.5937 +32'h3ec51b4b,32'h3fca2bf7,32'h3fd26c74, 32'h3fc3fb99,32'h3fd89cd1, 32'h3fb9aafc,32'h3fe2ed6e,// invsqrt(0.3850) = 1.6117 +32'h3f8dd4de,32'h3f6e5551,32'h3f780fa7, 32'h3f67098f,32'h3f7f5b69, 32'h3f5ae0a3,32'h3f85c22b,// invsqrt(1.1081) = 0.9500 +32'h3e962a60,32'h3fe7a010,32'h3ff11450, 32'h3fe088e1,32'h3ff82b7f, 32'h3fd4b792,32'h4001fe67,// invsqrt(0.2933) = 1.8465 +32'h42952969,32'h3de8673e,32'h3df1e39f, 32'h3de149f6,32'h3df900e8, 32'h3dd56e7e,32'h3e026e30,// invsqrt(74.5809) = 0.1158 +32'h3ef8d074,32'h3fb3f13e,32'h3fbb4974, 32'h3fae6f15,32'h3fc0cb9d, 32'h3fa540d0,32'h3fc9f9e2,// invsqrt(0.4860) = 1.4345 +32'h3ffa16f9,32'h3f337ba0,32'h3f3acf0a, 32'h3f2dfd11,32'h3f404d99, 32'h3f24d4cc,32'h3f4975de,// invsqrt(1.9538) = 0.7154 +32'h3f8af7f8,32'h3f70c694,32'h3f7a9a70, 32'h3f6967af,32'h3f80fcab, 32'h3f5d1edb,32'h3f872114,// invsqrt(1.0857) = 0.9597 +32'h3ed9c550,32'h3fc0573a,32'h3fc830fe, 32'h3fba73e7,32'h3fce1451, 32'h3fb0a3b3,32'h3fd7e485,// invsqrt(0.4253) = 1.5333 +32'h3fde6203,32'h3f3e55f4,32'h3f461ac4, 32'h3f388257,32'h3f4bee61, 32'h3f2ecc53,32'h3f55a465,// invsqrt(1.7374) = 0.7587 +32'h40d785a7,32'h3ec1576e,32'h3ec93ba7, 32'h3ebb6c44,32'h3ecf26d2, 32'h3eb18efd,32'h3ed90419,// invsqrt(6.7351) = 0.3853 +32'h3f9b317b,32'h3f63d7a8,32'h3f6d2460, 32'h3f5cde1d,32'h3f741deb, 32'h3f513e37,32'h3f7fbdd1,// invsqrt(1.2124) = 0.9082 +32'h3ee4c8c2,32'h3fbba772,32'h3fc3503d, 32'h3fb5e8d9,32'h3fc90ed5, 32'h3fac55db,32'h3fd2a1d3,// invsqrt(0.4468) = 1.4960 +32'h41acd85c,32'h3e57e519,32'h3e60b4fb, 32'h3e514930,32'h3e6750e4, 32'h3e464556,32'h3e7254be,// invsqrt(21.6056) = 0.2151 +32'h3f4964a3,32'h3f8d6d6e,32'h3f933333, 32'h3f891919,32'h3f978787, 32'h3f81e1e2,32'h3f9ebebe,// invsqrt(0.7867) = 1.1275 +32'h3f8ac333,32'h3f70f458,32'h3f7aca12, 32'h3f69940c,32'h3f81152f, 32'h3f5d48e3,32'h3f873ac4,// invsqrt(1.0841) = 0.9604 +32'h3f85c678,32'h3f7567a3,32'h3f7f6bde, 32'h3f6de477,32'h3f837785, 32'h3f615f2e,32'h3f89ba2a,// invsqrt(1.0451) = 0.9782 +32'h404db7fd,32'h3f0beec4,32'h3f11a4eb, 32'h3f07a626,32'h3f15ed88, 32'h3f008275,32'h3f1d1139,// invsqrt(3.2144) = 0.5578 +32'h401b039b,32'h3f2133b7,32'h3f27c81d, 32'h3f1c446b,32'h3f2cb769, 32'h3f140aec,32'h3f34f0e8,// invsqrt(2.4221) = 0.6425 +32'h3e6c079b,32'h4002a392,32'h4007f89e, 32'h3ffd4794,32'h400bf866, 32'h3feff2f8,32'h4012a2b4,// invsqrt(0.2305) = 2.0829 +32'h3f3a865e,32'h3f92f4c4,32'h3f98f44e, 32'h3f8e751c,32'h3f9d73f6, 32'h3f86f5ae,32'h3fa4f364,// invsqrt(0.7286) = 1.1715 +32'h408a1f95,32'h3ef182e4,32'h3efb5e70, 32'h3eea1e3b,32'h3f01618c, 32'h3eddcbcc,32'h3f078ac4,// invsqrt(4.3164) = 0.4813 +32'h40f8751c,32'h3eb4124e,32'h3ebb6bdf, 32'h3eae8f23,32'h3ec0ef0b, 32'h3ea55f2e,32'h3eca1f00,// invsqrt(7.7643) = 0.3589 +32'h3d228c61,32'h409d6bf8,32'h40a3d8de, 32'h40989a4c,32'h40a8aa8a, 32'h4090922d,32'h40b0b2a9,// invsqrt(0.0397) = 5.0198 +32'h3fd520b9,32'h3f426cab,32'h3f4a5c35, 32'h3f3c7904,32'h3f504fdc, 32'h3f328d98,32'h3f5a3b48,// invsqrt(1.6651) = 0.7750 +32'h3f5d89d9,32'h3f86d80d,32'h3f8c5909, 32'h3f82b751,32'h3f9079c5, 32'h3f77ac2d,32'h3f975aff,// invsqrt(0.8654) = 1.0750 +32'h403b6e33,32'h3f1299c5,32'h3f189599, 32'h3f0e1ce6,32'h3f1d1278, 32'h3f06a21e,32'h3f248d40,// invsqrt(2.9286) = 0.5843 +32'h3e392789,32'h40137fbc,32'h401984f2, 32'h400efbd3,32'h401e08db, 32'h4007754e,32'h40258f60,// invsqrt(0.1808) = 2.3517 +32'h3f3b1167,32'h3f92be1d,32'h3f98bb6d, 32'h3f8e4022,32'h3f9d3968, 32'h3f86c37e,32'h3fa4b60c,// invsqrt(0.7307) = 1.1698 +32'h3f59c6d2,32'h3f8800eb,32'h3f8d8e05, 32'h3f83d718,32'h3f91b7d8, 32'h3f79cd72,32'h3f98a837,// invsqrt(0.8507) = 1.0842 +32'h40847ab1,32'h3ef69a2f,32'h3f005576, 32'h3eef0da0,32'h3f041bbd, 32'h3ee278b2,32'h3f0a6634,// invsqrt(4.1400) = 0.4915 +32'h3dc22d23,32'h404bb106,32'h40540164, 32'h404574bf,32'h405a3dab, 32'h403b1049,32'h4064a221,// invsqrt(0.0948) = 3.2476 +32'h3fba44a9,32'h3f4ff870,32'h3f587585, 32'h3f499aa2,32'h3f5ed354, 32'h3f3efe48,32'h3f696fae,// invsqrt(1.4552) = 0.8290 +32'h3eae67d5,32'h3fd6ed4b,32'h3fdfb30f, 32'h3fd058f8,32'h3fe64762, 32'h3fc561c2,32'h3ff13e98,// invsqrt(0.3406) = 1.7134 +32'h3e663471,32'h4004480a,32'h4009ae3e, 32'h40003b62,32'h400dbae6, 32'h3ff2f741,32'h40147aa8,// invsqrt(0.2248) = 2.1091 +32'h3fe17ba3,32'h3f3d05d5,32'h3f44bcee, 32'h3f373c84,32'h3f4a8640, 32'h3f2d97a5,32'h3f542b1f,// invsqrt(1.7616) = 0.7534 +32'h3f469e85,32'h3f8e695f,32'h3f94396d, 32'h3f8a0d54,32'h3f989578, 32'h3f82c942,32'h3f9fd98a,// invsqrt(0.7759) = 1.1353 +32'h400b9bdc,32'h3f29dd02,32'h3f30cbe8, 32'h3f24a9d6,32'h3f35ff14, 32'h3f1bff36,32'h3f3ea9b4,// invsqrt(2.1814) = 0.6771 +32'h3f66c68d,32'h3f841e23,32'h3f8982a2, 32'h3f8012c4,32'h3f8d8e02, 32'h3f72aa4c,32'h3f944ba0,// invsqrt(0.9015) = 1.0532 +32'h42b68857,32'h3dd2167a,32'h3ddaa9ae, 32'h3dcba813,32'h3de11815, 32'h3dc0f012,32'h3debd016,// invsqrt(91.2663) = 0.1047 +32'h414bbd6f,32'h3e8c9c4d,32'h3e925989, 32'h3e884e5f,32'h3e96a777, 32'h3e8121d4,32'h3e9dd402,// invsqrt(12.7337) = 0.2802 +32'h3f8baf76,32'h3f70283b,32'h3f79f5a1, 32'h3f68ce2f,32'h3f80a7d7, 32'h3f5c8d70,32'h3f86c836,// invsqrt(1.0913) = 0.9573 +32'h3d976d4d,32'h4066a892,32'h407012b8, 32'h405f98f6,32'h40772254, 32'h4053d448,32'h40817381,// invsqrt(0.0739) = 3.6776 +32'h3f81b44d,32'h3f7939ee,32'h3f81b30b, 32'h3f7198cf,32'h3f85839b, 32'h3f64e19b,32'h3f8bdf34,// invsqrt(1.0133) = 0.9934 +32'h3f0d0c13,32'h3fa8feb8,32'h3fafe48c, 32'h3fa3d25a,32'h3fb510ea, 32'h3f9b3312,32'h3fbdb032,// invsqrt(0.5510) = 1.3472 +32'h3f23dbc9,32'h3f9cca88,32'h3fa330d6, 32'h3f97fdcd,32'h3fa7fd91, 32'h3f8ffdea,32'h3faffd74,// invsqrt(0.6401) = 1.2499 +32'h3e8b7c69,32'h3ff0542a,32'h3ffa235a, 32'h3fe8f8c5,32'h4000bf5f, 32'h3fdcb5c8,32'h4006e0de,// invsqrt(0.2724) = 1.9159 +32'h3e6c3c57,32'h400294fd,32'h4007e96f, 32'h3ffd2b4d,32'h400be8c6, 32'h3fefd82e,32'h40129255,// invsqrt(0.2307) = 2.0820 +32'h3e8e0539,32'h3fee2cba,32'h3ff7e569, 32'h3fe6e238,32'h3fff2fec, 32'h3fdabb5d,32'h4005ab64,// invsqrt(0.2774) = 1.8987 +32'h3fa2794e,32'h3f5eadd4,32'h3f67c498, 32'h3f57dcc0,32'h3f6e95ac, 32'h3f4c804a,32'h3f79f222,// invsqrt(1.2693) = 0.8876 +32'h3f4cd33c,32'h3f8c3cd2,32'h3f91f628, 32'h3f87f1d0,32'h3f96412a, 32'h3f80ca24,32'h3f9d68d6,// invsqrt(0.8001) = 1.1180 +32'h3db0c453,32'h40557c90,32'h405e3348, 32'h404ef387,32'h4064bc51, 32'h40440f21,32'h406fa0b7,// invsqrt(0.0863) = 3.4038 +32'h3e9c7051,32'h3fe2ef03,32'h3fec323d, 32'h3fdbfc98,32'h3ff324a8, 32'h3fd06890,32'h3ffeb8b0,// invsqrt(0.3055) = 1.8091 +32'h3f2fb62c,32'h3f976912,32'h3f9d9728, 32'h3f92c682,32'h3fa239b8, 32'h3f8b0ce7,32'h3fa9f353,// invsqrt(0.6864) = 1.2070 +32'h3f0f8fe0,32'h3fa7821e,32'h3fae5868, 32'h3fa26166,32'h3fb37920, 32'h3f99d589,32'h3fbc04fd,// invsqrt(0.5608) = 1.3354 +32'h3ec5fc57,32'h3fc9b8ef,32'h3fd1f4ba, 32'h3fc38c16,32'h3fd82192, 32'h3fb94158,32'h3fe26c50,// invsqrt(0.3867) = 1.6081 +32'h3f5a2772,32'h3f87e2ca,32'h3f8d6ea8, 32'h3f83b9e3,32'h3f91978f, 32'h3f79961a,32'h3f988665,// invsqrt(0.8522) = 1.0833 +32'h4270a37f,32'h3e0161c0,32'h3e06a9a9, 32'h3dfad7a5,32'h3e0a9f98, 32'h3deda3df,32'h3e11397a,// invsqrt(60.1597) = 0.1289 +32'h3bb75507,32'h4151a112,32'h415a2f7b, 32'h414b3642,32'h41609a4a, 32'h4140843f,32'h416b4c4d,// invsqrt(0.0056) = 13.3692 +32'h3fb6de4e,32'h3f51e513,32'h3f5a7643, 32'h3f4b782f,32'h3f60e327, 32'h3f40c2b4,32'h3f6b98a3,// invsqrt(1.4287) = 0.8366 +32'h3f51db47,32'h3f8a8bd7,32'h3f903381, 32'h3f864e17,32'h3f947141, 32'h3f7e7902,32'h3f9b82d7,// invsqrt(0.8198) = 1.1045 +32'h3fec7158,32'h3f389706,32'h3f401fcd, 32'h3f32f072,32'h3f45c662, 32'h3f298579,32'h3f4f315b,// invsqrt(1.8472) = 0.7358 +32'h3f90e06d,32'h3f6bd0b1,32'h3f7570b9, 32'h3f6498ac,32'h3f7ca8be, 32'h3f5890a3,32'h3f845864,// invsqrt(1.1318) = 0.9400 +32'h4082581b,32'h3ef89d22,32'h3f016172, 32'h3ef100d0,32'h3f052f9b, 32'h3ee4519c,32'h3f0b8735,// invsqrt(4.0733) = 0.4955 +32'h3eb43357,32'h3fd3715e,32'h3fdc12ba, 32'h3fccf858,32'h3fe28bc0, 32'h3fc22ea4,32'h3fed5574,// invsqrt(0.3520) = 1.6856 +32'h3da781bf,32'h405b4ee3,32'h4064426f, 32'h4054983a,32'h406af918, 32'h404967cb,32'h40762987,// invsqrt(0.0818) = 3.4966 +32'h3f1c23e4,32'h3fa09ea1,32'h3fa72cf1, 32'h3f9bb3e5,32'h3fac17ad, 32'h3f938202,32'h3fb44990,// invsqrt(0.6099) = 1.2804 +32'h4030ca06,32'h3f16f2c4,32'h3f1d1c05, 32'h3f1253d2,32'h3f21baf6, 32'h3f0aa040,32'h3f296e88,// invsqrt(2.7623) = 0.6017 +32'h40cda809,32'h3ec5ecb7,32'h3ece00d3, 32'h3ebfdda2,32'h3ed40fe8, 32'h3eb5c47e,32'h3ede290c,// invsqrt(6.4268) = 0.3945 +32'h401fd1b3,32'h3f1ec29c,32'h3f253d7e, 32'h3f19e673,32'h3f2a19a7, 32'h3f11ccd8,32'h3f323342,// invsqrt(2.4972) = 0.6328 +32'h4015e7d8,32'h3f23ecfb,32'h3f2a9dd6, 32'h3f1ee856,32'h3f2fa27a, 32'h3f168b44,32'h3f37ff8c,// invsqrt(2.3423) = 0.6534 +32'h3dd243d8,32'h4043be5b,32'h404bbbad, 32'h403dc05d,32'h4051b9ab, 32'h4033c3b7,32'h405bb651,// invsqrt(0.1027) = 3.1209 +32'h40445161,32'h3f0f3e6f,32'h3f151730, 32'h3f0adbdf,32'h3f1979c1, 32'h3f038cee,32'h3f20c8b2,// invsqrt(3.0675) = 0.5710 +32'h400ea883,32'h3f2809bc,32'h3f2ee590, 32'h3f22e4de,32'h3f340a6e, 32'h3f1a5215,32'h3f3c9d37,// invsqrt(2.2290) = 0.6698 +32'h4351fd28,32'h3d8a80a9,32'h3d9027df, 32'h3d864341,32'h3d946547, 32'h3d7e647a,32'h3d9b764b,// invsqrt(209.9889) = 0.0690 +32'h3f1f70d7,32'h3f9ef2ce,32'h3fa56fa8, 32'h3f9a152b,32'h3faa4d4b, 32'h3f91f91c,32'h3fb2695b,// invsqrt(0.6228) = 1.2671 +32'h405821b6,32'h3f08852a,32'h3f0e17a9, 32'h3f04574a,32'h3f124588, 32'h3efac057,32'h3f193ca7,// invsqrt(3.3771) = 0.5442 +32'h3f7bb81b,32'h3f7d0119,32'h3f83aa5e, 32'h3f75425e,32'h3f8789bb, 32'h3f6859d3,32'h3f8dfe01,// invsqrt(0.9833) = 1.0085 +32'h40b8ebd8,32'h3ed0b9fc,32'h3ed93ef6, 32'h3eca5640,32'h3edfa2b2, 32'h3ebfb006,32'h3eea48ec,// invsqrt(5.7788) = 0.4160 +32'h3ec593e8,32'h3fc9ee38,32'h3fd22c30, 32'h3fc3bfbe,32'h3fd85aaa, 32'h3fb97248,32'h3fe2a820,// invsqrt(0.3859) = 1.6098 +32'h3dc89508,32'h4048699c,32'h405097b8, 32'h40424708,32'h4056ba4c, 32'h40380d66,32'h4060f3ee,// invsqrt(0.0979) = 3.1954 +32'h3f064391,32'h3fad361b,32'h3fb447fd, 32'h3fa7e8b2,32'h3fb99566, 32'h3f9f1258,32'h3fc26bc0,// invsqrt(0.5245) = 1.3808 +32'h4000e7aa,32'h3f30c671,32'h3f37fd90, 32'h3f2b5d1b,32'h3f3d66e7, 32'h3f225834,32'h3f466bce,// invsqrt(2.0141) = 0.7046 +32'h4047ac8e,32'h3f0e08f3,32'h3f13d511, 32'h3f09afdc,32'h3f182e28, 32'h3f0270b5,32'h3f1f6d4f,// invsqrt(3.1199) = 0.5661 +32'h3ef1594a,32'h3fb6b445,32'h3fbe2957, 32'h3fb11c77,32'h3fc3c125, 32'h3fa7ca20,32'h3fcd137c,// invsqrt(0.4714) = 1.4565 +32'h3fea7e6a,32'h3f395afe,32'h3f40ebc4, 32'h3f33ae69,32'h3f469859, 32'h3f2a3971,32'h3f500d51,// invsqrt(1.8320) = 0.7388 +32'h3ff92deb,32'h3f33cf7b,32'h3f3b2651, 32'h3f2e4e5a,32'h3f40a772, 32'h3f2521cf,32'h3f49d3fd,// invsqrt(1.9467) = 0.7167 +32'h3f7f4ad7,32'h3f7b3a3c,32'h3f82bda7, 32'h3f73896d,32'h3f86960d, 32'h3f66b817,32'h3f8cfeb9,// invsqrt(0.9972) = 1.0014 +32'h3fcf8e28,32'h3f450466,32'h3f4d0f07, 32'h3f3efc6e,32'h3f531700, 32'h3f34ef25,32'h3f5d2449,// invsqrt(1.6215) = 0.7853 +32'h3f938235,32'h3f69b3b3,32'h3f733da5, 32'h3f628c3d,32'h3f7a651b, 32'h3f569fce,32'h3f8328c5,// invsqrt(1.1524) = 0.9315 +32'h3f83c98c,32'h3f773fb4,32'h3f80ab9a, 32'h3f6fae15,32'h3f84746a, 32'h3f6310b5,32'h3f8ac319,// invsqrt(1.0296) = 0.9855 +32'h3f99c92d,32'h3f64e1f4,32'h3f6e398c, 32'h3f5de043,32'h3f753b3d, 32'h3f5232c6,32'h3f80745d,// invsqrt(1.2015) = 0.9123 +32'h40cb9214,32'h3ec6efa1,32'h3ecf0e4e, 32'h3ec0d89e,32'h3ed52550, 32'h3eb6b245,32'h3edf4ba9,// invsqrt(6.3616) = 0.3965 +32'h3f79f21a,32'h3f7de679,32'h3f8421bc, 32'h3f7620b8,32'h3f88049c, 32'h3f692c79,32'h3f8e7ebc,// invsqrt(0.9764) = 1.0120 +32'h3db131d2,32'h40553a90,32'h405dee96, 32'h404eb38c,32'h4064759a, 32'h4043d284,32'h406f56a2,// invsqrt(0.0865) = 3.3997 +32'h3f869b26,32'h3f74a577,32'h3f7ea1c5, 32'h3f6d283c,32'h3f830f80, 32'h3f60acdb,32'h3f894d30,// invsqrt(1.0516) = 0.9752 +32'h3d6fa540,32'h4081a650,32'h4086f105, 32'h407b5c92,32'h408ae90d, 32'h406e21cd,32'h4091866f,// invsqrt(0.0585) = 4.1342 +32'h3ef0c53e,32'h3fb6ec68,32'h3fbe63c5, 32'h3fb152e2,32'h3fc3fd4a, 32'h3fa7fdad,32'h3fcd527f,// invsqrt(0.4703) = 1.4583 +32'h3f9eb210,32'h3f615072,32'h3f6a82c0, 32'h3f5a6ab8,32'h3f71687a, 32'h3f4eebd6,32'h3f7ce75c,// invsqrt(1.2398) = 0.8981 +32'h3fe219a4,32'h3f3cc3be,32'h3f447824, 32'h3f36fc72,32'h3f4a3f70, 32'h3f2d5af2,32'h3f53e0f0,// invsqrt(1.7664) = 0.7524 +32'h413044bd,32'h3e972bcb,32'h3e9d5760, 32'h3e928b1a,32'h3ea1f810, 32'h3e8ad4a0,32'h3ea9ae8a,// invsqrt(11.0168) = 0.3013 +32'h3fade426,32'h3f573e9d,32'h3f6007b3, 32'h3f50a7cc,32'h3f669e84, 32'h3f45ac71,32'h3f7199df,// invsqrt(1.3585) = 0.8580 +32'h4009058b,32'h3f2b759f,32'h3f327532, 32'h3f2635f0,32'h3f37b4e0, 32'h3f1d7677,32'h3f407459,// invsqrt(2.1410) = 0.6834 +32'h3ed0fcbe,32'h3fc4574f,32'h3fcc5adf, 32'h3fbe54a3,32'h3fd25d8b, 32'h3fb4502e,32'h3fdc6200,// invsqrt(0.4082) = 1.5652 +32'h3fcefde0,32'h3f454904,32'h3f4d5672, 32'h3f3f3ef2,32'h3f536084, 32'h3f352e28,32'h3f5d714e,// invsqrt(1.6171) = 0.7864 +32'h4084c0c2,32'h3ef65912,32'h3f003394, 32'h3eeece82,32'h3f03f8dc, 32'h3ee23ce7,32'h3f0a41aa,// invsqrt(4.1485) = 0.4910 +32'h3f63b3de,32'h3f850199,32'h3f8a6f61, 32'h3f80ef44,32'h3f8e81b6, 32'h3f744c14,32'h3f954af0,// invsqrt(0.8895) = 1.0603 +32'h3f16d672,32'h3fa36b20,32'h3faa16ae, 32'h3f9e6a75,32'h3faf1759, 32'h3f961403,32'h3fb76dcb,// invsqrt(0.5892) = 1.3028 +32'h40294e7e,32'h3f1a3f72,32'h3f208b2e, 32'h3f1586a6,32'h3f2543fa, 32'h3f0da7fb,32'h3f2d22a5,// invsqrt(2.6454) = 0.6148 +32'h3f098fdc,32'h3fab1f56,32'h3fb21b64, 32'h3fa5e24c,32'h3fb7586e, 32'h3f9d273a,32'h3fc01380,// invsqrt(0.5374) = 1.3642 +32'h3f91bb6f,32'h3f6b1f3d,32'h3f74b807, 32'h3f63eca7,32'h3f7bea9d, 32'h3f57edab,32'h3f83f4cc,// invsqrt(1.1385) = 0.9372 +32'h3f99bc70,32'h3f64eb70,32'h3f6e436a, 32'h3f5de974,32'h3f754566, 32'h3f523b7c,32'h3f8079af,// invsqrt(1.2011) = 0.9125 +32'h3f6aa1eb,32'h3f830700,32'h3f88601a, 32'h3f7e0859,32'h3f8c62ee, 32'h3f70a997,32'h3f93124e,// invsqrt(0.9165) = 1.0445 +32'h3f0a21bf,32'h3faac4e0,32'h3fb1bd3d, 32'h3fa58a9b,32'h3fb6f783, 32'h3f9cd427,32'h3fbfadf7,// invsqrt(0.5396) = 1.3614 +32'h3d892f9b,32'h407255c4,32'h407c39ec, 32'h406aeaa7,32'h4081d285, 32'h405e8d76,32'h4088011d,// invsqrt(0.0670) = 3.8638 +32'h3e873a7f,32'h3ff41529,32'h3ffe0b93, 32'h3fec9c59,32'h4002c231, 32'h3fe02855,32'h4008fc34,// invsqrt(0.2641) = 1.9458 +32'h3f8202dc,32'h3f78ee96,32'h3f818bd6, 32'h3f714fc6,32'h3f855b3e, 32'h3f649c6a,32'h3f8bb4ec,// invsqrt(1.0157) = 0.9922 +32'h3f3a346f,32'h3f931515,32'h3f9915f1, 32'h3f8e9470,32'h3f9d9696, 32'h3f87135c,32'h3fa517aa,// invsqrt(0.7274) = 1.1725 +32'h3eb4f6ed,32'h3fd2fefb,32'h3fdb9bad, 32'h3fcc8976,32'h3fe21132, 32'h3fc1c598,32'h3fecd510,// invsqrt(0.3534) = 1.6820 +32'h3fe2143d,32'h3f3cc5ff,32'h3f447a7d, 32'h3f36fea1,32'h3f4a41db, 32'h3f2d5d05,32'h3f53e377,// invsqrt(1.7662) = 0.7524 +32'h3f63c850,32'h3f84fba1,32'h3f8a692b, 32'h3f80e97a,32'h3f8e7b52, 32'h3f74411e,32'h3f95443d,// invsqrt(0.8898) = 1.0601 +32'h3ca902ff,32'h40da5461,32'h40e33db3, 32'h40d3a563,32'h40e9ecb1, 32'h40c881bc,32'h40f51058,// invsqrt(0.0206) = 6.9620 +32'h3ffa8b02,32'h3f33520b,32'h3f3aa3c3, 32'h3f2dd4c2,32'h3f40210c, 32'h3f24ae9d,32'h3f494731,// invsqrt(1.9574) = 0.7148 +32'h4019da9e,32'h3f21cf02,32'h3f2869be, 32'h3f1cdaf5,32'h3f2d5dcb, 32'h3f14998a,32'h3f359f36,// invsqrt(2.4040) = 0.6450 +32'h3f48652e,32'h3f8dc776,32'h3f9390e8, 32'h3f897060,32'h3f97e7fe, 32'h3f823491,32'h3f9f23cd,// invsqrt(0.7828) = 1.1303 +32'h4006e021,32'h3f2cd176,32'h3f33df3c, 32'h3f278721,32'h3f392991, 32'h3f1eb5ea,32'h3f41fac8,// invsqrt(2.1074) = 0.6888 +32'h3f5cae0f,32'h3f871b23,32'h3f8c9edb, 32'h3f82f859,32'h3f90c1a5, 32'h3f782765,32'h3f97a64c,// invsqrt(0.8620) = 1.0771 +32'h4002ce24,32'h3f2f7c85,32'h3f36a62c, 32'h3f2a1d47,32'h3f3c0569, 32'h3f212936,32'h3f44f97a,// invsqrt(2.0438) = 0.6995 +32'h3f8ee51a,32'h3f6d71dd,32'h3f7722eb, 32'h3f662d12,32'h3f7e67b6, 32'h3f5a0fc0,32'h3f854284,// invsqrt(1.1164) = 0.9464 +32'h3edbf59e,32'h3fbf61a3,32'h3fc73161, 32'h3fb985d5,32'h3fcd0d2f, 32'h3fafc228,32'h3fd6d0dc,// invsqrt(0.4296) = 1.5257 +32'h3f99a387,32'h3f64fdfe,32'h3f6e56ba, 32'h3f5dfb71,32'h3f755947, 32'h3f524c86,32'h3f808419,// invsqrt(1.2003) = 0.9128 +32'h3f376b49,32'h3f9431f0,32'h3f9a3e6d, 32'h3f8fa893,32'h3f9ec7cb, 32'h3f8818f7,32'h3fa65767,// invsqrt(0.7165) = 1.1814 +32'h40038fe9,32'h3f2efb1a,32'h3f361f79, 32'h3f299fd2,32'h3f3b7ac0, 32'h3f20b25b,32'h3f446837,// invsqrt(2.0557) = 0.6975 +32'h3e790119,32'h3ffe613a,32'h4004619f, 32'h3ff697b9,32'h40084660, 32'h3fe99d36,32'h400ec3a1,// invsqrt(0.2432) = 2.0279 +32'h3f98ab00,32'h3f65b815,32'h3f6f186a, 32'h3f5eafd6,32'h3f7620aa, 32'h3f52f76d,32'h3f80ec8a,// invsqrt(1.1927) = 0.9157 +32'h3ff87712,32'h3f341199,32'h3f3b6b21, 32'h3f2e8e72,32'h3f40ee48, 32'h3f255e87,32'h3f4a1e33,// invsqrt(1.9411) = 0.7177 +32'h408fbaa7,32'h3eecc136,32'h3ef66b0e, 32'h3ee581d4,32'h3efdaa70, 32'h3ed96d85,32'h3f04df60,// invsqrt(4.4915) = 0.4718 +32'h3f3c1cd7,32'h3f9255a8,32'h3f984eb4, 32'h3f8ddadf,32'h3f9cc97d, 32'h3f866390,32'h3fa440cc,// invsqrt(0.7348) = 1.1666 +32'h410a5407,32'h3eaaa5d4,32'h3eb19cec, 32'h3ea56c82,32'h3eb6d63e, 32'h3e9cb7a3,32'h3ebf8b1d,// invsqrt(8.6455) = 0.3401 +32'h3f2ba9b7,32'h3f992f7f,32'h3f9f7021, 32'h3f947f06,32'h3fa4209a, 32'h3f8cae3b,32'h3fabf165,// invsqrt(0.6706) = 1.2212 +32'h3cd75930,32'h40c16b63,32'h40c9506d, 32'h40bb7f9c,32'h40cf3c34, 32'h40b1a151,32'h40d91a7f,// invsqrt(0.0263) = 6.1677 +32'h3e5e4e7e,32'h40069c5c,32'h400c1ae8, 32'h40027d74,32'h401039d0, 32'h3ff73e8a,32'h401717ff,// invsqrt(0.2171) = 2.1462 +32'h3f80ff11,32'h3f79e8c4,32'h3f820e08, 32'h3f72424b,32'h3f85e144, 32'h3f65822c,32'h3f8c4154,// invsqrt(1.0078) = 0.9961 +32'h3da86ec1,32'h405ab460,32'h4063a19e, 32'h40540272,32'h406a538c, 32'h4048d9e6,32'h40757c19,// invsqrt(0.0822) = 3.4870 +32'h40b15a0c,32'h3ed52260,32'h3eddd569, 32'h3ece9c19,32'h3ee45baf, 32'h3ec3bc4d,32'h3eef3b7b,// invsqrt(5.5422) = 0.4248 +32'h3f80ba7f,32'h3f7a2b4a,32'h3f8230a7, 32'h3f7282c8,32'h3f8604e8, 32'h3f65bf44,32'h3f8c66aa,// invsqrt(1.0057) = 0.9972 +32'h3feeaf4b,32'h3f37b891,32'h3f3f3843, 32'h3f3218cb,32'h3f44d809, 32'h3f28b92c,32'h3f4e37a8,// invsqrt(1.8647) = 0.7323 +32'h40678dfc,32'h3f03e532,32'h3f09475e, 32'h3effb722,32'h3f0d50ff, 32'h3ef241b5,32'h3f140bb6,// invsqrt(3.6180) = 0.5257 +32'h3e1a3737,32'h40219e67,32'h40283727, 32'h401cabd7,32'h402d29b7, 32'h40146ce6,32'h403568a8,// invsqrt(0.1506) = 2.5768 +32'h40c57777,32'h3ec9fcc2,32'h3ed23b52, 32'h3ec3cdd6,32'h3ed86a3e, 32'h3eb97fa3,32'h3ee2b871,// invsqrt(6.1708) = 0.4026 +32'h42302056,32'h3e173b69,32'h3e1d67a1, 32'h3e129a3e,32'h3e2208cc, 32'h3e0ae2f8,32'h3e29c012,// invsqrt(44.0316) = 0.1507 +32'h3f102658,32'h3fa72a9a,32'h3fadfd52, 32'h3fa20c90,32'h3fb31b5c, 32'h3f99852a,32'h3fbba2c2,// invsqrt(0.5631) = 1.3326 +32'h3ebd8668,32'h3fce2d03,32'h3fd69757, 32'h3fc7dd44,32'h3fdce716, 32'h3fbd585c,32'h3fe76bfe,// invsqrt(0.3702) = 1.6436 +32'h3f6aa134,32'h3f830733,32'h3f88604f, 32'h3f7e08bc,32'h3f8c6324, 32'h3f70a9f5,32'h3f931288,// invsqrt(0.9165) = 1.0445 +32'h407473f6,32'h3f005e54,32'h3f059ba6, 32'h3ef8e0ae,32'h3f0989a3, 32'h3eebc761,32'h3f10164a,// invsqrt(3.8196) = 0.5117 +32'h3edeb21d,32'h3fbe33b6,32'h3fc5f720, 32'h3fb86126,32'h3fcbc9b0, 32'h3faeace0,32'h3fd57df6,// invsqrt(0.4350) = 1.5163 +32'h40211b6a,32'h3f1e1fd4,32'h3f249411, 32'h3f1948a7,32'h3f296b3f, 32'h3f11375b,32'h3f317c8b,// invsqrt(2.5173) = 0.6303 +32'h3f5281a7,32'h3f8a550c,32'h3f8ffa7a, 32'h3f8618f9,32'h3f94368d, 32'h3f7e145f,32'h3f9b4556,// invsqrt(0.8223) = 1.1028 +32'h41041eb0,32'h3eae9c74,32'h3eb5bcf6, 32'h3ea94412,32'h3ebb1558, 32'h3ea05b70,32'h3ec3fdfa,// invsqrt(8.2575) = 0.3480 +32'h3f9e8f24,32'h3f616941,32'h3f6a9c92, 32'h3f5a82c4,32'h3f71830e, 32'h3f4f029e,32'h3f7d0334,// invsqrt(1.2387) = 0.8985 +32'h3ecfd1f6,32'h3fc4e440,32'h3fcced90, 32'h3fbedd43,32'h3fd2f48d, 32'h3fb4d19e,32'h3fdd0032,// invsqrt(0.4059) = 1.5696 +32'h3fb783bc,32'h3f518663,32'h3f5a13b5, 32'h3f4b1c65,32'h3f607db3, 32'h3f406bbe,32'h3f6b2e5a,// invsqrt(1.4337) = 0.8352 +32'h3ee0abe8,32'h3fbd5d24,32'h3fc517cc, 32'h3fb79125,32'h3fcae3cb, 32'h3fade7d2,32'h3fd48d1e,// invsqrt(0.4388) = 1.5096 +32'h4037cee4,32'h3f1409c4,32'h3f1a149c, 32'h3f0f81a1,32'h3f1e9cbf, 32'h3f07f412,32'h3f262a4e,// invsqrt(2.8720) = 0.5901 +32'h3ff59184,32'h3f3520ae,32'h3f3c8548, 32'h3f2f953b,32'h3f4210bb, 32'h3f26577c,32'h3f4b4e7b,// invsqrt(1.9185) = 0.7220 +32'h3fcfb0b2,32'h3f44f404,32'h3f4cfdfa, 32'h3f3eec8c,32'h3f530572, 32'h3f34e019,32'h3f5d11e5,// invsqrt(1.6226) = 0.7850 +32'h3f24e2ff,32'h3f9c4d31,32'h3fa2ae62, 32'h3f97844c,32'h3fa77746, 32'h3f8f8ace,32'h3faf70c4,// invsqrt(0.6441) = 1.2460 +32'h3f82850d,32'h3f787250,32'h3f814b2a, 32'h3f70d74e,32'h3f8518ab, 32'h3f642a4a,32'h3f8b6f2d,// invsqrt(1.0197) = 0.9903 +32'h3fd082dc,32'h3f4490a9,32'h3f4c9691, 32'h3f3e8c3c,32'h3f529afe, 32'h3f3484da,32'h3f5ca260,// invsqrt(1.6290) = 0.7835 +32'h400189bb,32'h3f3057b9,32'h3f378a53, 32'h3f2af1c6,32'h3f3cf046, 32'h3f21f285,32'h3f45ef87,// invsqrt(2.0240) = 0.7029 +32'h3f1eda8f,32'h3f9f3dec,32'h3fa5bdd6, 32'h3f9a5dfc,32'h3faa9dc6, 32'h3f923e17,32'h3fb2bdab,// invsqrt(0.6205) = 1.2695 +32'h400e268a,32'h3f28567d,32'h3f2f3573, 32'h3f232f45,32'h3f345cab, 32'h3f1a9892,32'h3f3cf35e,// invsqrt(2.2211) = 0.6710 +32'h3f41d084,32'h3f902a80,32'h3f960ce3, 32'h3f8bc0b5,32'h3f9a76ad, 32'h3f8465b9,32'h3fa1d1a9,// invsqrt(0.7571) = 1.1493 +32'h3f81d980,32'h3f791638,32'h3f81a076, 32'h3f717631,32'h3f857079, 32'h3f64c0d0,32'h3f8bcb2a,// invsqrt(1.0145) = 0.9929 +32'h4114f310,32'h3ea47376,32'h3eab29ce, 32'h3e9f6ab4,32'h3eb03290, 32'h3e9706c5,32'h3eb8967f,// invsqrt(9.3093) = 0.3277 +32'h3f6fde96,32'h3f8196d0,32'h3f86e0e3, 32'h3f7b3e85,32'h3f8ad872, 32'h3f6e0555,32'h3f917509,// invsqrt(0.9370) = 1.0331 +32'h3fc11c44,32'h3f4c40bc,32'h3f5496f8, 32'h3f46000f,32'h3f5ad7a5, 32'h3f3b9444,32'h3f654370,// invsqrt(1.5087) = 0.8141 +32'h3fb99493,32'h3f505b03,32'h3f58dc1d, 32'h3f49fa2f,32'h3f5f3cf1, 32'h3f3f58ce,32'h3f69de52,// invsqrt(1.4498) = 0.8305 +32'h3fd112c7,32'h3f444cf6,32'h3f4c501a, 32'h3f3e4a9b,32'h3f525275, 32'h3f3446ae,32'h3f5c5662,// invsqrt(1.6334) = 0.7824 +32'h3dd00209,32'h4044cd7e,32'h404cd5e2, 32'h403ec734,32'h4052dc2c, 32'h4034bcb8,32'h405ce6a8,// invsqrt(0.1016) = 3.1378 +32'h3fca4215,32'h3f479499,32'h3f4fba03, 32'h3f41788a,32'h3f55d612, 32'h3f3749c6,32'h3f6004d6,// invsqrt(1.5801) = 0.7955 +32'h40000dc5,32'h3f315c98,32'h3f3899d7, 32'h3f2beea7,32'h3f3e07c7, 32'h3f22e218,32'h3f471456,// invsqrt(2.0008) = 0.7070 +32'h40084f5d,32'h3f2be80d,32'h3f32ec4c, 32'h3f26a4dd,32'h3f382f7b, 32'h3f1ddf8e,32'h3f40f4ca,// invsqrt(2.1298) = 0.6852 +32'h401621dc,32'h3f23cd4b,32'h3f2a7cdb, 32'h3f1ec99f,32'h3f2f8087, 32'h3f166e2b,32'h3f37dbfb,// invsqrt(2.3458) = 0.6529 +32'h3ef111c2,32'h3fb6cf5e,32'h3fbe458c, 32'h3fb136bc,32'h3fc3de2e, 32'h3fa7e303,32'h3fcd31e7,// invsqrt(0.4708) = 1.4574 +32'h3fe2b522,32'h3f3c82f7,32'h3f4434b7, 32'h3f36bda6,32'h3f49fa08, 32'h3f2d1f75,32'h3f539839,// invsqrt(1.7712) = 0.7514 +32'h3f92484f,32'h3f6aadeb,32'h3f744213, 32'h3f637ecc,32'h3f7b7132, 32'h3f578599,32'h3f83b533,// invsqrt(1.1428) = 0.9354 +32'h3f3e3b57,32'h3f91846a,32'h3f9774ec, 32'h3f8d1009,32'h3f9be94d, 32'h3f85a367,32'h3fa355ef,// invsqrt(0.7431) = 1.1601 +32'h415d0d46,32'h3e86fe06,32'h3e8c808f, 32'h3e82dc21,32'h3e90a275, 32'h3e77f1ed,32'h3e97859f,// invsqrt(13.8157) = 0.2690 +32'h3f13710e,32'h3fa54a2f,32'h3fac094b, 32'h3fa03ada,32'h3fb118a0, 32'h3f97cbf7,32'h3fb98783,// invsqrt(0.5759) = 1.3177 +32'h3fc51c8f,32'h3f4a2b50,32'h3f526bc6, 32'h3f43faf7,32'h3f589c1f, 32'h3f39aa64,32'h3f62ecb3,// invsqrt(1.5399) = 0.8058 +32'h42230764,32'h3e1d3089,32'h3e239b01, 32'h3e1860ae,32'h3e286adc, 32'h3e105b98,32'h3e306ff2,// invsqrt(40.7572) = 0.1566 +32'h3e4c2984,32'h400c7710,32'h401232c8, 32'h40082a47,32'h40167f91, 32'h4000ffa1,32'h401daa37,// invsqrt(0.1994) = 2.2396 +32'h3fa016bc,32'h3f6054e7,32'h3f697cf0, 32'h3f5976df,32'h3f705af7, 32'h3f4e04d4,32'h3f7bcd03,// invsqrt(1.2507) = 0.8942 +32'h40729bec,32'h3f00daf9,32'h3f061d61, 32'h3ef9d256,32'h3f0a0f2f, 32'h3eecac51,32'h3f10a232,// invsqrt(3.7908) = 0.5136 +32'h3fb1aca9,32'h3f54f0cd,32'h3f5da1d1, 32'h3f4e6c0b,32'h3f642693, 32'h3f438ec7,32'h3f6f03d7,// invsqrt(1.3881) = 0.8488 +32'h3fb481b3,32'h3f534374,32'h3f5be2f0, 32'h3f4ccbd6,32'h3f625a8e, 32'h3f42047a,32'h3f6d21ea,// invsqrt(1.4102) = 0.8421 +32'h3ea85341,32'h3fdac63d,32'h3fe3b435, 32'h3fd413c3,32'h3fea66af, 32'h3fc8ea4d,32'h3ff59025,// invsqrt(0.3288) = 1.7441 +32'h400244e6,32'h3f2fd8dd,32'h3f370649, 32'h3f2a76cc,32'h3f3c685a, 32'h3f217e04,32'h3f456122,// invsqrt(2.0355) = 0.7009 +32'h3fda7cba,32'h3f40066d,32'h3f47dce5, 32'h3f3a2594,32'h3f4dbdbe, 32'h3f30597e,32'h3f5789d4,// invsqrt(1.7069) = 0.7654 +32'h4111ee4b,32'h3ea624a7,32'h3eacecad, 32'h3ea10ea2,32'h3eb202b2, 32'h3e989499,32'h3eba7cbb,// invsqrt(9.1207) = 0.3311 +32'h3f47aec0,32'h3f8e082b,32'h3f93d441, 32'h3f89af1a,32'h3f982d52, 32'h3f826ffd,32'h3f9f6c6f,// invsqrt(0.7800) = 1.1323 +32'h3ebec5d3,32'h3fcd8020,32'h3fd5e366, 32'h3fc735ac,32'h3fdc2dda, 32'h3fbcb996,32'h3fe6a9f0,// invsqrt(0.3726) = 1.6382 +32'h40088ee2,32'h3f2bc00d,32'h3f32c2aa, 32'h3f267e16,32'h3f3804a0, 32'h3f1dbad2,32'h3f40c7e4,// invsqrt(2.1337) = 0.6846 +32'h3f48619d,32'h3f8dc8b9,32'h3f939238, 32'h3f897198,32'h3f97e958, 32'h3f8235b9,32'h3f9f2537,// invsqrt(0.7827) = 1.1303 +32'h40bf71ee,32'h3ecd23ac,32'h3ed5832c, 32'h3ec6dc0d,32'h3edbcacb, 32'h3ebc64ae,32'h3ee6422a,// invsqrt(5.9827) = 0.4088 +32'h3f726112,32'h3f80ea9d,32'h3f862da9, 32'h3f79f0a9,32'h3f8a1ff2, 32'h3f6cc90c,32'h3f90b3c0,// invsqrt(0.9468) = 1.0277 +32'h3f94f580,32'h3f688fb9,32'h3f720dc1, 32'h3f617134,32'h3f792c46, 32'h3f5593aa,32'h3f8284e8,// invsqrt(1.1637) = 0.9270 +32'h3fafd412,32'h3f560e39,32'h3f5ecae3, 32'h3f4f80ba,32'h3f655862, 32'h3f4494e6,32'h3f704436,// invsqrt(1.3737) = 0.8532 +32'h40cddad8,32'h3ec5d449,32'h3ecde766, 32'h3ebfc5f3,32'h3ed3f5bb, 32'h3eb5ae0e,32'h3ede0da0,// invsqrt(6.4330) = 0.3943 +32'h3fb51fe2,32'h3f52e71f,32'h3f5b82d7, 32'h3f4c7255,32'h3f61f7a1, 32'h3f41afaf,32'h3f6cba47,// invsqrt(1.4150) = 0.8407 +32'h3d880729,32'h40735d45,32'h407d4c2d, 32'h406bea16,32'h40825fae, 32'h405f7f73,32'h408894ff,// invsqrt(0.0664) = 3.8802 +32'h3f38e087,32'h3f939c0d,32'h3f99a26b, 32'h3f8f1746,32'h3f9e2732, 32'h3f878f50,32'h3fa5af28,// invsqrt(0.7222) = 1.1767 +32'h3cdd4f7b,32'h40becbdd,32'h40c6957d, 32'h40b8f4a4,32'h40cc6cb6, 32'h40af389c,32'h40d628be,// invsqrt(0.0270) = 6.0841 +32'h41b89c50,32'h3e50e6ed,32'h3e596dbd, 32'h3e4a81d1,32'h3e5fd2d9, 32'h3e3fd94c,32'h3e6a7b5e,// invsqrt(23.0763) = 0.2082 +32'h41a74e74,32'h3e5b707f,32'h3e64656a, 32'h3e54b8ce,32'h3e6b1d1a, 32'h3e4986a8,32'h3e764f40,// invsqrt(20.9133) = 0.2187 +32'h3f47508f,32'h3f8e29b6,32'h3f93f72c, 32'h3f89cf9f,32'h3f985143, 32'h3f828ecc,32'h3f9f9216,// invsqrt(0.7786) = 1.1333 +32'h403af01e,32'h3f12cb2d,32'h3f18c905, 32'h3f0e4ccb,32'h3f1d4767, 32'h3f06cf7d,32'h3f24c4b5,// invsqrt(2.9209) = 0.5851 +32'h3ef1ba7e,32'h3fb68f85,32'h3fbe0317, 32'h3fb0f8d7,32'h3fc399c5, 32'h3fa7a860,32'h3fccea3c,// invsqrt(0.4721) = 1.4554 +32'h3fa90373,32'h3f5a5416,32'h3f633d66, 32'h3f53a51b,32'h3f69ec61, 32'h3f488178,32'h3f751004,// invsqrt(1.3204) = 0.8703 +32'h3fdeca92,32'h3f3e2945,32'h3f45ec43, 32'h3f385707,32'h3f4bbe81, 32'h3f2ea34a,32'h3f55723e,// invsqrt(1.7406) = 0.7580 +32'h3e371370,32'h4014557a,32'h401a636a, 32'h400fcb06,32'h401eedde, 32'h4008399a,32'h40267f4a,// invsqrt(0.1788) = 2.3650 +32'h3fc7729f,32'h3f48fb4f,32'h3f512f5d, 32'h3f42d445,32'h3f575667, 32'h3f389334,32'h3f619778,// invsqrt(1.5582) = 0.8011 +32'h4042f630,32'h3f0fbdc2,32'h3f159bb6, 32'h3f0b574c,32'h3f1a022c, 32'h3f0401dc,32'h3f21579c,// invsqrt(3.0463) = 0.5729 +32'h417548e8,32'h3e80268f,32'h3e85619b, 32'h3e78748e,32'h3e894de3, 32'h3e6b60f3,32'h3e8fd7b1,// invsqrt(15.3303) = 0.2554 +32'h3f0d6378,32'h3fa8ca75,32'h3fafae27, 32'h3fa39fb0,32'h3fb4d8ec, 32'h3f9b0313,32'h3fbd7589,// invsqrt(0.5523) = 1.3456 +32'h3f670770,32'h3f840b94,32'h3f896f51, 32'h3f8000c7,32'h3f8d7a1f, 32'h3f728835,32'h3f9436cb,// invsqrt(0.9025) = 1.0527 +32'h3efd32a2,32'h3fb260bf,32'h3fb9a89d, 32'h3facead8,32'h3fbf1e84, 32'h3fa3d103,32'h3fc83859,// invsqrt(0.4945) = 1.4220 +32'h3f89bebf,32'h3f71d7ba,32'h3f7bb6bc, 32'h3f6a7078,32'h3f818eff, 32'h3f5e19b5,32'h3f87ba60,// invsqrt(1.0761) = 0.9640 +32'h3ec7b0d1,32'h3fc8dc00,32'h3fd10ec7, 32'h3fc2b5ec,32'h3fd734dc, 32'h3fb87674,32'h3fe17454,// invsqrt(0.3900) = 1.6012 +32'h3f86ff55,32'h3f744a9f,32'h3f7e4337, 32'h3f6cd02c,32'h3f82ded5, 32'h3f60596d,32'h3f891a34,// invsqrt(1.0547) = 0.9737 +32'h3ecb720f,32'h3fc6ff48,32'h3fcf1e99, 32'h3fc0e7ca,32'h3fd53616, 32'h3fb6c0a5,32'h3fdf5d3b,// invsqrt(0.3974) = 1.5864 +32'h3e335399,32'h4015e066,32'h401bfe75, 32'h401149dc,32'h40209500, 32'h4009a449,32'h40283a93,// invsqrt(0.1751) = 2.3896 +32'h3f3282ed,32'h3f9637e6,32'h3f9c5987, 32'h3f919eae,32'h3fa0f2c0, 32'h3f89f4a5,32'h3fa89cc9,// invsqrt(0.6973) = 1.1975 +32'h3f824d67,32'h3f78a758,32'h3f8166c2, 32'h3f710ab5,32'h3f853513, 32'h3f645afd,32'h3f8b8cf0,// invsqrt(1.0180) = 0.9911 +32'h3f024621,32'h3fafd808,32'h3fb7056c, 32'h3faa75fe,32'h3fbc6776, 32'h3fa17d41,32'h3fc56033,// invsqrt(0.5089) = 1.4018 +32'h3f429586,32'h3f8fe172,32'h3f95c0da, 32'h3f8b79e4,32'h3f9a2868, 32'h3f8422a2,32'h3fa17faa,// invsqrt(0.7601) = 1.1470 +32'h408f9ab5,32'h3eecdb8a,32'h3ef68675, 32'h3ee59b59,32'h3efdc6a5, 32'h3ed985b2,32'h3f04ee26,// invsqrt(4.4876) = 0.4721 +32'h3f0c98a2,32'h3fa9440b,32'h3fb02cb3, 32'h3fa4158d,32'h3fb55b31, 32'h3f9b72bc,32'h3fbdfe02,// invsqrt(0.5492) = 1.3494 +32'h3fba44cd,32'h3f4ff85c,32'h3f587570, 32'h3f499a8e,32'h3f5ed33e, 32'h3f3efe35,32'h3f696f97,// invsqrt(1.4552) = 0.8290 +32'h3f45f25a,32'h3f8ea741,32'h3f9479d5, 32'h3f8a4951,32'h3f98d7c5, 32'h3f830217,32'h3fa01eff,// invsqrt(0.7732) = 1.1372 +32'h3efa3785,32'h3fb36ff3,32'h3fbac2e3, 32'h3fadf1bf,32'h3fc04117, 32'h3fa4ca14,32'h3fc968c3,// invsqrt(0.4887) = 1.4305 +32'h3ec016c4,32'h3fcccb95,32'h3fd5277b, 32'h3fc686a8,32'h3fdb6c68, 32'h3fbc13c7,32'h3fe5df49,// invsqrt(0.3752) = 1.6326 +32'h3e8f9fae,32'h3fecd770,32'h3ff68230, 32'h3fe5975f,32'h3ffdc241, 32'h3fd981ee,32'h4004ebd9,// invsqrt(0.2805) = 1.8881 +32'h40824cdc,32'h3ef8a7dc,32'h3f016708, 32'h3ef10b36,32'h3f05355b, 32'h3ee45b77,32'h3f0b8d3a,// invsqrt(4.0719) = 0.4956 +32'h3f467571,32'h3f8e781c,32'h3f9448c4, 32'h3f8a1b9e,32'h3f98a542, 32'h3f82d6cb,32'h3f9fea15,// invsqrt(0.7752) = 1.1358 +32'h40e48517,32'h3ebbc338,32'h3ec36d26, 32'h3eb603c6,32'h3ec92c98, 32'h3eac6f5e,32'h3ed2c101,// invsqrt(7.1412) = 0.3742 +32'h3f912201,32'h3f6b9b65,32'h3f75393f, 32'h3f646501,32'h3f7c6fa3, 32'h3f585fb0,32'h3f843a7a,// invsqrt(1.1339) = 0.9391 +32'h400481d3,32'h3f2e5b16,32'h3f3578ee, 32'h3f2904b5,32'h3f3acf4f, 32'h3f201f68,32'h3f43b49c,// invsqrt(2.0704) = 0.6950 +32'h3e150820,32'h402467d6,32'h402b1db5, 32'h401f5f6f,32'h4030261d, 32'h4016fc19,32'h40388973,// invsqrt(0.1455) = 2.6213 +32'h406fa90a,32'h3f01a54a,32'h3f06eff4, 32'h3efb5a94,32'h3f0ae7f4, 32'h3eee1feb,32'h3f118548,// invsqrt(3.7447) = 0.5168 +32'h4113fd8b,32'h3ea4fba7,32'h3eabb78f, 32'h3e9feeba,32'h3eb0c47c, 32'h3e9783d8,32'h3eb92f5e,// invsqrt(9.2494) = 0.3288 +32'h3facec5b,32'h3f57d89e,32'h3f60a7fd, 32'h3f513d16,32'h3f674384, 32'h3f4639df,32'h3f7246bb,// invsqrt(1.3510) = 0.8604 +32'h4211c5d5,32'h3e263bb4,32'h3e2d04ac, 32'h3e2124fa,32'h3e321b66, 32'h3e18a9c5,32'h3e3a969b,// invsqrt(36.4432) = 0.1657 +32'h40a43c37,32'h3edd7b52,32'h3ee68594, 32'h3ed6b3a0,32'h3eed4d46, 32'h3ecb66ce,32'h3ef89a18,// invsqrt(5.1324) = 0.4414 +32'h3e82cd2f,32'h3ff82dc5,32'h4001277e, 32'h3ff094dc,32'h4004f3f3, 32'h3fe3eb57,32'h400b48b5,// invsqrt(0.2555) = 1.9785 +32'h3d3688e7,32'h40948dba,32'h409a9df6, 32'h4090018d,32'h409f2a23, 32'h40886d42,32'h40a6be6e,// invsqrt(0.0446) = 4.7370 +32'h3f364cef,32'h3f94a627,32'h3f9ab762, 32'h3f90193a,32'h3f9f444e, 32'h3f8883b0,32'h3fa6d9d8,// invsqrt(0.7121) = 1.1850 +32'h401b3e60,32'h3f211531,32'h3f27a857, 32'h3f1c26d4,32'h3f2c96b4, 32'h3f13eee4,32'h3f34cea4,// invsqrt(2.4257) = 0.6421 +32'h3f97d9b7,32'h3f66562d,32'h3f6fbcf5, 32'h3f5f4917,32'h3f76ca0b, 32'h3f53889c,32'h3f814543,// invsqrt(1.1863) = 0.9181 +32'h3f8aead9,32'h3f70d1f2,32'h3f7aa646, 32'h3f6972b4,32'h3f8102c2, 32'h3f5d294c,32'h3f872776,// invsqrt(1.0853) = 0.9599 +32'h4149ced4,32'h3e8d4833,32'h3e930c73, 32'h3e88f502,32'h3e975fa4, 32'h3e81bfb1,32'h3e9e94f5,// invsqrt(12.6130) = 0.2816 +32'h3d54e131,32'h40898f19,32'h408f2c73, 32'h40855916,32'h40936276, 32'h407ca8cb,32'h409a6727,// invsqrt(0.0520) = 4.3864 +32'h40028f6b,32'h3f2fa6a7,32'h3f36d207, 32'h3f2a461f,32'h3f3c328f, 32'h3f214fe8,32'h3f4528c6,// invsqrt(2.0400) = 0.7001 +32'h3f92c145,32'h3f6a4d20,32'h3f73dd55, 32'h3f6320f7,32'h3f7b097d, 32'h3f572cb4,32'h3f837ee0,// invsqrt(1.1465) = 0.9339 +32'h403a384d,32'h3f13138e,32'h3f19145a, 32'h3f0e92f5,32'h3f1d94f3, 32'h3f0711f5,32'h3f2515f3,// invsqrt(2.9097) = 0.5862 +32'h3f9a6883,32'h3f646bbe,32'h3f6dbe82, 32'h3f5d6dab,32'h3f74bc95, 32'h3f51c636,32'h3f803205,// invsqrt(1.2063) = 0.9105 +32'h3f06a64d,32'h3facf68e,32'h3fb405d8, 32'h3fa7ab17,32'h3fb9514f, 32'h3f9ed7fb,32'h3fc2246b,// invsqrt(0.5260) = 1.3789 +32'h3fa59d7b,32'h3f5c8e9c,32'h3f658f34, 32'h3f55ce29,32'h3f6c4fa7, 32'h3f4a8d6a,32'h3f779066,// invsqrt(1.2939) = 0.8791 +32'h4077f5d0,32'h3efeea30,32'h3f04a8e4, 32'h3ef71c7c,32'h3f088fbe, 32'h3eea1afc,32'h3f0f107e,// invsqrt(3.8744) = 0.5080 +32'h3f51eb4a,32'h3f8a868e,32'h3f902e02, 32'h3f8648f8,32'h3f946b98, 32'h3f7e6f4e,32'h3f9b7ce9,// invsqrt(0.8200) = 1.1043 +32'h4003a550,32'h3f2eece0,32'h3f3610aa, 32'h3f299208,32'h3f3b6b82, 32'h3f20a54b,32'h3f44583f,// invsqrt(2.0570) = 0.6972 +32'h3f2c7241,32'h3f98d653,32'h3f9f1351, 32'h3f942894,32'h3fa3c110, 32'h3f8c5c57,32'h3fab8d4d,// invsqrt(0.6736) = 1.2184 +32'h3fafb3db,32'h3f5621d8,32'h3f5edf4e, 32'h3f4f93bf,32'h3f656d67, 32'h3f44a6eb,32'h3f705a3b,// invsqrt(1.3727) = 0.8535 +32'h3fec7d0a,32'h3f389276,32'h3f401b0d, 32'h3f32ec04,32'h3f45c17e, 32'h3f298147,32'h3f4f2c3b,// invsqrt(1.8476) = 0.7357 +32'h3f84de04,32'h3f763df1,32'h3f802576, 32'h3f6eb435,32'h3f83ea53, 32'h3f6223fd,32'h3f8a3270,// invsqrt(1.0380) = 0.9815 +32'h3f63d330,32'h3f84f874,32'h3f8a65dc, 32'h3f80e666,32'h3f8e77ea, 32'h3f743b48,32'h3f9540ac,// invsqrt(0.8899) = 1.0600 +32'h3f63de7a,32'h3f84f529,32'h3f8a626f, 32'h3f80e335,32'h3f8e7463, 32'h3f74353c,32'h3f953cfa,// invsqrt(0.8901) = 1.0599 +32'h3f36246e,32'h3f94b6ad,32'h3f9ac895, 32'h3f90293f,32'h3f9f5603, 32'h3f8892de,32'h3fa6ec64,// invsqrt(0.7115) = 1.1855 +32'h4062959b,32'h3f055583,32'h3f0ac6b8, 32'h3f01409c,32'h3f0edba0, 32'h3ef4e636,32'h3f15a921,// invsqrt(3.5404) = 0.5315 +32'h3fd29dbd,32'h3f439491,32'h3f4b902e, 32'h3f3d97da,32'h3f518ce4, 32'h3f339d56,32'h3f5b8769,// invsqrt(1.6454) = 0.7796 +32'h3f8c685f,32'h3f6f89e4,32'h3f7950d3, 32'h3f6834b0,32'h3f805303, 32'h3f5bfc05,32'h3f866f58,// invsqrt(1.0969) = 0.9548 +32'h3e266413,32'h401b97ea,32'h4021f1b5, 32'h4016d492,32'h4026b50e, 32'h400ee455,32'h402ea54b,// invsqrt(0.1625) = 2.4808 +32'h3f03d9d8,32'h3faeca04,32'h3fb5ec62, 32'h3fa9703d,32'h3fbb4629, 32'h3fa08548,32'h3fc4311f,// invsqrt(0.5150) = 1.3934 +32'h3f60244f,32'h3f860efe,32'h3f8b87c4, 32'h3f81f469,32'h3f8fa259, 32'h3f763ae2,32'h3f967951,// invsqrt(0.8756) = 1.0687 +32'h3e8f0426,32'h3fed5816,32'h3ff70816, 32'h3fe61415,32'h3ffe4c17, 32'h3fd9f814,32'h4005340c,// invsqrt(0.2793) = 1.8921 +32'h4206efcc,32'h3e2cc76d,32'h3e33d4cb, 32'h3e277d67,32'h3e391ed1, 32'h3e1eacb3,32'h3e41ef85,// invsqrt(33.7342) = 0.1722 +32'h3e0981be,32'h402b281e,32'h40322488, 32'h4025eacf,32'h403761d7, 32'h401d2f4b,32'h40401d5b,// invsqrt(0.1343) = 2.7289 +32'h3eeaa906,32'h3fb94a29,32'h3fc0da40, 32'h3fb39e19,32'h3fc68651, 32'h3faa29fc,32'h3fcffa6e,// invsqrt(0.4583) = 1.4771 +32'h3e03d4d7,32'h402ecd55,32'h4035efd5, 32'h40297374,32'h403b49b6, 32'h40208853,32'h404434d7,// invsqrt(0.1287) = 2.7870 +32'h3f92aa50,32'h3f6a5f75,32'h3f73f06b, 32'h3f6332be,32'h3f7b1d22, 32'h3f573d8b,32'h3f83892a,// invsqrt(1.1458) = 0.9342 +32'h3e5ffcdf,32'h40061acb,32'h400b940d, 32'h4001ffda,32'h400faefe, 32'h3ff6508f,32'h40168690,// invsqrt(0.2187) = 2.1381 +32'h41e27b35,32'h3e3c9b11,32'h3e444dcd, 32'h3e36d503,32'h3e4a13db, 32'h3e2d3597,32'h3e53b347,// invsqrt(28.3102) = 0.1879 +32'h3f45b286,32'h3f8ebe46,32'h3f9491cc, 32'h3f8a5fa2,32'h3f98f070, 32'h3f83173b,32'h3fa038d7,// invsqrt(0.7723) = 1.1379 +32'h3f9e43e2,32'h3f619ed2,32'h3f6ad453, 32'h3f5ab6b2,32'h3f71bc74, 32'h3f4f33d1,32'h3f7d3f55,// invsqrt(1.2364) = 0.8993 +32'h3ff4db45,32'h3f35640a,32'h3f3ccb63, 32'h3f2fd686,32'h3f4258e6, 32'h3f269557,32'h3f4b9a15,// invsqrt(1.9129) = 0.7230 +32'h3fb40d8b,32'h3f53878e,32'h3f5c29d2, 32'h3f4d0dda,32'h3f62a386, 32'h3f424305,32'h3f6d6e5b,// invsqrt(1.4067) = 0.8432 +32'h40534324,32'h3f0a15a4,32'h3f0fb87c, 32'h3f05db82,32'h3f13f29e, 32'h3efd9fea,32'h3f1afe2b,// invsqrt(3.3010) = 0.5504 +32'h3ed841c8,32'h3fc10343,32'h3fc8e40d, 32'h3fbb1aac,32'h3fcecca4, 32'h3fb141b1,32'h3fd8a59f,// invsqrt(0.4224) = 1.5387 +32'h4078c298,32'h3efe812d,32'h3f04723f, 32'h3ef6b6b2,32'h3f08577d, 32'h3ee9ba8d,32'h3f0ed58f,// invsqrt(3.8869) = 0.5072 +32'h3e139bee,32'h4025322b,32'h402bf04d, 32'h40202393,32'h4030fee5, 32'h4017b5e9,32'h40396c8f,// invsqrt(0.1441) = 2.6339 +32'h3f7a49a2,32'h3f7dba0f,32'h3f840aa0, 32'h3f75f5ac,32'h3f87ecd2, 32'h3f6903b1,32'h3f8e65d0,// invsqrt(0.9777) = 1.0113 +32'h3fab487b,32'h3f58e08b,32'h3f61bab0, 32'h3f523cef,32'h3f685e4b, 32'h3f472c40,32'h3f736efa,// invsqrt(1.3381) = 0.8645 +32'h3e8ac22b,32'h3ff0f53d,32'h3ffacb01, 32'h3fe994ea,32'h400115aa, 32'h3fdd49b6,32'h40073b44,// invsqrt(0.2710) = 1.9209 +32'h3fc88897,32'h3f486fd3,32'h3f509e2f, 32'h3f424d0e,32'h3f56c0f4, 32'h3f38131a,32'h3f60fae8,// invsqrt(1.5667) = 0.7989 +32'h3f91a610,32'h3f6b307d,32'h3f74c9fa, 32'h3f63fd5e,32'h3f7bfd18, 32'h3f57fd82,32'h3f83fe7a,// invsqrt(1.1379) = 0.9375 +32'h3eb6c878,32'h3fd1f19c,32'h3fda834e, 32'h3fcb8456,32'h3fe0f094, 32'h3fc0ce36,32'h3feba6b4,// invsqrt(0.3570) = 1.6737 +32'h3e190c1b,32'h40223c08,32'h4028db38, 32'h401d44a5,32'h402dd29b, 32'h4014fdaa,32'h40361996,// invsqrt(0.1495) = 2.5866 +32'h3fd560d0,32'h3f424f76,32'h3f4a3dce, 32'h3f3c5cb4,32'h3f503090, 32'h3f3272c5,32'h3f5a1a7f,// invsqrt(1.6670) = 0.7745 +32'h3f947898,32'h3f68f178,32'h3f72737c, 32'h3f61cff4,32'h3f799500, 32'h3f55ed6e,32'h3f82bbc3,// invsqrt(1.1599) = 0.9285 +32'h3f048e52,32'h3fae52de,32'h3fb57060, 32'h3fa8fcbd,32'h3fbac681, 32'h3fa017dc,32'h3fc3ab62,// invsqrt(0.5178) = 1.3897 +32'h3f9d1ccd,32'h3f62724f,32'h3f6bb071, 32'h3f5b83b5,32'h3f729f0b, 32'h3f4ff60a,32'h3f7e2cb6,// invsqrt(1.2274) = 0.9026 +32'h3f28032b,32'h3f9ad73e,32'h3fa1292c, 32'h3f9619cc,32'h3fa5e69e, 32'h3f8e3363,32'h3fadcd07,// invsqrt(0.6563) = 1.2344 +32'h4012072c,32'h3f26167f,32'h3f2cddf2, 32'h3f2100e9,32'h3f31f389, 32'h3f18879a,32'h3f3a6cd8,// invsqrt(2.2817) = 0.6620 +32'h3f302dc9,32'h3f9735a3,32'h3f9d619f, 32'h3f9294a6,32'h3fa2029c, 32'h3f8addaa,32'h3fa9b998,// invsqrt(0.6882) = 1.2054 +32'h4001828b,32'h3f305c9e,32'h3f378f6a, 32'h3f2af684,32'h3f3cf584, 32'h3f21f704,32'h3f45f504,// invsqrt(2.0236) = 0.7030 +32'h3e77226a,32'h3fff571f,32'h4004e196, 32'h3ff78617,32'h4008ca1a, 32'h3fea7f09,32'h400f4da2,// invsqrt(0.2413) = 2.0356 +32'h413b01f7,32'h3e92c42b,32'h3e98c1ba, 32'h3e8e4600,32'h3e9d3fe6, 32'h3e86c90e,32'h3ea4bcd8,// invsqrt(11.6880) = 0.2925 +32'h3f60fd6f,32'h3f85ce3f,32'h3f8b4461, 32'h3f81b5a6,32'h3f8f5cfa, 32'h3f75c3f6,32'h3f9630a5,// invsqrt(0.8789) = 1.0667 +32'h3ebdc338,32'h3fce0bf7,32'h3fd674f1, 32'h3fc7bd3b,32'h3fdcc3ad, 32'h3fbd3a02,32'h3fe746e6,// invsqrt(0.3706) = 1.6426 +32'h3feeacb4,32'h3f37b990,32'h3f3f394c, 32'h3f3219c2,32'h3f44d91a, 32'h3f28ba16,32'h3f4e38c6,// invsqrt(1.8646) = 0.7323 +32'h40a2cc1d,32'h3ede752b,32'h3ee7899f, 32'h3ed7a5d3,32'h3eee58f7, 32'h3ecc4c41,32'h3ef9b289,// invsqrt(5.0874) = 0.4434 +32'h3e8fe948,32'h3fec9ad8,32'h3ff6431f, 32'h3fe55ca2,32'h3ffd8154, 32'h3fd94a48,32'h4004c9d7,// invsqrt(0.2811) = 1.8862 +32'h3e86e1d9,32'h3ff46551,32'h3ffe5f01, 32'h3fecea0d,32'h4002ed22, 32'h3fe071f2,32'h40092930,// invsqrt(0.2634) = 1.9483 +32'h3ebc01a5,32'h3fcf01c0,32'h3fd774c2, 32'h3fc8ab7e,32'h3fddcb04, 32'h3fbe1bba,32'h3fe85ac8,// invsqrt(0.3672) = 1.6502 +32'h40ff1b21,32'h3eb1b5a4,32'h3eb8f686, 32'h3eac44fa,32'h3ebe6730, 32'h3ea333e0,32'h3ec7784a,// invsqrt(7.9721) = 0.3542 +32'h3e7732e1,32'h3fff4e9e,32'h4004dd29, 32'h3ff77dd8,32'h4008c58c, 32'h3fea7739,32'h400f48dc,// invsqrt(0.2414) = 2.0353 +32'h3e0008ea,32'h40315ff5,32'h40389d57, 32'h402bf1ea,32'h403e0b62, 32'h4022e52f,32'h4047181d,// invsqrt(0.1250) = 2.8280 +32'h3fac6e81,32'h3f582754,32'h3f60f9ea, 32'h3f518964,32'h3f6797da, 32'h3f468229,32'h3f729f15,// invsqrt(1.3471) = 0.8616 +32'h3e3a6496,32'h40130214,32'h4019022a, 32'h400e8204,32'h401d823a, 32'h400701e9,32'h40250255,// invsqrt(0.1820) = 2.3439 +32'h3f0b445c,32'h3faa1256,32'h3fb1036a, 32'h3fa4dd88,32'h3fb63838, 32'h3f9c3030,32'h3fbee590,// invsqrt(0.5440) = 1.3558 +32'h3fb559f0,32'h3f52c55a,32'h3f5b5fb2, 32'h3f4c5199,32'h3f61d373, 32'h3f4190ac,32'h3f6c9460,// invsqrt(1.4168) = 0.8401 +32'h3d97460e,32'h4066c67c,32'h407031da, 32'h405fb5f6,32'h40774260, 32'h4053efc0,32'h4081844b,// invsqrt(0.0739) = 3.6795 +32'h3fa38f7a,32'h3f5df028,32'h3f66ff2e, 32'h3f5724e2,32'h3f6dca74, 32'h3f4bd21a,32'h3f791d3c,// invsqrt(1.2778) = 0.8846 +32'h40ed3581,32'h3eb84aa4,32'h3ebfd04c, 32'h3eb2a665,32'h3ec5748b, 32'h3ea93f52,32'h3ecedb9e,// invsqrt(7.4128) = 0.3673 +32'h3dcc3c0e,32'h40469cc7,32'h404eb813, 32'h4040884e,32'h4054cc8c, 32'h4036662f,32'h405eeeab,// invsqrt(0.0997) = 3.1667 +32'h3ff7d47c,32'h3f344ca0,32'h3f3ba892, 32'h3f2ec7ab,32'h3f412d87, 32'h3f2594bd,32'h3f4a6075,// invsqrt(1.9362) = 0.7187 +32'h3f45706d,32'h3f8ed629,32'h3f94aaa8, 32'h3f8a76c9,32'h3f990a07, 32'h3f832d2a,32'h3fa053a6,// invsqrt(0.7712) = 1.1387 +32'h3cd7d024,32'h40c1360e,32'h40c918ea, 32'h40bb4be9,32'h40cf030f, 32'h40b17056,32'h40d8dea2,// invsqrt(0.0263) = 6.1611 +32'h3ef0c96d,32'h3fb6ead1,32'h3fbe621d, 32'h3fb15157,32'h3fc3fb97, 32'h3fa7fc38,32'h3fcd50b6,// invsqrt(0.4703) = 1.4582 +32'h3eab0b45,32'h3fd90756,32'h3fe1e310, 32'h3fd2628a,32'h3fe887dc, 32'h3fc74fe1,32'h3ff39a85,// invsqrt(0.3341) = 1.7301 +32'h3e85a377,32'h3ff587c5,32'h3fff8d4f, 32'h3fee039d,32'h400388bc, 32'h3fe17caf,32'h4009cc32,// invsqrt(0.2610) = 1.9574 +32'h3e63c779,32'h4004fbe0,32'h400a696c, 32'h4000e9b7,32'h400e7b95, 32'h3ff44191,32'h40154483,// invsqrt(0.2224) = 2.1203 +32'h414b4ba7,32'h3e8cc3a1,32'h3e928278, 32'h3e88747f,32'h3e96d199, 32'h3e8145f1,32'h3e9e0027,// invsqrt(12.7060) = 0.2805 +32'h3f26ed70,32'h3f9b57d8,32'h3fa1af06, 32'h3f969676,32'h3fa67068, 32'h3f8ea97e,32'h3fae5d60,// invsqrt(0.6521) = 1.2384 +32'h401e6686,32'h3f1f7835,32'h3f25fa80, 32'h3f1a967c,32'h3f2adc38, 32'h3f12739e,32'h3f32ff16,// invsqrt(2.4750) = 0.6356 +32'h3fa6fb7c,32'h3f5ba6fc,32'h3f649e20, 32'h3f54eda0,32'h3f6b577c, 32'h3f49b8b3,32'h3f768c69,// invsqrt(1.3045) = 0.8755 +32'h3fb2f7d3,32'h3f542b6e,32'h3f5cd462, 32'h3f4dacb6,32'h3f63531a, 32'h3f42d984,32'h3f6e264c,// invsqrt(1.3982) = 0.8457 +32'h3f4f31ac,32'h3f8b6f00,32'h3f911ff0, 32'h3f872a4c,32'h3f9564a4, 32'h3f800d1f,32'h3f9c81d1,// invsqrt(0.8094) = 1.1116 +32'h3fb05a67,32'h3f55bca3,32'h3f5e75f9, 32'h3f4f31a4,32'h3f6500f8, 32'h3f4449f9,32'h3f6fe8a3,// invsqrt(1.3778) = 0.8519 +32'h3f06fc22,32'h3facbf88,32'h3fb3cc92, 32'h3fa775c0,32'h3fb9165a, 32'h3f9ea572,32'h3fc1e6a8,// invsqrt(0.5273) = 1.3771 +32'h3f17a265,32'h3fa2fd15,32'h3fa9a425, 32'h3f9dffc8,32'h3faea172, 32'h3f95aef4,32'h3fb6f246,// invsqrt(0.5923) = 1.2993 +32'h3f160a14,32'h3fa3da46,32'h3faa8a5e, 32'h3f9ed634,32'h3faf8e70, 32'h3f967a17,32'h3fb7ea8d,// invsqrt(0.5861) = 1.3062 +32'h3ff12e1c,32'h3f36c49f,32'h3f3e3a5d, 32'h3f312c51,32'h3f43d2ab, 32'h3f27d925,32'h3f4d25d7,// invsqrt(1.8842) = 0.7285 +32'h3d92dfd7,32'h406a34bc,32'h4073c3f3, 32'h40630954,32'h407aef5c, 32'h4057164f,32'h40837130,// invsqrt(0.0717) = 3.7342 +32'h3ed3032a,32'h3fc3658a,32'h3fcb5f3c, 32'h3fbd6a44,32'h3fd15a82, 32'h3fb37226,32'h3fdb52a0,// invsqrt(0.4121) = 1.5577 +32'h3d87ef43,32'h407372a8,32'h407d6270, 32'h406bfed2,32'h40826b23, 32'h405f9318,32'h4088a100,// invsqrt(0.0664) = 3.8815 +32'h4006b30c,32'h3f2cee5f,32'h3f33fd53, 32'h3f27a328,32'h3f39488a, 32'h3f1ed076,32'h3f421b3c,// invsqrt(2.1047) = 0.6893 +32'h3f7d00ce,32'h3f7c5c8a,32'h3f8354bb, 32'h3f74a2da,32'h3f873193, 32'h3f67c2b3,32'h3f8da1a6,// invsqrt(0.9883) = 1.0059 +32'h40902411,32'h3eec6a93,32'h3ef610e3, 32'h3ee52dd8,32'h3efd4d9e, 32'h3ed91df5,32'h3f04aec0,// invsqrt(4.5044) = 0.4712 +32'h3f92d56f,32'h3f6a3d09,32'h3f73cc96, 32'h3f63115e,32'h3f7af840, 32'h3f571dee,32'h3f8375d8,// invsqrt(1.1471) = 0.9337 +32'h3e8be4e6,32'h3feffa59,32'h3ff9c5df, 32'h3fe8a1b4,32'h40008f42, 32'h3fdc634c,32'h4006ae76,// invsqrt(0.2732) = 1.9131 +32'h4032e22d,32'h3f160fe3,32'h3f1c2fe1, 32'h3f1177e4,32'h3f20c7e0, 32'h3f09cfe5,32'h3f286fdf,// invsqrt(2.7951) = 0.5981 +32'h3f4e10cc,32'h3f8bd099,32'h3f918585, 32'h3f8788e8,32'h3f95cd36, 32'h3f8066c1,32'h3f9cef5d,// invsqrt(0.8049) = 1.1146 +32'h3d8ee423,32'h406d72aa,32'h407723c0, 32'h40662dd9,32'h407e6891, 32'h405a107c,32'h408542f7,// invsqrt(0.0698) = 3.7858 +32'h3ebd9ddf,32'h3fce2041,32'h3fd68a0f, 32'h3fc7d0e6,32'h3fdcd96a, 32'h3fbd4ca4,32'h3fe75dac,// invsqrt(0.3703) = 1.6432 +32'h3f514555,32'h3f8abd70,32'h3f906722, 32'h3f867e2c,32'h3f94a666, 32'h3f7ed41d,32'h3f9bba84,// invsqrt(0.8175) = 1.1060 +32'h3f1db14e,32'h3f9fd3bc,32'h3fa659c4, 32'h3f9aef36,32'h3fab3e4a, 32'h3f92c7ad,32'h3fb365d3,// invsqrt(0.6160) = 1.2741 +32'h4016b211,32'h3f237ed9,32'h3f2a2b35, 32'h3f1e7d93,32'h3f2f2c7b, 32'h3f162620,32'h3f3783ee,// invsqrt(2.3546) = 0.6517 +32'h3ca0886e,32'h40e00568,32'h40e92a32, 32'h40d929d0,32'h40f005ca, 32'h40cdbbd2,32'h40fb73c8,// invsqrt(0.0196) = 7.1435 +32'h3f384523,32'h3f93da3d,32'h3f99e325, 32'h3f8f538f,32'h3f9e69d3, 32'h3f87c86c,32'h3fa5f4f6,// invsqrt(0.7198) = 1.1787 +32'h3eb6ffde,32'h3fd1d1d3,32'h3fda6239, 32'h3fcb6586,32'h3fe0ce86, 32'h3fc0b105,32'h3feb8307,// invsqrt(0.3574) = 1.6727 +32'h3fb0e745,32'h3f556779,32'h3f5e1d54, 32'h3f4edf14,32'h3f64a5b8, 32'h3f43fbc2,32'h3f6f890a,// invsqrt(1.3821) = 0.8506 +32'h3e19bf61,32'h4021dd57,32'h402878a9, 32'h401ce8da,32'h402d6d26, 32'h4014a6b3,32'h4035af4d,// invsqrt(0.1501) = 2.5807 +32'h3dc43ce9,32'h404a9e64,32'h4052e38c, 32'h40446a85,32'h4059176b, 32'h403a1412,32'h40636dde,// invsqrt(0.0958) = 3.2305 +32'h4068ceba,32'h3f038a37,32'h3f08e8ad, 32'h3eff06bf,32'h3f0cef84, 32'h3ef19a9a,32'h3f13a597,// invsqrt(3.6376) = 0.5243 +32'h3ffeea2c,32'h3f31c6b3,32'h3f390848, 32'h3f2c5584,32'h3f3e7978, 32'h3f23438b,32'h3f478b71,// invsqrt(1.9915) = 0.7086 +32'h3e888ce2,32'h3ff2e5fe,32'h3ffcd008, 32'h3feb7676,32'h40021fc8, 32'h3fdf11e9,32'h4008520e,// invsqrt(0.2667) = 1.9364 +32'h3f23a852,32'h3f9ce32d,32'h3fa34a7d, 32'h3f9815b1,32'h3fa817f9, 32'h3f90148c,32'h3fb0191e,// invsqrt(0.6393) = 1.2507 +32'h3f01f8dd,32'h3fb00c45,32'h3fb73bcb, 32'h3faaa8a1,32'h3fbc9f6f, 32'h3fa1ad3a,32'h3fc59ad6,// invsqrt(0.5077) = 1.4034 +32'h3bc10f98,32'h414c4770,32'h41549df2, 32'h4146068f,32'h415aded3, 32'h413b9a6c,32'h41654af6,// invsqrt(0.0059) = 13.0280 +32'h3fa10e29,32'h3f5fa853,32'h3f68c951, 32'h3f58cf94,32'h3f6fa210, 32'h3f4d6657,32'h3f7b0b4d,// invsqrt(1.2582) = 0.8915 +32'h3ff20ab0,32'h3f367144,32'h3f3de39a, 32'h3f30db83,32'h3f43795b, 32'h3f278c97,32'h3f4cc847,// invsqrt(1.8910) = 0.7272 +32'h3f206e99,32'h3f9e74e8,32'h3fa4ec9e, 32'h3f999b20,32'h3fa9c666, 32'h3f91857c,32'h3fb1dc0a,// invsqrt(0.6267) = 1.2632 +32'h3f445719,32'h3f8f3c59,32'h3f951504, 32'h3f8ad9d9,32'h3f997785, 32'h3f838b04,32'h3fa0c65a,// invsqrt(0.7670) = 1.1419 +32'h3e6ab623,32'h4003015b,32'h40085a3b, 32'h3ffdfd68,32'h400c5ce2, 32'h3ff09f3a,32'h40130bf9,// invsqrt(0.2292) = 2.0887 +32'h3ee61380,32'h3fbb2060,32'h3fc2c3a8, 32'h3fb565ea,32'h3fc87e1e, 32'h3fabd9d0,32'h3fd20a38,// invsqrt(0.4494) = 1.4918 +32'h40f8b361,32'h3eb3fbc2,32'h3ebb5466, 32'h3eae7946,32'h3ec0d6e2, 32'h3ea54a78,32'h3eca05b0,// invsqrt(7.7719) = 0.3587 +32'h40021f69,32'h3f2ff230,32'h3f3720a4, 32'h3f2a8f58,32'h3f3c837c, 32'h3f219546,32'h3f457d8e,// invsqrt(2.0332) = 0.7013 +32'h3e0c0161,32'h40299f61,32'h40308bc3, 32'h40246e18,32'h4035bd0c, 32'h401bc69d,32'h403e6487,// invsqrt(0.1367) = 2.7044 +32'h3c92c09d,32'h40ea4da6,32'h40f3dde0, 32'h40e32179,32'h40fb0a0d, 32'h40d72d30,32'h41037f2b,// invsqrt(0.0179) = 7.4714 +32'h40213f09,32'h3f1e0e5c,32'h3f2481e2, 32'h3f1937b7,32'h3f295887, 32'h3f11274f,32'h3f3168ef,// invsqrt(2.5195) = 0.6300 +32'h3f37f7b4,32'h3f93f957,32'h3f9a0385, 32'h3f8f71b5,32'h3f9e8b27, 32'h3f87e4fd,32'h3fa617df,// invsqrt(0.7186) = 1.1796 +32'h3ffa8637,32'h3f3353c2,32'h3f3aa58c, 32'h3f2dd66b,32'h3f4022e3, 32'h3f24b030,32'h3f49491e,// invsqrt(1.9572) = 0.7148 +32'h40abef77,32'h3ed87720,32'h3ee14cf8, 32'h3ed1d6bf,32'h3ee7ed59, 32'h3ec6cb71,32'h3ef2f8a7,// invsqrt(5.3730) = 0.4314 +32'h3f88724b,32'h3f72fda7,32'h3f7ce8a9, 32'h3f6b8d66,32'h3f822c75, 32'h3f5f27a4,32'h3f885f56,// invsqrt(1.0660) = 0.9686 +32'h40568cee,32'h3f0905b6,32'h3f0e9d74, 32'h3f04d3e7,32'h3f12cf43, 32'h3efbac73,32'h3f19ccf1,// invsqrt(3.3524) = 0.5462 +32'h405ba565,32'h3f076c70,32'h3f0cf37a, 32'h3f034729,32'h3f1118c1, 32'h3ef8bcb9,32'h3f18018e,// invsqrt(3.4320) = 0.5398 +32'h3ffb4437,32'h3f330fe8,32'h3f3a5eec, 32'h3f2d94a5,32'h3f3fda2f, 32'h3f2471df,32'h3f48fcf5,// invsqrt(1.9630) = 0.7137 +32'h3eea5338,32'h3fb96c13,32'h3fc0fd8b, 32'h3fb3bef8,32'h3fc6aaa6, 32'h3faa4921,32'h3fd0207d,// invsqrt(0.4577) = 1.4782 +32'h3eef0e91,32'h3fb793f1,32'h3fbf1225, 32'h3fb1f54a,32'h3fc4b0cc, 32'h3fa8978a,32'h3fce0e8c,// invsqrt(0.4669) = 1.4635 +32'h4137981e,32'h3e941fd7,32'h3e9a2b97, 32'h3e8f9707,32'h3e9eb467, 32'h3e880858,32'h3ea64316,// invsqrt(11.4746) = 0.2952 +32'h3ea355aa,32'h3fde176b,32'h3fe7280d, 32'h3fd74af3,32'h3fedf485, 32'h3fcbf629,32'h3ff9494f,// invsqrt(0.3190) = 1.7705 +32'h3e80da47,32'h3ffa0c6e,32'h40022098, 32'h3ff264de,32'h4005f460, 32'h3fe5a2ee,32'h400c5558,// invsqrt(0.2517) = 1.9934 +32'h469e9c00,32'h3be1601d,32'h3bea930f, 32'h3bda79e8,32'h3bf17944, 32'h3bcefa3a,32'h3bfcf8f2,// invsqrt(20302.0000) = 0.0070 +32'h3c95dc3c,32'h40e7dc6c,32'h40f15322, 32'h40e0c363,32'h40f86c2b, 32'h40d4ef00,32'h41022047,// invsqrt(0.0183) = 7.3935 +32'h3fc0c828,32'h3f4c6d46,32'h3f54c554, 32'h3f462b3c,32'h3f5b075e, 32'h3f3bbd2c,32'h3f65756e,// invsqrt(1.5061) = 0.8148 +32'h3f9f8f74,32'h3f60b3ec,32'h3f69dfd6, 32'h3f59d2fc,32'h3f70c0c6, 32'h3f4e5c17,32'h3f7c37ab,// invsqrt(1.2466) = 0.8957 +32'h3f490f79,32'h3f8d8b5e,32'h3f93525d, 32'h3f893620,32'h3f97a79c, 32'h3f81fd61,32'h3f9ee05b,// invsqrt(0.7854) = 1.1284 +32'h3f49c004,32'h3f8d4d63,32'h3f9311d9, 32'h3f88fa09,32'h3f976533, 32'h3f81c475,32'h3f9e9ac7,// invsqrt(0.7881) = 1.1265 +32'h3c56db26,32'h4108ecc2,32'h410e837c, 32'h4104bbb7,32'h4112b487, 32'h40fb7e9e,32'h4119b0ef,// invsqrt(0.0131) = 8.7325 +32'h3f2cbb7a,32'h3f98b5eb,32'h3f9ef196, 32'h3f94092a,32'h3fa39e56, 32'h3f8c3e93,32'h3fab68ed,// invsqrt(0.6747) = 1.2174 +32'h402250b3,32'h3f1d88e6,32'h3f23f6fa, 32'h3f18b657,32'h3f28c989, 32'h3f10acbe,32'h3f30d322,// invsqrt(2.5362) = 0.6279 +32'h3f982d6a,32'h3f6616cc,32'h3f6f7afe, 32'h3f5f0ba6,32'h3f768624, 32'h3f534e68,32'h3f8121b1,// invsqrt(1.1889) = 0.9171 +32'h4006eec6,32'h3f2cc815,32'h3f33d579, 32'h3f277e0a,32'h3f391f84, 32'h3f1ead4d,32'h3f41f041,// invsqrt(2.1083) = 0.6887 +32'h4006e962,32'h3f2ccb88,32'h3f33d911, 32'h3f278163,32'h3f392337, 32'h3f1eb078,32'h3f41f422,// invsqrt(2.1080) = 0.6888 +32'h3eb0031a,32'h3fd5f19e,32'h3fdead1c, 32'h3fcf64ff,32'h3fe539bb, 32'h3fc47aa0,32'h3ff0241a,// invsqrt(0.3438) = 1.7055 +32'h3fa28eb1,32'h3f5e9f2e,32'h3f67b559, 32'h3f57ce8c,32'h3f6e85fa, 32'h3f4c72d6,32'h3f79e1b0,// invsqrt(1.2700) = 0.8874 +32'h3e6af1f3,32'h4002f0ad,32'h400848de, 32'h3ffddd12,32'h400c4b03, 32'h3ff08098,32'h4012f940,// invsqrt(0.2294) = 2.0877 +32'h3f5a1104,32'h3f87e9c6,32'h3f8d75ee, 32'h3f83c0a9,32'h3f919f0b, 32'h3f79a2ef,32'h3f988e3d,// invsqrt(0.8518) = 1.0835 +32'h3f4fba81,32'h3f8b410c,32'h3f90f01c, 32'h3f86fdc0,32'h3f953368, 32'h3f7fc5d7,32'h3f9c4e3c,// invsqrt(0.8114) = 1.1101 +32'h41f1244b,32'h3e36c857,32'h3e3e3e3b, 32'h3e312fec,32'h3e43d6a6, 32'h3e27dc8e,32'h3e4d2a04,// invsqrt(30.1427) = 0.1821 +32'h3f87194e,32'h3f743322,32'h3f7e2ac6, 32'h3f6cb968,32'h3f82d240, 32'h3f6043dc,32'h3f890d06,// invsqrt(1.0555) = 0.9734 +32'h3e98d6a6,32'h3fe59746,32'h3feef644, 32'h3fde9008,32'h3ff5fd82, 32'h3fd2d94b,32'h4000da20,// invsqrt(0.2985) = 1.8303 +32'h3eec915e,32'h3fb88a87,32'h3fc012cc, 32'h3fb2e455,32'h3fc5b8ff, 32'h3fa979ff,32'h3fcf2355,// invsqrt(0.4620) = 1.4712 +32'h3e844730,32'h3ff6ca2c,32'h40006e70, 32'h3fef3c26,32'h40043573, 32'h3fe2a4c5,32'h400a8123,// invsqrt(0.2584) = 1.9674 +32'h3f784a81,32'h3f7ebeb2,32'h3f849243, 32'h3f76f254,32'h3f887872, 32'h3f69f30c,32'h3f8ef816,// invsqrt(0.9699) = 1.0154 +32'h3f25634e,32'h3f9c1084,32'h3fa26f3a, 32'h3f97497a,32'h3fa73644, 32'h3f8f5316,32'h3faf2ca8,// invsqrt(0.6460) = 1.2441 +32'h40196d00,32'h3f2208c5,32'h3f28a5dd, 32'h3f1d12f3,32'h3f2d9baf, 32'h3f14ce96,32'h3f35e00c,// invsqrt(2.3973) = 0.6459 +32'h3fb991c7,32'h3f505c95,32'h3f58ddc0, 32'h3f49fbb6,32'h3f5f3ea0, 32'h3f3f5a40,32'h3f69e016,// invsqrt(1.4498) = 0.8305 +32'h3e8f6858,32'h3fed051d,32'h3ff6b1bb, 32'h3fe5c3a7,32'h3ffdf331, 32'h3fd9abe1,32'h4005057b,// invsqrt(0.2801) = 1.8895 +32'h4009cf2c,32'h3f2af802,32'h3f31f276, 32'h3f25bc2c,32'h3f372e4c, 32'h3f1d031c,32'h3f3fe75c,// invsqrt(2.1533) = 0.6815 +32'h3f9247d1,32'h3f6aae50,32'h3f74427d, 32'h3f637f2e,32'h3f7b719e, 32'h3f5785f5,32'h3f83b56b,// invsqrt(1.1428) = 0.9354 +32'h3f83067f,32'h3f77f778,32'h3f810b3c, 32'h3f706038,32'h3f84d6dc, 32'h3f63b979,32'h3f8b2a3c,// invsqrt(1.0236) = 0.9884 +32'h41b5f49f,32'h3e526bb0,32'h3e5b025e, 32'h3e4bfaad,32'h3e617361, 32'h3e413e53,32'h3e6c2fbb,// invsqrt(22.7444) = 0.2097 +32'h3ecfa733,32'h3fc4f885,32'h3fcd02a9, 32'h3fbef0e9,32'h3fd30a45, 32'h3fb4e43b,32'h3fdd16f3,// invsqrt(0.4056) = 1.5702 +32'h40278920,32'h3f1b0f9a,32'h3f2163d4, 32'h3f16506e,32'h3f262300, 32'h3f0e6725,32'h3f2e0c49,// invsqrt(2.6177) = 0.6181 +32'h3ed8c707,32'h3fc0c7e8,32'h3fc8a646, 32'h3fbae123,32'h3fce8d0b, 32'h3fb10b2e,32'h3fd86300,// invsqrt(0.4234) = 1.5368 +32'h3e6fa705,32'h4001a5d6,32'h4006f086, 32'h3ffb5ba4,32'h400ae88a, 32'h3fee20ec,32'h401185e6,// invsqrt(0.2340) = 2.0671 +32'h3f562839,32'h3f8925e9,32'h3f8ebef8, 32'h3f84f31f,32'h3f92f1c3, 32'h3f7be798,32'h3f99f116,// invsqrt(0.8366) = 1.0933 +32'h3f2ddab6,32'h3f983790,32'h3f9e6e13, 32'h3f938ead,32'h3fa316f5, 32'h3f8bca89,32'h3faadb19,// invsqrt(0.6791) = 1.2135 +32'h3e750b87,32'h4000369b,32'h4005724d, 32'h3ff893a9,32'h40095f13, 32'h3feb7e6a,32'h400fe9b3,// invsqrt(0.2393) = 2.0442 +32'h409f37fe,32'h3ee0f19b,32'h3eea2009, 32'h3eda0ec8,32'h3ef102dc, 32'h3ece94bd,32'h3efc7ce7,// invsqrt(4.9756) = 0.4483 +32'h3ef09cad,32'h3fb6fbd3,32'h3fbe73d1, 32'h3fb161d4,32'h3fc40dd0, 32'h3fa80bd7,32'h3fcd63cd,// invsqrt(0.4699) = 1.4587 +32'h3f2fb837,32'h3f976831,32'h3f9d963d, 32'h3f92c5a7,32'h3fa238c7, 32'h3f8b0c18,32'h3fa9f256,// invsqrt(0.6864) = 1.2070 +32'h3f40c8d2,32'h3f908cf7,32'h3f96735f, 32'h3f8c2029,32'h3f9ae02d, 32'h3f84c027,32'h3fa2402f,// invsqrt(0.7531) = 1.1523 +32'h3f1949aa,32'h3fa21b71,32'h3fa8b94c, 32'h3f9d250d,32'h3fadafb1, 32'h3f94dfbc,32'h3fb5f502,// invsqrt(0.5988) = 1.2923 +32'h3f73fb1f,32'h3f807e1a,32'h3f85bcb8, 32'h3f791e48,32'h3f89abae, 32'h3f6c01bd,32'h3f9039f4,// invsqrt(0.9531) = 1.0243 +32'h41ab8e8f,32'h3e58b43b,32'h3e618c91, 32'h3e5211fa,32'h3e682ed2, 32'h3e47038f,32'h3e733d3d,// invsqrt(21.4446) = 0.2159 +32'h3faf4514,32'h3f566579,32'h3f5f25b2, 32'h3f4fd54e,32'h3f65b5dc, 32'h3f44e506,32'h3f70a624,// invsqrt(1.3693) = 0.8546 +32'h40081e1b,32'h3f2c0724,32'h3f330ca8, 32'h3f26c301,32'h3f3850cb, 32'h3f1dfc1c,32'h3f4117b0,// invsqrt(2.1268) = 0.6857 +32'h3f708003,32'h3f816b4c,32'h3f86b398, 32'h3f7aea25,32'h3f8aa9d1, 32'h3f6db567,32'h3f914431,// invsqrt(0.9395) = 1.0317 +32'h413cf5a2,32'h3e92019e,32'h3e97f73c, 32'h3e8d8968,32'h3e9c6f72, 32'h3e861662,32'h3ea3e278,// invsqrt(11.8100) = 0.2910 +32'h3eb70c20,32'h3fd1cacc,32'h3fda5aea, 32'h3fcb5eb6,32'h3fe0c700, 32'h3fc0aa92,32'h3feb7b24,// invsqrt(0.3575) = 1.6725 +32'h42082bb8,32'h3e2bfe8b,32'h3e3303b5, 32'h3e26baab,32'h3e384795, 32'h3e1df436,32'h3e410e0a,// invsqrt(34.0427) = 0.1714 +32'h3d3a5dcd,32'h409304c1,32'h409904f3, 32'h408e849c,32'h409d8518, 32'h4087045e,32'h40a50556,// invsqrt(0.0455) = 4.6881 +32'h3fc66523,32'h3f4983a1,32'h3f51bd3f, 32'h3f43586a,32'h3f57e876, 32'h3f391065,32'h3f62307b,// invsqrt(1.5500) = 0.8032 +32'h3f817211,32'h3f7979a8,32'h3f81d436, 32'h3f71d696,32'h3f85a5bf, 32'h3f651c23,32'h3f8c02f9,// invsqrt(1.0113) = 0.9944 +32'h3f5d2819,32'h3f86f5d6,32'h3f8c7809, 32'h3f82d431,32'h3f9099af, 32'h3f77e2e3,32'h3f977c6e,// invsqrt(0.8639) = 1.0759 +32'h4025df09,32'h3f1bd644,32'h3f22329a, 32'h3f171103,32'h3f26f7db, 32'h3f0f1d97,32'h3f2eeb47,// invsqrt(2.5917) = 0.6212 +32'h40707f25,32'h3f016b87,32'h3f06b3d6, 32'h3efaea99,32'h3f0aaa11, 32'h3eedb5d5,32'h3f114474,// invsqrt(3.7578) = 0.5159 +32'h3f582ee0,32'h3f888102,32'h3f8e1355, 32'h3f845342,32'h3f924114, 32'h3f7ab8b4,32'h3f9937fc,// invsqrt(0.8445) = 1.0882 +32'h3f0e5292,32'h3fa83c71,32'h3faf1a56, 32'h3fa31604,32'h3fb440c2, 32'h3f9a80a6,32'h3fbcd620,// invsqrt(0.5559) = 1.3412 +32'h3fdfceef,32'h3f3dba88,32'h3f457901, 32'h3f37ebae,32'h3f4b47dc, 32'h3f2e3d98,32'h3f54f5f2,// invsqrt(1.7485) = 0.7563 +32'h3fd1fd16,32'h3f43df53,32'h3f4bddfd, 32'h3f3de053,32'h3f51dcfd, 32'h3f33e1fe,32'h3f5bdb52,// invsqrt(1.6405) = 0.7807 +32'h3fd377ba,32'h3f432fa8,32'h3f4b2728, 32'h3f3d3609,32'h3f5120c7, 32'h3f3340aa,32'h3f5b1626,// invsqrt(1.6521) = 0.7780 +32'h3f75bdfb,32'h3f800805,32'h3f8541d1, 32'h3f783958,32'h3f892d2a, 32'h3f6b28da,32'h3f8fb569,// invsqrt(0.9599) = 1.0207 +32'h4012c04f,32'h3f25ad9a,32'h3f2c70c4, 32'h3f209b39,32'h3f318325, 32'h3f182744,32'h3f39f71a,// invsqrt(2.2930) = 0.6604 +32'h3e0782e8,32'h402c698b,32'h40337313, 32'h40272265,32'h4038ba39, 32'h401e567a,32'h40418624,// invsqrt(0.1323) = 2.7489 +32'h3f484a50,32'h3f8dd0f8,32'h3f939ace, 32'h3f897998,32'h3f97f22e, 32'h3f823d4c,32'h3f9f2e7a,// invsqrt(0.7824) = 1.1306 +32'h3f789164,32'h3f7e9a5d,32'h3f847f5a, 32'h3f76cf1b,32'h3f8864fb, 32'h3f69d1ae,32'h3f8ee3b1,// invsqrt(0.9710) = 1.0148 +32'h3f2e6288,32'h3f97fc3d,32'h3f9e3055, 32'h3f93552c,32'h3fa2d766, 32'h3f8b940e,32'h3faa9884,// invsqrt(0.6812) = 1.2116 +32'h41a4a153,32'h3e5d3744,32'h3e663ebf, 32'h3e5671a8,32'h3e6d045c, 32'h3e4b284f,32'h3e784db5,// invsqrt(20.5788) = 0.2204 +32'h4026805a,32'h3f1b8ab3,32'h3f21e3f4, 32'h3f16c7c3,32'h3f26a6e5, 32'h3f0ed832,32'h3f2e9676,// invsqrt(2.6016) = 0.6200 +32'h3d54fcae,32'h40898639,32'h408f2336, 32'h4085507b,32'h409358f3, 32'h407c987d,32'h409a5d30,// invsqrt(0.0520) = 4.3853 +32'h4084e190,32'h3ef63aa8,32'h3f0023c0, 32'h3eeeb106,32'h3f03e891, 32'h3ee220f8,32'h3f0a3098,// invsqrt(4.1525) = 0.4907 +32'h40c43f85,32'h3eca9d0b,32'h3ed2e225, 32'h3ec46937,32'h3ed915f9, 32'h3eba12d6,32'h3ee36c5a,// invsqrt(6.1328) = 0.4038 +32'h3fdded2f,32'h3f3e8807,32'h3f464ee2, 32'h3f38b2e1,32'h3f4c2407, 32'h3f2efa4f,32'h3f55dc99,// invsqrt(1.7338) = 0.7595 +32'h3f02abdb,32'h3faf9389,32'h3fb6be21, 32'h3faa3397,32'h3fbc1e13, 32'h3fa13e59,32'h3fc51351,// invsqrt(0.5104) = 1.3997 +32'h3f4dd314,32'h3f8be58e,32'h3f919b56, 32'h3f879d39,32'h3f95e3ab, 32'h3f807a00,32'h3f9d06e4,// invsqrt(0.8040) = 1.1152 +32'h3f68f471,32'h3f837f91,32'h3f88dd97, 32'h3f7ef219,32'h3f8ce41b, 32'h3f71870a,32'h3f9399a3,// invsqrt(0.9100) = 1.0483 +32'h3c2089d2,32'h411e6778,32'h4124dea2, 32'h41198e19,32'h4129b801, 32'h41117925,32'h4131ccf5,// invsqrt(0.0098) = 10.1023 +32'h3e698b3a,32'h40035517,32'h4008b161, 32'h3ffe9fbf,32'h400cb699, 32'h3ff13906,32'h401369f5,// invsqrt(0.2281) = 2.0939 +32'h3efd83c4,32'h3fb24431,32'h3fb98ae5, 32'h3faccf2a,32'h3fbeffec, 32'h3fa3b6ca,32'h3fc8184c,// invsqrt(0.4951) = 1.4211 +32'h3fd3efe5,32'h3f42f84b,32'h3f4aed87, 32'h3f3d005d,32'h3f50e575, 32'h3f330dd2,32'h3f5ad800,// invsqrt(1.6558) = 0.7771 +32'h3f56610e,32'h3f8913ba,32'h3f8eac0b, 32'h3f84e17e,32'h3f92de48, 32'h3f7bc632,32'h3f99dcad,// invsqrt(0.8374) = 1.0928 +32'h3f486381,32'h3f8dc80d,32'h3f939186, 32'h3f8970f3,32'h3f97e8a1, 32'h3f82351c,32'h3f9f2478,// invsqrt(0.7828) = 1.1303 +32'h3d66a5f6,32'h40842779,32'h40898c59, 32'h40801bd1,32'h408d9801, 32'h4072bb70,32'h4094561a,// invsqrt(0.0563) = 4.2141 +32'h4278f6ec,32'h3dfe666d,32'h3e046453, 32'h3df69cc3,32'h3e084928, 32'h3de9a1fc,32'h3e0ec68c,// invsqrt(62.2411) = 0.1268 +32'h3d543784,32'h4089c60c,32'h408f65a4, 32'h40858e5a,32'h40939d56, 32'h407d0db8,32'h409aa4d4,// invsqrt(0.0518) = 4.3933 +32'h3fa28318,32'h3f5ea71f,32'h3f67bd9d, 32'h3f57d640,32'h3f6e8e7c, 32'h3f4c7a21,32'h3f79ea9b,// invsqrt(1.2696) = 0.8875 +32'h420b96a3,32'h3e29e030,32'h3e30cf37, 32'h3e24acea,32'h3e36027c, 32'h3e1c0221,32'h3e3ead45,// invsqrt(34.8971) = 0.1693 +32'h3ee59d2e,32'h3fbb5090,32'h3fc2f5d0, 32'h3fb594a1,32'h3fc8b1bf, 32'h3fac0612,32'h3fd2404e,// invsqrt(0.4485) = 1.4933 +32'h405b415f,32'h3f078b50,32'h3f0d139d, 32'h3f036517,32'h3f1139d7, 32'h3ef8f570,32'h3f182436,// invsqrt(3.4259) = 0.5403 +32'h3e060a1c,32'h402d5b37,32'h40346e9d, 32'h40280cab,32'h4039bd29, 32'h401f346c,32'h40429568,// invsqrt(0.1309) = 2.7640 +32'h3e71d132,32'h400110f1,32'h4006558d, 32'h3ffa3af8,32'h400a4902, 32'h3fed0f71,32'h4010dec5,// invsqrt(0.2361) = 2.0578 +32'h4054bb49,32'h3f099b5a,32'h3f0f3934, 32'h3f0564f7,32'h3f136f97, 32'h3efcbf4d,32'h3f1a74e8,// invsqrt(3.3239) = 0.5485 +32'h3f220229,32'h3f9daf11,32'h3fa41eb3, 32'h3f98db57,32'h3fa8f26d, 32'h3f90cfcb,32'h3fb0fdf9,// invsqrt(0.6328) = 1.2570 +32'h44f1e000,32'h3cb6815d,32'h3cbdf45b, 32'h3cb0eb1e,32'h3cc38a9a, 32'h3ca79b60,32'h3cccda58,// invsqrt(1935.0000) = 0.0227 +32'h3f3c5dd7,32'h3f923c67,32'h3f98346b, 32'h3f8dc264,32'h3f9cae6e, 32'h3f864c5e,32'h3fa42474,// invsqrt(0.7358) = 1.1658 +32'h3fc512d7,32'h3f4a304c,32'h3f5270f7, 32'h3f43ffcd,32'h3f58a177, 32'h3f39aef8,32'h3f62f24c,// invsqrt(1.5396) = 0.8059 +32'h3f061216,32'h3fad560f,32'h3fb4693e, 32'h3fa807aa,32'h3fb9b7a2, 32'h3f9f2faf,32'h3fc28f9d,// invsqrt(0.5237) = 1.3818 +32'h3f9c6fc9,32'h3f62ef66,32'h3f6c32a3, 32'h3f5bfcf7,32'h3f732511, 32'h3f5068ea,32'h3f7eb91e,// invsqrt(1.2222) = 0.9046 +32'h410358e7,32'h3eaf1fba,32'h3eb64598, 32'h3ea9c354,32'h3ebba1fe, 32'h3ea0d3fe,32'h3ec49154,// invsqrt(8.2092) = 0.3490 +32'h3f1ccf57,32'h3fa046ba,32'h3fa6d174, 32'h3f9b5eaf,32'h3fabb97f, 32'h3f933148,32'h3fb3e6e6,// invsqrt(0.6125) = 1.2777 +32'h3ef69647,32'h3fb4c0d0,32'h3fbc217f, 32'h3faf384b,32'h3fc1aa03, 32'h3fa5ff70,32'h3fcae2de,// invsqrt(0.4816) = 1.4410 +32'h3ea8cfa6,32'h3fda7593,32'h3fe36041, 32'h3fd3c592,32'h3fea1042, 32'h3fc8a039,32'h3ff5359b,// invsqrt(0.3297) = 1.7415 +32'h3d25c6fb,32'h409be192,32'h40a23e5e, 32'h40971bf8,32'h40a703f8, 32'h408f27f9,32'h40aef7f7,// invsqrt(0.0405) = 4.9707 +32'h3f361fee,32'h3f94b883,32'h3f9aca7e, 32'h3f902b07,32'h3f9f57fb, 32'h3f88948e,32'h3fa6ee74,// invsqrt(0.7114) = 1.1856 +32'h3f8128c9,32'h3f79c064,32'h3f81f905, 32'h3f721b27,32'h3f85cba3, 32'h3f655d18,32'h3f8c2aab,// invsqrt(1.0091) = 0.9955 +32'h400da1f8,32'h3f28a533,32'h3f2f875f, 32'h3f237b92,32'h3f34b100, 32'h3f1ae0db,32'h3f3d4bb7,// invsqrt(2.2130) = 0.6722 +32'h40a5c394,32'h3edc7542,32'h3ee574d2, 32'h3ed5b596,32'h3eec347e, 32'h3eca7623,32'h3ef773f1,// invsqrt(5.1801) = 0.4394 +32'h3f2b5650,32'h3f9954c3,32'h3f9f96eb, 32'h3f94a326,32'h3fa44888, 32'h3f8cd075,32'h3fac1b39,// invsqrt(0.6693) = 1.2223 +32'h3f7e8fcd,32'h3f7b9676,32'h3f82eda6, 32'h3f73e2d7,32'h3f86c777, 32'h3f670ccb,32'h3f8d327c,// invsqrt(0.9944) = 1.0028 +32'h3f929671,32'h3f6a6f57,32'h3f7400f3, 32'h3f634223,32'h3f7b2e27, 32'h3f574c21,32'h3f839214,// invsqrt(1.1452) = 0.9345 +32'h3bfb0062,32'h41332819,32'h413a781a, 32'h412dac18,32'h413ff41a, 32'h41248816,32'h4149181c,// invsqrt(0.0077) = 11.4258 +32'h4009beda,32'h3f2b0223,32'h3f31fcff, 32'h3f25c5fd,32'h3f373925, 32'h3f1d0c69,32'h3f3ff2b9,// invsqrt(2.1523) = 0.6816 +32'h3fabef11,32'h3f587761,32'h3f614d3b, 32'h3f51d6fd,32'h3f67ed9f, 32'h3f46cbad,32'h3f72f8ef,// invsqrt(1.3432) = 0.8628 +32'h3f9d2101,32'h3f626f48,32'h3f6bad4a, 32'h3f5b80c5,32'h3f729bcd, 32'h3f4ff342,32'h3f7e2950,// invsqrt(1.2276) = 0.9026 +32'h401ad6ed,32'h3f214af7,32'h3f27e04f, 32'h3f1c5af4,32'h3f2cd052, 32'h3f142046,32'h3f350b00,// invsqrt(2.4194) = 0.6429 +32'h3fff5bdb,32'h3f319f1c,32'h3f38df13, 32'h3f2c2f24,32'h3f3e4f0c, 32'h3f231f2f,32'h3f475f01,// invsqrt(1.9950) = 0.7080 +32'h41b97ace,32'h3e50697c,32'h3e58eb2e, 32'h3e4a0837,32'h3e5f4c73, 32'h3e3f6619,32'h3e69ee91,// invsqrt(23.1850) = 0.2077 +32'h3eb0953e,32'h3fd59904,32'h3fde50e4, 32'h3fcf0f1b,32'h3fe4dacd, 32'h3fc42942,32'h3fefc0a6,// invsqrt(0.3449) = 1.7028 +32'h3efb4b68,32'h3fb30d58,32'h3fba5c42, 32'h3fad9229,32'h3fbfd771, 32'h3fa46f85,32'h3fc8fa15,// invsqrt(0.4908) = 1.4274 +32'h3d133681,32'h40a56b0a,32'h40ac2b7e, 32'h40a05ab4,32'h40b13bd4, 32'h4097ea24,32'h40b9ac64,// invsqrt(0.0359) = 5.2748 +32'h3f61b1c8,32'h3f8598be,32'h3f8b0cb1, 32'h3f8181c8,32'h3f8f23a8, 32'h3f7561b2,32'h3f95f497,// invsqrt(0.8816) = 1.0650 +32'h3d2c234f,32'h4098f95b,32'h409f37c7, 32'h40944a8a,32'h40a3e698, 32'h408c7c82,32'h40abb4a0,// invsqrt(0.0420) = 4.8780 +32'h3f42f838,32'h3f8fbd03,32'h3f959aee, 32'h3f8b5692,32'h3f9a015e, 32'h3f84012c,32'h3fa156c4,// invsqrt(0.7616) = 1.1459 +32'h4156b8b4,32'h3e88f7bd,32'h3e8e8ee9, 32'h3e84c65c,32'h3e92c04a, 32'h3e7b92c9,32'h3e99bd42,// invsqrt(13.4201) = 0.2730 +32'h3f3b10a3,32'h3f92be6a,32'h3f98bbbc, 32'h3f8e406c,32'h3f9d39ba, 32'h3f86c3c4,32'h3fa4b662,// invsqrt(0.7307) = 1.1698 +32'h3f509fe5,32'h3f8af468,32'h3f90a058, 32'h3f86b375,32'h3f94e14b, 32'h3f7f3913,32'h3f9bf836,// invsqrt(0.8149) = 1.1077 +32'h3e9e9e44,32'h3fe15e81,32'h3fea9162, 32'h3fda7859,32'h3ff1778b, 32'h3fcef8c0,32'h3ffcf724,// invsqrt(0.3098) = 1.7966 +32'h3f26d2ee,32'h3f9b642f,32'h3fa1bbde, 32'h3f96a26d,32'h3fa67da1, 32'h3f8eb4d3,32'h3fae6b3b,// invsqrt(0.6517) = 1.2388 +32'h402e7f7d,32'h3f17efa0,32'h3f1e2334, 32'h3f1348f2,32'h3f22c9e2, 32'h3f0b8879,32'h3f2a8a5b,// invsqrt(2.7265) = 0.6056 +32'h40776141,32'h3eff36af,32'h3f04d0b4, 32'h3ef766a5,32'h3f08b8ba, 32'h3eea613e,32'h3f0f3b6d,// invsqrt(3.8653) = 0.5086 +32'h3f70cb6f,32'h3f815705,32'h3f869e7d, 32'h3f7ac2d5,32'h3f8a9417, 32'h3f6d9028,32'h3f912d6e,// invsqrt(0.9406) = 1.0311 +32'h3ea12988,32'h3fdf9554,32'h3fe8b58c, 32'h3fd8bd2a,32'h3fef8db6, 32'h3fcd54e5,32'h3ffaf5fb,// invsqrt(0.3148) = 1.7824 +32'h3eeb48f0,32'h3fb90b27,32'h3fc098ab, 32'h3fb36104,32'h3fc642ce, 32'h3fa9f01e,32'h3fcfb3b4,// invsqrt(0.4595) = 1.4752 +32'h3f69a9c5,32'h3f834c81,32'h3f88a871, 32'h3f7e8f19,32'h3f8cad65, 32'h3f712940,32'h3f936052,// invsqrt(0.9127) = 1.0467 +32'h3df5c1c8,32'h40350ee4,32'h403c72c4, 32'h402f83fd,32'h4041fdab, 32'h40264725,32'h404b3a83,// invsqrt(0.1200) = 2.8868 +32'h3f8698b4,32'h3f74a7b0,32'h3f7ea416, 32'h3f6d2a64,32'h3f8310b1, 32'h3f60aee6,32'h3f894e70,// invsqrt(1.0515) = 0.9752 +32'h40000d67,32'h3f315cd9,32'h3f389a1b, 32'h3f2beee7,32'h3f3e080d, 32'h3f22e254,32'h3f4714a0,// invsqrt(2.0008) = 0.7070 +32'h3f20cddc,32'h3f9e45f1,32'h3fa4bbbd, 32'h3f996d99,32'h3fa99415, 32'h3f915a5b,32'h3fb1a753,// invsqrt(0.6281) = 1.2617 +32'h3f432010,32'h3f8fae55,32'h3f958ba7, 32'h3f8b4858,32'h3f99f1a4, 32'h3f83f3b1,32'h3fa1464b,// invsqrt(0.7622) = 1.1454 +32'h3fbd6172,32'h3f4e4121,32'h3f56ac47, 32'h3f47f0c5,32'h3f5cfca3, 32'h3f3d6ad5,32'h3f678293,// invsqrt(1.4795) = 0.8221 +32'h3fbbd603,32'h3f4f19c9,32'h3f578dc7, 32'h3f48c2cb,32'h3f5de4c5, 32'h3f3e31ce,32'h3f6875c2,// invsqrt(1.4675) = 0.8255 +32'h3f5124e0,32'h3f8ac834,32'h3f907256, 32'h3f86889b,32'h3f94b1ef, 32'h3f7ee7e3,32'h3f9bc699,// invsqrt(0.8170) = 1.1064 +32'h3da02cd9,32'h4060456a,32'h40696cd2, 32'h405967dc,32'h40704a60, 32'h404df69b,32'h407bbba1,// invsqrt(0.0782) = 3.5758 +32'h3de6f423,32'h403ac549,32'h404264d9, 32'h40350d9d,32'h40481c85, 32'h402b8629,32'h4051a3f9,// invsqrt(0.1128) = 2.9778 +32'h400113f9,32'h3f30a817,32'h3f37ddf9, 32'h3f2b3fae,32'h3f3d4662, 32'h3f223c54,32'h3f4649bc,// invsqrt(2.0168) = 0.7041 +32'h3f8275f5,32'h3f7880af,32'h3f8152a4, 32'h3f70e53c,32'h3f85205e, 32'h3f64377c,32'h3f8b773e,// invsqrt(1.0192) = 0.9905 +32'h3d71dd79,32'h40810dab,32'h40865225, 32'h407a349f,32'h408a4580, 32'h406d096e,32'h4090db19,// invsqrt(0.0590) = 4.1152 +32'h3f26c024,32'h3f9b6cf0,32'h3fa1c4fa, 32'h3f96aae9,32'h3fa68701, 32'h3f8ebcdc,32'h3fae750e,// invsqrt(0.6514) = 1.2390 +32'h3f0d7827,32'h3fa8be1e,32'h3fafa14e, 32'h3fa393ba,32'h3fb4cbb2, 32'h3f9af7bd,32'h3fbd67af,// invsqrt(0.5526) = 1.3452 +32'h3ee572aa,32'h3fbb61ea,32'h3fc307de, 32'h3fb5a572,32'h3fc8c456, 32'h3fac1600,32'h3fd253c8,// invsqrt(0.4481) = 1.4938 +32'h40810b16,32'h3ef9dd20,32'h3f0207f9, 32'h3ef23702,32'h3f05db08, 32'h3ee5777b,32'h3f0c3acb,// invsqrt(4.0326) = 0.4980 +32'h4017f4c1,32'h3f22d0e3,32'h3f297626, 32'h3f1dd4f2,32'h3f2e7218, 32'h3f15865e,32'h3f36c0ac,// invsqrt(2.3743) = 0.6490 +32'h3e281105,32'h401ad0dd,32'h40212287, 32'h4016139c,32'h4025dfc8, 32'h400e2d87,32'h402dc5dd,// invsqrt(0.1641) = 2.4684 +32'h3e9d04ff,32'h3fe28379,32'h3febc24f, 32'h3fdb9459,32'h3ff2b16f, 32'h3fd005cd,32'h3ffe3ffb,// invsqrt(0.3067) = 1.8058 +32'h3fb80463,32'h3f513d18,32'h3f59c76c, 32'h3f4ad558,32'h3f602f2c, 32'h3f40286f,32'h3f6adc15,// invsqrt(1.4376) = 0.8340 +32'h3f8ca742,32'h3f6f5451,32'h3f791911, 32'h3f6800c2,32'h3f803650, 32'h3f5bcad2,32'h3f865148,// invsqrt(1.0989) = 0.9540 +32'h3df62578,32'h4034ea36,32'h403c4c96, 32'h402f606e,32'h4041d65e, 32'h40262575,32'h404b1157,// invsqrt(0.1202) = 2.8845 +32'h3faa752f,32'h3f5966cd,32'h3f62466c, 32'h3f52bf14,32'h3f68ee24, 32'h3f47a78c,32'h3f7405ac,// invsqrt(1.3317) = 0.8666 +32'h3fa85d99,32'h3f5abf85,32'h3f63ad37, 32'h3f540d40,32'h3f6a5f7c, 32'h3f48e421,32'h3f75889b,// invsqrt(1.3154) = 0.8719 +32'h3f8ae479,32'h3f70d779,32'h3f7aac06, 32'h3f697810,32'h3f8105b8, 32'h3f5d2e60,32'h3f872a90,// invsqrt(1.0851) = 0.9600 +32'h3f972765,32'h3f66dde3,32'h3f704a35, 32'h3f5fcca5,32'h3f775b73, 32'h3f54053e,32'h3f81916d,// invsqrt(1.1809) = 0.9202 +32'h3f5c6759,32'h3f8730cd,32'h3f8cb567, 32'h3f830d59,32'h3f90d8db, 32'h3f784f2f,32'h3f97be9d,// invsqrt(0.8610) = 1.0777 +32'h3fb77b00,32'h3f518b5f,32'h3f5a18e6, 32'h3f4b213b,32'h3f60830b, 32'h3f407053,32'h3f6b33f3,// invsqrt(1.4334) = 0.8352 +32'h40e6c454,32'h3ebad8a0,32'h3ec278fa, 32'h3eb5205c,32'h3ec8313e, 32'h3eab97ec,32'h3ed1b9ae,// invsqrt(7.2115) = 0.3724 +32'h40560e54,32'h3f092e35,32'h3f0ec79a, 32'h3f04fb28,32'h3f12faa6, 32'h3efbf6d3,32'h3f19fa64,// invsqrt(3.3446) = 0.5468 +32'h3ec3af76,32'h3fcae792,32'h3fd32fb7, 32'h3fc4b175,32'h3fd965d3, 32'h3fba5747,32'h3fe3c001,// invsqrt(0.3822) = 1.6175 +32'h3fa33382,32'h3f5e2ea8,32'h3f67403b, 32'h3f576178,32'h3f6e0d6a, 32'h3f4c0b7f,32'h3f796363,// invsqrt(1.2750) = 0.8856 +32'h3f605079,32'h3f8601cb,32'h3f8b7a07, 32'h3f81e79d,32'h3f8f9435, 32'h3f7622a3,32'h3f966a80,// invsqrt(0.8762) = 1.0683 +32'h3f7a12c5,32'h3f7dd5e3,32'h3f84191b, 32'h3f7610a5,32'h3f87fbba, 32'h3f691d3e,32'h3f8e756d,// invsqrt(0.9768) = 1.0118 +32'h3f0afe34,32'h3faa3d3d,32'h3fb13011, 32'h3fa5071f,32'h3fb6662f, 32'h3f9c5796,32'h3fbf15b8,// invsqrt(0.5429) = 1.3571 +32'h3f752323,32'h3f80306e,32'h3f856be0, 32'h3f7887b0,32'h3f895876, 32'h3f6b7313,32'h3f8fe2c4,// invsqrt(0.9576) = 1.0219 +32'h3e47aeff,32'h400e0814,32'h4013d42a, 32'h4009af04,32'h40182d3a, 32'h40026fe9,32'h401f6c55,// invsqrt(0.1950) = 2.2645 +32'h3e280b21,32'h401ad393,32'h4021255b, 32'h4016163e,32'h4025e2b0, 32'h400e3005,32'h402dc8e9,// invsqrt(0.1641) = 2.4685 +32'h4287f3aa,32'h3df36eb7,32'h3dfd5e56, 32'h3debfb00,32'h3e026907, 32'h3ddf8f7a,32'h3e089eca,// invsqrt(67.9759) = 0.1213 +32'h40401d06,32'h3f10cd8a,32'h3f16b695, 32'h3f0c5ec2,32'h3f1b255e, 32'h3f04fb75,32'h3f2288ab,// invsqrt(3.0018) = 0.5772 +32'h3f59a0bf,32'h3f880cd1,32'h3f8d9a66, 32'h3f83e2a0,32'h3f91c496, 32'h3f79e34a,32'h3f98b591,// invsqrt(0.8501) = 1.0846 +32'h3fea01b7,32'h3f398c5b,32'h3f411f25, 32'h3f33de43,32'h3f46cd3d, 32'h3f2a66c6,32'h3f5044ba,// invsqrt(1.8282) = 0.7396 +32'h3f4ca2be,32'h3f8c4d6f,32'h3f920773, 32'h3f8801eb,32'h3f9652f7, 32'h3f80d966,32'h3f9d7b7c,// invsqrt(0.7994) = 1.1185 +32'h3f9b1e94,32'h3f63e58a,32'h3f6d32d3, 32'h3f5ceb92,32'h3f742cca, 32'h3f514af6,32'h3f7fcd66,// invsqrt(1.2119) = 0.9084 +32'h4007eaa1,32'h3f2c27b5,32'h3f332e8d, 32'h3f26e293,32'h3f3873af, 32'h3f1e1a04,32'h3f413c3e,// invsqrt(2.1237) = 0.6862 +32'h3cb33333,32'h40d40845,32'h40dcafcb, 32'h40cd8aa1,32'h40e32d6f, 32'h40c2b93a,32'h40edfed6,// invsqrt(0.0219) = 6.7612 +32'h4051e9c9,32'h3f0a870d,32'h3f102e85, 32'h3f064972,32'h3f146c20, 32'h3efe7037,32'h3f1b7d77,// invsqrt(3.2799) = 0.5522 +32'h4032a6ae,32'h3f1628de,32'h3f1c49e1, 32'h3f11901a,32'h3f20e2a4, 32'h3f09e6d6,32'h3f288be8,// invsqrt(2.7914) = 0.5985 +32'h40d935c3,32'h3ec096be,32'h3ec8731a, 32'h3ebab17a,32'h3ece585e, 32'h3eb0de08,32'h3ed82bd0,// invsqrt(6.7878) = 0.3838 +32'h4188fa09,32'h3e728523,32'h3e7c6b39, 32'h3e6b1892,32'h3e81ebe5, 32'h3e5eb8f6,32'h3e881bb3,// invsqrt(17.1221) = 0.2417 +32'h4253079e,32'h3e0a291c,32'h3e0fccc0, 32'h3e05ee62,32'h3e14077a, 32'h3dfdc3ac,32'h3e1b1406,// invsqrt(52.7574) = 0.1377 +32'h3f6c1c86,32'h3f829dc9,32'h3f87f297, 32'h3f7d3c5b,32'h3f8bf232, 32'h3f6fe856,32'h3f929c35,// invsqrt(0.9223) = 1.0413 +32'h401fe1d7,32'h3f1eba99,32'h3f253527, 32'h3f19deae,32'h3f2a1112, 32'h3f11c57d,32'h3f322a43,// invsqrt(2.4982) = 0.6327 +32'h3ff3e118,32'h3f35c0fb,32'h3f3d2c1f, 32'h3f3030a0,32'h3f42bc7a, 32'h3f26eab2,32'h3f4c0268,// invsqrt(1.9053) = 0.7245 +32'h3f5398b2,32'h3f89f9b7,32'h3f8f9b6b, 32'h3f85c070,32'h3f93d4b2, 32'h3f7d6c9f,32'h3f9aded3,// invsqrt(0.8265) = 1.0999 +32'h3f281e9d,32'h3f9aca9a,32'h3fa11c04, 32'h3f960d8b,32'h3fa5d913, 32'h3f8e27c7,32'h3fadbed7,// invsqrt(0.6567) = 1.2340 +32'h3f095eb1,32'h3fab3df3,32'h3fb23b41, 32'h3fa5fff9,32'h3fb7793b, 32'h3f9d4357,32'h3fc035dd,// invsqrt(0.5366) = 1.3651 +32'h4020f068,32'h3f1e34f4,32'h3f24aa0d, 32'h3f195d20,32'h3f2981e0, 32'h3f114ac0,32'h3f319440,// invsqrt(2.5147) = 0.6306 +32'h3ef24720,32'h3fb65a81,32'h3fbdcbea, 32'h3fb0c573,32'h3fc360f9, 32'h3fa777b1,32'h3fccaebb,// invsqrt(0.4732) = 1.4537 +32'h3ee59225,32'h3fbb5511,32'h3fc2fa7f, 32'h3fb598fe,32'h3fc8b692, 32'h3fac0a34,32'h3fd2455c,// invsqrt(0.4484) = 1.4934 +32'h3f124c21,32'h3fa5ef56,32'h3facb530, 32'h3fa0daf3,32'h3fb1c993, 32'h3f9863a3,32'h3fba40e3,// invsqrt(0.5715) = 1.3228 +32'h3fc9c033,32'h3f47d4cd,32'h3f4ffcd5, 32'h3f41b6c7,32'h3f561adb, 32'h3f3784bc,32'h3f604ce6,// invsqrt(1.5762) = 0.7965 +32'h3e46a982,32'h400e656f,32'h40143555, 32'h400a0984,32'h40189140, 32'h4002c5a5,32'h401fd51f,// invsqrt(0.1940) = 2.2703 +32'h4006aef6,32'h3f2cf0fe,32'h3f34000e, 32'h3f27a5b2,32'h3f394b5a, 32'h3f1ed2df,32'h3f421e2d,// invsqrt(2.1044) = 0.6893 +32'h3f3b25d2,32'h3f92b61c,32'h3f98b318, 32'h3f8e385f,32'h3f9d30d5, 32'h3f86bc24,32'h3fa4ad10,// invsqrt(0.7310) = 1.1696 +32'h3f48a773,32'h3f8db00a,32'h3f937888, 32'h3f8959ac,32'h3f97cee6, 32'h3f821f0e,32'h3f9f0984,// invsqrt(0.7838) = 1.1295 +32'h3f7ea621,32'h3f7b8b6e,32'h3f82e7e9, 32'h3f73d825,32'h3f86c18e, 32'h3f6702aa,32'h3f8d2c4b,// invsqrt(0.9947) = 1.0026 +32'h4101f055,32'h3eb0120d,32'h3eb741ce, 32'h3eaaae3b,32'h3ebca59f, 32'h3ea1b288,32'h3ec5a152,// invsqrt(8.1212) = 0.3509 +32'h3d64c445,32'h4084b251,32'h408a1cdd, 32'h4080a269,32'h408e2cc5, 32'h4073ba76,32'h4094f1f3,// invsqrt(0.0559) = 4.2314 +32'h3f36b7ad,32'h3f947ab5,32'h3f9a8a2a, 32'h3f8fef1d,32'h3f9f15c1, 32'h3f885bca,32'h3fa6a914,// invsqrt(0.7137) = 1.1837 +32'h3f1b5648,32'h3fa108cb,32'h3fa79b71, 32'h3f9c1ad0,32'h3fac896c, 32'h3f93e381,32'h3fb4c0bb,// invsqrt(0.6068) = 1.2838 +32'h3f63c8be,32'h3f84fb81,32'h3f8a6909, 32'h3f80e95b,32'h3f8e7b2f, 32'h3f7440e3,32'h3f954419,// invsqrt(0.8898) = 1.0601 +32'h3f5907fb,32'h3f883caa,32'h3f8dcc34, 32'h3f841103,32'h3f91f7db, 32'h3f7a3b2e,32'h3f98eb47,// invsqrt(0.8478) = 1.0861 +32'h3f56407b,32'h3f891e26,32'h3f8eb6e3, 32'h3f84eb97,32'h3f92e971, 32'h3f7bd954,32'h3f99e85e,// invsqrt(0.8369) = 1.0931 +32'h3f9127a9,32'h3f6b96cd,32'h3f753478, 32'h3f64608e,32'h3f7c6ab8, 32'h3f585b79,32'h3f8437e6,// invsqrt(1.1340) = 0.9391 +32'h3e97dec6,32'h3fe65257,32'h3fefb8f7, 32'h3fdf455f,32'h3ff6c5ef, 32'h3fd38516,32'h4001431c,// invsqrt(0.2966) = 1.8361 +32'h3e326e5a,32'h4016408f,32'h401c628b, 32'h4011a713,32'h4020fc07, 32'h4009fc98,32'h4028a682,// invsqrt(0.1742) = 2.3956 +32'h3f7786cf,32'h3f7f2352,32'h3f84c6a0, 32'h3f7753de,32'h3f88ae59, 32'h3f6a4f74,32'h3f8f308e,// invsqrt(0.9669) = 1.0170 +32'h3e4efc4c,32'h400b80f9,32'h401132a5, 32'h40073bb8,32'h401577e6, 32'h40001da1,32'h401c95fd,// invsqrt(0.2021) = 2.2242 +32'h3e82924d,32'h3ff865b5,32'h4001449a, 32'h3ff0cb15,32'h400511ea, 32'h3fe41eb5,32'h400b6819,// invsqrt(0.2550) = 1.9802 +32'h3f64e85f,32'h3f84a7da,32'h3f8a11f8, 32'h3f809844,32'h3f8e218e, 32'h3f73a73d,32'h3f94e634,// invsqrt(0.8942) = 1.0575 +32'h3fccd18f,32'h3f46543d,32'h3f4e6c93, 32'h3f4041fc,32'h3f547ed4, 32'h3f362391,32'h3f5e9d3f,// invsqrt(1.6001) = 0.7905 +32'h4138e10e,32'h3e939bd7,32'h3e99a233, 32'h3e8f1712,32'h3e9e26f8, 32'h3e878f1e,32'h3ea5aeec,// invsqrt(11.5549) = 0.2942 +32'h419a7962,32'h3e645f44,32'h3e6db186, 32'h3e5d6193,32'h3e74af37, 32'h3e51bac1,32'h3e802b04,// invsqrt(19.3093) = 0.2276 +32'h3fe4ec27,32'h3f3b98ef,32'h3f434123, 32'h3f35dac9,32'h3f48ff49, 32'h3f2c4888,32'h3f52918a,// invsqrt(1.7885) = 0.7478 +32'h3f5dd61a,32'h3f86c0de,32'h3f8c40e7, 32'h3f82a0d7,32'h3f9060ed, 32'h3f778197,32'h3f9740f9,// invsqrt(0.8665) = 1.0742 +32'h4029a97a,32'h3f1a1610,32'h3f20601c, 32'h3f155e88,32'h3f2517a4, 32'h3f0d81fa,32'h3f2cf432,// invsqrt(2.6510) = 0.6142 +32'h3f58effe,32'h3f884432,32'h3f8dd40a, 32'h3f841850,32'h3f91ffec, 32'h3f7a4903,32'h3f98f3bb,// invsqrt(0.8474) = 1.0863 +32'h3f0ed054,32'h3fa7f24e,32'h3faecd2c, 32'h3fa2ce27,32'h3fb3f153, 32'h3f9a3c90,32'h3fbc82ea,// invsqrt(0.5579) = 1.3389 +32'h3eb067f4,32'h3fd5b46d,32'h3fde6d6d, 32'h3fcf29ae,32'h3fe4f82c, 32'h3fc4426f,32'h3fefdf6b,// invsqrt(0.3445) = 1.7036 +32'h400c5dea,32'h3f29676f,32'h3f305188, 32'h3f2437db,32'h3f35811b, 32'h3f1b933c,32'h3f3e25bb,// invsqrt(2.1932) = 0.6752 +32'h425d24d5,32'h3e06f6d6,32'h3e0c7912, 32'h3e02d528,32'h3e109ac0, 32'h3df7e4b7,32'h3e177d8c,// invsqrt(55.2860) = 0.1345 +32'h3eea710d,32'h3fb96046,32'h3fc0f144, 32'h3fb3b388,32'h3fc69e02, 32'h3faa3e4b,32'h3fd0133f,// invsqrt(0.4579) = 1.4778 +32'h3f8fcfae,32'h3f6cafe6,32'h3f76590a, 32'h3f65710c,32'h3f7d97e4, 32'h3f595d9f,32'h3f84d5a8,// invsqrt(1.1235) = 0.9434 +32'h3f39c6fe,32'h3f934061,32'h3f994302, 32'h3f8ebe69,32'h3f9dc4fb, 32'h3f873b20,32'h3fa54844,// invsqrt(0.7257) = 1.1739 +32'h3fa1b3be,32'h3f5f35b3,32'h3f685203, 32'h3f586076,32'h3f6f2740, 32'h3f4cfd12,32'h3f7a8aa4,// invsqrt(1.2633) = 0.8897 +32'h3f6a7bae,32'h3f8311af,32'h3f886b39, 32'h3f7e1d10,32'h3f8c6e60, 32'h3f70bd37,32'h3f931e4c,// invsqrt(0.9159) = 1.0449 +32'h3e817225,32'h3ff97995,32'h4001d42c, 32'h3ff1d683,32'h4005a5b4, 32'h3fe51c11,32'h400c02ee,// invsqrt(0.2528) = 1.9888 +32'h3f0450e1,32'h3fae7b53,32'h3fb59a7b, 32'h3fa923f5,32'h3fbaf1d9, 32'h3fa03d03,32'h3fc3d8cb,// invsqrt(0.5169) = 1.3910 +32'h3f952ed7,32'h3f686304,32'h3f71df38, 32'h3f6145dc,32'h3f78fc60, 32'h3f556a9b,32'h3f826bd0,// invsqrt(1.1655) = 0.9263 +32'h3ed97691,32'h3fc07a0a,32'h3fc85539, 32'h3fba95a6,32'h3fce399c, 32'h3fb0c3aa,32'h3fd80b98,// invsqrt(0.4247) = 1.5344 +32'h3e7a00cb,32'h3ffddf03,32'h40041dda, 32'h3ff6197e,32'h4008009d, 32'h3fe925a0,32'h400e7a8c,// invsqrt(0.2441) = 2.0238 +32'h40971728,32'h3ee6ea4a,32'h3ef0571e, 32'h3edfd8ab,32'h3ef768bd, 32'h3ed410a2,32'h3f019863,// invsqrt(4.7216) = 0.4602 +32'h3f4398cc,32'h3f8f81f6,32'h3f955d78, 32'h3f8b1d54,32'h3f99c21a, 32'h3f83caf2,32'h3fa1147c,// invsqrt(0.7641) = 1.1440 +32'h40a22368,32'h3edee8c9,32'h3ee801f5, 32'h3ed815e7,32'h3eeed4d7, 32'h3eccb66f,32'h3efa344f,// invsqrt(5.0668) = 0.4443 +32'h3fe5e4fc,32'h3f3b334d,32'h3f42d75b, 32'h3f357843,32'h3f489265, 32'h3f2beb32,32'h3f521f76,// invsqrt(1.7961) = 0.7462 +32'h3fafe432,32'h3f560469,32'h3f5ec0ac, 32'h3f4f7737,32'h3f654ddf, 32'h3f448be4,32'h3f703933,// invsqrt(1.3742) = 0.8531 +32'h3ff108e6,32'h3f36d2ba,32'h3f3e490a, 32'h3f3139fd,32'h3f43e1c7, 32'h3f27e618,32'h3f4d35ac,// invsqrt(1.8831) = 0.7287 +32'h3fcc105e,32'h3f46b208,32'h3f4ece32, 32'h3f409ce8,32'h3f54e352, 32'h3f3679b4,32'h3f5f0686,// invsqrt(1.5942) = 0.7920 +32'h3f868239,32'h3f74bc21,32'h3f7eb95b, 32'h3f6d3e34,32'h3f831ba4, 32'h3f60c1ab,32'h3f8959e8,// invsqrt(1.0508) = 0.9755 +32'h3f95cd63,32'h3f67e7e9,32'h3f715f17, 32'h3f60ce86,32'h3f78787a, 32'h3f54f98d,32'h3f8226ba,// invsqrt(1.1703) = 0.9244 +32'h3fe8185c,32'h3f3a4f8f,32'h3f41ea51, 32'h3f349b7e,32'h3f479e62, 32'h3f2b1a0b,32'h3f511fd5,// invsqrt(1.8132) = 0.7426 +32'h40b90d33,32'h3ed0a72c,32'h3ed92b62, 32'h3eca4403,32'h3edf8e8b, 32'h3ebf9ec0,32'h3eea33ce,// invsqrt(5.7829) = 0.4158 +32'h40201d4b,32'h3f1e9d1e,32'h3f251678, 32'h3f19c21a,32'h3f29f17c, 32'h3f11aa6a,32'h3f32092c,// invsqrt(2.5018) = 0.6322 +32'h3f00459c,32'h3fb135f9,32'h3fb871a5, 32'h3fabc938,32'h3fbdde66, 32'h3fa2bea1,32'h3fc6e8fd,// invsqrt(0.5011) = 1.4127 +32'h3f479927,32'h3f8e0fda,32'h3f93dc40, 32'h3f89b68c,32'h3f98358e, 32'h3f82770c,32'h3f9f750e,// invsqrt(0.7797) = 1.1325 +32'h3fa5df9f,32'h3f5c629f,32'h3f65616c, 32'h3f55a385,32'h3f6c2085, 32'h3f4a6504,32'h3f775f06,// invsqrt(1.2959) = 0.8784 +32'h3e4536fe,32'h400eeaf4,32'h4014c04c, 32'h400a8af2,32'h4019204e, 32'h40034043,32'h40206afd,// invsqrt(0.1926) = 2.2787 +32'h402497ff,32'h3f1c70c9,32'h3f22d36d, 32'h3f17a6cd,32'h3f279d69, 32'h3f0fab7f,32'h3f2f98b7,// invsqrt(2.5718) = 0.6236 +32'h401531e7,32'h3f2450d0,32'h3f2b05be, 32'h3f1f491d,32'h3f300d71, 32'h3f16e6f3,32'h3f386f9b,// invsqrt(2.3312) = 0.6550 +32'h3f09e58f,32'h3faaea21,32'h3fb1e403, 32'h3fa5aeb8,32'h3fb71f6c, 32'h3f9cf65d,32'h3fbfd7c7,// invsqrt(0.5387) = 1.3625 +32'h3fdcacc6,32'h3f3f1227,32'h3f46dea5, 32'h3f3938c7,32'h3f4cb805, 32'h3f2f7929,32'h3f5677a3,// invsqrt(1.7240) = 0.7616 +32'h3fc796f0,32'h3f48e905,32'h3f511c54, 32'h3f42c28b,32'h3f5742cf, 32'h3f388268,32'h3f6182f2,// invsqrt(1.5593) = 0.8008 +32'h402a7772,32'h3f19b8de,32'h3f1fff1b, 32'h3f15042f,32'h3f24b3c9, 32'h3f0d2c63,32'h3f2c8b95,// invsqrt(2.6635) = 0.6127 +32'h3e7a8c4d,32'h3ffd984b,32'h4003f90d, 32'h3ff5d4f0,32'h4007daba, 32'h3fe8e4ad,32'h400e52dc,// invsqrt(0.2447) = 2.0216 +32'h3f6f4194,32'h3f81c14f,32'h3f870d1d, 32'h3f7b90e7,32'h3f8b05f9, 32'h3f6e5361,32'h3f91a4bb,// invsqrt(0.9346) = 1.0344 +32'h3e1d55b5,32'h4020023b,32'h40268a29, 32'h401b1c49,32'h402b701b, 32'h4012f260,32'h40339a04,// invsqrt(0.1536) = 2.5512 +32'h3e809797,32'h3ffa4d3c,32'h40024251, 32'h3ff2a3b0,32'h40061717, 32'h3fe5de71,32'h400c79b7,// invsqrt(0.2512) = 1.9954 +32'h3f1e903a,32'h3f9f633b,32'h3fa5e4ab, 32'h3f9a8227,32'h3faac5bf, 32'h3f92605b,32'h3fb2e78b,// invsqrt(0.6194) = 1.2706 +32'h416feb7b,32'h3e819355,32'h3e86dd43, 32'h3e7b37c4,32'h3e8ad4b6, 32'h3e6dfeef,32'h3e917120,// invsqrt(14.9950) = 0.2582 +32'h40495935,32'h3f0d7171,32'h3f133761, 32'h3f091cfd,32'h3f178bd5, 32'h3f01e592,32'h3f1ec340,// invsqrt(3.1461) = 0.5638 +32'h3f7bb581,32'h3f7d0268,32'h3f83ab0c, 32'h3f7543a3,32'h3f878a6e, 32'h3f685b06,32'h3f8dfebd,// invsqrt(0.9832) = 1.0085 +32'h3fa62f7b,32'h3f5c2da5,32'h3f652a48, 32'h3f55702a,32'h3f6be7c2, 32'h3f4a345d,32'h3f77238f,// invsqrt(1.2983) = 0.8776 +32'h3ebab5d5,32'h3fcfb95f,32'h3fd833e1, 32'h3fc95d7e,32'h3fde8fc2, 32'h3fbec45d,32'h3fe928e3,// invsqrt(0.3647) = 1.6560 +32'h3f72d46c,32'h3f80cbfb,32'h3f860dc7, 32'h3f79b545,32'h3f89ff1f, 32'h3f6c90c8,32'h3f90915e,// invsqrt(0.9486) = 1.0268 +32'h3f187290,32'h3fa28da7,32'h3fa9302b, 32'h3f9d93c4,32'h3fae2a0e, 32'h3f95489e,32'h3fb67534,// invsqrt(0.5955) = 1.2959 +32'h3eabb542,32'h3fd89bce,32'h3fe17324, 32'h3fd1fa4d,32'h3fe814a5, 32'h3fc6ed20,32'h3ff321d2,// invsqrt(0.3354) = 1.7268 +32'h3f825a55,32'h3f789b03,32'h3f816058, 32'h3f70fec1,32'h3f852e78, 32'h3f644faa,32'h3f8b8604,// invsqrt(1.0184) = 0.9909 +32'h41129f1d,32'h3ea5c05a,32'h3eac8449, 32'h3ea0ad68,32'h3eb1973c, 32'h3e98387d,32'h3eba0c27,// invsqrt(9.1638) = 0.3303 +32'h40848399,32'h3ef691e5,32'h3f005126, 32'h3eef0598,32'h3f04174d, 32'h3ee27116,32'h3f0a618e,// invsqrt(4.1411) = 0.4914 +32'h3e9ffc43,32'h3fe06775,32'h3fe99041, 32'h3fd988dd,32'h3ff06ed9, 32'h3fce15df,32'h3ffbe1d7,// invsqrt(0.3125) = 1.7889 +32'h3f0331b5,32'h3faf39e1,32'h3fb660d0, 32'h3fa9dcad,32'h3fbbbe03, 32'h3fa0ec02,32'h3fc4aeae,// invsqrt(0.5125) = 1.3969 +32'h3e9d9903,32'h3fe21901,32'h3feb537f, 32'h3fdb2d23,32'h3ff23f5d, 32'h3fcfa406,32'h3ffdc87a,// invsqrt(0.3078) = 1.8024 +32'h3d897b14,32'h40721337,32'h407bf4a7, 32'h406aaa23,32'h4081aedd, 32'h405e5057,32'h4087dbc3,// invsqrt(0.0671) = 3.8596 +32'h3d8d5b87,32'h406ebb85,32'h40787a08, 32'h40676ca3,32'h407fc8eb, 32'h405b3e80,32'h4085fb87,// invsqrt(0.0690) = 3.8063 +32'h3f390af4,32'h3f938b20,32'h3f9990ce, 32'h3f8f06de,32'h3f9e1510, 32'h3f877fc5,32'h3fa59c29,// invsqrt(0.7228) = 1.1762 +32'h3fd40f71,32'h3f42e9ca,32'h3f4ade6e, 32'h3f3cf24e,32'h3f50d5ea, 32'h3f330080,32'h3f5ac7b8,// invsqrt(1.6567) = 0.7769 +32'h3f6e5edc,32'h3f81fef5,32'h3f874d48, 32'h3f7c086c,32'h3f8b4806, 32'h3f6ec49c,32'h3f91e9ee,// invsqrt(0.9311) = 1.0363 +32'h3dd3c074,32'h40430e21,32'h404b0441, 32'h403d1588,32'h4050fcda, 32'h403321df,32'h405af083,// invsqrt(0.1034) = 3.1099 +32'h3e5c6bdb,32'h40072f6b,32'h400cb3f7, 32'h40030c02,32'h4010d760, 32'h3ff84ca5,32'h4017bd10,// invsqrt(0.2153) = 2.1554 +32'h41840783,32'h3e7705a8,32'h3e808d64, 32'h3e6f75cf,32'h3e845551, 32'h3e62db66,32'h3e8aa285,// invsqrt(16.5037) = 0.2462 +32'h3f7db50a,32'h3f7c02d6,32'h3f83260d, 32'h3f744be5,32'h3f870185, 32'h3f677052,32'h3f8d6f4f,// invsqrt(0.9910) = 1.0045 +32'h41a2a006,32'h3e5e9350,32'h3e67a900, 32'h3e57c30c,32'h3e6e7944, 32'h3e4c67f1,32'h3e79d45f,// invsqrt(20.3281) = 0.2218 +32'h40029ebd,32'h3f2f9c59,32'h3f36c74d, 32'h3f2a3c22,32'h3f3c2784, 32'h3f214671,32'h3f451d35,// invsqrt(2.0409) = 0.7000 +32'h400057c5,32'h3f31296f,32'h3f386498, 32'h3f2bbd10,32'h3f3dd0f6, 32'h3f22b31c,32'h3f46daea,// invsqrt(2.0054) = 0.7062 +32'h408b4f46,32'h3ef07b16,32'h3efa4bdd, 32'h3ee91e80,32'h3f00d439, 32'h3edcd986,32'h3f06f6b6,// invsqrt(4.3534) = 0.4793 +32'h3cf1eac8,32'h40b67d4c,32'h40bdf020, 32'h40b0e72d,32'h40c3863f, 32'h40a797a4,32'h40ccd5c8,// invsqrt(0.0295) = 5.8192 +32'h4013b6f3,32'h3f25230f,32'h3f2be093, 32'h3f2014ed,32'h3f30eeb5, 32'h3f17a809,32'h3f395b99,// invsqrt(2.3080) = 0.6582 +32'h4117338a,32'h3ea338ca,32'h3ea9e24a, 32'h3e9e39aa,32'h3eaee16a, 32'h3e95e5c9,32'h3eb7354b,// invsqrt(9.4501) = 0.3253 +32'h3d67187b,32'h408406b6,32'h40896a40, 32'h407ff81d,32'h408d74e8, 32'h40727f44,32'h40943154,// invsqrt(0.0564) = 4.2100 +32'h3e3c7258,32'h40123472,32'h40182c23, 32'h400dbaad,32'h401ca5e7, 32'h4006450f,32'h40241b85,// invsqrt(0.1840) = 2.3311 +32'h4014cd8f,32'h3f24882e,32'h3f2b3f5e, 32'h3f1f7ec9,32'h3f3048c3, 32'h3f1719cc,32'h3f38adc0,// invsqrt(2.3250) = 0.6558 +32'h3fa86289,32'h3f5abc50,32'h3f63a9e0, 32'h3f540a24,32'h3f6a5c0c, 32'h3f48e12f,32'h3f758501,// invsqrt(1.3155) = 0.8719 +32'h3f563169,32'h3f8922f8,32'h3f8ebbe8, 32'h3f84f044,32'h3f92ee9c, 32'h3f7be230,32'h3f99edc8,// invsqrt(0.8367) = 1.0932 +32'h3e3078fe,32'h40171567,32'h401d4013, 32'h40127567,32'h4021e013, 32'h400ac010,32'h4029956a,// invsqrt(0.1723) = 2.4089 +32'h3f2c3aa3,32'h3f98eefe,32'h3f9f2cfe, 32'h3f94407e,32'h3fa3db7e, 32'h3f8c72fe,32'h3faba8fe,// invsqrt(0.6728) = 1.2192 +32'h3e217399,32'h401df49f,32'h40246719, 32'h40191ec4,32'h40293cf4, 32'h40110fac,32'h40314c0c,// invsqrt(0.1577) = 2.5184 +32'h3e127d77,32'h4025d362,32'h402c9818, 32'h4020bfda,32'h4031aba0, 32'h401849f7,32'h403a2183,// invsqrt(0.1431) = 2.6439 +32'h41772e25,32'h3e7f5110,32'h3e84de6e, 32'h3e778036,32'h3e88c6db, 32'h3e6a7977,32'h3e8f4a3a,// invsqrt(15.4488) = 0.2544 +32'h3ffa771c,32'h3f33592a,32'h3f3aab2c, 32'h3f2ddba9,32'h3f4028ad, 32'h3f24b527,32'h3f494f2f,// invsqrt(1.9568) = 0.7149 +32'h3f1706f0,32'h3fa350e2,32'h3fa9fb5e, 32'h3f9e5105,32'h3faefb3b, 32'h3f95fbea,32'h3fb75056,// invsqrt(0.5899) = 1.3019 +32'h3f73c85f,32'h3f808b79,32'h3f85caa3, 32'h3f793834,32'h3f89ba02, 32'h3f6c1a4c,32'h3f9048f6,// invsqrt(0.9523) = 1.0248 +32'h3f96cee4,32'h3f672197,32'h3f7090ad, 32'h3f600e47,32'h3f77a3fd, 32'h3f54436b,32'h3f81b76c,// invsqrt(1.1782) = 0.9213 +32'h3fcec083,32'h3f456649,32'h3f4d74e9, 32'h3f3f5b51,32'h3f537fe1, 32'h3f35490a,32'h3f5d9228,// invsqrt(1.6152) = 0.7868 +32'h3f6ae682,32'h3f82f3de,32'h3f884c30, 32'h3f7de340,32'h3f8c4e6e, 32'h3f708673,32'h3f92fcd4,// invsqrt(0.9176) = 1.0439 +32'h3f2b1b1c,32'h3f996f47,32'h3f9fb284, 32'h3f94bcda,32'h3fa464f2, 32'h3f8ce8cf,32'h3fac38fd,// invsqrt(0.6684) = 1.2232 +32'h3e8483bc,32'h3ff691c4,32'h40005115, 32'h3fef0577,32'h4004173b, 32'h3fe270f8,32'h400a617b,// invsqrt(0.2588) = 1.9656 +32'h3fda38ef,32'h3f40243f,32'h3f47fbed, 32'h3f3a427b,32'h3f4dddb1, 32'h3f3074e1,32'h3f57ab4b,// invsqrt(1.7049) = 0.7659 +32'h3e48d972,32'h400d9e67,32'h4013662c, 32'h40094892,32'h4017bc00, 32'h40020edb,32'h401ef5b7,// invsqrt(0.1961) = 2.2580 +32'h4019ee44,32'h3f21c4ae,32'h3f285efe, 32'h3f1cd0f2,32'h3f2d52ba, 32'h3f14900d,32'h3f35939f,// invsqrt(2.4052) = 0.6448 +32'h3ea570e2,32'h3fdcac54,32'h3fe5ae24, 32'h3fd5eaf9,32'h3fec6f7f, 32'h3fcaa8b6,32'h3ff7b1c2,// invsqrt(0.3231) = 1.7592 +32'h3eefe168,32'h3fb74332,32'h3fbebe1a, 32'h3fb1a704,32'h3fc45a48, 32'h3fa84d62,32'h3fcdb3ea,// invsqrt(0.4685) = 1.4610 +32'h3e34d3d7,32'h401540d5,32'h401b5860, 32'h4010af2c,32'h401fea08, 32'h400911be,32'h40278776,// invsqrt(0.1766) = 2.3797 +32'h40357583,32'h3f14fe49,32'h3f1b131d, 32'h3f106eaa,32'h3f1fa2bc, 32'h3f08d4a1,32'h3f273cc5,// invsqrt(2.8353) = 0.5939 +32'h402e8189,32'h3f17eebc,32'h3f1e2246, 32'h3f134814,32'h3f22c8ee, 32'h3f0b87a7,32'h3f2a895b,// invsqrt(2.7267) = 0.6056 +32'h3f10d2f9,32'h3fa6c6db,32'h3fad9581, 32'h3fa1abdf,32'h3fb2b07d, 32'h3f992990,32'h3fbb32cc,// invsqrt(0.5657) = 1.3295 +32'h3fed5909,32'h3f383cd8,32'h3f3fc1f0, 32'h3f329905,32'h3f4565c3, 32'h3f2932a7,32'h3f4ecc21,// invsqrt(1.8543) = 0.7344 +32'h406e82b9,32'h3f01f52f,32'h3f07431c, 32'h3efbf57a,32'h3f0b3d8d, 32'h3eeeb2a9,32'h3f11def6,// invsqrt(3.7267) = 0.5180 +32'h3eb206f5,32'h3fd4bac5,32'h3fdd6994, 32'h3fce37ab,32'h3fe3ecaf, 32'h3fc35d28,32'h3feec732,// invsqrt(0.3477) = 1.6959 +32'h3f820ede,32'h3f78e318,32'h3f8185db, 32'h3f7144a2,32'h3f855516, 32'h3f6491dd,32'h3f8bae79,// invsqrt(1.0161) = 0.9921 +32'h3f15ff68,32'h3fa3e01a,32'h3faa906e, 32'h3f9edbda,32'h3faf94ae, 32'h3f967f70,32'h3fb7f118,// invsqrt(0.5859) = 1.3064 +32'h3f173cb5,32'h3fa333d7,32'h3fa9dd23, 32'h3f9e34dd,32'h3faedc1d, 32'h3f95e13d,32'h3fb72fbd,// invsqrt(0.5908) = 1.3010 +32'h3fb46901,32'h3f5351e9,32'h3f5bf1fd, 32'h3f4cd9da,32'h3f626a0c, 32'h3f4211c1,32'h3f6d3225,// invsqrt(1.4095) = 0.8423 +32'h3f6ba1fa,32'h3f82bfbc,32'h3f8815ed, 32'h3f7d7e2c,32'h3f8c1692, 32'h3f7026b1,32'h3f92c250,// invsqrt(0.9204) = 1.0423 +32'h3fb4ba14,32'h3f53227d,32'h3f5bc0a2, 32'h3f4cabe2,32'h3f62373e, 32'h3f41e635,32'h3f6cfceb,// invsqrt(1.4119) = 0.8416 +32'h4001bf53,32'h3f30334a,32'h3f376468, 32'h3f2ace75,32'h3f3cc93d, 32'h3f21d110,32'h3f45c6a2,// invsqrt(2.0273) = 0.7023 +32'h40591ec9,32'h3f083582,32'h3f0dc4c1, 32'h3f040a14,32'h3f11f030, 32'h3efa2e0a,32'h3f18e33f,// invsqrt(3.3925) = 0.5429 +32'h3ccd5709,32'h40c613bd,32'h40ce2971, 32'h40c00376,32'h40d439b8, 32'h40b5e855,32'h40de54d9,// invsqrt(0.0251) = 6.3162 +32'h3ec7e5f1,32'h3fc8c14e,32'h3fd0f2fe, 32'h3fc29c0a,32'h3fd71842, 32'h3fb85def,32'h3fe1565d,// invsqrt(0.3904) = 1.6004 +32'h3da493a8,32'h405d4074,32'h4066484e, 32'h40567a8f,32'h406d0e33, 32'h404b30be,32'h40785804,// invsqrt(0.0804) = 3.5276 +32'h3e6a10c2,32'h40032f9b,32'h40088a5d, 32'h3ffe5712,32'h400c8e6f, 32'h3ff0f42c,32'h40133fe2,// invsqrt(0.2286) = 2.0916 +32'h3bd51091,32'h4142740a,32'h414a63e0, 32'h413c8029,32'h415057c1, 32'h4132945c,32'h415a438e,// invsqrt(0.0065) = 12.4014 +32'h401ec0df,32'h3f1f4ace,32'h3f25cb3e, 32'h3f1a6a79,32'h3f2aab93, 32'h3f1249ec,32'h3f32cc20,// invsqrt(2.4805) = 0.6349 +32'h3ecfcd91,32'h3fc4e655,32'h3fccefbb, 32'h3fbedf48,32'h3fd2f6c8, 32'h3fb4d387,32'h3fdd0289,// invsqrt(0.4059) = 1.5697 +32'h3eb34aed,32'h3fd3fa3d,32'h3fdca12f, 32'h3fcd7d06,32'h3fe31e66, 32'h3fc2ac57,32'h3fedef15,// invsqrt(0.3502) = 1.6899 +32'h40a53cee,32'h3edccf03,32'h3ee5d23c, 32'h3ed60c97,32'h3eec94a7, 32'h3ecac88f,32'h3ef7d8af,// invsqrt(5.1637) = 0.4401 +32'h402389c9,32'h3f1cf1d2,32'h3f2359bb, 32'h3f1823e2,32'h3f2827aa, 32'h3f1021ff,32'h3f30298d,// invsqrt(2.5553) = 0.6256 +32'h3f9b0179,32'h3f63faee,32'h3f6d4917, 32'h3f5d004f,32'h3f7443b5, 32'h3f515e9b,32'h3f7fe569,// invsqrt(1.2110) = 0.9087 +32'h40b4bb1f,32'h3ed321e1,32'h3edbbfff, 32'h3eccab4a,32'h3ee23696, 32'h3ec1e5a5,32'h3eecfc3b,// invsqrt(5.6478) = 0.4208 +32'h40392a18,32'h3f137eb7,32'h3f1983e3, 32'h3f0efad6,32'h3f1e07c4, 32'h3f07745f,32'h3f258e3b,// invsqrt(2.8932) = 0.5879 +32'h3f5c3b27,32'h3f873e5d,32'h3f8cc385, 32'h3f831a7f,32'h3f90e763, 32'h3f786818,32'h3f97cdd6,// invsqrt(0.8603) = 1.0782 +32'h3fd479f7,32'h3f42b8e7,32'h3f4aab8e, 32'h3f3cc2eb,32'h3f50a18b, 32'h3f32d39c,32'h3f5a90db,// invsqrt(1.6600) = 0.7762 +32'h3f63400c,32'h3f85237a,32'h3f8a92a3, 32'h3f81101a,32'h3f8ea602, 32'h3f748a4c,32'h3f9570f6,// invsqrt(0.8877) = 1.0614 +32'h3e92e1c8,32'h3fea3330,32'h3ff3c256, 32'h3fe307d3,32'h3ffaedb3, 32'h3fd714e3,32'h40037052,// invsqrt(0.2869) = 1.8670 +32'h3ef2b5f4,32'h3fb630da,32'h3fbda090, 32'h3fb09d12,32'h3fc33458, 32'h3fa75170,32'h3fcc7ffa,// invsqrt(0.4740) = 1.4524 +32'h406532ec,32'h3f049245,32'h3f09fb82, 32'h3f008359,32'h3f0e0a6f, 32'h3ef37f9a,32'h3f14cdfb,// invsqrt(3.5812) = 0.5284 +32'h3e258459,32'h401c00ef,32'h40225f03, 32'h40173a60,32'h40272592, 32'h400f44c6,32'h402f1b2c,// invsqrt(0.1616) = 2.4873 +32'h3cc60713,32'h40c9b377,32'h40d1ef09, 32'h40c386ca,32'h40d81bb6, 32'h40b93c53,32'h40e2662d,// invsqrt(0.0242) = 6.4318 +32'h400e273c,32'h3f285614,32'h3f2f3505, 32'h3f232edf,32'h3f345c39, 32'h3f1a9831,32'h3f3cf2e7,// invsqrt(2.2211) = 0.6710 +32'h3fab2a5d,32'h3f58f39f,32'h3f61ce8b, 32'h3f524f6e,32'h3f6872bc, 32'h3f473dc6,32'h3f738464,// invsqrt(1.3372) = 0.8648 +32'h3fa1a62c,32'h3f5f3f11,32'h3f685bc3, 32'h3f58698b,32'h3f6f3149, 32'h3f4d05ac,32'h3f7a9528,// invsqrt(1.2629) = 0.8899 +32'h40608922,32'h3f05f0e2,32'h3f0b686e, 32'h3f01d739,32'h3f0f8217, 32'h3ef60395,32'h3f165786,// invsqrt(3.5084) = 0.5339 +32'h3e1a2835,32'h4021a645,32'h40283f57, 32'h401cb377,32'h402d3225, 32'h40147420,32'h4035717c,// invsqrt(0.1505) = 2.5773 +32'h40b3120a,32'h3ed41be6,32'h3edcc438, 32'h3ecd9da8,32'h3ee34276, 32'h3ec2cb41,32'h3eee14dd,// invsqrt(5.5960) = 0.4227 +32'h404eed43,32'h3f0b860a,32'h3f1137ec, 32'h3f0740a2,32'h3f157d54, 32'h3f002248,32'h3f1c9bae,// invsqrt(3.2332) = 0.5561 +32'h3f8974eb,32'h3f7218a3,32'h3f7bfa4c, 32'h3f6aaf65,32'h3f81b1c5, 32'h3f5e5552,32'h3f87decf,// invsqrt(1.0739) = 0.9650 +32'h3f39185e,32'h3f9385c7,32'h3f998b3d, 32'h3f8f01af,32'h3f9e0f55, 32'h3f877adb,32'h3fa59629,// invsqrt(0.7230) = 1.1760 +32'h416639aa,32'h3e84468a,32'h3e89acae, 32'h3e8039ee,32'h3e8db94a, 32'h3e72f47f,32'h3e9478f8,// invsqrt(14.3891) = 0.2636 +32'h3f6b75c6,32'h3f82cc01,32'h3f8822b3, 32'h3f7d95f8,32'h3f8c23b8, 32'h3f703d3c,32'h3f92d016,// invsqrt(0.9198) = 1.0427 +32'h3df5bfb4,32'h40350fa8,32'h403c7390, 32'h402f84bb,32'h4041fe7d, 32'h402647d9,32'h404b3b5f,// invsqrt(0.1200) = 2.8868 +32'h4174ce33,32'h3e8046a9,32'h3e858303, 32'h3e78b2ca,32'h3e897047, 32'h3e6b9be8,32'h3e8ffbb8,// invsqrt(15.3003) = 0.2557 +32'h3f7e3b7b,32'h3f7bc02b,32'h3f83035a, 32'h3f740b44,32'h3f86ddce, 32'h3f673318,32'h3f8d49e4,// invsqrt(0.9931) = 1.0035 +32'h3fa2fc2d,32'h3f5e545b,32'h3f676779, 32'h3f578605,32'h3f6e35cf, 32'h3f4c2e1f,32'h3f798db5,// invsqrt(1.2733) = 0.8862 +32'h3ee14ad4,32'h3fbd1a4e,32'h3fc4d23c, 32'h3fb7505b,32'h3fca9c2f, 32'h3fadaa71,32'h3fd44219,// invsqrt(0.4400) = 1.5075 +32'h3fc0b13c,32'h3f4c796f,32'h3f54d1fb, 32'h3f463706,32'h3f5b1464, 32'h3f3bc856,32'h3f658314,// invsqrt(1.5054) = 0.8150 +32'h3dbc9c9c,32'h404eaca4,32'h40571c2e, 32'h404858fd,32'h405d6fd5, 32'h403dcd92,32'h4067fb40,// invsqrt(0.0921) = 3.2952 +32'h40ebc450,32'h3eb8dab6,32'h3ec06640, 32'h3eb3320e,32'h3ec60ee8, 32'h3ea9c3a2,32'h3ecf7d54,// invsqrt(7.3677) = 0.3684 +32'h3f1bdf64,32'h3fa0c1e8,32'h3fa751a8, 32'h3f9bd618,32'h3fac3d78, 32'h3f93a267,32'h3fb47129,// invsqrt(0.6089) = 1.2815 +32'h3e84be0a,32'h3ff65b98,32'h400034e4, 32'h3feed0f4,32'h4003fa36, 32'h3fe23f38,32'h400a4314,// invsqrt(0.2593) = 1.9639 +32'h400f9099,32'h3f2781b2,32'h3f2e57f8, 32'h3f2260fe,32'h3f3378ac, 32'h3f19d526,32'h3f3c0484,// invsqrt(2.2432) = 0.6677 +32'h3f3dd415,32'h3f91abf9,32'h3f979e18, 32'h3f8d3661,32'h3f9c13af, 32'h3f85c7ba,32'h3fa38256,// invsqrt(0.7415) = 1.1613 +32'h3f1bfa4f,32'h3fa0b409,32'h3fa74338, 32'h3f9bc8a5,32'h3fac2e9b, 32'h3f9395aa,32'h3fb46196,// invsqrt(0.6093) = 1.2811 +32'h424d8fb8,32'h3e0bfc78,32'h3e11b32e, 32'h3e07b36f,32'h3e15fc37, 32'h3e008f0b,32'h3e1d209b,// invsqrt(51.3904) = 0.1395 +32'h3f9a3feb,32'h3f6489cb,32'h3f6dddc8, 32'h3f5d8acc,32'h3f74dcc6, 32'h3f51e1ce,32'h3f8042e2,// invsqrt(1.2051) = 0.9109 +32'h40b846c5,32'h3ed11764,32'h3ed9a02f, 32'h3ecab0cd,32'h3ee006c7, 32'h3ec005cf,32'h3eeab1c5,// invsqrt(5.7586) = 0.4167 +32'h3f1f6466,32'h3f9ef902,32'h3fa5761c, 32'h3f9a1b2e,32'h3faa53f0, 32'h3f91fece,32'h3fb27051,// invsqrt(0.6226) = 1.2673 +32'h3fe887d0,32'h3f3a22e4,32'h3f41bbd3, 32'h3f347030,32'h3f476e86, 32'h3f2af105,32'h3f50edb1,// invsqrt(1.8166) = 0.7419 +32'h3f591b1c,32'h3f8836a9,32'h3f8dc5f4, 32'h3f840b31,32'h3f91f16d, 32'h3f7a3028,32'h3f98e48a,// invsqrt(0.8481) = 1.0859 +32'h3fe160d4,32'h3f3d1113,32'h3f44c8a1, 32'h3f374769,32'h3f4a924b, 32'h3f2da1f7,32'h3f5437bd,// invsqrt(1.7608) = 0.7536 +32'h3f85e4ff,32'h3f754ba8,32'h3f7f4ebe, 32'h3f6dc957,32'h3f836888, 32'h3f61457b,32'h3f89aa76,// invsqrt(1.0461) = 0.9777 +32'h3f8aa3f4,32'h3f710f7d,32'h3f7ae653, 32'h3f69ae5c,32'h3f8123ba, 32'h3f5d61d1,32'h3f874a00,// invsqrt(1.0831) = 0.9609 +32'h40953e52,32'h3ee856f6,32'h3ef1d2ac, 32'h3ee13a2d,32'h3ef8ef75, 32'h3ed55f89,32'h3f02650d,// invsqrt(4.6639) = 0.4630 +32'h3cdc7932,32'h40bf287f,32'h40c6f5e7, 32'h40b94e70,32'h40cccff6, 32'h40af8dae,32'h40d690b8,// invsqrt(0.0269) = 6.0956 +32'h3d45490a,32'h408ee46a,32'h4094b97e, 32'h408a849b,32'h4099194d, 32'h40833a42,32'h40a063a6,// invsqrt(0.0482) = 4.5565 +32'h3fa700a1,32'h3f5ba39a,32'h3f649a9c, 32'h3f54ea5a,32'h3f6b53dc, 32'h3f49b598,32'h3f76889e,// invsqrt(1.3047) = 0.8755 +32'h3f67f4d1,32'h3f83c7f2,32'h3f8928ec, 32'h3f7f7e6c,32'h3f8d31a8, 32'h3f720bfb,32'h3f93eae0,// invsqrt(0.9061) = 1.0506 +32'h3f856206,32'h3f75c3f9,32'h3f7fcbf9, 32'h3f6e3df9,32'h3f83a8fc, 32'h3f61b3fa,32'h3f89edfc,// invsqrt(1.0421) = 0.9796 +32'h4074e8a6,32'h3f003fbc,32'h3f057bce, 32'h3ef8a55d,32'h3f0968dc, 32'h3eeb8f2f,32'h3f0ff3f2,// invsqrt(3.8267) = 0.5112 +32'h3b76f258,32'h417f6ff8,32'h4184ee84, 32'h41779e2d,32'h4188d76a, 32'h416a95da,32'h418f5b93,// invsqrt(0.0038) = 16.2906 +32'h3ebe25d6,32'h3fcdd682,32'h3fd63d4e, 32'h3fc78969,32'h3fdc8a67, 32'h3fbd08ea,32'h3fe70ae6,// invsqrt(0.3714) = 1.6409 +32'h3c2b0a65,32'h411976c6,32'h411fba51, 32'h4114c41f,32'h41246cf9, 32'h410cefb1,32'h412c4167,// invsqrt(0.0104) = 9.7872 +32'h3fa0b03e,32'h3f5fe9a6,32'h3f690d4e, 32'h3f590ee7,32'h3f6fe80d, 32'h3f4da254,32'h3f7b54a0,// invsqrt(1.2554) = 0.8925 +32'h3f1117b1,32'h3fa69f58,32'h3fad6c60, 32'h3fa18591,32'h3fb28627, 32'h3f990546,32'h3fbb0672,// invsqrt(0.5668) = 1.3283 +32'h40336037,32'h3f15db21,32'h3f1bf8f9, 32'h3f1144c0,32'h3f208f5a, 32'h3f099f72,32'h3f2834a8,// invsqrt(2.8027) = 0.5973 +32'h3f8c8d41,32'h3f6f6a74,32'h3f79301a, 32'h3f681637,32'h3f80422c, 32'h3f5bdf26,32'h3f865db4,// invsqrt(1.0981) = 0.9543 +32'h3f7b2f92,32'h3f7d45d3,32'h3f83ce22, 32'h3f7584ff,32'h3f87ae8d, 32'h3f6898f1,32'h3f8e2493,// invsqrt(0.9812) = 1.0095 +32'h40259003,32'h3f1bfb70,32'h3f22594a, 32'h3f17350c,32'h3f271fae, 32'h3f0f3fba,32'h3f2f1500,// invsqrt(2.5869) = 0.6217 +32'h3f8c9d60,32'h3f6f5cba,32'h3f7921d2, 32'h3f6808e9,32'h3f803ad2, 32'h3f5bd28c,32'h3f865600,// invsqrt(1.0986) = 0.9541 +32'h3d99fc26,32'h4064bc0f,32'h406e121b, 32'h405dbb87,32'h407512a3, 32'h40520ff9,32'h40805f18,// invsqrt(0.0752) = 3.6469 +32'h40419f7b,32'h3f103cc0,32'h3f161fe2, 32'h3f0bd267,32'h3f1a8a3b, 32'h3f04767c,32'h3f21e626,// invsqrt(3.0254) = 0.5749 +32'h40c9f1fa,32'h3ec7bc2b,32'h3ecfe331, 32'h3ec19ee5,32'h3ed60077, 32'h3eb76e1d,32'h3ee0313f,// invsqrt(6.3108) = 0.3981 +32'h3f3fad48,32'h3f90f7b9,32'h3f96e27d, 32'h3f8c87a6,32'h3f9b5290, 32'h3f852232,32'h3fa2b804,// invsqrt(0.7487) = 1.1557 +32'h3f9f35b9,32'h3f60f335,32'h3f6a21b5, 32'h3f5a1056,32'h3f710494, 32'h3f4e9636,32'h3f7c7eb4,// invsqrt(1.2438) = 0.8966 +32'h3ee973f5,32'h3fb9c4a8,32'h3fc159be, 32'h3fb414d7,32'h3fc7098f, 32'h3faa9a7b,32'h3fd083eb,// invsqrt(0.4560) = 1.4809 +32'h3f47a2fd,32'h3f8e0c5a,32'h3f93d89c, 32'h3f89b328,32'h3f9831ce, 32'h3f8273d5,32'h3f9f7121,// invsqrt(0.7798) = 1.1324 +32'h3f98442b,32'h3f66059a,32'h3f6f6918, 32'h3f5efafb,32'h3f7673b7, 32'h3f533e9d,32'h3f81180b,// invsqrt(1.1896) = 0.9169 +32'h3ed11390,32'h3fc44c98,32'h3fcc4fb8, 32'h3fbe4a40,32'h3fd25210, 32'h3fb44657,32'h3fdc55f9,// invsqrt(0.4084) = 1.5649 +32'h3f4f6662,32'h3f8b5d47,32'h3f910d7e, 32'h3f87191d,32'h3f9551a7, 32'h3f7ff9b0,32'h3f9c6dec,// invsqrt(0.8102) = 1.1110 +32'h3efd1b86,32'h3fb268e3,32'h3fb9b117, 32'h3facf2bd,32'h3fbf273d, 32'h3fa3d87d,32'h3fc8417d,// invsqrt(0.4944) = 1.4223 +32'h3ec0b5e5,32'h3fcc76f6,32'h3fd4cf68, 32'h3fc634a0,32'h3fdb11be, 32'h3fbbc611,32'h3fe5804d,// invsqrt(0.3764) = 1.6300 +32'h3fea026d,32'h3f398c12,32'h3f411eda, 32'h3f33ddfd,32'h3f46ccef, 32'h3f2a6684,32'h3f504468,// invsqrt(1.8282) = 0.7396 +32'h3f5647af,32'h3f891bd8,32'h3f8eb47d, 32'h3f84e95b,32'h3f92e6f9, 32'h3f7bd518,32'h3f99e5c8,// invsqrt(0.8370) = 1.0930 +32'h3e44e9ff,32'h400f06e2,32'h4014dd5e, 32'h400aa605,32'h40193e3b, 32'h400359ea,32'h40208a56,// invsqrt(0.1923) = 2.2804 +32'h40acaac3,32'h3ed80199,32'h3ee0d2a5, 32'h3ed164d1,32'h3ee76f6d, 32'h3ec65f82,32'h3ef274bc,// invsqrt(5.3958) = 0.4305 +32'h3ff1b0e0,32'h3f369327,32'h3f3e06df, 32'h3f30fc5c,32'h3f439daa, 32'h3f27abb6,32'h3f4cee50,// invsqrt(1.8882) = 0.7277 +32'h3d4cf9f2,32'h408c2f93,32'h4091e860, 32'h4087e4fa,32'h409632fa, 32'h4080bdfb,32'h409d59f9,// invsqrt(0.0500) = 4.4702 +32'h426ca659,32'h3e0277ba,32'h3e07cafc, 32'h3dfcf293,32'h3e0bc96c, 32'h3defa271,32'h3e12717e,// invsqrt(59.1624) = 0.1300 +32'h40a4e930,32'h3edd070c,32'h3ee60c8f, 32'h3ed642e9,32'h3eecd0b1, 32'h3ecafc05,32'h3ef81795,// invsqrt(5.1535) = 0.4405 +32'h3e220733,32'h401dac9d,32'h40241c26, 32'h4018d8f6,32'h4028efcc, 32'h4010cd8a,32'h4030fb38,// invsqrt(0.1582) = 2.5139 +32'h3f1a697e,32'h3fa18415,32'h3fa81bc2, 32'h3f9c9252,32'h3fad0d84, 32'h3f9454ba,32'h3fb54b1c,// invsqrt(0.6032) = 1.2876 +32'h40def280,32'h3ebe183d,32'h3ec5da88, 32'h3eb84684,32'h3ecbac40, 32'h3eae93a5,32'h3ed55f1f,// invsqrt(6.9671) = 0.3789 +32'h3fecceb2,32'h3f3872a0,32'h3f3ff9eb, 32'h3f32cd29,32'h3f459f63, 32'h3f29640c,32'h3f4f0880,// invsqrt(1.8501) = 0.7352 +32'h3f9ad1c0,32'h3f641e0e,32'h3f6d6da6, 32'h3f5d225c,32'h3f746958, 32'h3f517ede,32'h3f80066b,// invsqrt(1.2095) = 0.9093 +32'h40006406,32'h3f3120fa,32'h3f385bca, 32'h3f2bb4dd,32'h3f3dc7e7, 32'h3f22ab58,32'h3f46d16c,// invsqrt(2.0061) = 0.7060 +32'h400787f2,32'h3f2c6656,32'h3f336fbd, 32'h3f271f4a,32'h3f38b6ca, 32'h3f1e5389,32'h3f41828b,// invsqrt(2.1177) = 0.6872 +32'h3da7b4db,32'h405b2d76,32'h40641fa4, 32'h405477d3,32'h406ad547, 32'h40494918,32'h40760402,// invsqrt(0.0819) = 3.4945 +32'h3f314dab,32'h3f96baaf,32'h3f9ce1a7, 32'h3f921d76,32'h3fa17ee0, 32'h3f8a6cc0,32'h3fa92f96,// invsqrt(0.6926) = 1.2016 +32'h3f26d6a9,32'h3f9b6273,32'h3fa1ba0f, 32'h3f96a0be,32'h3fa67bc4, 32'h3f8eb33a,32'h3fae6948,// invsqrt(0.6517) = 1.2387 +32'h3fa0e7d7,32'h3f5fc2f3,32'h3f68e507, 32'h3f58e964,32'h3f6fbe96, 32'h3f4d7eca,32'h3f7b2930,// invsqrt(1.2571) = 0.8919 +32'h3fe336ab,32'h3f3c4d33,32'h3f43fcc3, 32'h3f368988,32'h3f49c06e, 32'h3f2cee15,32'h3f535be1,// invsqrt(1.7751) = 0.7506 +32'h40cf44e6,32'h3ec52734,32'h3ecd3341, 32'h3ebf1e2b,32'h3ed33c4b, 32'h3eb50f1c,32'h3edd4b5b,// invsqrt(6.4772) = 0.3929 +32'h3fbfa4cf,32'h3f4d086f,32'h3f5566d2, 32'h3f46c1a6,32'h3f5bad9c, 32'h3f3c4baa,32'h3f662398,// invsqrt(1.4972) = 0.8173 +32'h3f5751ab,32'h3f88c70e,32'h3f8e5c3e, 32'h3f84972a,32'h3f928c22, 32'h3f7b395e,32'h3f99869d,// invsqrt(0.8411) = 1.0904 +32'h413fa257,32'h3e90fbdd,32'h3e96e6cb, 32'h3e8c8ba9,32'h3e9b56ff, 32'h3e8525ff,32'h3ea2bca9,// invsqrt(11.9771) = 0.2890 +32'h4030e942,32'h3f16e570,32'h3f1d0e26, 32'h3f1246e7,32'h3f21acaf, 32'h3f0a9404,32'h3f295f92,// invsqrt(2.7642) = 0.6015 +32'h3f13a17b,32'h3fa52f10,32'h3fabed11, 32'h3fa02090,32'h3fb0fb92, 32'h3f97b30f,32'h3fb96913,// invsqrt(0.5767) = 1.3168 +32'h3cc9ae96,32'h40c7dd87,32'h40d005eb, 32'h40c1bf3d,32'h40d62435, 32'h40b78cc0,32'h40e056b2,// invsqrt(0.0246) = 6.3733 +32'h3efa593b,32'h3fb363de,32'h3fbab650, 32'h3fade609,32'h3fc03425, 32'h3fa4befb,32'h3fc95b33,// invsqrt(0.4890) = 1.4301 +32'h3f0ecb5f,32'h3fa7f538,32'h3faed036, 32'h3fa2d0fb,32'h3fb3f473, 32'h3f9a3f3e,32'h3fbc8630,// invsqrt(0.5578) = 1.3390 +32'h3f608b00,32'h3f85f053,32'h3f8b67d9, 32'h3f81d6ae,32'h3f8f817e, 32'h3f76028e,32'h3f9656e5,// invsqrt(0.8771) = 1.0678 +32'h40808b56,32'h3efa592a,32'h3f024886, 32'h3ef2af40,32'h3f061d7b, 32'h3ee5e965,32'h3f0c8068,// invsqrt(4.0170) = 0.4989 +32'h3f37a0f5,32'h3f941c46,32'h3f9a27e1, 32'h3f8f9393,32'h3f9eb095, 32'h3f880512,32'h3fa63f16,// invsqrt(0.7173) = 1.1807 +32'h3f408fb8,32'h3f90a264,32'h3f9689ac, 32'h3f8c34ee,32'h3f9af722, 32'h3f84d3d4,32'h3fa2583c,// invsqrt(0.7522) = 1.1530 +32'h3fd50eac,32'h3f4274e7,32'h3f4a64c7, 32'h3f3c80ff,32'h3f5058af, 32'h3f329528,32'h3f5a4486,// invsqrt(1.6645) = 0.7751 +32'h3f861fd1,32'h3f7515d8,32'h3f7f16bc, 32'h3f6d952d,32'h3f834bb4, 32'h3f61140f,32'h3f898c42,// invsqrt(1.0478) = 0.9769 +32'h3fd581a7,32'h3f424084,32'h3f4a2e40, 32'h3f3c4e37,32'h3f50208d, 32'h3f32650b,32'h3f5a09b9,// invsqrt(1.6680) = 0.7743 +32'h3f225ceb,32'h3f9d82f8,32'h3fa3f0ce, 32'h3f98b098,32'h3fa8c32e, 32'h3f90a74c,32'h3fb0cc7a,// invsqrt(0.6342) = 1.2557 +32'h3fbf117b,32'h3f4d576c,32'h3f55b908, 32'h3f470e37,32'h3f5c023d, 32'h3f3c9434,32'h3f667c40,// invsqrt(1.4927) = 0.8185 +32'h3fc87f9d,32'h3f487450,32'h3f50a2db, 32'h3f425167,32'h3f56c5c3, 32'h3f381739,32'h3f60fff1,// invsqrt(1.5664) = 0.7990 +32'h415af287,32'h3e87a3b6,32'h3e8d2d02, 32'h3e837cbe,32'h3e9153fa, 32'h3e79223f,32'h3e983f98,// invsqrt(13.6842) = 0.2703 +32'h3f221030,32'h3f9da83e,32'h3fa41799, 32'h3f98d4b9,32'h3fa8eb1d, 32'h3f90c987,32'h3fb0f64f,// invsqrt(0.6331) = 1.2568 +32'h3f313004,32'h3f96c74c,32'h3f9ceec7, 32'h3f9229af,32'h3fa18c63, 32'h3f8a7855,32'h3fa93dbd,// invsqrt(0.6921) = 1.2020 +32'h3f4f02cb,32'h3f8b7ec9,32'h3f91305e, 32'h3f873998,32'h3f95758e, 32'h3f801b9e,32'h3f9c9388,// invsqrt(0.8086) = 1.1120 +32'h3efa560f,32'h3fb36501,32'h3fbab77e, 32'h3fade722,32'h3fc0355c, 32'h3fa4c006,32'h3fc95c79,// invsqrt(0.4889) = 1.4301 +32'h3fab6072,32'h3f58d160,32'h3f61aae7, 32'h3f522e3c,32'h3f684e0c, 32'h3f471e54,32'h3f735df4,// invsqrt(1.3389) = 0.8642 +32'h3eaf1812,32'h3fd68105,32'h3fdf425f, 32'h3fcff003,32'h3fe5d361, 32'h3fc4fe53,32'h3ff0c511,// invsqrt(0.3420) = 1.7100 +32'h40e7da6c,32'h3eba6870,32'h3ec20436, 32'h3eb4b39c,32'h3ec7b90a, 32'h3eab30e4,32'h3ed13bc2,// invsqrt(7.2454) = 0.3715 +32'h3fc003b5,32'h3f4cd5bf,32'h3f55320f, 32'h3f469082,32'h3f5b774c, 32'h3f3c1d1d,32'h3f65eab1,// invsqrt(1.5001) = 0.8165 +32'h3f8be747,32'h3f6ff84e,32'h3f79c3bf, 32'h3f689fba,32'h3f808e2a, 32'h3f5c616d,32'h3f86ad51,// invsqrt(1.0930) = 0.9565 +32'h3f6371e9,32'h3f8514e1,32'h3f8a8372, 32'h3f8101f4,32'h3f8e965e, 32'h3f746f7d,32'h3f956094,// invsqrt(0.8885) = 1.0609 +32'h3f33e5b9,32'h3f95a37b,32'h3f9bbf0d, 32'h3f910ecd,32'h3fa053bb, 32'h3f896c57,32'h3fa7f631,// invsqrt(0.7027) = 1.1929 +32'h3f66c75b,32'h3f841de8,32'h3f898265, 32'h3f80128b,32'h3f8d8dc3, 32'h3f72a9e0,32'h3f944b5e,// invsqrt(0.9015) = 1.0532 +32'h413aa197,32'h3e92ea0c,32'h3e98e926, 32'h3e8e6ab8,32'h3e9d687a, 32'h3e86ebd6,32'h3ea4e75c,// invsqrt(11.6645) = 0.2928 +32'h3f5962da,32'h3f88202d,32'h3f8dae8d, 32'h3f83f565,32'h3f91d955, 32'h3f7a06db,32'h3f98cb4d,// invsqrt(0.8492) = 1.0852 +32'h3df32d6f,32'h40360413,32'h403d71f4, 32'h403071a9,32'h4043045d, 32'h40272850,32'h404c4db7,// invsqrt(0.1187) = 2.9020 +32'h40499446,32'h3f0d5cb7,32'h3f1321ce, 32'h3f0908e5,32'h3f17759f, 32'h3f01d288,32'h3f1eabfc,// invsqrt(3.1497) = 0.5635 +32'h3ea5c596,32'h3fdc73ec,32'h3fe5736e, 32'h3fd5b44b,32'h3fec330f, 32'h3fca74e8,32'h3ff77272,// invsqrt(0.3238) = 1.7574 +32'h3f34813f,32'h3f9562f7,32'h3f9b7be7, 32'h3f90d043,32'h3fa00e9b, 32'h3f893117,32'h3fa7adc7,// invsqrt(0.7051) = 1.1909 +32'h3eeabee1,32'h3fb94189,32'h3fc0d145, 32'h3fb395bb,32'h3fc67d13, 32'h3faa2210,32'h3fcff0be,// invsqrt(0.4585) = 1.4768 +32'h3f8d8de8,32'h3f6e9106,32'h3f784dcd, 32'h3f674372,32'h3f7f9b62, 32'h3f5b1779,32'h3f85e3ae,// invsqrt(1.1059) = 0.9509 +32'h3f9e8d1b,32'h3f616ab3,32'h3f6a9e13, 32'h3f5a842b,32'h3f71849b, 32'h3f4f03f3,32'h3f7d04d3,// invsqrt(1.2387) = 0.8985 +32'h3f6e010c,32'h3f821891,32'h3f8767ef, 32'h3f7c3a13,32'h3f8b6376, 32'h3f6ef3a6,32'h3f9206ad,// invsqrt(0.9297) = 1.0371 +32'h3f8c2e59,32'h3f6fbb72,32'h3f798466, 32'h3f6864ba,32'h3f806d8f, 32'h3f5c2988,32'h3f868b28,// invsqrt(1.0952) = 0.9556 +32'h3f4aaacc,32'h3f8cfb72,32'h3f92bc91, 32'h3f88aa9c,32'h3f970d68, 32'h3f817935,32'h3f9e3ecf,// invsqrt(0.7917) = 1.1239 +32'h4176f112,32'h3e7f70a1,32'h3e84eedc, 32'h3e779ed0,32'h3e88d7c4, 32'h3e6a9674,32'h3e8f5bf2,// invsqrt(15.4339) = 0.2545 +32'h40a6c34a,32'h3edbcbfb,32'h3ee4c4a2, 32'h3ed5117e,32'h3eeb7f20, 32'h3ec9daae,32'h3ef6b5f1,// invsqrt(5.2113) = 0.4381 +32'h3fadf7b5,32'h3f573283,32'h3f5ffb1b, 32'h3f509c11,32'h3f66918d, 32'h3f45a154,32'h3f718c4a,// invsqrt(1.3591) = 0.8578 +32'h3f9945f0,32'h3f6543dd,32'h3f6e9f73, 32'h3f5e3f2c,32'h3f75a424, 32'h3f528cb1,32'h3f80ab50,// invsqrt(1.1974) = 0.9138 +32'h3faddd8c,32'h3f5742b3,32'h3f600bf3, 32'h3f50abc2,32'h3f66a2e4, 32'h3f45b031,32'h3f719e75,// invsqrt(1.3583) = 0.8580 +32'h3d8345b3,32'h4077bbbf,32'h4080ec27, 32'h40702653,32'h4084b6dd, 32'h406382a0,32'h408b08b7,// invsqrt(0.0641) = 3.9498 +32'h3f70b343,32'h3f815d84,32'h3f86a540, 32'h3f7acf6d,32'h3f8a9b0d, 32'h3f6d9c17,32'h3f9134b9,// invsqrt(0.9402) = 1.0313 +32'h409e1e1e,32'h3ee1b9c2,32'h3eeaf05c, 32'h3edad0ce,32'h3ef1d950, 32'h3ecf4c8e,32'h3efd5d91,// invsqrt(4.9412) = 0.4499 +32'h3d89b337,32'h4071e1da,32'h407bc146, 32'h406a7a49,32'h4081946c, 32'h405e2302,32'h4087c00f,// invsqrt(0.0672) = 3.8565 +32'h40fd42da,32'h3eb25b09,32'h3eb9a2ab, 32'h3eace54f,32'h3ebf1865, 32'h3ea3cbc4,32'h3ec831f0,// invsqrt(7.9144) = 0.3555 +32'h3dee084f,32'h4037f8f7,32'h403f7b4a, 32'h40325738,32'h40451d08, 32'h4028f450,32'h404e7ff0,// invsqrt(0.1162) = 2.9332 +32'h3ed085b3,32'h3fc48f52,32'h3fcc952c, 32'h3fbe8aef,32'h3fd2998f, 32'h3fb4839f,32'h3fdca0df,// invsqrt(0.4073) = 1.5670 +32'h3e6e448f,32'h40020621,32'h400754bf, 32'h3ffc1655,32'h400b4fb6, 32'h3feed1ca,32'h4011f1fb,// invsqrt(0.2327) = 2.0731 +32'h3f91a727,32'h3f6b2f9b,32'h3f74c90f, 32'h3f63fc84,32'h3f7bfc26, 32'h3f57fcb3,32'h3f83fdfc,// invsqrt(1.1379) = 0.9374 +32'h3b54ea81,32'h41898c17,32'h418f2951, 32'h4185562b,32'h41935f3d, 32'h417ca344,32'h419a63c6,// invsqrt(0.0032) = 17.5443 +32'h3f927792,32'h3f6a880b,32'h3f741aa9, 32'h3f635a16,32'h3f7b489e, 32'h3f5762d1,32'h3f839ff2,// invsqrt(1.1443) = 0.9348 +32'h3eb5d929,32'h3fd27b93,32'h3fdb12e7, 32'h3fcc0a14,32'h3fe18466, 32'h3fc14cea,32'h3fec4190,// invsqrt(0.3552) = 1.6780 +32'h3f9196df,32'h3f6b3cc1,32'h3f74d6bf, 32'h3f640943,32'h3f7c0a3d, 32'h3f5808c6,32'h3f84055d,// invsqrt(1.1374) = 0.9376 +32'h3f237cc6,32'h3f9cf810,32'h3fa3603b, 32'h3f9829f1,32'h3fa82e5b, 32'h3f9027bc,32'h3fb03090,// invsqrt(0.6386) = 1.2513 +32'h3f8361f5,32'h3f77a11a,32'h3f80de49, 32'h3f700c7e,32'h3f84a897, 32'h3f636a27,32'h3f8af9c2,// invsqrt(1.0264) = 0.9870 +32'h3e1ff2c6,32'h401eb232,32'h40252c68, 32'h4019d689,32'h402a0811, 32'h4011bdc5,32'h403220d5,// invsqrt(0.1562) = 2.5302 +32'h3ef9a452,32'h3fb3a4d2,32'h3fbaf9ea, 32'h3fae2500,32'h3fc079bc, 32'h3fa4faa1,32'h3fc9a41b,// invsqrt(0.4876) = 1.4321 +32'h3f862096,32'h3f751524,32'h3f7f1601, 32'h3f6d947f,32'h3f834b54, 32'h3f61136b,32'h3f898bde,// invsqrt(1.0479) = 0.9769 +32'h3fba77e5,32'h3f4fdbdc,32'h3f5857c6, 32'h3f497eed,32'h3f5eb4b5, 32'h3f3ee409,32'h3f694f99,// invsqrt(1.4568) = 0.8285 +32'h41e4799e,32'h3e3bc7ef,32'h3e43720d, 32'h3e360858,32'h3e4931a4, 32'h3e2c73b1,32'h3e52c64b,// invsqrt(28.5594) = 0.1871 +32'h3f4c153a,32'h3f8c7e0b,32'h3f923a0b, 32'h3f88310a,32'h3f96870c, 32'h3f81060a,32'h3f9db20c,// invsqrt(0.7972) = 1.1200 +32'h3f9789aa,32'h3f6692fb,32'h3f6ffc3f, 32'h3f5f8408,32'h3f770b32, 32'h3f53c074,32'h3f816763,// invsqrt(1.1839) = 0.9191 +32'h3f8faeae,32'h3f6ccb13,32'h3f767552, 32'h3f658b63,32'h3f7db501, 32'h3f597693,32'h3f84e4e8,// invsqrt(1.1225) = 0.9439 +32'h3f7cf06f,32'h3f7c64b5,32'h3f8358fa, 32'h3f74aac3,32'h3f8735f2, 32'h3f67ca32,32'h3f8da63b,// invsqrt(0.9880) = 1.0060 +32'h401bea58,32'h3f20bc43,32'h3f274bc8, 32'h3f1bd09e,32'h3f2c376c, 32'h3f139d38,32'h3f346ad2,// invsqrt(2.4362) = 0.6407 +32'h3e88ce63,32'h3ff2abd0,32'h3ffc937a, 32'h3feb3e10,32'h4002009d, 32'h3fdedc7b,32'h40083168,// invsqrt(0.2672) = 1.9346 +32'h40a88c52,32'h3edaa131,32'h3ee38da5, 32'h3ed3efd9,32'h3eea3efd, 32'h3ec8c847,32'h3ef5668f,// invsqrt(5.2671) = 0.4357 +32'h3f6164fc,32'h3f85af7f,32'h3f8b245f, 32'h3f8197d6,32'h3f8f3c08, 32'h3f758b7b,32'h3f960e20,// invsqrt(0.8804) = 1.0657 +32'h3eda720c,32'h3fc00b1f,32'h3fc7e1c7, 32'h3fba2a20,32'h3fcdc2c6, 32'h3fb05dce,32'h3fd78f18,// invsqrt(0.4267) = 1.5310 +32'h3f48f8ed,32'h3f8d934f,32'h3f935aa0, 32'h3f893dd1,32'h3f97b01d, 32'h3f8204ab,32'h3f9ee943,// invsqrt(0.7850) = 1.1286 +32'h3f74aac1,32'h3f804ff4,32'h3f858caf, 32'h3f78c4cd,32'h3f897a3b, 32'h3f6bacf8,32'h3f900626,// invsqrt(0.9557) = 1.0229 +32'h3fb52832,32'h3f52e248,32'h3f5b7dce, 32'h3f4c6da4,32'h3f61f272, 32'h3f41ab3d,32'h3f6cb4d9,// invsqrt(1.4153) = 0.8406 +32'h3ecd6ced,32'h3fc6092f,32'h3fce1e75, 32'h3fbff93b,32'h3fd42e69, 32'h3fb5dea3,32'h3fde4901,// invsqrt(0.4012) = 1.5787 +32'h3f24e02a,32'h3f9c4e88,32'h3fa2afc8, 32'h3f978599,32'h3fa778b7, 32'h3f8f8c0a,32'h3faf7246,// invsqrt(0.6440) = 1.2461 +32'h3f8d96d8,32'h3f6e897f,32'h3f7845f7, 32'h3f673c25,32'h3f7f9351, 32'h3f5b108f,32'h3f85df74,// invsqrt(1.1062) = 0.9508 +32'h3f129a72,32'h3fa5c2fe,32'h3fac8708, 32'h3fa0aff6,32'h3fb19a10, 32'h3f983ae9,32'h3fba0f1d,// invsqrt(0.5727) = 1.3214 +32'h3e95ccd5,32'h3fe7e857,32'h3ff15f89, 32'h3fe0cef1,32'h3ff878ef, 32'h3fd4f9f2,32'h400226f7,// invsqrt(0.2926) = 1.8488 +32'h3fafed03,32'h3f55ff0c,32'h3f5ebb17, 32'h3f4f7204,32'h3f654820, 32'h3f4486f7,32'h3f70332d,// invsqrt(1.3744) = 0.8530 +32'h408114ff,32'h3ef9d388,32'h3f0202fb, 32'h3ef22db5,32'h3f05d5e4, 32'h3ee56eac,32'h3f0c3569,// invsqrt(4.0338) = 0.4979 +32'h3f91694b,32'h3f6b619c,32'h3f74fd1b, 32'h3f642cfe,32'h3f7c31ba, 32'h3f582aa0,32'h3f841a0c,// invsqrt(1.1360) = 0.9382 +32'h3fa9fc26,32'h3f59b425,32'h3f6296ed, 32'h3f530a0f,32'h3f694103, 32'h3f47ee95,32'h3f745c7d,// invsqrt(1.3280) = 0.8678 +32'h3faf382c,32'h3f566d5e,32'h3f5f2dea, 32'h3f4fdcf5,32'h3f65be53, 32'h3f44ec47,32'h3f70af01,// invsqrt(1.3689) = 0.8547 +32'h3f2c62fa,32'h3f98dd19,32'h3f9f1a5d, 32'h3f942f25,32'h3fa3c851, 32'h3f8c628f,32'h3fab94e7,// invsqrt(0.6734) = 1.2186 +32'h3f8b5466,32'h3f7076a9,32'h3f7a4743, 32'h3f691a36,32'h3f80d1db, 32'h3f5cd577,32'h3f86f43a,// invsqrt(1.0885) = 0.9585 +32'h3ec54586,32'h3fca1652,32'h3fd255ec, 32'h3fc3e69d,32'h3fd885a1, 32'h3fb9971c,32'h3fe2d522,// invsqrt(0.3853) = 1.6110 +32'h3f56cacd,32'h3f88f1f8,32'h3f8e88e8, 32'h3f84c0c4,32'h3f92ba1c, 32'h3f7b8830,32'h3f99b6c8,// invsqrt(0.8390) = 1.0917 +32'h40336341,32'h3f15d9dc,32'h3f1bf7a6, 32'h3f114384,32'h3f208dfe, 32'h3f099e47,32'h3f28333b,// invsqrt(2.8029) = 0.5973 +32'h3f4356cd,32'h3f8f9a32,32'h3f9576b2, 32'h3f8b34d3,32'h3f99dc11, 32'h3f83e133,32'h3fa12fb1,// invsqrt(0.7630) = 1.1448 +32'h3fa53d21,32'h3f5ccee1,32'h3f65d219, 32'h3f560c77,32'h3f6c9483, 32'h3f4ac870,32'h3f77d88a,// invsqrt(1.2909) = 0.8801 +32'h3f72bb87,32'h3f80d295,32'h3f8614a6, 32'h3f79c213,32'h3f8a0633, 32'h3f6c9ce9,32'h3f9098c7,// invsqrt(0.9482) = 1.0270 +32'h3fe5e739,32'h3f3b3264,32'h3f42d668, 32'h3f357761,32'h3f48916b, 32'h3f2bea5c,32'h3f521e70,// invsqrt(1.7961) = 0.7462 +32'h3e375a87,32'h401438b6,32'h401a457a, 32'h400faf24,32'h401ecf0c, 32'h40081f2f,32'h40265f01,// invsqrt(0.1791) = 2.3632 +32'h4010ea1c,32'h3f26b98a,32'h3f2d87a5, 32'h3f219ef7,32'h3f32a239, 32'h3f191d56,32'h3f3b23da,// invsqrt(2.2643) = 0.6646 +32'h3f384ef0,32'h3f93d64e,32'h3f99df0e, 32'h3f8f4fbf,32'h3f9e659d, 32'h3f87c4d0,32'h3fa5f08c,// invsqrt(0.7200) = 1.1785 +32'h3f90213d,32'h3f6c6ce5,32'h3f76134d, 32'h3f653018,32'h3f7d501a, 32'h3f592016,32'h3f84b00e,// invsqrt(1.1260) = 0.9424 +32'h3f8f6f6d,32'h3f6cff43,32'h3f76aba4, 32'h3f65bdfb,32'h3f7deced, 32'h3f59a682,32'h3f850233,// invsqrt(1.1206) = 0.9447 +32'h40a72302,32'h3edb8d02,32'h3ee48318, 32'h3ed4d473,32'h3eeb3ba7, 32'h3ec9a0d8,32'h3ef66f42,// invsqrt(5.2230) = 0.4376 +32'h3fa0a889,32'h3f5fef05,32'h3f6912e5, 32'h3f59141c,32'h3f6fedce, 32'h3f4da743,32'h3f7b5aa7,// invsqrt(1.2551) = 0.8926 +32'h3f638e90,32'h3f850c80,32'h3f8a7ab9, 32'h3f80f9d4,32'h3f8e8d64, 32'h3f746019,32'h3f95572c,// invsqrt(0.8889) = 1.0607 +32'h3f045385,32'h3fae7995,32'h3fb598ab, 32'h3fa92245,32'h3fbaeffb, 32'h3fa03b6a,32'h3fc3d6d6,// invsqrt(0.5169) = 1.3909 +32'h3f80974c,32'h3f7a4d85,32'h3f824277, 32'h3f72a3f7,32'h3f86173e, 32'h3f65deb4,32'h3f8c79e0,// invsqrt(1.0046) = 0.9977 +32'h3e015ddb,32'h4030759e,32'h4037a970, 32'h402b0ec0,32'h403d104e, 32'h40220dfa,32'h40461115,// invsqrt(0.1263) = 2.8134 +32'h3fb16978,32'h3f55191c,32'h3f5dcbc4, 32'h3f4e931e,32'h3f6451c2, 32'h3f43b3cb,32'h3f6f3115,// invsqrt(1.3860) = 0.8494 +32'h3fa6f7d9,32'h3f5ba961,32'h3f64a09e, 32'h3f54eff2,32'h3f6b5a0c, 32'h3f49bae6,32'h3f768f19,// invsqrt(1.3044) = 0.8756 +32'h3f9d795b,32'h3f622fb9,32'h3f6b6b24, 32'h3f5b4329,32'h3f7257b5, 32'h3f4fb8e4,32'h3f7de1fa,// invsqrt(1.2303) = 0.9016 +32'h40841db4,32'h3ef6f0e8,32'h3f008298, 32'h3eef61b2,32'h3f044a33, 32'h3ee2c858,32'h3f0a96e0,// invsqrt(4.1286) = 0.4921 +32'h3f20c9dc,32'h3f9e47e9,32'h3fa4bdc9, 32'h3f996f81,32'h3fa99631, 32'h3f915c2a,32'h3fb1a988,// invsqrt(0.6281) = 1.2618 +32'h3fdb0353,32'h3f3fcb63,32'h3f479f71, 32'h3f39ec58,32'h3f4d7e7c, 32'h3f302346,32'h3f57478e,// invsqrt(1.7110) = 0.7645 +32'h3f78da59,32'h3f7e7508,32'h3f846bec, 32'h3f76aaea,32'h3f8850fb, 32'h3f69af65,32'h3f8ecebe,// invsqrt(0.9721) = 1.0143 +32'h3e21ab1f,32'h401dd97d,32'h40244adb, 32'h40190477,32'h40291fe1, 32'h4010f6c1,32'h40312d97,// invsqrt(0.1579) = 2.5167 +32'h40fa2de5,32'h3eb37367,32'h3ebac67b, 32'h3eadf518,32'h3ec044ca, 32'h3ea4cd3f,32'h3ec96ca3,// invsqrt(7.8181) = 0.3576 +32'h40100000,32'h3f2740da,32'h3f2e147b, 32'h3f222222,32'h3f333334, 32'h3f19999a,32'h3f3bbbbc,// invsqrt(2.2500) = 0.6667 +32'h3eff4f04,32'h3fb1a394,32'h3fb8e3ba, 32'h3fac3378,32'h3fbe53d6, 32'h3fa3234a,32'h3fc76405,// invsqrt(0.4986) = 1.4161 +32'h3ebd23f9,32'h3fce62a2,32'h3fd6cf26, 32'h3fc8113f,32'h3fdd2089, 32'h3fbd899a,32'h3fe7a82e,// invsqrt(0.3694) = 1.6453 +32'h3ece9751,32'h3fc579f7,32'h3fcd8964, 32'h3fbf6e64,32'h3fd394f6, 32'h3fb55b1c,32'h3fdda83e,// invsqrt(0.4035) = 1.5743 +32'h401a7552,32'h3f217de5,32'h3f281552, 32'h3f1c8c54,32'h3f2d06e4, 32'h3f144f0c,32'h3f35442c,// invsqrt(2.4134) = 0.6437 +32'h3f100d39,32'h3fa7392d,32'h3fae0c7d, 32'h3fa21ab1,32'h3fb32af9, 32'h3f99928c,32'h3fbbb31e,// invsqrt(0.5627) = 1.3331 +32'h3fa34f25,32'h3f5e1bda,32'h3f672caa, 32'h3f574f3f,32'h3f6df945, 32'h3f4bfa3b,32'h3f794e49,// invsqrt(1.2759) = 0.8853 +32'h3f00427d,32'h3fb13821,32'h3fb873e3, 32'h3fabcb4f,32'h3fbde0b5, 32'h3fa2c09b,32'h3fc6eb69,// invsqrt(0.5010) = 1.4128 +32'h3f8a12b0,32'h3f718e2b,32'h3f7b6a2d, 32'h3f6a292a,32'h3f816797, 32'h3f5dd628,32'h3f879118,// invsqrt(1.0787) = 0.9628 +32'h3f28d108,32'h3f9a78b8,32'h3fa0c6ca, 32'h3f95be2b,32'h3fa58157, 32'h3f8ddc94,32'h3fad62ee,// invsqrt(0.6594) = 1.2314 +32'h4395ee66,32'h3d67ce60,32'h3d714483, 32'h3d60b5c5,32'h3d785d1d, 32'h3d54e219,32'h3d821865,// invsqrt(299.8625) = 0.0577 +32'h40d0f3cf,32'h3ec45b81,32'h3ecc5f3d, 32'h3ebe58b4,32'h3ed2620a, 32'h3eb45409,32'h3edc66b5,// invsqrt(6.5298) = 0.3913 +32'h3f16b970,32'h3fa37ad9,32'h3faa270b, 32'h3f9e79b3,32'h3faf2831, 32'h3f962273,32'h3fb77f71,// invsqrt(0.5888) = 1.3033 +32'h3edabe72,32'h3fbfe993,32'h3fc7bedd, 32'h3fba099c,32'h3fcd9ed4, 32'h3fb03eff,32'h3fd76971,// invsqrt(0.4272) = 1.5299 +32'h4126a178,32'h3e9b7b3e,32'h3ea1d3dd, 32'h3e96b8c6,32'h3ea69654, 32'h3e8ec9ff,32'h3eae851b,// invsqrt(10.4144) = 0.3099 +32'h3de1ea5a,32'h403cd77f,32'h40448cb3, 32'h40370f98,32'h404a549a, 32'h402d6d16,32'h4053f71c,// invsqrt(0.1103) = 3.0109 +32'h3f72cee6,32'h3f80cd72,32'h3f860f4c, 32'h3f79b81b,32'h3f8a00b1, 32'h3f6c9378,32'h3f909302,// invsqrt(0.9485) = 1.0268 +32'h40a6a79d,32'h3edbde3b,32'h3ee4d7a1, 32'h3ed5232f,32'h3eeb92ad, 32'h3ec9eb70,32'h3ef6ca6c,// invsqrt(5.2080) = 0.4382 +32'h3f2f85b6,32'h3f977df8,32'h3f9dace8, 32'h3f92dac4,32'h3fa2501c, 32'h3f8b2018,32'h3faa0ac8,// invsqrt(0.6856) = 1.2077 +32'h3c93d616,32'h40e9715d,32'h40f2f89a, 32'h40e24bee,32'h40fa1e08, 32'h40d662e2,32'h4103038a,// invsqrt(0.0180) = 7.4440 +32'h4066bff2,32'h3f042007,32'h3f09849a, 32'h3f00149a,32'h3f0d9008, 32'h3ef2adc5,32'h3f144dc0,// invsqrt(3.6055) = 0.5266 +32'h3f204681,32'h3f9e88b8,32'h3fa5013d, 32'h3f99ae55,32'h3fa9dba1, 32'h3f9197af,32'h3fb1f247,// invsqrt(0.6261) = 1.2638 +32'h3f97738e,32'h3f66a3cf,32'h3f700dc3, 32'h3f5f9459,32'h3f771d39, 32'h3f53cfe8,32'h3f8170d5,// invsqrt(1.1832) = 0.9193 +32'h3e528297,32'h400a54bd,32'h400ffa27, 32'h400618ac,32'h40143638, 32'h3ffe13cd,32'h401b44fd,// invsqrt(0.2056) = 2.2055 +32'h3fa9282f,32'h3f5a3c60,32'h3f6324b8, 32'h3f538e1f,32'h3f69d2f9, 32'h3f486bb1,32'h3f74f567,// invsqrt(1.3215) = 0.8699 +32'h3fae4cb0,32'h3f56fe07,32'h3f5fc47a, 32'h3f506930,32'h3f665950, 32'h3f457120,32'h3f715160,// invsqrt(1.3617) = 0.8570 +32'h4092b9a8,32'h3eea5334,32'h3ef3e3a9, 32'h3ee326dc,32'h3efb1000, 32'h3ed73249,32'h3f038249,// invsqrt(4.5852) = 0.4670 +32'h3f875eb1,32'h3f73f485,32'h3f7de99a, 32'h3f6c7cb5,32'h3f82b0b5, 32'h3f600a5a,32'h3f88e9e2,// invsqrt(1.0576) = 0.9724 +32'h3e9bff71,32'h3fe3410e,32'h3fec87a0, 32'h3fdc4c1f,32'h3ff37c8f, 32'h3fd0b3e8,32'h3fff14c6,// invsqrt(0.3047) = 1.8117 +32'h3ca96139,32'h40da179e,32'h40e2fe76, 32'h40d36a7d,32'h40e9ab97, 32'h40c849ef,32'h40f4cc25,// invsqrt(0.0207) = 6.9545 +32'h3e7e0ba4,32'h3ffbd7de,32'h40030fb0, 32'h3ff4223e,32'h4006ea81, 32'h3fe748dd,32'h400d5732,// invsqrt(0.2481) = 2.0077 +32'h3f45e5bf,32'h3f8eabcc,32'h3f947e90, 32'h3f8a4db8,32'h3f98dca4, 32'h3f830643,32'h3fa02419,// invsqrt(0.7730) = 1.1374 +32'h3f74077c,32'h3f807ad9,32'h3f85b955, 32'h3f7917f8,32'h3f89a832, 32'h3f6bfbc3,32'h3f90364c,// invsqrt(0.9532) = 1.0242 +32'h3fa896e4,32'h3f5a9a56,32'h3f638684, 32'h3f53e934,32'h3f6a37a6, 32'h3f48c1fc,32'h3f755ede,// invsqrt(1.3171) = 0.8713 +32'h3f945c77,32'h3f69078c,32'h3f728a78, 32'h3f61e55c,32'h3f79aca8, 32'h3f5601b5,32'h3f82c828,// invsqrt(1.1591) = 0.9288 +32'h3e3db9d4,32'h4011b60d,32'h4017a895, 32'h400d4027,32'h401c1e7b, 32'h4005d0fc,32'h40238da6,// invsqrt(0.1853) = 2.3232 +32'h4036b892,32'h3f147a58,32'h3f1a89c9, 32'h3f0feec2,32'h3f1f155e, 32'h3f085b75,32'h3f26a8ab,// invsqrt(2.8550) = 0.5918 +32'h3f92ebc6,32'h3f6a2b39,32'h3f73ba0d, 32'h3f63001b,32'h3f7ae52b, 32'h3f570d93,32'h3f836bda,// invsqrt(1.1478) = 0.9334 +32'h40c851cd,32'h3ec88b3b,32'h3ed0bab5, 32'h3ec2679f,32'h3ed6de51, 32'h3eb82c46,32'h3ee119aa,// invsqrt(6.2600) = 0.3997 +32'h3f810f65,32'h3f79d8f4,32'h3f8205ce, 32'h3f7232f8,32'h3f85d8cc, 32'h3f6573a7,32'h3f8c3874,// invsqrt(1.0083) = 0.9959 +32'h40113e3b,32'h3f26893b,32'h3f2d555d, 32'h3f217022,32'h3f326e76, 32'h3f18f0f8,32'h3f3aeda0,// invsqrt(2.2694) = 0.6638 +32'h3f8739b0,32'h3f7415e3,32'h3f7e0c55, 32'h3f6c9d0e,32'h3f82c295, 32'h3f602900,32'h3f88fc9c,// invsqrt(1.0564) = 0.9729 +32'h3b6a61c2,32'h418318ee,32'h418872c4, 32'h417e2b1c,32'h418c7624, 32'h4170ca87,32'h4193266f,// invsqrt(0.0036) = 16.7216 +32'h3d4febb1,32'h408b3092,32'h4090def6, 32'h4086edc7,32'h409521c1, 32'h407fa794,32'h409c3bbe,// invsqrt(0.0508) = 4.4384 +32'h402db77d,32'h3f1846fd,32'h3f1e7e22, 32'h3f139da2,32'h3f23277e, 32'h3f0bd8b5,32'h3f2aec6b,// invsqrt(2.7143) = 0.6070 +32'h3feee375,32'h3f37a481,32'h3f3f2361, 32'h3f320558,32'h3f44c28a, 32'h3f28a6bf,32'h3f4e2123,// invsqrt(1.8663) = 0.7320 +32'h3f5bdc87,32'h3f875b74,32'h3f8ce1cc, 32'h3f8336b2,32'h3f91068e, 32'h3f789d86,32'h3f97ee7d,// invsqrt(0.8588) = 1.0791 +32'h3fd93ae0,32'h3f40947a,32'h3f4870be, 32'h3f3aaf47,32'h3f4e55f1, 32'h3f30dbf3,32'h3f582945,// invsqrt(1.6971) = 0.7676 +32'h3fa43f6b,32'h3f5d7929,32'h3f668355, 32'h3f56b189,32'h3f6d4af5, 32'h3f4b64d2,32'h3f7897ac,// invsqrt(1.2832) = 0.8828 +32'h3f4b8705,32'h3f8caf18,32'h3f926d18, 32'h3f886097,32'h3f96bb99, 32'h3f813316,32'h3f9de91a,// invsqrt(0.7950) = 1.1215 +32'h3ff8c410,32'h3f33f5b9,32'h3f3b4e1f, 32'h3f2e736d,32'h3f40d06b, 32'h3f2544ee,32'h3f49feea,// invsqrt(1.9435) = 0.7173 +32'h3e78a69b,32'h3ffe8f80,32'h400479b2, 32'h3ff6c493,32'h40085f29, 32'h3fe9c7b3,32'h400edd98,// invsqrt(0.2428) = 2.0293 +32'h3f0dac0d,32'h3fa89f33,32'h3faf8121, 32'h3fa375c2,32'h3fb4aa92, 32'h3f9adb59,32'h3fbd44fb,// invsqrt(0.5534) = 1.3442 +32'h3f5dad53,32'h3f86cd42,32'h3f8c4dcc, 32'h3f82acda,32'h3f906e34, 32'h3f779859,32'h3f974ee1,// invsqrt(0.8659) = 1.0746 +32'h41445ab8,32'h3e8f3b07,32'h3e9513a5, 32'h3e8ad892,32'h3e99761a, 32'h3e8389cd,32'h3ea0c4df,// invsqrt(12.2721) = 0.2855 +32'h3f844f4a,32'h3f76c29e,32'h3f806a81, 32'h3f6f34d2,32'h3f843167, 32'h3f629dd5,32'h3f8a7ce6,// invsqrt(1.0337) = 0.9836 +32'h3fbed8af,32'h3f4d75f8,32'h3f55d8d4, 32'h3f472bd4,32'h3f5c22f8, 32'h3f3cb042,32'h3f669e8a,// invsqrt(1.4910) = 0.8190 +32'h3da9a410,32'h4059eca3,32'h4062d1b9, 32'h405340d2,32'h40697d8a, 32'h40482276,32'h40749be6,// invsqrt(0.0828) = 3.4746 +32'h3fb2a2bc,32'h3f545df0,32'h3f5d08f4, 32'h3f4dddac,32'h3f638938, 32'h3f4307e7,32'h3f6e5efd,// invsqrt(1.3956) = 0.8465 +32'h3f53f4dc,32'h3f89dbb5,32'h3f8f7c2f, 32'h3f85a359,32'h3f93b48b, 32'h3f7d3580,32'h3f9abd24,// invsqrt(0.8280) = 1.0990 +32'h3ff769a9,32'h3f347388,32'h3f3bd110, 32'h3f2eed62,32'h3f415736, 32'h3f25b878,32'h3f4a8c20,// invsqrt(1.9329) = 0.7193 +32'h41ab1be9,32'h3e58fcc8,32'h3e61d814, 32'h3e52584f,32'h3e687c8d, 32'h3e474630,32'h3e738eac,// invsqrt(21.3886) = 0.2162 +32'h3fb39cfc,32'h3f53c9cb,32'h3f5c6ec4, 32'h3f4d4e11,32'h3f62ea7f, 32'h3f427fda,32'h3f6db8b6,// invsqrt(1.4032) = 0.8442 +32'h3fb387ce,32'h3f53d649,32'h3f5c7bc5, 32'h3f4d5a2d,32'h3f62f7e1, 32'h3f428b53,32'h3f6dc6bb,// invsqrt(1.4026) = 0.8444 +32'h3fa792fc,32'h3f5b439b,32'h3f6436b1, 32'h3f548d4a,32'h3f6aed02, 32'h3f495d6f,32'h3f761cdd,// invsqrt(1.3092) = 0.8740 +32'h3e8f6736,32'h3fed060d,32'h3ff6b2b5, 32'h3fe5c48f,32'h3ffdf433, 32'h3fd9acbe,32'h40050602,// invsqrt(0.2801) = 1.8895 +32'h3fc08079,32'h3f4c9353,32'h3f54eced, 32'h3f46501e,32'h3f5b3022, 32'h3f3be01d,32'h3f65a023,// invsqrt(1.5039) = 0.8154 +32'h3e737b14,32'h40009fdf,32'h4005dfdd, 32'h3ff95fbf,32'h4009cfdc, 32'h3fec3fc3,32'h40105fdb,// invsqrt(0.2378) = 2.0508 +32'h401e07c4,32'h3f1fa7fd,32'h3f262c3b, 32'h3f1ac4ce,32'h3f2b0f6a, 32'h3f129f80,32'h3f3334b8,// invsqrt(2.4692) = 0.6364 +32'h3f1bc593,32'h3fa0cf3a,32'h3fa75f86, 32'h3f9be302,32'h3fac4bbe, 32'h3f93aea3,32'h3fb4801d,// invsqrt(0.6085) = 1.2820 +32'h4002a82f,32'h3f2f9600,32'h3f36c0b2, 32'h3f2a35fb,32'h3f3c20b7, 32'h3f21409d,32'h3f451615,// invsqrt(2.0415) = 0.6999 +32'h3f6b8045,32'h3f82c917,32'h3f881faa, 32'h3f7d9050,32'h3f8c2098, 32'h3f7037e0,32'h3f92ccd0,// invsqrt(0.9199) = 1.0426 +32'h3d20c86a,32'h409e489f,32'h40a4be87, 32'h40997032,32'h40a996f4, 32'h40915cd1,32'h40b1aa55,// invsqrt(0.0393) = 5.0473 +32'h3f61af12,32'h3f85998c,32'h3f8b0d87, 32'h3f81828f,32'h3f8f2483, 32'h3f75632a,32'h3f95f57d,// invsqrt(0.8816) = 1.0650 +32'h3eb47ac2,32'h3fd34784,32'h3fdbe72b, 32'h3fcccfc6,32'h3fe25ee8, 32'h3fc20835,32'h3fed2679,// invsqrt(0.3525) = 1.6843 +32'h4080ceaf,32'h3efa17af,32'h3f022673, 32'h3ef26fc6,32'h3f05fa67, 32'h3ee5ad43,32'h3f0c5ba9,// invsqrt(4.0252) = 0.4984 +32'h3e2fe00d,32'h4017570a,32'h401d8464, 32'h4012b507,32'h40222667, 32'h400afc58,32'h4029df16,// invsqrt(0.1718) = 2.4129 +32'h3d459539,32'h408ec8db,32'h40949ccf, 32'h408a69e4,32'h4098fbc6, 32'h408320f3,32'h40a044b7,// invsqrt(0.0482) = 4.5531 +32'h3eb3f5cc,32'h3fd39582,32'h3fdc3858, 32'h3fcd1b61,32'h3fe2b279, 32'h3fc24fd5,32'h3fed7e05,// invsqrt(0.3515) = 1.6867 +32'h3fbb07d1,32'h3f4f8bd3,32'h3f580479, 32'h3f493157,32'h3f5e5ef5, 32'h3f3e9a89,32'h3f68f5c3,// invsqrt(1.4612) = 0.8273 +32'h40010009,32'h3f30b5be,32'h3f37ec2e, 32'h3f2b4cea,32'h3f3d5502, 32'h3f2248dd,32'h3f46590f,// invsqrt(2.0156) = 0.7044 +32'h3f78a1e1,32'h3f7e91eb,32'h3f847af5, 32'h3f76c6eb,32'h3f886074, 32'h3f69c9ec,32'h3f8edef4,// invsqrt(0.9712) = 1.0147 +32'h40573fad,32'h3f08ccc5,32'h3f0e6231, 32'h3f049cb5,32'h3f129241, 32'h3efb43dd,32'h3f198d07,// invsqrt(3.3633) = 0.5453 +32'h3fbb163f,32'h3f4f83d2,32'h3f57fc24, 32'h3f492995,32'h3f5e5661, 32'h3f3e932f,32'h3f68ecc7,// invsqrt(1.4616) = 0.8271 +32'h40fd9c71,32'h3eb23b85,32'h3eb981df, 32'h3eacc6c3,32'h3ebef6a1, 32'h3ea3aed3,32'h3ec80e91,// invsqrt(7.9253) = 0.3552 +32'h3f7797be,32'h3f7f1a98,32'h3f84c216, 32'h3f774b6a,32'h3f88a9ad, 32'h3f6a4772,32'h3f8f2ba9,// invsqrt(0.9672) = 1.0168 +32'h3fb162ed,32'h3f551d0a,32'h3f5dcfdc, 32'h3f4e96ed,32'h3f6455f9, 32'h3f43b768,32'h3f6f357f,// invsqrt(1.3858) = 0.8495 +32'h3f2ab455,32'h3f999d71,32'h3f9fe28f, 32'h3f94e99a,32'h3fa49666, 32'h3f8d1333,32'h3fac6ccd,// invsqrt(0.6668) = 1.2246 +32'h3eb95e25,32'h3fd07998,32'h3fd8fbf2, 32'h3fca17d5,32'h3fdf5db5, 32'h3fbf74e4,32'h3fea00a6,// invsqrt(0.3620) = 1.6619 +32'h401fa8be,32'h3f1ed6f8,32'h3f2552ae, 32'h3f19fa2f,32'h3f2a2f77, 32'h3f11df8b,32'h3f324a1b,// invsqrt(2.4947) = 0.6331 +32'h3fbf33d3,32'h3f4d44fa,32'h3f55a5d6, 32'h3f46fc56,32'h3f5bee7a, 32'h3f3c8344,32'h3f66678c,// invsqrt(1.4938) = 0.8182 +32'h3f30d33f,32'h3f96eed4,32'h3f9d17ec, 32'h3f925002,32'h3fa1b6be, 32'h3f8a9ca3,32'h3fa96a1d,// invsqrt(0.6907) = 1.2032 +32'h3f79bd6a,32'h3f7e0140,32'h3f842fac, 32'h3f763aaf,32'h3f8812f5, 32'h3f694511,32'h3f8e8dc3,// invsqrt(0.9755) = 1.0125 +32'h3fd5fa62,32'h3f4209af,32'h3f49f52f, 32'h3f3c1910,32'h3f4fe5ce, 32'h3f3232b1,32'h3f59cc2d,// invsqrt(1.6717) = 0.7734 +32'h4286671f,32'h3df4d4cc,32'h3dfed309, 32'h3ded561f,32'h3e0328db, 32'h3de0d854,32'h3e0967c1,// invsqrt(67.2014) = 0.1220 +32'h3faf1a0e,32'h3f567fce,32'h3f5f411a, 32'h3f4feed5,32'h3f65d213, 32'h3f44fd35,32'h3f70c3b3,// invsqrt(1.3680) = 0.8550 +32'h3fb97d0b,32'h3f50683a,32'h3f58e9de, 32'h3f4a06ff,32'h3f5f4b19, 32'h3f3f64f1,32'h3f69ed27,// invsqrt(1.4491) = 0.8307 +32'h403d4cac,32'h3f11e009,32'h3f17d449, 32'h3f0d68da,32'h3f1c4b78, 32'h3f05f78b,32'h3f23bcc7,// invsqrt(2.9578) = 0.5815 +32'h3fd707a0,32'h3f41900e,32'h3f497697, 32'h3f3ba328,32'h3f4f637e, 32'h3f31c2fe,32'h3f5943a8,// invsqrt(1.6799) = 0.7715 +32'h3f9bd8c2,32'h3f635d40,32'h3f6ca4fa, 32'h3f5c6775,32'h3f739ac5, 32'h3f50cdcd,32'h3f7f346d,// invsqrt(1.2176) = 0.9063 +32'h3fb2e5dd,32'h3f543614,32'h3f5cdf78, 32'h3f4db709,32'h3f635e83, 32'h3f42e34c,32'h3f6e3240,// invsqrt(1.3976) = 0.8459 +32'h4010d18f,32'h3f26c7ac,32'h3f2d965a, 32'h3f21aca9,32'h3f32b15d, 32'h3f192a50,32'h3f3b33b7,// invsqrt(2.2628) = 0.6648 +32'h3fe68f70,32'h3f3aee0e,32'h3f428f48, 32'h3f353522,32'h3f484834, 32'h3f2bab9a,32'h3f51d1bc,// invsqrt(1.8013) = 0.7451 +32'h3fcdf993,32'h3f45c586,32'h3f4dd808, 32'h3f3fb7a3,32'h3f53e5eb, 32'h3f35a080,32'h3f5dfd0e,// invsqrt(1.6092) = 0.7883 +32'h426bf088,32'h3e02a9f6,32'h3e07ff44, 32'h3dfd53f7,32'h3e0bff3e, 32'h3deffeb4,32'h3e12a9e0,// invsqrt(58.9849) = 0.1302 +32'h3f498f7e,32'h3f8d5e64,32'h3f93238c, 32'h3f890a85,32'h3f97776b, 32'h3f81d412,32'h3f9eadde,// invsqrt(0.7873) = 1.1270 +32'h3f709b14,32'h3f816404,32'h3f86ac04, 32'h3f7adc08,32'h3f8aa204, 32'h3f6da807,32'h3f913c04,// invsqrt(0.9399) = 1.0315 +32'h405e6d58,32'h3f069306,32'h3f0c1130, 32'h3f027466,32'h3f102fd0, 32'h3ef72d64,32'h3f170d84,// invsqrt(3.4754) = 0.5364 +32'h402ea308,32'h3f17e029,32'h3f1e131b, 32'h3f1339f4,32'h3f22b950, 32'h3f0b7a45,32'h3f2a78ff,// invsqrt(2.7287) = 0.6054 +32'h3f2c20be,32'h3f98fa7f,32'h3f9f38f7, 32'h3f944ba5,32'h3fa3e7d1, 32'h3f8c7d8f,32'h3fabb5e7,// invsqrt(0.6724) = 1.2195 +32'h3f01a12c,32'h3fb047c7,32'h3fb779bb, 32'h3faae251,32'h3fbcdf31, 32'h3fa1e3e1,32'h3fc5dda1,// invsqrt(0.5064) = 1.4053 +32'h3fdb1863,32'h3f3fc22a,32'h3f4795d9, 32'h3f39e368,32'h3f4d749c, 32'h3f301acf,32'h3f573d35,// invsqrt(1.7117) = 0.7643 +32'h40e96458,32'h3eb9cade,32'h3ec16036, 32'h3eb41add,32'h3ec71037, 32'h3eaaa02f,32'h3ed08ae5,// invsqrt(7.2935) = 0.3703 +32'h3f4c1c5c,32'h3f8c7b97,32'h3f92377d, 32'h3f882eaa,32'h3f96846a, 32'h3f8103c9,32'h3f9daf4b,// invsqrt(0.7973) = 1.1199 +32'h3e836b56,32'h3ff79843,32'h4000d9b0, 32'h3ff003ee,32'h4004a3db, 32'h3fe3620a,32'h400af4cd,// invsqrt(0.2567) = 1.9738 +32'h3f917927,32'h3f6b54c8,32'h3f74efc0, 32'h3f64208d,32'h3f7c23fb, 32'h3f581ed7,32'h3f8412d9,// invsqrt(1.1365) = 0.9380 +32'h40525109,32'h3f0a6508,32'h3f100b1e, 32'h3f062878,32'h3f1447ae, 32'h3efe31bc,32'h3f1b5748,// invsqrt(3.2862) = 0.5516 +32'h3e9633a2,32'h3fe798ed,32'h3ff10ce1, 32'h3fe081f5,32'h3ff823d9, 32'h3fd4b103,32'h4001fa65,// invsqrt(0.2934) = 1.8463 +32'h3ea8aeeb,32'h3fda8ac4,32'h3fe3764e, 32'h3fd3da1c,32'h3fea26f6, 32'h3fc8b3ae,32'h3ff54d64,// invsqrt(0.3295) = 1.7422 +32'h3f57633b,32'h3f88c17a,32'h3f8e5670, 32'h3f8491c2,32'h3f928628, 32'h3f7b2f1f,32'h3f99805a,// invsqrt(0.8414) = 1.0902 +32'h410b7ff5,32'h3ea9edfe,32'h3eb0dd96, 32'h3ea4ba4d,32'h3eb61147, 32'h3e9c0ecf,32'h3ebebcc5,// invsqrt(8.7187) = 0.3387 +32'h3fa5bbd3,32'h3f5c7a6a,32'h3f657a30, 32'h3f55ba96,32'h3f6c3a04, 32'h3f4a7adf,32'h3f7779bb,// invsqrt(1.2948) = 0.8788 +32'h3eed04dd,32'h3fb85d8c,32'h3fbfe3fa, 32'h3fb2b8b9,32'h3fc588cd, 32'h3fa950b0,32'h3fcef0d7,// invsqrt(0.4629) = 1.4697 +32'h3f25b113,32'h3f9bebdf,32'h3fa24917, 32'h3f9725f5,32'h3fa70f01, 32'h3f8f316f,32'h3faf0387,// invsqrt(0.6472) = 1.2430 +32'h3f2209fc,32'h3f9dab42,32'h3fa41abc, 32'h3f98d7a5,32'h3fa8ee59, 32'h3f90cc4c,32'h3fb0f9b2,// invsqrt(0.6330) = 1.2569 +32'h3fa09365,32'h3f5ffdc2,32'h3f69223c, 32'h3f592265,32'h3f6ffd99, 32'h3f4db4cc,32'h3f7b6b32,// invsqrt(1.2545) = 0.8928 +32'h3e8501bc,32'h3ff61cde,32'h40001440, 32'h3fee9426,32'h4003d89c, 32'h3fe2059e,32'h400a1fe0,// invsqrt(0.2598) = 1.9620 +32'h3f9994e0,32'h3f6508ea,32'h3f6e6218, 32'h3f5e0607,32'h3f7564fb, 32'h3f52568e,32'h3f808a3a,// invsqrt(1.1999) = 0.9129 +32'h3f63e8ba,32'h3f84f22c,32'h3f8a5f52, 32'h3f80e04f,32'h3f8e712f, 32'h3f742fbe,32'h3f95399f,// invsqrt(0.8903) = 1.0598 +32'h3f802212,32'h3f7abfeb,32'h3f827e00, 32'h3f7312dc,32'h3f865487, 32'h3f6647c3,32'h3f8cba14,// invsqrt(1.0010) = 0.9995 +32'h414052c8,32'h3e90b94c,32'h3e96a184, 32'h3e8c4b23,32'h3e9b0fad, 32'h3e84e8de,32'h3ea271f2,// invsqrt(12.0202) = 0.2884 +32'h40cd3123,32'h3ec62607,32'h3ece3c7a, 32'h3ec01530,32'h3ed44d50, 32'h3eb5f920,32'h3ede6960,// invsqrt(6.4122) = 0.3949 +32'h3f9a733f,32'h3f6463ce,32'h3f6db63e, 32'h3f5d65f9,32'h3f74b413, 32'h3f51beec,32'h3f802d90,// invsqrt(1.2066) = 0.9104 +32'h3f946d04,32'h3f68fa8e,32'h3f727cf2, 32'h3f61d8c3,32'h3f799ebd, 32'h3f55f5c6,32'h3f82c0dd,// invsqrt(1.1596) = 0.9286 +32'h400c4fd7,32'h3f296fee,32'h3f305a60, 32'h3f244018,32'h3f358a36, 32'h3f1b9b0a,32'h3f3e2f45,// invsqrt(2.1924) = 0.6754 +32'h40d3f167,32'h3ec2f799,32'h3ecaeccf, 32'h3ebcffb1,32'h3ed0e4b7, 32'h3eb30d2f,32'h3edad739,// invsqrt(6.6232) = 0.3886 +32'h3e4c3e8f,32'h400c6fd3,32'h40122b3f, 32'h40082342,32'h401677d0, 32'h4000f8fb,32'h401da217,// invsqrt(0.1995) = 2.2391 +32'h40023868,32'h3f2fe14c,32'h3f370f10, 32'h3f2a7ef8,32'h3f3c7164, 32'h3f2185c3,32'h3f456a99,// invsqrt(2.0347) = 0.7011 +32'h3f79002c,32'h3f7e61b3,32'h3f8461dd, 32'h3f76982d,32'h3f8846a0, 32'h3f699da4,32'h3f8ec3e4,// invsqrt(0.9727) = 1.0140 +32'h3f0111ab,32'h3fb0a9ab,32'h3fb7df9d, 32'h3fab4135,32'h3fbd4813, 32'h3fa23dc7,32'h3fc64b81,// invsqrt(0.5042) = 1.4083 +32'h3fb849d2,32'h3f5115a9,32'h3f599e61, 32'h3f4aaf1e,32'h3f6004ec, 32'h3f400438,32'h3f6aafd2,// invsqrt(1.4398) = 0.8334 +32'h4012267e,32'h3f2604b2,32'h3f2ccb6b, 32'h3f20efa8,32'h3f31e076, 32'h3f187741,32'h3f3a58dd,// invsqrt(2.2836) = 0.6617 +32'h3f29b18c,32'h3f9a1266,32'h3fa05c4b, 32'h3f955afb,32'h3fa513b7, 32'h3f8d7e9d,32'h3facf015,// invsqrt(0.6629) = 1.2283 +32'h3f904c60,32'h3f6c498c,32'h3f75ee82, 32'h3f650dd3,32'h3f7d2a3b, 32'h3f58ffa0,32'h3f849c37,// invsqrt(1.1273) = 0.9418 +32'h3e6c2dc1,32'h40029905,32'h4007eda2, 32'h3ffd3320,32'h400bed18, 32'h3fefdf97,32'h401296dc,// invsqrt(0.2306) = 2.0822 +32'h3f23e001,32'h3f9cc883,32'h3fa32ebd, 32'h3f97fbd8,32'h3fa7fb68, 32'h3f8ffc10,32'h3faffb30,// invsqrt(0.6401) = 1.2499 +32'h40dcc060,32'h3ebf09ab,32'h3ec6d5d1, 32'h3eb9308e,32'h3eccaeee, 32'h3eaf715e,32'h3ed66e1e,// invsqrt(6.8985) = 0.3807 +32'h3f31bb21,32'h3f968c3e,32'h3f9cb150, 32'h3f91f070,32'h3fa14d1e, 32'h3f8a421a,32'h3fa8fb74,// invsqrt(0.6943) = 1.2002 +32'h3f8292f2,32'h3f786518,32'h3f814448, 32'h3f70ca7d,32'h3f851196, 32'h3f641e25,32'h3f8b67c1,// invsqrt(1.0201) = 0.9901 +32'h3e3c0174,32'h40126050,32'h401859cc, 32'h400de534,32'h401cd4e8, 32'h40066d59,32'h40244cc3,// invsqrt(0.1836) = 2.3338 +32'h3f653d88,32'h3f848f34,32'h3f89f850, 32'h3f80805f,32'h3f8e0725, 32'h3f7379f7,32'h3f94ca89,// invsqrt(0.8955) = 1.0568 +32'h3fa060f6,32'h3f6020f7,32'h3f6946e1, 32'h3f594487,32'h3f702351, 32'h3f4dd521,32'h3f7b92b7,// invsqrt(1.2530) = 0.8934 +32'h3c9a092c,32'h40e4b264,32'h40ee080a, 32'h40ddb227,32'h40f50847, 32'h40d20718,32'h410059ab,// invsqrt(0.0188) = 7.2926 +32'h3e7c0fc1,32'h3ffcd518,32'h40039378, 32'h3ff517b7,32'h40077228, 32'h3fe8316a,32'h400de54f,// invsqrt(0.2462) = 2.0156 +32'h3ffb77d6,32'h3f32fd86,32'h3f3a4bca, 32'h3f2d82d3,32'h3f3fc67d, 32'h3f2460fe,32'h3f48e852,// invsqrt(1.9646) = 0.7134 +32'h3fa180b3,32'h3f5f58f6,32'h3f6876b6, 32'h3f5882a5,32'h3f6f4d07, 32'h3f4d1d74,32'h3f7ab238,// invsqrt(1.2617) = 0.8903 +32'h404a0f30,32'h3f0d31b1,32'h3f12f507, 32'h3f08df31,32'h3f174787, 32'h3f01ab06,32'h3f1e7bb2,// invsqrt(3.1572) = 0.5628 +32'h3da8aff9,32'h405a8a15,32'h40637599, 32'h4053d973,32'h406a263b, 32'h4048b30e,32'h40754ca0,// invsqrt(0.0824) = 3.4844 +32'h3e49967a,32'h400d5bf1,32'h40132100, 32'h40090825,32'h401774cb, 32'h4001d1d2,32'h401eab1e,// invsqrt(0.1969) = 2.2538 +32'h405b2aec,32'h3f079241,32'h3f0d1ad6, 32'h3f036bd2,32'h3f114146, 32'h3ef9022f,32'h3f182c00,// invsqrt(3.4245) = 0.5404 +32'h3e2c11f0,32'h40190113,32'h401f3fd0, 32'h40145206,32'h4023eede, 32'h400c839a,32'h402bbd4a,// invsqrt(0.1680) = 2.4395 +32'h40628528,32'h3f055a5b,32'h3f0acbc1, 32'h3f01454d,32'h3f0ee0cf, 32'h3ef4ef19,32'h3f15ae8f,// invsqrt(3.5394) = 0.5315 +32'h3ed51832,32'h3fc2708f,32'h3fca6041, 32'h3fbc7cc9,32'h3fd05407, 32'h3fb2912a,32'h3fda3fa6,// invsqrt(0.4162) = 1.5501 +32'h4024b9da,32'h3f1c60b5,32'h3f22c2b1, 32'h3f179737,32'h3f278c2f, 32'h3f0f9cbb,32'h3f2f86ab,// invsqrt(2.5738) = 0.6233 +32'h412d2a67,32'h3e9884f9,32'h3e9ebea5, 32'h3e93d9b8,32'h3ea369e6, 32'h3e8c11a1,32'h3eab31fd,// invsqrt(10.8229) = 0.3040 +32'h406aa82b,32'h3f030542,32'h3f085e4a, 32'h3efe04f8,32'h3f0c6110, 32'h3ef0a664,32'h3f13105a,// invsqrt(3.6665) = 0.5222 +32'h3f8a6931,32'h3f7142a3,32'h3f7b1b90, 32'h3f69dff2,32'h3f813f21, 32'h3f5d90cb,32'h3f8766b5,// invsqrt(1.0813) = 0.9617 +32'h4080cf68,32'h3efa16fb,32'h3f022615, 32'h3ef26f18,32'h3f05fa07, 32'h3ee5ac9e,32'h3f0c5b44,// invsqrt(4.0253) = 0.4984 +32'h40240299,32'h3f1cb7f9,32'h3f231d86, 32'h3f17ebd0,32'h3f27e9b0, 32'h3f0fece0,32'h3f2fe8a0,// invsqrt(2.5627) = 0.6247 +32'h3d4ad592,32'h408cec94,32'h4092ad18, 32'h40889c32,32'h4096fd7a, 32'h40816b8d,32'h409e2e1f,// invsqrt(0.0495) = 4.4938 +32'h3fe904c1,32'h3f39f0f6,32'h3f4187dc, 32'h3f343fca,32'h3f473908, 32'h3f2ac32b,32'h3f50b5a7,// invsqrt(1.8205) = 0.7412 +32'h3f72ccbe,32'h3f80ce04,32'h3f860fe5, 32'h3f79b938,32'h3f8a014e, 32'h3f6c9486,32'h3f9093a7,// invsqrt(0.9484) = 1.0268 +32'h3f72eed0,32'h3f80c4fc,32'h3f86067e, 32'h3f79a7b4,32'h3f89f7a0, 32'h3f6c83ee,32'h3f908983,// invsqrt(0.9490) = 1.0265 +32'h3f1e693b,32'h3f9f76d8,32'h3fa5f915, 32'h3f9a952a,32'h3faadac2, 32'h3f92725d,32'h3fb2fd8f,// invsqrt(0.6188) = 1.2712 +32'h40a4d023,32'h3edd17d7,32'h3ee61e0a, 32'h3ed65332,32'h3eece2b0, 32'h3ecb0b72,32'h3ef82a70,// invsqrt(5.1504) = 0.4406 +32'h3f42b21d,32'h3f8fd6e1,32'h3f95b5db, 32'h3f8b6fa6,32'h3f9a1d16, 32'h3f8418ee,32'h3fa173ce,// invsqrt(0.7605) = 1.1467 +32'h407b7c3e,32'h3efd1f34,32'h3f03ba08, 32'h3ef55f8d,32'h3f0799db, 32'h3ee87578,32'h3f0e0ee6,// invsqrt(3.9295) = 0.5045 +32'h3f341df2,32'h3f958c1f,32'h3f9ba6bd, 32'h3f90f828,32'h3fa03ab4, 32'h3f8956e3,32'h3fa7dbf9,// invsqrt(0.7036) = 1.1922 +32'h3f9d2b3e,32'h3f6267e8,32'h3f6ba59e, 32'h3f5b79a0,32'h3f7293e6, 32'h3f4fec7c,32'h3f7e210a,// invsqrt(1.2279) = 0.9024 +32'h3ff4b334,32'h3f3572e3,32'h3f3cdad7, 32'h3f2fe4ec,32'h3f4268ce, 32'h3f26a2fa,32'h3f4baac0,// invsqrt(1.9117) = 0.7232 +32'h408f3f1a,32'h3eed273a,32'h3ef6d53c, 32'h3ee5e4b8,32'h3efe17be, 32'h3ed9cb35,32'h3f0518a0,// invsqrt(4.4765) = 0.4726 +32'h3dc7c360,32'h4048d2ac,32'h40510511, 32'h4042ace0,32'h40572adc, 32'h40386de1,32'h406169db,// invsqrt(0.0975) = 3.2019 +32'h40e57b5b,32'h3ebb5e5e,32'h3ec3042d, 32'h3eb5a202,32'h3ec8c088, 32'h3eac12be,32'h3ed24fcc,// invsqrt(7.1713) = 0.3734 +32'h3e26e572,32'h401b5b91,32'h4021b2e5, 32'h40169a12,32'h40267464, 32'h400eace8,32'h402e618e,// invsqrt(0.1630) = 2.4770 +32'h41a89a4d,32'h3e5a9820,32'h3e638436, 32'h3e53e70f,32'h3e6a3547, 32'h3e48bff4,32'h3e755c63,// invsqrt(21.0753) = 0.2178 +32'h3fd68525,32'h3f41cae3,32'h3f49b3d3, 32'h3f3bdc30,32'h3f4fa286, 32'h3f31f905,32'h3f5985b1,// invsqrt(1.6759) = 0.7725 +32'h3f06915b,32'h3fad0404,32'h3fb413da, 32'h3fa7b823,32'h3fb95fbb, 32'h3f9ee457,32'h3fc23387,// invsqrt(0.5257) = 1.3793 +32'h3e339a5b,32'h4015c2de,32'h401bdfb8, 32'h40112d3a,32'h4020755c, 32'h4009892a,32'h4028196c,// invsqrt(0.1754) = 2.3878 +32'h3f0898ed,32'h3fabb9bc,32'h3fb2bc18, 32'h3fa677f8,32'h3fb7fddc, 32'h3f9db506,32'h3fc0c0ce,// invsqrt(0.5336) = 1.3690 +32'h3f155cc9,32'h3fa43938,32'h3faaed30, 32'h3f9f323e,32'h3faff42a, 32'h3f96d148,32'h3fb85520,// invsqrt(0.5834) = 1.3092 +32'h40ee79e8,32'h3eb7cd20,32'h3ebf4daa, 32'h3eb22cba,32'h3ec4ee10, 32'h3ea8cc0e,32'h3ece4ebc,// invsqrt(7.4524) = 0.3663 +32'h3fcb41c3,32'h3f4716eb,32'h3f4f3733, 32'h3f40feb5,32'h3f554f69, 32'h3f36d65a,32'h3f5f77c4,// invsqrt(1.5879) = 0.7936 +32'h3f86ff26,32'h3f744aca,32'h3f7e4364, 32'h3f6cd056,32'h3f82deec, 32'h3f605995,32'h3f891a4d,// invsqrt(1.0547) = 0.9737 +32'h4189efb9,32'h3e71acc7,32'h3e7b8a08, 32'h3e6a46d5,32'h3e8177fc, 32'h3e5df243,32'h3e87a245,// invsqrt(17.2421) = 0.2408 +32'h4013f467,32'h3f2500c0,32'h3f2bbcdc, 32'h3f1ff3aa,32'h3f30c9f2, 32'h3f178886,32'h3f393516,// invsqrt(2.3118) = 0.6577 +32'h3f5853a3,32'h3f887568,32'h3f8e0742, 32'h3f844804,32'h3f9234a6, 32'h3f7aa366,32'h3f992af7,// invsqrt(0.8450) = 1.0878 +32'h42875713,32'h3df3fb62,32'h3dfdf0be, 32'h3dec835c,32'h3e02b462, 32'h3de010a8,32'h3e08edbc,// invsqrt(67.6701) = 0.1216 +32'h3f6b510e,32'h3f82d635,32'h3f882d51, 32'h3f7da9bf,32'h3f8c2ea6, 32'h3f704ff9,32'h3f92db8a,// invsqrt(0.9192) = 1.0430 +32'h3edf9e8e,32'h3fbdcf0d,32'h3fc58e5c, 32'h3fb7ff92,32'h3fcb5dd8, 32'h3fae5070,32'h3fd50cfa,// invsqrt(0.4368) = 1.5131 +32'h3e72e054,32'h4000c8d3,32'h40060a7d, 32'h3ff9af26,32'h4009fbbd, 32'h3fec8afb,32'h40108dd2,// invsqrt(0.2372) = 2.0533 +32'h3fbbf018,32'h3f4f0b6a,32'h3f577ed2, 32'h3f48b4dc,32'h3f5dd560, 32'h3f3e249b,32'h3f6865a1,// invsqrt(1.4683) = 0.8253 +32'h3ef6df97,32'h3fb4a5f7,32'h3fbc058f, 32'h3faf1e46,32'h3fc18d40, 32'h3fa5e6c9,32'h3fcac4bd,// invsqrt(0.4822) = 1.4401 +32'h3e952cbc,32'h3fe864a7,32'h3ff1e0ed, 32'h3fe14773,32'h3ff8fe21, 32'h3fd56c1c,32'h40026cbc,// invsqrt(0.2914) = 1.8526 +32'h3f61f676,32'h3f85846f,32'h3f8af78e, 32'h3f816e19,32'h3f8f0de5, 32'h3f753c65,32'h3f95ddcc,// invsqrt(0.8827) = 1.0644 +32'h400c6535,32'h3f296308,32'h3f304cf4, 32'h3f243398,32'h3f357c64, 32'h3f1b8f31,32'h3f3e20cb,// invsqrt(2.1937) = 0.6752 +32'h3e839712,32'h3ff76f1b,32'h4000c445, 32'h3fefdc08,32'h40048dce, 32'h3fe33c3d,32'h400addb4,// invsqrt(0.2570) = 1.9725 +32'h3f749b87,32'h3f8053f2,32'h3f8590d7, 32'h3f78cc8b,32'h3f897e83, 32'h3f6bb44e,32'h3f900aa1,// invsqrt(0.9555) = 1.0230 +32'h3fe52028,32'h3f3b83a4,32'h3f432af9, 32'h3f35c624,32'h3f48e878, 32'h3f2c34f9,32'h3f5279a3,// invsqrt(1.7900) = 0.7474 +32'h3fd87ca9,32'h3f40e902,32'h3f48c8b8, 32'h3f3b0138,32'h3f4eb082, 32'h3f312994,32'h3f588826,// invsqrt(1.6913) = 0.7689 +32'h3f4ba8f6,32'h3f8ca35e,32'h3f9260e4, 32'h3f885539,32'h3f96af09, 32'h3f812851,32'h3f9ddbf1,// invsqrt(0.7955) = 1.1212 +32'h3ed58343,32'h3fc23fc8,32'h3fca2d7d, 32'h3fbc4d81,32'h3fd01fc5, 32'h3fb26460,32'h3fda08e7,// invsqrt(0.4170) = 1.5485 +32'h3e405fe2,32'h4010b45e,32'h40169c62, 32'h400c465b,32'h401b0a65, 32'h4004e456,32'h40226c6a,// invsqrt(0.1879) = 2.3072 +32'h3f28c17a,32'h3f9a7fd7,32'h3fa0ce33, 32'h3f95c512,32'h3fa588f8, 32'h3f8de31e,32'h3fad6aec,// invsqrt(0.6592) = 1.2317 +32'h3fbeaaec,32'h3f4d8e9f,32'h3f55f27b, 32'h3f4743b9,32'h3f5c3d61, 32'h3f3cc6e5,32'h3f66ba35,// invsqrt(1.4896) = 0.8193 +32'h3f0c3128,32'h3fa98277,32'h3fb06dab, 32'h3fa45210,32'h3fb59e12, 32'h3f9bac0f,32'h3fbe4413,// invsqrt(0.5476) = 1.3513 +32'h3ff68614,32'h3f34c6c0,32'h3f3c27ae, 32'h3f2f3e0e,32'h3f41b060, 32'h3f2604e5,32'h3f4ae989,// invsqrt(1.9260) = 0.7206 +32'h3e2b0412,32'h4019799d,32'h401fbd45, 32'h4014c6df,32'h40247003, 32'h400cf24c,32'h402c4496,// invsqrt(0.1670) = 2.4470 +32'h3fbcadce,32'h3f4ea339,32'h3f571260, 32'h3f484fdc,32'h3f5d65be, 32'h3f3dc4ec,32'h3f67f0ae,// invsqrt(1.4741) = 0.8237 +32'h3ede5f1a,32'h3fbe5733,32'h3fc61c10, 32'h3fb8838c,32'h3fcbefb6, 32'h3faecd77,32'h3fd5a5cb,// invsqrt(0.4343) = 1.5174 +32'h409e071a,32'h3ee1ca32,32'h3eeb0178, 32'h3edae0be,32'h3ef1eaec, 32'h3ecf5ba6,32'h3efd7004,// invsqrt(4.9384) = 0.4500 +32'h4083a768,32'h3ef75fc1,32'h3f00bc48, 32'h3eefcd26,32'h3f048595, 32'h3ee32e24,32'h3f0ad516,// invsqrt(4.1142) = 0.4930 +32'h3f84cda9,32'h3f764d1a,32'h3f802d59, 32'h3f6ec2e7,32'h3f83f272, 32'h3f6231e9,32'h3f8a3af2,// invsqrt(1.0375) = 0.9817 +32'h3fc79d4d,32'h3f48e5d2,32'h3f5118ff, 32'h3f42bf70,32'h3f573f60, 32'h3f387f77,32'h3f617f59,// invsqrt(1.5595) = 0.8008 +32'h4046e442,32'h3f0e5065,32'h3f141f6f, 32'h3f09f51e,32'h3f187ab6, 32'h3f02b253,32'h3f1fbd81,// invsqrt(3.1077) = 0.5673 +32'h3f0a43c1,32'h3faaafdf,32'h3fb1a760, 32'h3fa5763d,32'h3fb6e101, 32'h3f9cc0dc,32'h3fbf9662,// invsqrt(0.5401) = 1.3607 +32'h3fd040ba,32'h3f44afdc,32'h3f4cb70a, 32'h3f3eaa7a,32'h3f52bc6c, 32'h3f34a181,32'h3f5cc565,// invsqrt(1.6270) = 0.7840 +32'h401740e3,32'h3f233196,32'h3f29dacb, 32'h3f1e32ae,32'h3f2ed9b2, 32'h3f15df2b,32'h3f372d35,// invsqrt(2.3633) = 0.6505 +32'h3a70c13d,32'h420159c2,32'h4206a158, 32'h41fac826,32'h420a9707, 32'h41ed9531,32'h42113082,// invsqrt(0.0009) = 32.9976 +32'h3eb23689,32'h3fd49e5e,32'h3fdd4c04, 32'h3fce1c22,32'h3fe3ce40, 32'h3fc34312,32'h3feea750,// invsqrt(0.3481) = 1.6950 +32'h3f223be4,32'h3f9d9300,32'h3fa4017e, 32'h3f98c022,32'h3fa8d45c, 32'h3f90b606,32'h3fb0de79,// invsqrt(0.6337) = 1.2562 +32'h3f1ac6ad,32'h3fa1536f,32'h3fa7e91f, 32'h3f9c632a,32'h3facd964, 32'h3f94280d,32'h3fb51481,// invsqrt(0.6046) = 1.2861 +32'h3f4e358c,32'h3f8bc423,32'h3f91788d, 32'h3f877cd4,32'h3f95bfdc, 32'h3f805b4f,32'h3f9ce161,// invsqrt(0.8055) = 1.1142 +32'h3f48fe1f,32'h3f8d917a,32'h3f9358b8, 32'h3f893c0b,32'h3f97ae27, 32'h3f8202fd,32'h3f9ee735,// invsqrt(0.7851) = 1.1286 +32'h401b0ec0,32'h3f212dec,32'h3f27c214, 32'h3f1c3ecd,32'h3f2cb133, 32'h3f14059a,32'h3f34ea66,// invsqrt(2.4228) = 0.6425 +32'h3f3203b9,32'h3f966d88,32'h3f9c915a, 32'h3f91d2ab,32'h3fa12c37, 32'h3f8a25e6,32'h3fa8d8fc,// invsqrt(0.6954) = 1.1992 +32'h3c035d9b,32'h412f1c97,32'h41364255, 32'h4129c04a,32'h413b9ea2, 32'h4120d11d,32'h41448dcf,// invsqrt(0.0080) = 11.1678 +32'h3eb07006,32'h3fd5af8a,32'h3fde6856, 32'h3fcf24f1,32'h3fe4f2ef, 32'h3fc43df2,32'h3fefd9ee,// invsqrt(0.3446) = 1.7035 +32'h3fb97954,32'h3f506a51,32'h3f58ec0b, 32'h3f4a0905,32'h3f5f4d57, 32'h3f3f66dd,32'h3f69ef7f,// invsqrt(1.4490) = 0.8307 +32'h3f9963c6,32'h3f652d90,32'h3f6e883d, 32'h3f5e298e,32'h3f758c3e, 32'h3f527835,32'h3f809ecb,// invsqrt(1.1984) = 0.9135 +32'h40ea1832,32'h3eb98372,32'h3ec115df, 32'h3eb3d5a0,32'h3ec6c3b0, 32'h3eaa5e97,32'h3ed03ab9,// invsqrt(7.3155) = 0.3697 +32'h3fc71db1,32'h3f492628,32'h3f515bf5, 32'h3f42fdcd,32'h3f57844f, 32'h3f38ba8d,32'h3f61c78f,// invsqrt(1.5556) = 0.8018 +32'h4054dd32,32'h3f099064,32'h3f0f2dcc, 32'h3f055a57,32'h3f1363d9, 32'h3efcab2b,32'h3f1a689a,// invsqrt(3.3260) = 0.5483 +32'h40348fd5,32'h3f155cee,32'h3f1b759e, 32'h3f10ca69,32'h3f200823, 32'h3f092b8c,32'h3f27a700,// invsqrt(2.8213) = 0.5954 +32'h4019929b,32'h3f21f4ed,32'h3f289136, 32'h3f1cffb8,32'h3f2d866c, 32'h3f14bc5d,32'h3f35c9c7,// invsqrt(2.3996) = 0.6456 +32'h3e9f7a35,32'h3fe0c2e3,32'h3fe9ef69, 32'h3fd9e17e,32'h3ff0d0ce, 32'h3fce69d5,32'h3ffc4877,// invsqrt(0.3115) = 1.7918 +32'h3fdc2af2,32'h3f3f4a74,32'h3f471940, 32'h3f396f5c,32'h3f4cf458, 32'h3f2facde,32'h3f56b6d6,// invsqrt(1.7201) = 0.7625 +32'h3f6cdee5,32'h3f826827,32'h3f87bac5, 32'h3f7cd460,32'h3f8bb8bc, 32'h3f6f85d4,32'h3f926002,// invsqrt(0.9253) = 1.0396 +32'h3eda9629,32'h3fbffb41,32'h3fc7d143, 32'h3fba1abf,32'h3fcdb1c5, 32'h3fb04f3b,32'h3fd77d49,// invsqrt(0.4269) = 1.5305 +32'h3d5d70d6,32'h4086dfaa,32'h408c60f5, 32'h4082beb2,32'h409081ee, 32'h4077ba2a,32'h4097638b,// invsqrt(0.0541) = 4.3008 +32'h3ebfa779,32'h3fcd0703,32'h3fd56556, 32'h3fc6c043,32'h3fdbac15, 32'h3fbc4a5b,32'h3fe621fd,// invsqrt(0.3743) = 1.6345 +32'h3fea67c4,32'h3f3963f2,32'h3f40f516, 32'h3f33b717,32'h3f46a1f1, 32'h3f2a41aa,32'h3f50175e,// invsqrt(1.8313) = 0.7390 +32'h4170e483,32'h3e81504a,32'h3e86977c, 32'h3e7ab5c9,32'h3e8a8ce2, 32'h3e6d83cc,32'h3e9125e0,// invsqrt(15.0558) = 0.2577 +32'h3ed0a6d8,32'h3fc47fb5,32'h3fcc84eb, 32'h3fbe7bcc,32'h3fd288d4, 32'h3fb47548,32'h3fdc8f58,// invsqrt(0.4075) = 1.5665 +32'h4000ec19,32'h3f30c367,32'h3f37fa66, 32'h3f2b5a28,32'h3f3d63a6, 32'h3f22556a,32'h3f466865,// invsqrt(2.0144) = 0.7046 +32'h3e860b11,32'h3ff528d0,32'h3fff2a7a, 32'h3feda790,32'h400355dd, 32'h3fe1257b,32'h400996e8,// invsqrt(0.2618) = 1.9544 +32'h3f2cff46,32'h3f9897fb,32'h3f9ed26e, 32'h3f93ec26,32'h3fa37e44, 32'h3f8c2316,32'h3fab4754,// invsqrt(0.6758) = 1.2165 +32'h3f762287,32'h3f7fdbb7,32'h3f852696, 32'h3f7806a0,32'h3f891122, 32'h3f6af8cd,32'h3f8f980c,// invsqrt(0.9615) = 1.0198 +32'h4038a8b7,32'h3f13b25a,32'h3f19b9a2, 32'h3f0f2ce4,32'h3f1e3f18, 32'h3f07a3cb,32'h3f25c831,// invsqrt(2.8853) = 0.5887 +32'h3f4762d4,32'h3f8e2333,32'h3f93f064, 32'h3f89c94e,32'h3f984a48, 32'h3f8288d0,32'h3f9f8ac6,// invsqrt(0.7789) = 1.1331 +32'h3f243945,32'h3f9c9de1,32'h3fa3025d, 32'h3f97d284,32'h3fa7cdba, 32'h3f8fd4e9,32'h3fafcb55,// invsqrt(0.6415) = 1.2485 +32'h3e992ac7,32'h3fe55830,32'h3feeb49a, 32'h3fde52e0,32'h3ff5b9ea, 32'h3fd29f5b,32'h4000b6b8,// invsqrt(0.2992) = 1.8283 +32'h3fbc66f8,32'h3f4eca0e,32'h3f573aca, 32'h3f487580,32'h3f5d8f58, 32'h3f3de894,32'h3f681c44,// invsqrt(1.4719) = 0.8243 +32'h3e4e1cbc,32'h400bcc8d,32'h4011814f, 32'h400784fc,32'h4015c8e0, 32'h40006309,32'h401cead3,// invsqrt(0.2013) = 2.2289 +32'h3f422d47,32'h3f90080c,32'h3f95e908, 32'h3f8b9f50,32'h3f9a51c4, 32'h3f844616,32'h3fa1aafe,// invsqrt(0.7585) = 1.1482 +32'h3f8b84e0,32'h3f704cdf,32'h3f7a1bc4, 32'h3f68f1b4,32'h3f80bb78, 32'h3f5caf17,32'h3f86dcc7,// invsqrt(1.0900) = 0.9578 +32'h3fa9c28c,32'h3f59d911,32'h3f62bd5b, 32'h3f532dda,32'h3f696892, 32'h3f48107d,32'h3f7485ef,// invsqrt(1.3262) = 0.8683 +32'h3ff60039,32'h3f34f7e8,32'h3f3c5ad8, 32'h3f2f6db5,32'h3f41e50b, 32'h3f26320a,32'h3f4b20b6,// invsqrt(1.9219) = 0.7213 +32'h3f0d0cd8,32'h3fa8fe42,32'h3fafe410, 32'h3fa3d1e7,32'h3fb5106b, 32'h3f9b32a5,32'h3fbdafad,// invsqrt(0.5510) = 1.3472 +32'h404e2c73,32'h3f0bc739,32'h3f117bc3, 32'h3f077fd1,32'h3f15c32b, 32'h3f005e25,32'h3f1ce4d7,// invsqrt(3.2215) = 0.5572 +32'h3e238e4d,32'h401cefa7,32'h40235779, 32'h401821c9,32'h40282557, 32'h40102002,32'h4030271e,// invsqrt(0.1597) = 2.5022 +32'h3f049ed9,32'h3fae4801,32'h3fb56511, 32'h3fa8f235,32'h3fbabadd, 32'h3fa00de2,32'h3fc39f30,// invsqrt(0.5180) = 1.3894 +32'h3ed691e1,32'h3fc1c523,32'h3fc9add7, 32'h3fbbd69d,32'h3fcf9c5d, 32'h3fb1f3bd,32'h3fd97f3d,// invsqrt(0.4191) = 1.5447 +32'h3f0947f8,32'h3fab4c1e,32'h3fb24a00, 32'h3fa60db5,32'h3fb78869, 32'h3f9d505a,32'h3fc045c4,// invsqrt(0.5363) = 1.3656 +32'h3e99df3c,32'h3fe4d18c,32'h3fee2878, 32'h3fddd05c,32'h3ff529a8, 32'h3fd223b5,32'h40006b28,// invsqrt(0.3005) = 1.8241 +32'h3f4f8145,32'h3f8b543f,32'h3f910417, 32'h3f87105c,32'h3f9547fa, 32'h3f7fe91a,32'h3f9c63c9,// invsqrt(0.8106) = 1.1107 +32'h402641b5,32'h3f1ba7fe,32'h3f220271, 32'h3f16e428,32'h3f26c648, 32'h3f0ef319,32'h3f2eb757,// invsqrt(2.5978) = 0.6204 +32'h3ecccccd,32'h3fc6568b,32'h3fce6ef9, 32'h3fc04438,32'h3fd4814c, 32'h3fb625af,32'h3fde9fd5,// invsqrt(0.4000) = 1.5811 +32'h3f697dbf,32'h3f8358e1,32'h3f88b553, 32'h3f7ea718,32'h3f8cbaa8, 32'h3f713ffc,32'h3f936e36,// invsqrt(0.9121) = 1.0471 +32'h3fad9ce8,32'h3f576ac2,32'h3f6035a5, 32'h3f50d297,32'h3f66cdcf, 32'h3f45d4fb,32'h3f71cb6b,// invsqrt(1.3564) = 0.8586 +32'h3f11f857,32'h3fa61eef,32'h3face6bb, 32'h3fa10917,32'h3fb1fc93, 32'h3f988f59,32'h3fba7651,// invsqrt(0.5702) = 1.3243 +32'h401ad0f4,32'h3f214e14,32'h3f27e38c, 32'h3f1c5df9,32'h3f2cd3a7, 32'h3f142322,32'h3f350e7e,// invsqrt(2.4190) = 0.6430 +32'h4086c604,32'h3ef47e8c,32'h3efe7943, 32'h3eed0282,32'h3f02faa6, 32'h3ee0891d,32'h3f093759,// invsqrt(4.2117) = 0.4873 +32'h40510fe1,32'h3f0acf2c,32'h3f107996, 32'h3f068f5c,32'h3f14b966, 32'h3efef4af,32'h3f1bce6b,// invsqrt(3.2666) = 0.5533 +32'h41979c6a,32'h3e6684b9,32'h3e6fed67, 32'h3e5f7636,32'h3e76fbea, 32'h3e53b35b,32'h3e815f62,// invsqrt(18.9514) = 0.2297 +32'h3f149e8d,32'h3fa4a231,32'h3fab5a71, 32'h3f9f9800,32'h3fb064a2, 32'h3f9731af,32'h3fb8caf3,// invsqrt(0.5805) = 1.3124 +32'h3f2d9bb3,32'h3f98532d,32'h3f9e8ad1, 32'h3f93a972,32'h3fa3348c, 32'h3f8be3e6,32'h3faafa18,// invsqrt(0.6782) = 1.2143 +32'h40ac588e,32'h3ed83517,32'h3ee1083d, 32'h3ed196bb,32'h3ee7a699, 32'h3ec68ecc,32'h3ef2ae88,// invsqrt(5.3858) = 0.4309 +32'h406ffc6c,32'h3f018ec2,32'h3f06d880, 32'h3efb2ee5,32'h3f0acfcf, 32'h3eedf688,32'h3f116bfe,// invsqrt(3.7498) = 0.5164 +32'h3ef2320e,32'h3fb66270,32'h3fbdd42b, 32'h3fb0cd23,32'h3fc36977, 32'h3fa77ef8,32'h3fccb7a2,// invsqrt(0.4730) = 1.4540 +32'h3f056261,32'h3fadc814,32'h3fb4dfec, 32'h3fa87633,32'h3fba31cd, 32'h3f9f9866,32'h3fc30f9a,// invsqrt(0.5210) = 1.3854 +32'h3ed70cb8,32'h3fc18dc4,32'h3fc97434, 32'h3fbba0ef,32'h3fcf6109, 32'h3fb1c0e3,32'h3fd94115,// invsqrt(0.4200) = 1.5430 +32'h3ef19aca,32'h3fb69b7f,32'h3fbe0f8f, 32'h3fb10473,32'h3fc3a69b, 32'h3fa7b360,32'h3fccf7ae,// invsqrt(0.4719) = 1.4557 +32'h3f468fd3,32'h3f8e6ea4,32'h3f943eea, 32'h3f8a1270,32'h3f989b1e, 32'h3f82ce1a,32'h3f9fdf74,// invsqrt(0.7756) = 1.1355 +32'h3f606174,32'h3f85fcb9,32'h3f8b74c1, 32'h3f81e2b4,32'h3f8f8ec6, 32'h3f761954,32'h3f9664d0,// invsqrt(0.8765) = 1.0681 +32'h3ed423d3,32'h3fc2e06c,32'h3fcad4b0, 32'h3fbce93a,32'h3fd0cbe2, 32'h3fb2f7e6,32'h3fdabd36,// invsqrt(0.4143) = 1.5535 +32'h40164f19,32'h3f23b4a3,32'h3f2a6331, 32'h3f1eb1b8,32'h3f2f661c, 32'h3f165786,32'h3f37c04e,// invsqrt(2.3486) = 0.6525 +32'h3fa1ea13,32'h3f5f103c,32'h3f682b06, 32'h3f583c26,32'h3f6eff1c, 32'h3f4cdaaa,32'h3f7a6098,// invsqrt(1.2650) = 0.8891 +32'h3f63351f,32'h3f8526ad,32'h3f8a95f8, 32'h3f811335,32'h3f8ea971, 32'h3f74902f,32'h3f95748e,// invsqrt(0.8875) = 1.0615 +32'h3eef7317,32'h3fb76d64,32'h3fbeea05, 32'h3fb1cfec,32'h3fc4877e, 32'h3fa87423,32'h3fcde347,// invsqrt(0.4677) = 1.4623 +32'h3f5f032a,32'h3f8665ca,32'h3f8be21c, 32'h3f82488d,32'h3f8fff59, 32'h3f76da4f,32'h3f96dabe,// invsqrt(0.8711) = 1.0714 +32'h402a0eab,32'h3f19e832,32'h3f20305e, 32'h3f153211,32'h3f24e67f, 32'h3f0d57da,32'h3f2cc0b6,// invsqrt(2.6571) = 0.6135 +32'h408ba140,32'h3ef03473,32'h3efa0259, 32'h3ee8da07,32'h3f00ae62, 32'h3edc98a9,32'h3f06cf12,// invsqrt(4.3634) = 0.4787 +32'h3f32427f,32'h3f96530a,32'h3f9c75c6, 32'h3f91b8fc,32'h3fa10fd4, 32'h3f8a0d91,32'h3fa8bb3f,// invsqrt(0.6963) = 1.1984 +32'h4097badc,32'h3ee66d97,32'h3eefd555, 32'h3edf5fca,32'h3ef6e322, 32'h3ed39e1d,32'h3f015267,// invsqrt(4.7416) = 0.4592 +32'h3fbbe2b7,32'h3f4f12c9,32'h3f57867d, 32'h3f48bc01,32'h3f5ddd45, 32'h3f3e2b60,32'h3f686de7,// invsqrt(1.4679) = 0.8254 +32'h3f784fda,32'h3f7ebbf4,32'h3f8490d6, 32'h3f76efac,32'h3f8876fa, 32'h3f69f088,32'h3f8ef68c,// invsqrt(0.9700) = 1.0154 +32'h3ef70d49,32'h3fb49541,32'h3fbbf42a, 32'h3faf0e13,32'h3fc17b59, 32'h3fa5d771,32'h3fcab1fb,// invsqrt(0.4825) = 1.4396 +32'h3dd7fb73,32'h404122ae,32'h404904bf, 32'h403b3920,32'h404eee4c, 32'h40315e8a,32'h4058c8e2,// invsqrt(0.1055) = 3.0793 +32'h3f8cb731,32'h3f6f46c4,32'h3f790af6, 32'h3f67f39f,32'h3f802f0e, 32'h3f5bbe60,32'h3f8649ad,// invsqrt(1.0993) = 0.9537 +32'h3f901cab,32'h3f6c70a5,32'h3f761733, 32'h3f6533ba,32'h3f7d541e, 32'h3f592388,32'h3f84b228,// invsqrt(1.1259) = 0.9424 +32'h3dd22f8b,32'h4043c7cf,32'h404bc583, 32'h403dc987,32'h4051c3cb, 32'h4033cc65,32'h405bc0ed,// invsqrt(0.1026) = 3.1215 +32'h400e6189,32'h3f283399,32'h3f2f1122, 32'h3f230d73,32'h3f343749, 32'h3f1a7888,32'h3f3ccc34,// invsqrt(2.2247) = 0.6704 +32'h4018d435,32'h3f2259b1,32'h3f28fa16, 32'h3f1d6164,32'h3f2df262, 32'h3f1518e6,32'h3f363ae0,// invsqrt(2.3880) = 0.6471 +32'h41e69fdb,32'h3e3ae766,32'h3e42885a, 32'h3e352eae,32'h3e484112, 32'h3e2ba57d,32'h3e51ca43,// invsqrt(28.8281) = 0.1862 +32'h3eda81af,32'h3fc00440,32'h3fc7daa0, 32'h3fba2377,32'h3fcdbb69, 32'h3fb0577e,32'h3fd78762,// invsqrt(0.4268) = 1.5307 +32'h3f88c2bc,32'h3f72b626,32'h3f7c9e3d, 32'h3f6b4816,32'h3f820627, 32'h3f5ee5fa,32'h3f883735,// invsqrt(1.0684) = 0.9674 +32'h41049a51,32'h3eae4afb,32'h3eb5682a, 32'h3ea8f518,32'h3ebabe0e, 32'h3ea0109e,32'h3ec3a288,// invsqrt(8.2877) = 0.3474 +32'h3ed3a421,32'h3fc31b2e,32'h3fcb11d7, 32'h3fbd222f,32'h3fd10ad5, 32'h3fb32ddb,32'h3fdaff29,// invsqrt(0.4134) = 1.5554 +32'h3f1a813c,32'h3fa177ab,32'h3fa80ed7, 32'h3f9c864b,32'h3fad0037, 32'h3f944954,32'h3fb53d2e,// invsqrt(0.6035) = 1.2872 +32'h3ea4380a,32'h3fdd7e23,32'h3fe68883, 32'h3fd6b65c,32'h3fed504a, 32'h3fcb6964,32'h3ff89d42,// invsqrt(0.3207) = 1.7657 +32'h3e0b9373,32'h4029e220,32'h4030d13c, 32'h4024aecc,32'h40360490, 32'h401c03e9,32'h403eaf73,// invsqrt(0.1363) = 2.7086 +32'h3ffa578a,32'h3f336479,32'h3f3ab6f1, 32'h3f2de69f,32'h3f4034cb, 32'h3f24bf89,32'h3f495be1,// invsqrt(1.9558) = 0.7151 +32'h3ec67028,32'h3fc97e08,32'h3fd1b76c, 32'h3fc352fe,32'h3fd7e276, 32'h3fb90b41,32'h3fe22a33,// invsqrt(0.3876) = 1.6063 +32'h3e4f3eb1,32'h400b6a9e,32'h40111b61, 32'h4007260d,32'h40155ff3, 32'h4000091a,32'h401c7ce6,// invsqrt(0.2024) = 2.2228 +32'h3e6cad95,32'h400275bc,32'h4007c8e8, 32'h3ffceeb5,32'h400bc749, 32'h3fef9ec7,32'h40126f41,// invsqrt(0.2311) = 2.0800 +32'h3fa346d1,32'h3f5e2184,32'h3f67328e, 32'h3f5754bc,32'h3f6dff56, 32'h3f4bff6e,32'h3f7954a4,// invsqrt(1.2756) = 0.8854 +32'h3f0ceede,32'h3fa9103a,32'h3faff6c4, 32'h3fa3e352,32'h3fb523ac, 32'h3f9b4326,32'h3fbdc3d9,// invsqrt(0.5505) = 1.3478 +32'h4002f14e,32'h3f2f64f3,32'h3f368da4, 32'h3f2a066e,32'h3f3bec28, 32'h3f211390,32'h3f44df06,// invsqrt(2.0460) = 0.6991 +32'h3ca1b827,32'h40df32a7,32'h40e84ed8, 32'h40d85d83,32'h40ef23fd, 32'h40ccfa46,32'h40fa873a,// invsqrt(0.0197) = 7.1173 +32'h3e4cb42f,32'h400c4774,32'h4012013a, 32'h4007fc1f,32'h40164c8f, 32'h4000d3e8,32'h401d74c6,// invsqrt(0.1999) = 2.2366 +32'h3f719463,32'h3f81212f,32'h3f866675, 32'h3f7a5a75,32'h3f8a5a69, 32'h3f6d2d47,32'h3f90f101,// invsqrt(0.9437) = 1.0294 +32'h3f49c743,32'h3f8d4ad9,32'h3f930f35, 32'h3f88f793,32'h3f97627b, 32'h3f81c220,32'h3f9e97ee,// invsqrt(0.7882) = 1.1264 +32'h3e3ed862,32'h4011487f,32'h4017368f, 32'h400cd5f3,32'h401ba91b, 32'h40056c60,32'h402312ae,// invsqrt(0.1864) = 2.3164 +32'h3ec0baa7,32'h3fcc7470,32'h3fd4ccc8, 32'h3fc6322e,32'h3fdb0f0a, 32'h3fbbc3c0,32'h3fe57d78,// invsqrt(0.3764) = 1.6299 +32'h3fca2d7c,32'h3f479ec4,32'h3f4fc498, 32'h3f418265,32'h3f55e0f7, 32'h3f37531d,32'h3f60103f,// invsqrt(1.5795) = 0.7957 +32'h40503b97,32'h3f0b15dc,32'h3f10c328, 32'h3f06d3e2,32'h3f150522, 32'h3eff7684,32'h3f1c1dc2,// invsqrt(3.2536) = 0.5544 +32'h3f155555,32'h3fa43d51,32'h3faaf173, 32'h3f9f3637,32'h3faff88d, 32'h3f96d50b,32'h3fb859b9,// invsqrt(0.5833) = 1.3093 +32'h3e002ba6,32'h403147ea,32'h40388452, 32'h402bda9c,32'h403df1a0, 32'h4022cf1b,32'h4046fd21,// invsqrt(0.1252) = 2.8265 +32'h3fd2b620,32'h3f43893f,32'h3f4b8466, 32'h3f3d8ce1,32'h3f5180c3, 32'h3f3392f0,32'h3f5b7ab4,// invsqrt(1.6462) = 0.7794 +32'h3f1ba994,32'h3fa0ddaf,32'h3fa76e92, 32'h3f9bf106,32'h3fac5b3c, 32'h3f93bbea,32'h3fb49058,// invsqrt(0.6081) = 1.2824 +32'h3f96a15a,32'h3f674485,32'h3f70b507, 32'h3f603022,32'h3f77c96a, 32'h3f54637f,32'h3f81cb06,// invsqrt(1.1768) = 0.9218 +32'h4014fddc,32'h3f246d80,32'h3f2b239a, 32'h3f1f64ec,32'h3f302c2e, 32'h3f17014c,32'h3f388fce,// invsqrt(2.3280) = 0.6554 +32'h3f2ad7ec,32'h3f998d70,32'h3f9fd1e8, 32'h3f94da17,32'h3fa48541, 32'h3f8d0481,32'h3fac5ad7,// invsqrt(0.6674) = 1.2241 +32'h3ff34659,32'h3f35fac1,32'h3f3d6841, 32'h3f3068a1,32'h3f42fa61, 32'h3f271fc1,32'h3f4c4341,// invsqrt(1.9006) = 0.7254 +32'h3ee1e9dc,32'h3fbcd7b3,32'h3fc48ce9, 32'h3fb70fca,32'h3fca54d2, 32'h3fad6d46,32'h3fd3f756,// invsqrt(0.4412) = 1.5054 +32'h3f814f83,32'h3f799afb,32'h3f81e58d, 32'h3f71f6e4,32'h3f85b799, 32'h3f653abd,32'h3f8c15ac,// invsqrt(1.0102) = 0.9949 +32'h3fadfa9f,32'h3f5730b5,32'h3f5ff93a, 32'h3f509a52,32'h3f668f9e, 32'h3f459fac,32'h3f718a44,// invsqrt(1.3592) = 0.8577 +32'h3ef6836d,32'h3fb4c7b9,32'h3fbc28b1, 32'h3faf3eff,32'h3fc1b16b, 32'h3fa605c9,32'h3fcaeaa1,// invsqrt(0.4815) = 1.4412 +32'h3f59de8d,32'h3f87f983,32'h3f8d864f, 32'h3f83cfea,32'h3f91afe8, 32'h3f79bfd7,32'h3f989fe7,// invsqrt(0.8511) = 1.0840 +32'h44f24aab,32'h3cb6592c,32'h3cbdca86, 32'h3cb0c428,32'h3cc35f8a, 32'h3ca77676,32'h3cccad3c,// invsqrt(1938.3334) = 0.0227 +32'h4067e567,32'h3f03cc53,32'h3f092d7b, 32'h3eff86ea,32'h3f0d3659, 32'h3ef21406,32'h3f13efcb,// invsqrt(3.6234) = 0.5253 +32'h3facd880,32'h3f57e503,32'h3f60b4e3, 32'h3f51491a,32'h3f6750cc, 32'h3f464541,32'h3f7254a5,// invsqrt(1.3504) = 0.8605 +32'h41341c3a,32'h3e958cd5,32'h3e9ba77b, 32'h3e90f8d9,32'h3ea03b77, 32'h3e89578a,32'h3ea7dcc6,// invsqrt(11.2569) = 0.2981 +32'h405fe88c,32'h3f0620e1,32'h3f0b9a63, 32'h3f0205c0,32'h3f0fb584, 32'h3ef65bbd,32'h3f168d65,// invsqrt(3.4986) = 0.5346 +32'h3fa00bfe,32'h3f605c6e,32'h3f6984c6, 32'h3f597e2c,32'h3f706308, 32'h3f4e0bbe,32'h3f7bd576,// invsqrt(1.2504) = 0.8943 +32'h3fa0fca8,32'h3f5fb47b,32'h3f68d5f9, 32'h3f58db5e,32'h3f6faf16, 32'h3f4d7181,32'h3f7b18f3,// invsqrt(1.2577) = 0.8917 +32'h3fc1ebb8,32'h3f4bd35e,32'h3f542524, 32'h3f45960b,32'h3f5a6277, 32'h3f3b2fd4,32'h3f64c8ae,// invsqrt(1.5150) = 0.8124 +32'h3e089483,32'h402bbc83,32'h4032befb, 32'h40267aa9,32'h403800d5, 32'h401db792,32'h4040c3ec,// invsqrt(0.1334) = 2.7381 +32'h3f868f2b,32'h3f74b05b,32'h3f7ead1b, 32'h3f6d32cb,32'h3f831555, 32'h3f60b6dc,32'h3f89534d,// invsqrt(1.0512) = 0.9753 +32'h3f5ed105,32'h3f8674e9,32'h3f8bf1d8, 32'h3f825735,32'h3f900f8b, 32'h3f76f613,32'h3f96ebb6,// invsqrt(0.8704) = 1.0719 +32'h3f3fac46,32'h3f90f81b,32'h3f96e2e3, 32'h3f8c8805,32'h3f9b52f9, 32'h3f85228c,32'h3fa2b872,// invsqrt(0.7487) = 1.1557 +32'h41e8d050,32'h3e3a05e6,32'h3e419da6, 32'h3e345416,32'h3e474f76, 32'h3e2ad665,32'h3e50cd27,// invsqrt(29.1017) = 0.1854 +32'h409d972f,32'h3ee21a51,32'h3eeb54dc, 32'h3edb2e68,32'h3ef240c4, 32'h3ecfa53a,32'h3efdc9f2,// invsqrt(4.9247) = 0.4506 +32'h4041d91e,32'h3f10274d,32'h3f16098f, 32'h3f0bbd9c,32'h3f1a7340, 32'h3f0462c9,32'h3f21ce13,// invsqrt(3.0289) = 0.5746 +32'h40f0d7f0,32'h3eb6e54e,32'h3ebe5c61, 32'h3eb14c00,32'h3ec3f5b0, 32'h3ea7f729,32'h3ecd4a87,// invsqrt(7.5264) = 0.3645 +32'h414410ea,32'h3e8f55fa,32'h3e952fb0, 32'h3e8af2b1,32'h3e9992f9, 32'h3e83a28c,32'h3ea0e31e,// invsqrt(12.2541) = 0.2857 +32'h3fb1de39,32'h3f54d320,32'h3f5d82ee, 32'h3f4e4f47,32'h3f6406c7, 32'h3f437386,32'h3f6ee288,// invsqrt(1.3896) = 0.8483 +32'h3f997d7e,32'h3f651a5b,32'h3f6e7440, 32'h3f5e16f0,32'h3f7577ac, 32'h3f526693,32'h3f809404,// invsqrt(1.1991) = 0.9132 +32'h410b3119,32'h3eaa1e1a,32'h3eb10fa8, 32'h3ea4e8ef,32'h3eb644d3, 32'h3e9c3afe,32'h3ebef2c4,// invsqrt(8.6995) = 0.3390 +32'h3ecd36d2,32'h3fc62348,32'h3fce399f, 32'h3fc01288,32'h3fd44a60, 32'h3fb5f69c,32'h3fde664c,// invsqrt(0.4008) = 1.5795 +32'h3edf83d5,32'h3fbdda66,32'h3fc59a2b, 32'h3fb80a91,32'h3fcb69ff, 32'h3fae5ada,32'h3fd519b6,// invsqrt(0.4366) = 1.5135 +32'h3fcaeb91,32'h3f47412f,32'h3f4f6331, 32'h3f4127ae,32'h3f557cb2, 32'h3f36fd2b,32'h3f5fa735,// invsqrt(1.5853) = 0.7942 +32'h3e2c27bd,32'h4018f763,32'h401f35bb, 32'h401448a1,32'h4023e47d, 32'h400c7ab4,32'h402bb26a,// invsqrt(0.1681) = 2.4389 +32'h3e0716b9,32'h402cae87,32'h4033bae0, 32'h40276544,32'h40390422, 32'h401e95d4,32'h4041d392,// invsqrt(0.1319) = 2.7532 +32'h3fcca846,32'h3f46683d,32'h3f4e8165, 32'h3f405560,32'h3f549442, 32'h3f3635ef,32'h3f5eb3b3,// invsqrt(1.5989) = 0.7908 +32'h3e9cd0f8,32'h3fe2a909,32'h3febe967, 32'h3fdbb8c2,32'h3ff2d9ae, 32'h3fd0284c,32'h3ffe6a24,// invsqrt(0.3063) = 1.8069 +32'h3f95b5bb,32'h3f67fa3b,32'h3f717229, 32'h3f60e049,32'h3f788c1b, 32'h3f550a60,32'h3f823102,// invsqrt(1.1696) = 0.9247 +32'h3f22cc1b,32'h3f9d4d25,32'h3fa3b8c9, 32'h3f987c6b,32'h3fa88983, 32'h3f9075de,32'h3fb09010,// invsqrt(0.6359) = 1.2540 +32'h401f0e13,32'h3f1f2420,32'h3f25a2fc, 32'h3f1a44fa,32'h3f2a8222, 32'h3f122666,32'h3f32a0b6,// invsqrt(2.4852) = 0.6343 +32'h3db667a4,32'h4052294e,32'h405abd46, 32'h404bba53,32'h40612c41, 32'h4041015c,32'h406be538,// invsqrt(0.0891) = 3.3508 +32'h3fa4dbb5,32'h3f5d1015,32'h3f6615f7, 32'h3f564bac,32'h3f6cda60, 32'h3f4b0452,32'h3f7821ba,// invsqrt(1.2880) = 0.8811 +32'h3ec0fcab,32'h3fcc5174,32'h3fd4a85f, 32'h3fc61045,32'h3fdae98f, 32'h3fbba39f,32'h3fe55635,// invsqrt(0.3769) = 1.6288 +32'h3df708f7,32'h403496d6,32'h403bf5cf, 32'h402f0f9b,32'h40417d09, 32'h4025d8e3,32'h404ab3c1,// invsqrt(0.1206) = 2.8793 +32'h417704cc,32'h3e7f666d,32'h3e84e98d, 32'h3e7794ed,32'h3e88d24d, 32'h3e6a8d17,32'h3e8f5639,// invsqrt(15.4387) = 0.2545 +32'h3f39c0b6,32'h3f9342df,32'h3f994599, 32'h3f8ec0d3,32'h3f9dc7a5, 32'h3f873d69,32'h3fa54b0f,// invsqrt(0.7256) = 1.1740 +32'h3f37894e,32'h3f9425d1,32'h3f9a31cf, 32'h3f8f9cd2,32'h3f9ebace, 32'h3f880dd5,32'h3fa649cb,// invsqrt(0.7169) = 1.1810 +32'h3f20daa9,32'h3f9e3fa5,32'h3fa4b52f, 32'h3f99677e,32'h3fa98d56, 32'h3f915493,32'h3fb1a041,// invsqrt(0.6283) = 1.2615 +32'h3e43f4e2,32'h400f603a,32'h40153a5c, 32'h400afca1,32'h40199df5, 32'h4003abf7,32'h4020ee9f,// invsqrt(0.1914) = 2.2860 +32'h3dc01e1b,32'h404cc7ab,32'h40552369, 32'h404682dd,32'h405b6837, 32'h403c102f,32'h4065dae5,// invsqrt(0.0938) = 3.2650 +32'h40be694a,32'h3ecdb209,32'h3ed61757, 32'h3ec7660e,32'h3edc6352, 32'h3ebce76b,32'h3ee6e1f5,// invsqrt(5.9504) = 0.4099 +32'h3f604f50,32'h3f860224,32'h3f8b7a64, 32'h3f81e7f4,32'h3f8f9494, 32'h3f762347,32'h3f966ae4,// invsqrt(0.8762) = 1.0683 +32'h3f845333,32'h3f76bef8,32'h3f80689b, 32'h3f6f3149,32'h3f842f72, 32'h3f629a7b,32'h3f8a7ad9,// invsqrt(1.0338) = 0.9835 +32'h3f492900,32'h3f8d8263,32'h3f934903, 32'h3f892d6a,32'h3f979dfc, 32'h3f81f521,32'h3f9ed645,// invsqrt(0.7858) = 1.1281 +32'h3f25d705,32'h3f9bda08,32'h3fa23686, 32'h3f9714aa,32'h3fa6fbe4, 32'h3f8f210d,32'h3faeef81,// invsqrt(0.6478) = 1.2424 +32'h40a4c73d,32'h3edd1dd0,32'h3ee62440, 32'h3ed658fb,32'h3eece915, 32'h3ecb10ee,32'h3ef83122,// invsqrt(5.1493) = 0.4407 +32'h3d1ede59,32'h409f3c06,32'h40a5bbdc, 32'h409a5c25,32'h40aa9bbd, 32'h40923c59,32'h40b2bb89,// invsqrt(0.0388) = 5.0776 +32'h402c5515,32'h3f18e342,32'h3f1f20c8, 32'h3f14351e,32'h3f23ceec, 32'h3f0c6838,32'h3f2b9bd2,// invsqrt(2.6927) = 0.6094 +32'h3fef2cda,32'h3f378851,32'h3f3f060b, 32'h3f31ea05,32'h3f44a457, 32'h3f288cdd,32'h3f4e017f,// invsqrt(1.8686) = 0.7316 +32'h3ea1d198,32'h3fdf211b,32'h3fe83c95, 32'h3fd84c80,32'h3fef1130, 32'h3fccea29,32'h3ffa7387,// invsqrt(0.3161) = 1.7788 +32'h3e77e9de,32'h3ffef054,32'h4004ac16, 32'h3ff72270,32'h40089308, 32'h3fea20a0,32'h400f13f0,// invsqrt(0.2421) = 2.0324 +32'h3ff513d8,32'h3f354f19,32'h3f3cb597, 32'h3f2fc23a,32'h3f424276, 32'h3f26821c,32'h3f4b8294,// invsqrt(1.9147) = 0.7227 +32'h3f06aacb,32'h3facf3ac,32'h3fb402d7, 32'h3fa7a84b,32'h3fb94e37, 32'h3f9ed554,32'h3fc2212e,// invsqrt(0.5260) = 1.3788 +32'h3d03249f,32'h40af429f,32'h40b669e9, 32'h40a9e527,32'h40bbc761, 32'h40a0f40a,32'h40c4b87e,// invsqrt(0.0320) = 5.5887 +32'h3efe24f0,32'h3fb20ba2,32'h3fb95006, 32'h3fac9856,32'h3fbec352, 32'h3fa382d8,32'h3fc7d8d0,// invsqrt(0.4964) = 1.4194 +32'h3e35786a,32'h4014fd18,32'h401b11e0, 32'h40106d83,32'h401fa175, 32'h4008d389,32'h40273b6f,// invsqrt(0.1772) = 2.3755 +32'h3e0f23c9,32'h4027c151,32'h402e9a2f, 32'h40229eaa,32'h4033bcd6, 32'h401a0f93,32'h403c4bed,// invsqrt(0.1398) = 2.6747 +32'h3f90dd60,32'h3f6bd32d,32'h3f75734f, 32'h3f649b14,32'h3f7cab68, 32'h3f5892eb,32'h3f8459c8,// invsqrt(1.1318) = 0.9400 +32'h3f871ee8,32'h3f742e13,32'h3f7e2581, 32'h3f6cb480,32'h3f82cf8a, 32'h3f603f36,32'h3f890a2f,// invsqrt(1.0556) = 0.9733 +32'h40259217,32'h3f1bfa75,32'h3f225846, 32'h3f173419,32'h3f271ea3, 32'h3f0f3ed5,32'h3f2f13e7,// invsqrt(2.5870) = 0.6217 +32'h3e47c7e6,32'h400dff3a,32'h4013caf3, 32'h4009a66e,32'h401823be, 32'h400267c7,32'h401f6265,// invsqrt(0.1951) = 2.2640 +32'h42a6ec4f,32'h3ddbb0f8,32'h3de4a884, 32'h3dd4f74e,32'h3deb622e, 32'h3dc9c1de,32'h3df6979e,// invsqrt(83.4615) = 0.1095 +32'h3f1a8e6b,32'h3fa170c8,32'h3fa807ac, 32'h3f9c7f9e,32'h3facf8d6, 32'h3f944301,32'h3fb53573,// invsqrt(0.6037) = 1.2870 +32'h3fa832cd,32'h3f5adb57,32'h3f63ca2b, 32'h3f542837,32'h3f6a7d4b, 32'h3f48fdae,32'h3f75a7d4,// invsqrt(1.3141) = 0.8724 +32'h3f83f2dd,32'h3f7718fb,32'h3f809773, 32'h3f6f888a,32'h3f845fab, 32'h3f62ed25,32'h3f8aad5e,// invsqrt(1.0308) = 0.9849 +32'h3f8234b2,32'h3f78beee,32'h3f817309, 32'h3f712193,32'h3f8541b6, 32'h3f6470a6,32'h3f8b9a2d,// invsqrt(1.0172) = 0.9915 +32'h3f88caca,32'h3f72af01,32'h3f7c96cd, 32'h3f6b4128,32'h3f820253, 32'h3f5edf6a,32'h3f883332,// invsqrt(1.0687) = 0.9673 +32'h3f1e3804,32'h3f9f8fa3,32'h3fa612e3, 32'h3f9aad33,32'h3faaf553, 32'h3f928923,32'h3fb31963,// invsqrt(0.6180) = 1.2720 +32'h4006295e,32'h3f2d4704,32'h3f345996, 32'h3f27f916,32'h3f39a784, 32'h3f1f21df,32'h3f427ebb,// invsqrt(2.0963) = 0.6907 +32'h3d8a4760,32'h40716022,32'h407b3a42, 32'h4069fc89,32'h40814eed, 32'h405dabe0,32'h40877742,// invsqrt(0.0675) = 3.8485 +32'h401f7a0e,32'h3f1eee37,32'h3f256ae0, 32'h3f1a10b7,32'h3f2a485f, 32'h3f11f4e4,32'h3f326433,// invsqrt(2.4918) = 0.6335 +32'h3ee1f05f,32'h3fbcd4fb,32'h3fc48a14, 32'h3fb70d27,32'h3fca51e7, 32'h3fad6ac6,32'h3fd3f448,// invsqrt(0.4413) = 1.5054 +32'h3f114dca,32'h3fa68051,32'h3fad4c15, 32'h3fa1677d,32'h3fb264e9, 32'h3f98e8c8,32'h3fbae39f,// invsqrt(0.5676) = 1.3273 +32'h3f5f48e8,32'h3f8650cb,32'h3f8bcc41, 32'h3f823432,32'h3f8fe8da, 32'h3f76b3be,32'h3f96c32d,// invsqrt(0.8722) = 1.0708 +32'h412c64db,32'h3e98dc43,32'h3e9f197f, 32'h3e942e56,32'h3ea3c76c, 32'h3e8c61ca,32'h3eab93f8,// invsqrt(10.7746) = 0.3046 +32'h3ebaffdb,32'h3fcf903e,32'h3fd80912, 32'h3fc935a0,32'h3fde63b0, 32'h3fbe9e97,32'h3fe8fab9,// invsqrt(0.3652) = 1.6547 +32'h3f019597,32'h3fb04fa7,32'h3fb781ed, 32'h3faae9f3,32'h3fbce7a1, 32'h3fa1eb1c,32'h3fc5e678,// invsqrt(0.5062) = 1.4055 +32'h400ee8f9,32'h3f27e3d2,32'h3f2ebe1a, 32'h3f22c01d,32'h3f33e1cf, 32'h3f1a2f44,32'h3f3c72a8,// invsqrt(2.2330) = 0.6692 +32'h3ed4a4f9,32'h3fc2a535,32'h3fca970e, 32'h3fbcafd4,32'h3fd08c70, 32'h3fb2c185,32'h3fda7abf,// invsqrt(0.4153) = 1.5517 +32'h3f10959b,32'h3fa6ea3c,32'h3fadba54, 32'h3fa1ce2b,32'h3fb2d665, 32'h3f994a0e,32'h3fbb5a82,// invsqrt(0.5648) = 1.3306 +32'h42b15834,32'h3dd5237b,32'h3dddd690, 32'h3dce9d2c,32'h3de45ce0, 32'h3dc3bd52,32'h3def3cba,// invsqrt(88.6723) = 0.1062 +32'h408024ec,32'h3efabd21,32'h3f027c8c, 32'h3ef31027,32'h3f065308, 32'h3ee64533,32'h3f0cb883,// invsqrt(4.0045) = 0.4997 +32'h3f8e8f1f,32'h3f6db96d,32'h3f776d67, 32'h3f667272,32'h3f7eb462, 32'h3f5a5179,32'h3f856aae,// invsqrt(1.1137) = 0.9476 +32'h3f680013,32'h3f83c4c0,32'h3f892598, 32'h3f7f783a,32'h3f8d2e3b, 32'h3f72061c,32'h3f93e74a,// invsqrt(0.9063) = 1.0505 +32'h3fb270e7,32'h3f547b95,32'h3f5d27cf, 32'h3f4dfa69,32'h3f63a8fb, 32'h3f432320,32'h3f6e8044,// invsqrt(1.3941) = 0.8469 +32'h3ff26e87,32'h3f364baf,32'h3f3dbc7d, 32'h3f30b715,32'h3f435117, 32'h3f276a14,32'h3f4c9e18,// invsqrt(1.8940) = 0.7266 +32'h3fae3217,32'h3f570e70,32'h3f5fd58e, 32'h3f507919,32'h3f666ae5, 32'h3f458032,32'h3f7163cc,// invsqrt(1.3609) = 0.8572 +32'h40c0e1df,32'h3ecc5fa5,32'h3ed4b724, 32'h3ec61e06,32'h3edaf8c4, 32'h3ebbb0a8,32'h3ee56622,// invsqrt(6.0276) = 0.4073 +32'h3f8f2368,32'h3f6d3e2a,32'h3f76ed1c, 32'h3f65faf4,32'h3f7e3052, 32'h3f59e046,32'h3f852580,// invsqrt(1.1183) = 0.9456 +32'h3da442c9,32'h405d76e4,32'h406680f8, 32'h4056af55,32'h406d4887, 32'h404b62bd,32'h4078951f,// invsqrt(0.0802) = 3.5310 +32'h3f4fac7f,32'h3f8b45be,32'h3f90f500, 32'h3f87024d,32'h3f953871, 32'h3f7fce78,32'h3f9c5382,// invsqrt(0.8112) = 1.1103 +32'h3f99916f,32'h3f650b7b,32'h3f6e64c3, 32'h3f5e0884,32'h3f7567ba, 32'h3f5258e9,32'h3f808bab,// invsqrt(1.1998) = 0.9130 +32'h407a5d04,32'h3efdb03d,32'h3f040583, 32'h3ef5ec27,32'h3f07e78f, 32'h3ee8faab,32'h3f0e604c,// invsqrt(3.9119) = 0.5056 +32'h3f24d72f,32'h3f9c52ca,32'h3fa2b436, 32'h3f9789ba,32'h3fa77d46, 32'h3f8f8ff3,32'h3faf770d,// invsqrt(0.6439) = 1.2462 +32'h418f8640,32'h3e6cec6a,32'h3e769806, 32'h3e65abb5,32'h3e7dd8bb, 32'h3e599532,32'h3e84f79f,// invsqrt(17.9406) = 0.2361 +32'h3f9aa710,32'h3f643d88,32'h3f6d8e68, 32'h3f5d40df,32'h3f748b11, 32'h3f519bc6,32'h3f801815,// invsqrt(1.2082) = 0.9098 +32'h40d60053,32'h3ec206fe,32'h3ec9f261, 32'h3ebc1673,32'h3ecfe2eb, 32'h3eb23037,32'h3ed9c927,// invsqrt(6.6875) = 0.3867 +32'h3fb9bf7b,32'h3f5042f2,32'h3f58c310, 32'h3f49e2db,32'h3f5f2327, 32'h3f3f42b4,32'h3f69c34e,// invsqrt(1.4512) = 0.8301 +32'h3e962e2c,32'h3fe79d23,32'h3ff11143, 32'h3fe0860a,32'h3ff8285c, 32'h3fd4b4e1,32'h4001fcc2,// invsqrt(0.2933) = 1.8464 +32'h3f13b936,32'h3fa521cb,32'h3fabdf41, 32'h3fa013b2,32'h3fb0ed5a, 32'h3f97a6df,32'h3fb95a2d,// invsqrt(0.5770) = 1.3164 +32'h3e35098d,32'h40152aaf,32'h401b4153, 32'h401099b4,32'h401fd24e, 32'h4008fd67,32'h40276e9b,// invsqrt(0.1768) = 2.3783 +32'h3f3f7b1a,32'h3f910ab7,32'h3f96f641, 32'h3f8c9a0f,32'h3f9b66e9, 32'h3f8533a3,32'h3fa2cd55,// invsqrt(0.7480) = 1.1563 +32'h3ef52df1,32'h3fb54572,32'h3fbcab8c, 32'h3fafb8df,32'h3fc2381f, 32'h3fa6793f,32'h3fcb77bf,// invsqrt(0.4789) = 1.4451 +32'h3f4dc096,32'h3f8bebd7,32'h3f91a1e0, 32'h3f87a351,32'h3f95ea67, 32'h3f807fc6,32'h3f9d0df2,// invsqrt(0.8037) = 1.1154 +32'h3f0e63ce,32'h3fa83242,32'h3faf0fbd, 32'h3fa30c26,32'h3fb435da, 32'h3f9a774d,32'h3fbccab3,// invsqrt(0.5562) = 1.3409 +32'h387697dd,32'h42ff9ed1,32'h430506e5, 32'h42f7cb97,32'h4308f083, 32'h42eac0e0,32'h430f75de,// invsqrt(0.0001) = 130.4186 +32'h3f5d0ecf,32'h3f86fd8e,32'h3f8c8012, 32'h3f82dbac,32'h3f90a1f4, 32'h3f77f110,32'h3f978518,// invsqrt(0.8635) = 1.0761 +32'h3f958c83,32'h3f681a31,32'h3f71936c, 32'h3f60ff44,32'h3f78ae58, 32'h3f5527b9,32'h3f8242f1,// invsqrt(1.1684) = 0.9252 +32'h3e9a10df,32'h3fe4acad,32'h3fee0217, 32'h3fddac9d,32'h3ff50227, 32'h3fd201d8,32'h40005676,// invsqrt(0.3009) = 1.8230 +32'h3f174f24,32'h3fa329e6,32'h3fa9d2ca, 32'h3f9e2b3a,32'h3faed176, 32'h3f95d81c,32'h3fb72494,// invsqrt(0.5911) = 1.3007 +32'h40fb5d5c,32'h3eb306f3,32'h3eba559a, 32'h3ead8bf7,32'h3ebfd097, 32'h3ea469a6,32'h3ec8f2e8,// invsqrt(7.8551) = 0.3568 +32'h3f65a5a6,32'h3f847124,32'h3f89d906, 32'h3f80633a,32'h3f8de6f0, 32'h3f7342bf,32'h3f94a8ca,// invsqrt(0.8971) = 1.0558 +32'h3f42daba,32'h3f8fc7e3,32'h3f95a63f, 32'h3f8b611d,32'h3f9a0d05, 32'h3f840b29,32'h3fa162f9,// invsqrt(0.7612) = 1.1462 +32'h3f6dbaf8,32'h3f822bbc,32'h3f877be4, 32'h3f7c5f3e,32'h3f8b7801, 32'h3f6f16dd,32'h3f921c32,// invsqrt(0.9286) = 1.0377 +32'h3e4377cb,32'h400f8e13,32'h40156a13, 32'h400b2912,32'h4019cf14, 32'h4003d611,32'h40212215,// invsqrt(0.1909) = 2.2888 +32'h3fe5f913,32'h3f3b2b20,32'h3f42ced8, 32'h3f357056,32'h3f4889a2, 32'h3f2be3b0,32'h3f521648,// invsqrt(1.7967) = 0.7460 +32'h41877ac5,32'h3e73db3c,32'h3e7dcf48, 32'h3e6c6432,32'h3e82a329, 32'h3e5ff322,32'h3e88dbb1,// invsqrt(16.9349) = 0.2430 +32'h3f8c5551,32'h3f6f9a26,32'h3f7961c0, 32'h3f684474,32'h3f805bb9, 32'h3f5c0af4,32'h3f867879,// invsqrt(1.0964) = 0.9550 +32'h405ee066,32'h3f067045,32'h3f0bed04, 32'h3f0252b6,32'h3f100a94, 32'h3ef6ed8f,32'h3f16e682,// invsqrt(3.4824) = 0.5359 +32'h3ea5cd5b,32'h3fdc6ec2,32'h3fe56e0e, 32'h3fd5af49,32'h3fec2d87, 32'h3fca702a,32'h3ff76ca6,// invsqrt(0.3238) = 1.7573 +32'h3f4f59cf,32'h3f8b6180,32'h3f9111e4, 32'h3f871d36,32'h3f95562e, 32'h3f8000ba,32'h3f9c72aa,// invsqrt(0.8100) = 1.1111 +32'h3f3a8b1a,32'h3f92f2e6,32'h3f98f25e, 32'h3f8e734d,32'h3f9d71f7, 32'h3f86f3f8,32'h3fa4f14c,// invsqrt(0.7287) = 1.1715 +32'h3f71df39,32'h3f810d33,32'h3f8651a9, 32'h3f7a33b7,32'h3f8a4500, 32'h3f6d0893,32'h3f90da93,// invsqrt(0.9448) = 1.0288 +32'h3f96a4dc,32'h3f6741d3,32'h3f70b23a, 32'h3f602d87,32'h3f77c687, 32'h3f546106,32'h3f81c984,// invsqrt(1.1769) = 0.9218 +32'h3febafd5,32'h3f38e2be,32'h3f406e9c, 32'h3f3339d8,32'h3f461782, 32'h3f29cb02,32'h3f4f8658,// invsqrt(1.8413) = 0.7369 +32'h3ee12ed2,32'h3fbd2610,32'h3fc4de7a, 32'h3fb75bc2,32'h3fcaa8c8, 32'h3fadb53e,32'h3fd44f4c,// invsqrt(0.4398) = 1.5079 +32'h3f340e87,32'h3f959286,32'h3f9bad66, 32'h3f90fe5d,32'h3fa0418f, 32'h3f895cc4,32'h3fa7e328,// invsqrt(0.7033) = 1.1924 +32'h3ecb1ca3,32'h3fc7291c,32'h3fcf4a22, 32'h3fc11057,32'h3fd562e7, 32'h3fb6e70f,32'h3fdf8c2f,// invsqrt(0.3967) = 1.5877 +32'h3f3c8874,32'h3f922bdf,32'h3f982337, 32'h3f8db25e,32'h3f9c9cb8, 32'h3f863d30,32'h3fa411e6,// invsqrt(0.7365) = 1.1653 +32'h41b6a95e,32'h3e52037b,32'h3e5a95e9, 32'h3e4b95a9,32'h3e6103bb, 32'h3e40dea0,32'h3e6bbac4,// invsqrt(22.8327) = 0.2093 +32'h3ee70573,32'h3fbabe49,32'h3fc25d8f, 32'h3fb506d3,32'h3fc81505, 32'h3fab7fbb,32'h3fd19c1d,// invsqrt(0.4512) = 1.4887 +32'h3ef9525d,32'h3fb3c256,32'h3fbb18a3, 32'h3fae419d,32'h3fc0995d, 32'h3fa515bd,32'h3fc9c53d,// invsqrt(0.4870) = 1.4330 +32'h3f138570,32'h3fa53ec3,32'h3fabfd67, 32'h3fa02fc7,32'h3fb10c63, 32'h3f97c179,32'h3fb97ab1,// invsqrt(0.5763) = 1.3173 +32'h3ee70c20,32'h3fbabb96,32'h3fc25ac1, 32'h3fb50437,32'h3fc81221, 32'h3fab7d41,32'h3fd19917,// invsqrt(0.4513) = 1.4886 +32'h3f6cd77e,32'h3f826a30,32'h3f87bce4, 32'h3f7cd853,32'h3f8bbaea, 32'h3f6f8992,32'h3f92624b,// invsqrt(0.9252) = 1.0397 +32'h3d9997a1,32'h406506dc,32'h406e5ff5, 32'h405e040a,32'h407562c8, 32'h405254ab,32'h40808913,// invsqrt(0.0750) = 3.6516 +32'h40138642,32'h3f253e4d,32'h3f2bfced, 32'h3f202f55,32'h3f310be5, 32'h3f17c10d,32'h3f397a2d,// invsqrt(2.3051) = 0.6587 +32'h400017f0,32'h3f31558d,32'h3f389283, 32'h3f2be7d4,32'h3f3e003c, 32'h3f22dba1,32'h3f470c6f,// invsqrt(2.0015) = 0.7068 +32'h3f006900,32'h3fb11d8b,32'h3fb85838, 32'h3fabb18a,32'h3fbdc43a, 32'h3fa2a832,32'h3fc6cd92,// invsqrt(0.5016) = 1.4120 +32'h3e91fcf2,32'h3feaea76,32'h3ff48118, 32'h3fe3b97d,32'h3ffbb211, 32'h3fd7bd33,32'h4003d72d,// invsqrt(0.2851) = 1.8727 +32'h412b08d4,32'h3e99777a,32'h3e9fbb0c, 32'h3e94c4cc,32'h3ea46dba, 32'h3e8cf056,32'h3eac4230,// invsqrt(10.6897) = 0.3059 +32'h40787c2b,32'h3efea53c,32'h3f048502, 32'h3ef6d9a5,32'h3f086ace, 32'h3ee9dbaa,32'h3f0ee9cb,// invsqrt(3.8826) = 0.5075 +32'h3eb25761,32'h3fd48ac9,32'h3fdd37a3, 32'h3fce0926,32'h3fe3b946, 32'h3fc33117,32'h3fee9155,// invsqrt(0.3483) = 1.6944 +32'h3fcd0d7c,32'h3f463740,32'h3f4e4e67, 32'h3f4025e2,32'h3f545fc4, 32'h3f3608f1,32'h3f5e7cb5,// invsqrt(1.6020) = 0.7901 +32'h3f6dfea9,32'h3f821938,32'h3f87689e, 32'h3f7c3b58,32'h3f8b642a, 32'h3f6ef4da,32'h3f920769,// invsqrt(0.9297) = 1.0371 +32'h3f533191,32'h3f8a1b63,32'h3f8fbe77, 32'h3f85e114,32'h3f93f8c6, 32'h3f7daa77,32'h3f9b049e,// invsqrt(0.8250) = 1.1010 +32'h40829b2a,32'h3ef85d47,32'h3f014037, 32'h3ef0c2ea,32'h3f050d66, 32'h3ee416f8,32'h3f0b635f,// invsqrt(4.0814) = 0.4950 +32'h3d04df3a,32'h40ae1dc3,32'h40b53919, 32'h40a8c942,32'h40ba8d9a, 32'h409fe716,32'h40c36fc6,// invsqrt(0.0324) = 5.5522 +32'h3f642ffa,32'h3f84dd69,32'h3f8a49b6, 32'h3f80cc2e,32'h3f8e5af0, 32'h3f74099b,32'h3f952250,// invsqrt(0.8914) = 1.0592 +32'h3c61890d,32'h4105a4ce,32'h410b193e, 32'h41018d79,32'h410f3093, 32'h40f577d8,32'h41160220,// invsqrt(0.0138) = 8.5232 +32'h3fb1c3aa,32'h3f54e305,32'h3f5d9379, 32'h3f4e5eaf,32'h3f6417cf, 32'h3f43821f,32'h3f6ef45f,// invsqrt(1.3888) = 0.8486 +32'h3d55a9e1,32'h40894e70,32'h408ee926, 32'h40851a67,32'h40931d2f, 32'h407c3207,32'h409a1e92,// invsqrt(0.0522) = 4.3784 +32'h405e0627,32'h3f06b248,32'h3f0c31b9, 32'h3f0292b4,32'h3f10514e, 32'h3ef766cf,32'h3f17309b,// invsqrt(3.4691) = 0.5369 +32'h3ed3233a,32'h3fc356b3,32'h3fcb4fca, 32'h3fbd5be2,32'h3fd14a9c, 32'h3fb36486,32'h3fdb41f9,// invsqrt(0.4124) = 1.5572 +32'h421c5e21,32'h3e2080b5,32'h3e270dcd, 32'h3e1b96e4,32'h3e2bf79e, 32'h3e136687,32'h3e3427fb,// invsqrt(39.0919) = 0.1599 +32'h3f88167a,32'h3f734f92,32'h3f7d3dec, 32'h3f6bdccf,32'h3f825857, 32'h3f5f72df,32'h3f888d4f,// invsqrt(1.0632) = 0.9698 +32'h3dcee3de,32'h4045556b,32'h404d635a, 32'h403f4af7,32'h40536dcd, 32'h4035398b,32'h405d7f39,// invsqrt(0.1010) = 3.1463 +32'h4018acda,32'h3f226e9c,32'h3f290fdc, 32'h3f1d75ac,32'h3f2e08cc, 32'h3f152c1c,32'h3f36525c,// invsqrt(2.3856) = 0.6474 +32'h3fc16919,32'h3f4c1827,32'h3f546cbb, 32'h3f45d8b8,32'h3f5aac2a, 32'h3f3b6eff,32'h3f6515e3,// invsqrt(1.5110) = 0.8135 +32'h3fe00f15,32'h3f3d9f5d,32'h3f455cba, 32'h3f37d158,32'h3f4b2ac0, 32'h3f2e24a4,32'h3f54d774,// invsqrt(1.7505) = 0.7558 +32'h40a9b7ee,32'h3ed9dfe1,32'h3ee2c473, 32'h3ed33475,32'h3ee96fdf, 32'h3ec816bf,32'h3ef48d95,// invsqrt(5.3037) = 0.4342 +32'h3f46516c,32'h3f8e850b,32'h3f94563b, 32'h3f8a2828,32'h3f98b31e, 32'h3f82e2ac,32'h3f9ff89a,// invsqrt(0.7747) = 1.1362 +32'h3f23e319,32'h3f9cc708,32'h3fa32d32, 32'h3f97fa68,32'h3fa7f9d2, 32'h3f8ffab4,32'h3faff986,// invsqrt(0.6402) = 1.2498 +32'h3f3b0911,32'h3f92c162,32'h3f98bed4, 32'h3f8e434d,32'h3f9d3ce9, 32'h3f86c67f,32'h3fa4b9b7,// invsqrt(0.7306) = 1.1699 +32'h3fb82f56,32'h3f5124b1,32'h3f59ae07, 32'h3f4abdb1,32'h3f601507, 32'h3f401206,32'h3f6ac0b2,// invsqrt(1.4389) = 0.8336 +32'h40331877,32'h3f15f923,32'h3f1c1834, 32'h3f1161d6,32'h3f20af80, 32'h3f09bb00,32'h3f285656,// invsqrt(2.7984) = 0.5978 +32'h413c2d0e,32'h3e924f5a,32'h3e984824, 32'h3e8dd4c2,32'h3e9cc2bc, 32'h3e865dc6,32'h3ea439b8,// invsqrt(11.7610) = 0.2916 +32'h4005e283,32'h3f2d74d8,32'h3f348949, 32'h3f282582,32'h3f39d89e, 32'h3f1f4bf5,32'h3f42b22b,// invsqrt(2.0920) = 0.6914 +32'h3d8a5ef8,32'h40714b8d,32'h407b24d7, 32'h4069e896,32'h408143e7, 32'h405d98fa,32'h40876bb5,// invsqrt(0.0676) = 3.8472 +32'h3f9220fc,32'h3f6acd7c,32'h3f7462ef, 32'h3f639d66,32'h3f7b9306, 32'h3f57a297,32'h3f83c6ea,// invsqrt(1.1416) = 0.9359 +32'h3fdb0294,32'h3f3fcbb6,32'h3f479fc8, 32'h3f39eca9,32'h3f4d7ed5, 32'h3f302392,32'h3f5747ec,// invsqrt(1.7110) = 0.7645 +32'h3ffdb1d4,32'h3f323402,32'h3f397a0c, 32'h3f2cbf7a,32'h3f3eee94, 32'h3f23a7ed,32'h3f480621,// invsqrt(1.9820) = 0.7103 +32'h3df6d624,32'h4034a96c,32'h403c0928, 32'h402f21a0,32'h404190f4, 32'h4025e9f6,32'h404ac89e,// invsqrt(0.1205) = 2.8804 +32'h3fa2cbff,32'h3f5e753f,32'h3f6789b5, 32'h3f57a5e7,32'h3f6e590d, 32'h3f4c4c54,32'h3f79b2a0,// invsqrt(1.2719) = 0.8867 +32'h3eb7c510,32'h3fd16121,32'h3fd9ecef, 32'h3fcaf848,32'h3fe055c8, 32'h3fc04987,32'h3feb0489,// invsqrt(0.3589) = 1.6692 +32'h428717a1,32'h3df434a6,32'h3dfe2c5a, 32'h3decbae0,32'h3e02d310, 32'h3de04540,32'h3e090de0,// invsqrt(67.5462) = 0.1217 +32'h3fc70605,32'h3f49321e,32'h3f516868, 32'h3f430966,32'h3f579120, 32'h3f38c589,32'h3f61d4fd,// invsqrt(1.5549) = 0.8020 +32'h3d1ef151,32'h409f3285,32'h40a5b1f9, 32'h409a52ef,32'h40aa918f, 32'h4092339f,32'h40b2b0df,// invsqrt(0.0388) = 5.0764 +32'h4090a4d4,32'h3eec0141,32'h3ef5a344, 32'h3ee4c7c0,32'h3efcdcc6, 32'h3ed8bd3c,32'h3f0473a5,// invsqrt(4.5201) = 0.4704 +32'h4093ece4,32'h3ee95f5e,32'h3ef2e5df, 32'h3ee23a7c,32'h3efa0ac0, 32'h3ed6525b,32'h3f02f970,// invsqrt(4.6227) = 0.4651 +32'h3ee9e0bb,32'h3fb99970,32'h3fc12cc2, 32'h3fb3eaf1,32'h3fc6db41, 32'h3faa72ca,32'h3fd05368,// invsqrt(0.4568) = 1.4796 +32'h428a6435,32'h3df146fc,32'h3dfb2016, 32'h3de9e429,32'h3e014175, 32'h3ddd94c8,32'h3e076925,// invsqrt(69.1957) = 0.1202 +32'h400648a6,32'h3f2d32d4,32'h3f344494, 32'h3f27e585,32'h3f3991e3, 32'h3f1f0f55,32'h3f426813,// invsqrt(2.0982) = 0.6904 +32'h3fb84458,32'h3f5118c5,32'h3f59a19e, 32'h3f4ab222,32'h3f600840, 32'h3f400712,32'h3f6ab350,// invsqrt(1.4396) = 0.8335 +32'h409e69ae,32'h3ee183e6,32'h3eeab84e, 32'h3eda9c99,32'h3ef19f9b, 32'h3ecf1b17,32'h3efd211d,// invsqrt(4.9504) = 0.4494 +32'h401379f4,32'h3f254532,32'h3f2c041a, 32'h3f203604,32'h3f311348, 32'h3f17c762,32'h3f3981ea,// invsqrt(2.3043) = 0.6588 +32'h3fe4414f,32'h3f3bdf17,32'h3f438a27, 32'h3f361eca,32'h3f494a74, 32'h3f2c88f6,32'h3f52e049,// invsqrt(1.7832) = 0.7488 +32'h3f867fba,32'h3f74be66,32'h3f7ebbb9, 32'h3f6d4069,32'h3f831cdc, 32'h3f60c3c2,32'h3f895b2f,// invsqrt(1.0508) = 0.9755 +32'h3e082a0d,32'h402bff99,32'h403304ce, 32'h4026bbb0,32'h403848b6, 32'h401df52e,32'h40410f38,// invsqrt(0.1330) = 2.7423 +32'h4108e891,32'h3eab87c3,32'h3eb28814, 32'h3ea64786,32'h3eb7c850, 32'h3e9d8720,32'h3ec088b6,// invsqrt(8.5568) = 0.3419 +32'h3f94d010,32'h3f68acf8,32'h3f722c32, 32'h3f618d8e,32'h3f794b9c, 32'h3f55ae86,32'h3f829552,// invsqrt(1.1626) = 0.9274 +32'h3f8977f1,32'h3f7215fa,32'h3f7bf786, 32'h3f6aacd0,32'h3f81b058, 32'h3f5e52e0,32'h3f87dd50,// invsqrt(1.0740) = 0.9649 +32'h3f2dc93d,32'h3f983f36,32'h3f9e760a, 32'h3f939618,32'h3fa31f28, 32'h3f8bd190,32'h3faae3b0,// invsqrt(0.6789) = 1.2137 +32'h3e1dfa59,32'h401faec5,32'h4026334a, 32'h401acb60,32'h402b16ae, 32'h4012a5ba,32'h40333c55,// invsqrt(0.1543) = 2.5460 +32'h3e80ce04,32'h3ffa1855,32'h400226c9, 32'h3ff27067,32'h4005fac0, 32'h3fe5addb,32'h400c5c06,// invsqrt(0.2516) = 1.9937 +32'h3f3efaf6,32'h3f913b57,32'h3f9728dd, 32'h3f8cc932,32'h3f9b9b02, 32'h3f85604b,32'h3fa303e9,// invsqrt(0.7460) = 1.1578 +32'h3fcb675c,32'h3f470483,32'h3f4f240b, 32'h3f40ecdd,32'h3f553bb1, 32'h3f36c573,32'h3f5f631b,// invsqrt(1.5891) = 0.7933 +32'h3f71f060,32'h3f8108a0,32'h3f864ce6, 32'h3f7a2ad9,32'h3f8a401a, 32'h3f6d002c,32'h3f90d570,// invsqrt(0.9451) = 1.0286 +32'h3e8e6ccc,32'h3fedd610,32'h3ff78b36, 32'h3fe68e34,32'h3ffed312, 32'h3fda6bc6,32'h40057ac0,// invsqrt(0.2782) = 1.8960 +32'h3fa72a35,32'h3f5b8848,32'h3f647e2c, 32'h3f54cfde,32'h3f6b3696, 32'h3f499c81,32'h3f7669f3,// invsqrt(1.3060) = 0.8750 +32'h3de961b1,32'h4039cbed,32'h4041614f, 32'h40341be3,32'h40471159, 32'h402aa128,32'h40508c14,// invsqrt(0.1140) = 2.9623 +32'h3f042ddc,32'h3fae926e,32'h3fb5b288, 32'h3fa93a5b,32'h3fbb0a9b, 32'h3fa0523c,32'h3fc3f2bb,// invsqrt(0.5163) = 1.3917 +32'h3f991b26,32'h3f6563e4,32'h3f6ec0c8, 32'h3f5e5e38,32'h3f75c674, 32'h3f52aa1a,32'h3f80bd49,// invsqrt(1.1961) = 0.9143 +32'h3fcb03cc,32'h3f47354b,32'h3f4f56d0, 32'h3f411c26,32'h3f556ff4, 32'h3f36f23f,32'h3f5f99db,// invsqrt(1.5861) = 0.7940 +32'h3fdcf139,32'h3f3ef48c,32'h3f46bfd5, 32'h3f391c14,32'h3f4c984c, 32'h3f2f5df8,32'h3f565668,// invsqrt(1.7261) = 0.7611 +32'h40157a36,32'h3f24290d,32'h3f2adc5b, 32'h3f1f2291,32'h3f2fe2d7, 32'h3f16c26f,32'h3f3842f9,// invsqrt(2.3356) = 0.6543 +32'h3f43d80a,32'h3f8f6ac8,32'h3f954558, 32'h3f8b06dc,32'h3f99a944, 32'h3f83b5a8,32'h3fa0fa78,// invsqrt(0.7650) = 1.1433 +32'h3f81f504,32'h3f78fbd8,32'h3f8192bc, 32'h3f715ca0,32'h3f856258, 32'h3f64a897,32'h3f8bbc5c,// invsqrt(1.0153) = 0.9924 +32'h3eecacc4,32'h3fb87fd9,32'h3fc007ad, 32'h3fb2d9f9,32'h3fc5ad8d, 32'h3fa97030,32'h3fcf1757,// invsqrt(0.4623) = 1.4708 +32'h3fcc3243,32'h3f46a18a,32'h3f4ebd08, 32'h3f408cec,32'h3f54d1a6, 32'h3f366a8e,32'h3f5ef404,// invsqrt(1.5953) = 0.7917 +32'h3f134b66,32'h3fa55f4e,32'h3fac1f46, 32'h3fa04f53,32'h3fb12f41, 32'h3f97df5c,32'h3fb99f38,// invsqrt(0.5754) = 1.3183 +32'h4161b07c,32'h3e859921,32'h3e8b0d17, 32'h3e818227,32'h3e8f2411, 32'h3e756266,32'h3e95f505,// invsqrt(14.1056) = 0.2663 +32'h3f4abaca,32'h3f8cf5e3,32'h3f92b6c7, 32'h3f88a537,32'h3f970773, 32'h3f817419,32'h3f9e3891,// invsqrt(0.7919) = 1.1237 +32'h3f9543e7,32'h3f68529e,32'h3f71ce27, 32'h3f6135f7,32'h3f78eacd, 32'h3f555b8b,32'h3f82629c,// invsqrt(1.1661) = 0.9260 +32'h409fc905,32'h3ee08b6e,32'h3ee9b5b2, 32'h3ed9abbc,32'h3ef09564, 32'h3ece36e8,32'h3efc0a38,// invsqrt(4.9933) = 0.4475 +32'h3e5d8c22,32'h4006d75b,32'h400c584f, 32'h4002b6a4,32'h40107906, 32'h3ff7aae6,32'h40175a37,// invsqrt(0.2164) = 2.1499 +32'h3f889a43,32'h3f72da18,32'h3f7cc3a6, 32'h3f6b6aed,32'h3f821968, 32'h3f5f06fc,32'h3f884b61,// invsqrt(1.0672) = 0.9680 +32'h3e0f177a,32'h4027c888,32'h402ea1b2, 32'h4022a5a8,32'h4033c492, 32'h401a1634,32'h403c5406,// invsqrt(0.1397) = 2.6751 +32'h3e8096d8,32'h3ffa4df6,32'h400242b2, 32'h3ff2a464,32'h4006177b, 32'h3fe5df1c,32'h400c7a1f,// invsqrt(0.2512) = 1.9954 +32'h3fcbf2c7,32'h3f46c072,32'h3f4edd32, 32'h3f40aae1,32'h3f54f2c3, 32'h3f3686f0,32'h3f5f16b4,// invsqrt(1.5933) = 0.7922 +32'h3f037f4d,32'h3faf0626,32'h3fb62af9, 32'h3fa9aa89,32'h3fbb8697, 32'h3fa0bc82,32'h3fc4749e,// invsqrt(0.5137) = 1.3953 +32'h4042eb6b,32'h3f0fc1bb,32'h3f159fd7, 32'h3f0b5b25,32'h3f1a066d, 32'h3f040582,32'h3f215c10,// invsqrt(3.0456) = 0.5730 +32'h410de393,32'h3ea87e32,32'h3eaf5ec6, 32'h3ea355c3,32'h3eb48735, 32'h3e9abd09,32'h3ebd1fef,// invsqrt(8.8681) = 0.3358 +32'h3f9887e2,32'h3f65d286,32'h3f6f33ee, 32'h3f5ec977,32'h3f763cfd, 32'h3f530fb4,32'h3f80fb60,// invsqrt(1.1916) = 0.9161 +32'h3cb2bae9,32'h40d44f93,32'h40dcfa01, 32'h40cdcfc0,32'h40e379d4, 32'h40c2fab6,32'h40ee4ede,// invsqrt(0.0218) = 6.7701 +32'h3f8537f8,32'h3f75eac0,32'h3f7ff455, 32'h3f6e6391,32'h3f83bdc3, 32'h3f61d797,32'h3f8a03c0,// invsqrt(1.0408) = 0.9802 +32'h4000bfa3,32'h3f30e1ea,32'h3f381a28, 32'h3f2b77bc,32'h3f3d8456, 32'h3f22716e,32'h3f468aa4,// invsqrt(2.0117) = 0.7050 +32'h3e98332c,32'h3fe61272,32'h3fef7676, 32'h3fdf076e,32'h3ff6817a, 32'h3fd34a68,32'h40011f40,// invsqrt(0.2973) = 1.8341 +32'h3eddd79b,32'h3fbe914b,32'h3fc65887, 32'h3fb8bbdd,32'h3fcc2df5, 32'h3faf02d2,32'h3fd5e700,// invsqrt(0.4333) = 1.5192 +32'h40c346d9,32'h3ecb1de4,32'h3ed36840, 32'h3ec4e61e,32'h3ed9a006, 32'h3eba892a,32'h3ee3fcfa,// invsqrt(6.1024) = 0.4048 +32'h3f3103f9,32'h3f96da0c,32'h3f9d024c, 32'h3f923bdd,32'h3fa1a07b, 32'h3f8a898e,32'h3fa952ca,// invsqrt(0.6915) = 1.2026 +32'h3f8d9c5c,32'h3f6e84d9,32'h3f784121, 32'h3f6737a4,32'h3f7f8e56, 32'h3f5b0c4a,32'h3f85dcd8,// invsqrt(1.1063) = 0.9507 +32'h405179c5,32'h3f0aac12,32'h3f10550e, 32'h3f066d56,32'h3f1493ca, 32'h3efeb436,32'h3f1ba705,// invsqrt(3.2731) = 0.5527 +32'h3fb1ac31,32'h3f54f115,32'h3f5da21b, 32'h3f4e6c50,32'h3f6426e0, 32'h3f438f09,32'h3f6f0427,// invsqrt(1.3881) = 0.8488 +32'h3df8bcaa,32'h4033f866,32'h403b50e8, 32'h402e7605,32'h4040d349, 32'h40254763,32'h404a01eb,// invsqrt(0.1215) = 2.8694 +32'h4123cd72,32'h3e9cd164,32'h3ea337fb, 32'h3e980474,32'h3ea804ec, 32'h3e900438,32'h3eb00528,// invsqrt(10.2377) = 0.3125 +32'h40e41d4b,32'h3ebbedeb,32'h3ec39997, 32'h3eb62d2b,32'h3ec95a57, 32'h3eac9694,32'h3ed2f0ee,// invsqrt(7.1286) = 0.3745 +32'h3f8e2b7b,32'h3f6e0cac,32'h3f77c40c, 32'h3f66c324,32'h3f7f0d94, 32'h3f5a9dec,32'h3f859966,// invsqrt(1.1107) = 0.9489 +32'h3f4cd312,32'h3f8c3ce0,32'h3f91f638, 32'h3f87f1df,32'h3f964139, 32'h3f80ca31,32'h3f9d68e7,// invsqrt(0.8001) = 1.1180 +32'h3f585887,32'h3f8873dd,32'h3f8e05a7, 32'h3f844685,32'h3f9232ff, 32'h3f7aa090,32'h3f99293c,// invsqrt(0.8451) = 1.0878 +32'h3e926eb7,32'h3fea8f22,32'h3ff4220a, 32'h3fe360f5,32'h3ffb5037, 32'h3fd76954,32'h4003a3ec,// invsqrt(0.2860) = 1.8699 +32'h3ebd1f73,32'h3fce651a,32'h3fd6d1b8, 32'h3fc813a4,32'h3fdd232e, 32'h3fbd8bde,32'h3fe7aaf4,// invsqrt(0.3694) = 1.6454 +32'h4042e491,32'h3f0fc442,32'h3f15a278, 32'h3f0b5d98,32'h3f1a0922, 32'h3f0407d4,32'h3f215ee6,// invsqrt(3.0452) = 0.5730 +32'h40ac2e1d,32'h3ed84fbb,32'h3ee123f7, 32'h3ed1b08e,32'h3ee7c324, 32'h3ec6a743,32'h3ef2cc6f,// invsqrt(5.3806) = 0.4311 +32'h4128e0e7,32'h3e9a7176,32'h3ea0bf3c, 32'h3e95b721,32'h3ea57991, 32'h3e8dd5ea,32'h3ead5ac8,// invsqrt(10.5549) = 0.3078 +32'h3f4fecb6,32'h3f8b303b,32'h3f90de9b, 32'h3f86ed72,32'h3f952164, 32'h3f7fa6f4,32'h3f9c3b5c,// invsqrt(0.8122) = 1.1096 +32'h3f7d4dcd,32'h3f7c362c,32'h3f8340c4, 32'h3f747da9,32'h3f871d06, 32'h3f679f77,32'h3f8d8c1e,// invsqrt(0.9895) = 1.0053 +32'h3f9cd76d,32'h3f62a45f,32'h3f6be48c, 32'h3f5bb43c,32'h3f72d4ae, 32'h3f502403,32'h3f7e64e7,// invsqrt(1.2253) = 0.9034 +32'h4046d921,32'h3f0e5461,32'h3f142393, 32'h3f09f8fa,32'h3f187efa, 32'h3f02b5fb,32'h3f1fc1f9,// invsqrt(3.1070) = 0.5673 +32'h3e165c7f,32'h4023ad58,32'h402a5b9a, 32'h401eaaa6,32'h402f5e4c, 32'h401650d3,32'h4037b81f,// invsqrt(0.1468) = 2.6096 +32'h3f34aae9,32'h3f9551bc,32'h3f9b69f8, 32'h3f90bf8f,32'h3f9ffc25, 32'h3f892144,32'h3fa79a70,// invsqrt(0.7057) = 1.1904 +32'h3f04dea7,32'h3fae1e23,32'h3fb5397e, 32'h3fa8c9a0,32'h3fba8e02, 32'h3f9fe76f,32'h3fc37033,// invsqrt(0.5190) = 1.3881 +32'h3f14ab11,32'h3fa49b43,32'h3fab533b, 32'h3f9f9149,32'h3fb05d35, 32'h3f972b52,32'h3fb8c32c,// invsqrt(0.5807) = 1.3122 +32'h3f5c34f2,32'h3f874045,32'h3f8cc581, 32'h3f831c58,32'h3f90e96e, 32'h3f786b99,32'h3f97cffa,// invsqrt(0.8602) = 1.0782 +32'h40702882,32'h3f0182dd,32'h3f06cc1f, 32'h3efb17d6,32'h3f0ac311, 32'h3eede0b0,32'h3f115ea4,// invsqrt(3.7525) = 0.5162 +32'h408d404c,32'h3eeed287,32'h3ef891fb, 32'h3ee782f1,32'h3effe191, 32'h3edb53a1,32'h3f060871,// invsqrt(4.4141) = 0.4760 +32'h3f45f450,32'h3f8ea68c,32'h3f947919, 32'h3f8a48a1,32'h3f98d703, 32'h3f830170,32'h3fa01e34,// invsqrt(0.7733) = 1.1372 +32'h3f209493,32'h3f9e622a,32'h3fa4d91c, 32'h3f9988f4,32'h3fa9b252, 32'h3f917446,32'h3fb1c700,// invsqrt(0.6273) = 1.2626 +32'h3fd8560f,32'h3f40fa37,32'h3f48daa2, 32'h3f3b11e8,32'h3f4ec2f2, 32'h3f313962,32'h3f589b78,// invsqrt(1.6901) = 0.7692 +32'h3fb0e28a,32'h3f556a53,32'h3f5e204c, 32'h3f4ee1d9,32'h3f64a8c7, 32'h3f43fe62,32'h3f6f8c3e,// invsqrt(1.3819) = 0.8507 +32'h3f11eb34,32'h3fa62669,32'h3facee83, 32'h3fa11056,32'h3fb20496, 32'h3f989637,32'h3fba7eb5,// invsqrt(0.5700) = 1.3245 +32'h3f8636fe,32'h3f7500ae,32'h3f7f00b6, 32'h3f6d80a9,32'h3f83405d, 32'h3f6100a0,32'h3f898062,// invsqrt(1.0486) = 0.9766 +32'h3eb57fb3,32'h3fd2af6c,32'h3fdb48de, 32'h3fcc3c56,32'h3fe1bbf4, 32'h3fc17c88,32'h3fec7bc2,// invsqrt(0.3545) = 1.6796 +32'h3f0e5ff0,32'h3fa8348b,32'h3faf121d, 32'h3fa30e5d,32'h3fb4384b, 32'h3f9a7965,32'h3fbccd43,// invsqrt(0.5562) = 1.3409 +32'h40260e9e,32'h3f1bbfee,32'h3f221b5c, 32'h3f16fb5d,32'h3f26dfed, 32'h3f0f0914,32'h3f2ed236,// invsqrt(2.5946) = 0.6208 +32'h4021f3a6,32'h3f1db621,32'h3f24260d, 32'h3f18e22f,32'h3f28f9ff, 32'h3f10d648,32'h3f3105e6,// invsqrt(2.5305) = 0.6286 +32'h3f3a9a62,32'h3f92ece2,32'h3f98ec1a, 32'h3f8e6d78,32'h3f9d6b84, 32'h3f86ee71,32'h3fa4ea8b,// invsqrt(0.7289) = 1.1713 +32'h3f635a79,32'h3f851bbd,32'h3f8a8a95, 32'h3f81089a,32'h3f8e9db8, 32'h3f747c17,32'h3f956847,// invsqrt(0.8881) = 1.0611 +32'h3dc336bb,32'h404b2646,32'h405370fb, 32'h4044ee3f,32'h4059a903, 32'h403a90de,32'h40640664,// invsqrt(0.0953) = 3.2390 +32'h3f06c7a8,32'h3face126,32'h3fb3ef90, 32'h3fa79656,32'h3fb93a60, 32'h3f9ec452,32'h3fc20c64,// invsqrt(0.5265) = 1.3782 +32'h3f5f2bec,32'h3f865984,32'h3f8bd555, 32'h3f823ca7,32'h3f8ff231, 32'h3f76c3c2,32'h3f96ccf7,// invsqrt(0.8718) = 1.0710 +32'h4005e7b5,32'h3f2d717a,32'h3f3485c8, 32'h3f28223f,32'h3f39d503, 32'h3f1f48de,32'h3f42ae64,// invsqrt(2.0923) = 0.6913 +32'h3f85b893,32'h3f757463,32'h3f7f7923, 32'h3f6df0d3,32'h3f837e5a, 32'h3f616ae3,32'h3f89c152,// invsqrt(1.0447) = 0.9784 +32'h40c1b0bf,32'h3ecbf264,32'h3ed4456d, 32'h3ec5b41c,32'h3eda83b4, 32'h3ebb4c51,32'h3ee4eb7f,// invsqrt(6.0528) = 0.4065 +32'h3e9a2a7a,32'h3fe499ae,32'h3fedee52, 32'h3fdd9a33,32'h3ff4edcd, 32'h3fd1f066,32'h40004bcd,// invsqrt(0.3011) = 1.8224 +32'h3e5c1f0b,32'h400746ff,32'h400ccc82, 32'h400322de,32'h4010f0a4, 32'h3ff877f5,32'h4017d788,// invsqrt(0.2150) = 2.1568 +32'h41d62587,32'h3e41f622,32'h3e49e0d6, 32'h3e3c061c,32'h3e4fd0dc, 32'h3e3220bc,32'h3e59b63c,// invsqrt(26.7683) = 0.1933 +32'h3fb3387a,32'h3f540526,32'h3f5cac8a, 32'h3f4d879a,32'h3f632a16, 32'h3f42b65c,32'h3f6dfb54,// invsqrt(1.4002) = 0.8451 +32'h3f3687a4,32'h3f948e3d,32'h3f9a9e7f, 32'h3f90020c,32'h3f9f2ab0, 32'h3f886dbb,32'h3fa6bf01,// invsqrt(0.7130) = 1.1843 +32'h3d292b0b,32'h409a4f9a,32'h40a09bff, 32'h40959650,32'h40a5554a, 32'h408db6d2,32'h40ad34c8,// invsqrt(0.0413) = 4.9206 +32'h3ea12acb,32'h3fdf9474,32'h3fe8b4a2, 32'h3fd8bc51,32'h3fef8cc5, 32'h3fcd5417,32'h3ffaf4ff,// invsqrt(0.3148) = 1.7824 +32'h3e3686a8,32'h40148ea4,32'h401a9ee9, 32'h4010026f,32'h401f2b1d, 32'h40086e19,32'h4026bf73,// invsqrt(0.1782) = 2.3686 +32'h3ea8f447,32'h3fda5de4,32'h3fe34799, 32'h3fd3ae9b,32'h3fe9f6e1, 32'h3fc88a78,32'h3ff51b04,// invsqrt(0.3300) = 1.7408 +32'h3ebd429d,32'h3fce51ed,32'h3fd6bdc2, 32'h3fc8010c,32'h3fdd0ea2, 32'h3fbd7a42,32'h3fe7956d,// invsqrt(0.3696) = 1.6448 +32'h3f86bbf4,32'h3f7487ad,32'h3f7e82c3, 32'h3f6d0b5c,32'h3f82ff8a, 32'h3f60917f,32'h3f893c78,// invsqrt(1.0526) = 0.9747 +32'h3f8d5362,32'h3f6ec266,32'h3f788131, 32'h3f67734f,32'h3f7fd049, 32'h3f5b44d1,32'h3f85ff63,// invsqrt(1.1041) = 0.9517 +32'h3f0121f5,32'h3fb09e86,32'h3fb7d404, 32'h3fab3668,32'h3fbd3c22, 32'h3fa2338b,32'h3fc63eff,// invsqrt(0.5044) = 1.4080 +32'h3fe816cc,32'h3f3a5030,32'h3f41eaf8, 32'h3f349c19,32'h3f479f0f, 32'h3f2b1a9f,32'h3f512089,// invsqrt(1.8132) = 0.7426 +32'h40b792aa,32'h3ed17ddd,32'h3eda0ad7, 32'h3ecb1422,32'h3ee07492, 32'h3ec063eb,32'h3eeb24c9,// invsqrt(5.7367) = 0.4175 +32'h3f8a2821,32'h3f717b6c,32'h3f7b56aa, 32'h3f6a16fe,32'h3f815d8c, 32'h3f5dc4f0,32'h3f878693,// invsqrt(1.0793) = 0.9625 +32'h3f6cc97e,32'h3f826e0b,32'h3f87c0e7, 32'h3f7cdfcc,32'h3f8bbf0c, 32'h3f6f90a7,32'h3f92669f,// invsqrt(0.9249) = 1.0398 +32'h3f0f3d60,32'h3fa7b254,32'h3fae8a96, 32'h3fa29022,32'h3fb3acc8, 32'h3f9a01d0,32'h3fbc3b1a,// invsqrt(0.5595) = 1.3369 +32'h402c70c1,32'h3f18d6fd,32'h3f1f1403, 32'h3f14293a,32'h3f23c1c6, 32'h3f0c5cf3,32'h3f2b8e0d,// invsqrt(2.6944) = 0.6092 +32'h3fac9ec5,32'h3f58091a,32'h3f60da74, 32'h3f516c17,32'h3f677777, 32'h3f466666,32'h3f727d28,// invsqrt(1.3486) = 0.8611 +32'h3f5f58e8,32'h3f864bfb,32'h3f8bc73f, 32'h3f822f88,32'h3f8fe3b2, 32'h3f76aae7,32'h3f96bdc6,// invsqrt(0.8725) = 1.0706 +32'h4100ec09,32'h3eb0c372,32'h3eb7fa72, 32'h3eab5a33,32'h3ebd63b1, 32'h3ea25573,32'h3ec66871,// invsqrt(8.0576) = 0.3523 +32'h3f85d538,32'h3f755a1d,32'h3f7f5dcb, 32'h3f6dd75b,32'h3f837046, 32'h3f6152c2,32'h3f89b293,// invsqrt(1.0456) = 0.9780 +32'h4039ead3,32'h3f133230,32'h3f19343c, 32'h3f0eb0a6,32'h3f1db5c6, 32'h3f072e17,32'h3f253855,// invsqrt(2.9050) = 0.5867 +32'h3edfda76,32'h3fbdb5a6,32'h3fc573eb, 32'h3fb7e6f1,32'h3fcb429f, 32'h3fae391a,32'h3fd4f076,// invsqrt(0.4372) = 1.5124 +32'h3e262a13,32'h401bb310,32'h40220df6, 32'h4016eee3,32'h4026d223, 32'h400efd43,32'h402ec3c3,// invsqrt(0.1623) = 2.4825 +32'h423b9064,32'h3e128c68,32'h3e1887b0, 32'h3e0e0ff2,32'h3e1d0426, 32'h3e0695d8,32'h3e247e40,// invsqrt(46.8910) = 0.1460 +32'h3fa4e5cf,32'h3f5d0950,32'h3f660eea, 32'h3f56451c,32'h3f6cd31e, 32'h3f4afe1a,32'h3f781a20,// invsqrt(1.2883) = 0.8810 +32'h3fac9a02,32'h3f580c15,32'h3f60dd8e, 32'h3f516efa,32'h3f677aa8, 32'h3f466922,32'h3f728080,// invsqrt(1.3484) = 0.8612 +32'h40fd0313,32'h3eb27182,32'h3eb9ba0f, 32'h3eacfb18,32'h3ebf3078, 32'h3ea3e067,32'h3ec84b29,// invsqrt(7.9066) = 0.3556 +32'h3f773d17,32'h3f7f4958,32'h3f84da6a, 32'h3f7778bb,32'h3f88c2b8, 32'h3f6a7261,32'h3f8f45e6,// invsqrt(0.9658) = 1.0176 +32'h3df01ea2,32'h40372bd3,32'h403ea5c7, 32'h4031905c,32'h4044413e, 32'h402837ec,32'h404d99ae,// invsqrt(0.1172) = 2.9205 +32'h3f6b8b8c,32'h3f82c5f5,32'h3f881c67, 32'h3f7d8a3e,32'h3f8c1d3d, 32'h3f703220,32'h3f92c94c,// invsqrt(0.9201) = 1.0425 +32'h3eb43f05,32'h3fd36a84,32'h3fdc0b99, 32'h3fccf1b4,32'h3fe28468, 32'h3fc22859,32'h3fed4dc3,// invsqrt(0.3520) = 1.6854 +32'h3f85b24d,32'h3f757a25,32'h3f7f7f21, 32'h3f6df668,32'h3f83816f, 32'h3f61702c,32'h3f89c48d,// invsqrt(1.0445) = 0.9785 +32'h3f9aaf9a,32'h3f64373b,32'h3f6d87db, 32'h3f5d3ac4,32'h3f748452, 32'h3f5195fd,32'h3f80148c,// invsqrt(1.2085) = 0.9097 +32'h41b2289c,32'h3e54a6ad,32'h3e5d54aa, 32'h3e4e2430,32'h3e63d728, 32'h3e434ab4,32'h3e6eb0a4,// invsqrt(22.2698) = 0.2119 +32'h3eb1ce80,32'h3fd4dc89,32'h3fdd8cb8, 32'h3fce5865,32'h3fe410db, 32'h3fc37c2a,32'h3feeed16,// invsqrt(0.3473) = 1.6969 +32'h3ecead48,32'h3fc56f78,32'h3fcd7e78, 32'h3fbf6438,32'h3fd389b8, 32'h3fb55179,32'h3fdd9c77,// invsqrt(0.4037) = 1.5739 +32'h3e11d2d3,32'h4026344c,32'h402cfcf6, 32'h40211dcc,32'h40321376, 32'h4018a2f7,32'h403a8e4b,// invsqrt(0.1424) = 2.6499 +32'h3f578ca7,32'h3f88b456,32'h3f8e48c2, 32'h3f848505,32'h3f927813, 32'h3f7b16fc,32'h3f99719a,// invsqrt(0.8420) = 1.0898 +32'h3ecc07cd,32'h3fc6b634,32'h3fced28a, 32'h3fc0a0f4,32'h3fd4e7ca, 32'h3fb67d89,32'h3fdf0b35,// invsqrt(0.3985) = 1.5841 +32'h3fb54928,32'h3f52cf1b,32'h3f5b69d9, 32'h3f4c5b0e,32'h3f61dde6, 32'h3f4199a1,32'h3f6c9f53,// invsqrt(1.4163) = 0.8403 +32'h40c506c9,32'h3eca367c,32'h3ed27766, 32'h3ec405cb,32'h3ed8a817, 32'h3eb9b4a6,32'h3ee2f93c,// invsqrt(6.1571) = 0.4030 +32'h3e735ae0,32'h4000a861,32'h4005e8b9, 32'h3ff9703f,32'h4009d8fa, 32'h3fec4f64,32'h40106968,// invsqrt(0.2377) = 2.0513 +32'h3f21cb38,32'h3f9dc9d4,32'h3fa43a8e, 32'h3f98f548,32'h3fa90f1a, 32'h3f90e85f,32'h3fb11c03,// invsqrt(0.6320) = 1.2579 +32'h3fdd2242,32'h3f3edf5e,32'h3f46a9cb, 32'h3f39078e,32'h3f4c819c, 32'h3f2f4a86,32'h3f563ea4,// invsqrt(1.7276) = 0.7608 +32'h3fcec72c,32'h3f45631b,32'h3f4d719a, 32'h3f3f583d,32'h3f537c79, 32'h3f35461f,32'h3f5d8e97,// invsqrt(1.6155) = 0.7868 +32'h40011ca8,32'h3f30a226,32'h3f37d7ca, 32'h3f2b39ec,32'h3f3d4004, 32'h3f2236df,32'h3f464311,// invsqrt(2.0174) = 0.7041 +32'h3db963b5,32'h40507677,32'h4058f8b1, 32'h404a14cd,32'h405f5a5b, 32'h403f7205,32'h4069fd23,// invsqrt(0.0905) = 3.3237 +32'h3e0ba453,32'h4029d7dc,32'h4030c68c, 32'h4024a4d8,32'h4035f990, 32'h401bfa7c,32'h403ea3ec,// invsqrt(0.1364) = 2.7080 +32'h4082f1be,32'h3ef80b1e,32'h3f011575, 32'h3ef07344,32'h3f04e162, 32'h3ee3cb84,32'h3f0b3542,// invsqrt(4.0920) = 0.4943 +32'h3fa3e190,32'h3f5db88b,32'h3f66c54d, 32'h3f56eefa,32'h3f6d8ede, 32'h3f4b9f08,32'h3f78ded0,// invsqrt(1.2803) = 0.8838 +32'h3f8963b6,32'h3f7227cc,32'h3f7c0a14, 32'h3f6abe17,32'h3f81b9e4, 32'h3f5e633e,32'h3f87e751,// invsqrt(1.0734) = 0.9652 +32'h3fc61581,32'h3f49ac1e,32'h3f51e764, 32'h3f437fab,32'h3f5813d7, 32'h3f393594,32'h3f625dee,// invsqrt(1.5475) = 0.8039 +32'h3fe8f2af,32'h3f39f82c,32'h3f418f5d, 32'h3f3446c8,32'h3f4740c2, 32'h3f2ac9cb,32'h3f50bdbf,// invsqrt(1.8199) = 0.7413 +32'h3f72b582,32'h3f80d42e,32'h3f861650, 32'h3f79c52b,32'h3f8a07e9, 32'h3f6c9fd8,32'h3f909a92,// invsqrt(0.9481) = 1.0270 +32'h3f507e3b,32'h3f8aff9f,32'h3f90ac04, 32'h3f86be54,32'h3f94ed50, 32'h3f7f4dad,32'h3f9c04cd,// invsqrt(0.8144) = 1.1081 +32'h3e68d660,32'h4003880e,32'h4008e66c, 32'h3fff028e,32'h400ced33, 32'h3ff196a1,32'h4013a32a,// invsqrt(0.2274) = 2.0971 +32'h3f63c423,32'h3f84fcd9,32'h3f8a6a6f, 32'h3f80eaa9,32'h3f8e7c9f, 32'h3f74435a,32'h3f95459b,// invsqrt(0.8897) = 1.0602 +32'h417a296b,32'h3e7dca65,32'h3e841320, 32'h3e760581,32'h3e87f591, 32'h3e6912b0,32'h3e8e6efa,// invsqrt(15.6351) = 0.2529 +32'h3f462fcb,32'h3f8e9122,32'h3f9462d0, 32'h3f8a33e0,32'h3f98c012, 32'h3f82edc6,32'h3fa0062c,// invsqrt(0.7742) = 1.1365 +32'h3e9a6bf4,32'h3fe46932,32'h3fedbbdc, 32'h3fdd6b33,32'h3ff4b9db, 32'h3fd1c3e0,32'h40003097,// invsqrt(0.3016) = 1.8209 +32'h3e333281,32'h4015ee3d,32'h401c0cdc, 32'h40115745,32'h4020a3d3, 32'h4009b0fe,32'h40284a1a,// invsqrt(0.1750) = 2.3905 +32'h3db84e42,32'h40511325,32'h40599bc3, 32'h404aacae,32'h4060023a, 32'h404001e8,32'h406aad00,// invsqrt(0.0900) = 3.3335 +32'h3f57b812,32'h3f88a693,32'h3f8e3a6f, 32'h3f8477ae,32'h3f926954, 32'h3f7afdb5,32'h3f996228,// invsqrt(0.8427) = 1.0894 +32'h40023eac,32'h3f2fdd11,32'h3f370aa9, 32'h3f2a7adf,32'h3f3c6cdb, 32'h3f2181e0,32'h3f4565da,// invsqrt(2.0351) = 0.7010 +32'h3f8cf44d,32'h3f6f12e0,32'h3f78d4f4, 32'h3f67c152,32'h3f801341, 32'h3f5b8eb9,32'h3f862c8e,// invsqrt(1.1012) = 0.9529 +32'h4065a51a,32'h3f04714c,32'h3f09d930, 32'h3f006361,32'h3f0de71b, 32'h3ef34309,32'h3f14a8f7,// invsqrt(3.5882) = 0.5279 +32'h3f7c46fd,32'h3f7cb969,32'h3f83850f, 32'h3f74fce1,32'h3f876354, 32'h3f6817fd,32'h3f8dd5c5,// invsqrt(0.9855) = 1.0074 +32'h3e678f9d,32'h4003e4bb,32'h400946e2, 32'h3fffb63c,32'h400d5080, 32'h3ff240db,32'h40140b30,// invsqrt(0.2261) = 2.1029 +32'h3eb1681b,32'h3fd519ee,32'h3fddcc9e, 32'h3fce93e9,32'h3fe452a3, 32'h3fc3b48c,32'h3fef3200,// invsqrt(0.3465) = 1.6988 +32'h3ea789b1,32'h3fdb49b0,32'h3fe43d06, 32'h3fd49330,32'h3feaf386, 32'h3fc96305,32'h3ff623b1,// invsqrt(0.3272) = 1.7481 +32'h3f82e494,32'h3f781797,32'h3f811bf3, 32'h3f707f5b,32'h3f84e811, 32'h3f63d6f8,32'h3f8b3c42,// invsqrt(1.0226) = 0.9889 +32'h3f47ddc1,32'h3f8df776,32'h3f93c2de, 32'h3f899ee8,32'h3f981b6c, 32'h3f8260a6,32'h3f9f59ae,// invsqrt(0.7807) = 1.1317 +32'h3d279d01,32'h409b0668,32'h40a15a42, 32'h40964784,32'h40a61926, 32'h408e5eb3,32'h40ae01f7,// invsqrt(0.0409) = 4.9434 +32'h3f27efbe,32'h3f9ae033,32'h3fa1327e, 32'h3f96227a,32'h3fa5f036, 32'h3f8e3b9c,32'h3fadd714,// invsqrt(0.6560) = 1.2347 +32'h3f959e5e,32'h3f680c57,32'h3f718501, 32'h3f60f1d7,32'h3f789f81, 32'h3f551b01,32'h3f823b2b,// invsqrt(1.1689) = 0.9249 +32'h3f62de59,32'h3f854022,32'h3f8ab076, 32'h3f812be2,32'h3f8ec4b6, 32'h3f74beef,32'h3f959120,// invsqrt(0.8862) = 1.0623 +32'h3ed445e3,32'h3fc2d0c9,32'h3fcac469, 32'h3fbcda11,32'h3fd0bb21, 32'h3fb2e98a,32'h3fdaaba8,// invsqrt(0.4146) = 1.5531 +32'h3fdf9b97,32'h3f3dd04f,32'h3f458fab, 32'h3f3800ca,32'h3f4b5f30, 32'h3f2e5197,32'h3f550e63,// invsqrt(1.7469) = 0.7566 +32'h404aa474,32'h3f0cfda7,32'h3f12bedd, 32'h3f08acbf,32'h3f170fc5, 32'h3f017b3b,32'h3f1e4149,// invsqrt(3.1663) = 0.5620 +32'h3f46fac2,32'h3f8e4859,32'h3f94170f, 32'h3f89ed51,32'h3f987217, 32'h3f82aaef,32'h3f9fb479,// invsqrt(0.7773) = 1.1343 +32'h4195e119,32'h3e67d8a9,32'h3e714f37, 32'h3e60bfbe,32'h3e786822, 32'h3e54eb8b,32'h3e821e2a,// invsqrt(18.7349) = 0.2310 +32'h3f5a4d35,32'h3f87d708,32'h3f8d626c, 32'h3f83ae7e,32'h3f918af6, 32'h3f798082,32'h3f987933,// invsqrt(0.8527) = 1.0829 +32'h3ed8c002,32'h3fc0cb07,32'h3fc8a985, 32'h3fbae429,32'h3fce9063, 32'h3fb10e0c,32'h3fd86680,// invsqrt(0.4233) = 1.5369 +32'h3f03960b,32'h3faef706,32'h3fb61b3a, 32'h3fa99bde,32'h3fbb7662, 32'h3fa0ae9d,32'h3fc463a3,// invsqrt(0.5140) = 1.3948 +32'h3ec47776,32'h3fca8030,32'h3fd2c41e, 32'h3fc44d3f,32'h3fd8f70f, 32'h3fb9f856,32'h3fe34bf8,// invsqrt(0.3837) = 1.6143 +32'h3caa552b,32'h40d97b3a,32'h40e25bb0, 32'h40d2d2e2,32'h40e90408, 32'h40c7ba50,32'h40f41c9a,// invsqrt(0.0208) = 6.9350 +32'h3e178979,32'h40230a7b,32'h4029b218, 32'h401e0cc6,32'h402eafce, 32'h4015bb43,32'h40370151,// invsqrt(0.1480) = 2.5995 +32'h3ff5ee17,32'h3f34fe94,32'h3f3c61c9, 32'h3f2f742c,32'h3f41ec30, 32'h3f263829,32'h3f4b2833,// invsqrt(1.9213) = 0.7214 +32'h41a385a5,32'h3e5df6d4,32'h3e670620, 32'h3e572b5a,32'h3e6dd19a, 32'h3e4bd83b,32'h3e7924b9,// invsqrt(20.4403) = 0.2212 +32'h3c49b368,32'h410d51cd,32'h41131673, 32'h4108fe52,32'h411769ee, 32'h4101c883,32'h411e9fbd,// invsqrt(0.0123) = 9.0127 +32'h3dfa47e0,32'h40336a16,32'h403abcc8, 32'h402dec10,32'h40403ace, 32'h4024c4b1,32'h4049622d,// invsqrt(0.1222) = 2.8606 +32'h3ec5e6ef,32'h3fc9c3d7,32'h3fd20015, 32'h3fc396aa,32'h3fd82d42, 32'h3fb94b5d,32'h3fe2788f,// invsqrt(0.3865) = 1.6085 +32'h3ddb7aa5,32'h403f9739,32'h40476927, 32'h4039b9c7,32'h404d4699, 32'h402ff35e,32'h40570d02,// invsqrt(0.1072) = 3.0547 +32'h3fb32bd3,32'h3f540ca2,32'h3f5cb454, 32'h3f4d8edb,32'h3f63321b, 32'h3f42bd3c,32'h3f6e03bb,// invsqrt(1.3998) = 0.8452 +32'h3e826d1f,32'h3ff8891a,32'h40015705, 32'h3ff0ed64,32'h400524e0, 32'h3fe43f37,32'h400b7bf7,// invsqrt(0.2547) = 1.9813 +32'h3f814101,32'h3f79a8fd,32'h3f81ecd7, 32'h3f720478,32'h3f85bf1a, 32'h3f65479a,32'h3f8c1d89,// invsqrt(1.0098) = 0.9951 +32'h3f97310c,32'h3f66d684,32'h3f70428a, 32'h3f5fc580,32'h3f77538e, 32'h3f53fe7a,32'h3f818d4a,// invsqrt(1.1812) = 0.9201 +32'h3f12de32,32'h3fa59cbe,32'h3fac5f38, 32'h3fa08ae2,32'h3fb17114, 32'h3f9817c8,32'h3fb9e42e,// invsqrt(0.5737) = 1.3203 +32'h3f003486,32'h3fb141c7,32'h3fb87def, 32'h3fabd4aa,32'h3fbdeb0c, 32'h3fa2c978,32'h3fc6f63e,// invsqrt(0.5008) = 1.4131 +32'h3f73a312,32'h3f809550,32'h3f85d4e0, 32'h3f794b47,32'h3f89c48c, 32'h3f6c2c5e,32'h3f905401,// invsqrt(0.9517) = 1.0251 +32'h4008a833,32'h3f2bb023,32'h3f32b21a, 32'h3f266eaa,32'h3f37f394, 32'h3f1dac36,32'h3f40b609,// invsqrt(2.1353) = 0.6843 +32'h42c78e39,32'h3dc8ed69,32'h3dd120e5, 32'h3dc2c6cb,32'h3dd74783, 32'h3db88670,32'h3de187de,// invsqrt(99.7778) = 0.1001 +32'h3f8acb9d,32'h3f70ed0a,32'h3f7ac278, 32'h3f698cf7,32'h3f811145, 32'h3f5d422e,32'h3f8736aa,// invsqrt(1.0843) = 0.9603 +32'h3f405212,32'h3f90b990,32'h3f96a1cb, 32'h3f8c4b65,32'h3f9b0ff7, 32'h3f84e91d,32'h3fa2723f,// invsqrt(0.7513) = 1.1537 +32'h3ff3d448,32'h3f35c5c2,32'h3f3d3118, 32'h3f303541,32'h3f42c199, 32'h3f26ef15,32'h3f4c07c5,// invsqrt(1.9049) = 0.7245 +32'h40396a56,32'h3f136528,32'h3f196948, 32'h3f0ee20f,32'h3f1dec61, 32'h3f075ce6,32'h3f25718a,// invsqrt(2.8971) = 0.5875 +32'h400a51a6,32'h3f2aa74c,32'h3f319e74, 32'h3f256dee,32'h3f36d7d2, 32'h3f1cb8fd,32'h3f3f8cc3,// invsqrt(2.1612) = 0.6802 +32'h3f635af6,32'h3f851b98,32'h3f8a8a70, 32'h3f810877,32'h3f8e9d91, 32'h3f747bd4,32'h3f95681e,// invsqrt(0.8881) = 1.0611 +32'h42b896af,32'h3dd0ea1d,32'h3dd9710e, 32'h3dca84e7,32'h3ddfd643, 32'h3dbfdc39,32'h3dea7ef1,// invsqrt(92.2943) = 0.1041 +32'h3e68e93a,32'h400382bb,32'h4008e0e2, 32'h3ffef83c,32'h400ce780, 32'h3ff18cdb,32'h40139d30,// invsqrt(0.2275) = 2.0968 +32'h3f96aac7,32'h3f673d49,32'h3f70ad80, 32'h3f60291f,32'h3f77c1a9, 32'h3f545cda,32'h3f81c6f7,// invsqrt(1.1771) = 0.9217 +32'h42b1082a,32'h3dd553a4,32'h3dde08b0, 32'h3dcecbdb,32'h3de49079, 32'h3dc3e98c,32'h3def72c8,// invsqrt(88.5159) = 0.1063 +32'h3fe9a269,32'h3f39b22f,32'h3f414685, 32'h3f3402ef,32'h3f46f5c5, 32'h3f2a8984,32'h3f506f30,// invsqrt(1.8253) = 0.7402 +32'h3f3ccc5c,32'h3f921193,32'h3f9807d7, 32'h3f8d98df,32'h3f9c808b, 32'h3f862509,32'h3fa3f461,// invsqrt(0.7375) = 1.1645 +32'h3fc482fd,32'h3f4a7a40,32'h3f52bdee, 32'h3f44477c,32'h3f58f0b2, 32'h3f39f2e2,32'h3f63454d,// invsqrt(1.5352) = 0.8071 +32'h3eb62279,32'h3fd25132,32'h3fdae6cc, 32'h3fcbe0ff,32'h3fe156ff, 32'h3fc125ff,32'h3fec11ff,// invsqrt(0.3557) = 1.6766 +32'h3f850847,32'h3f7616d1,32'h3f801119, 32'h3f6e8e48,32'h3f83d55e, 32'h3f62000f,32'h3f8a1c7b,// invsqrt(1.0393) = 0.9809 +32'h3f1b1da3,32'h3fa12630,32'h3fa7ba08, 32'h3f9c374e,32'h3faca8ea, 32'h3f93fe80,32'h3fb4e1b8,// invsqrt(0.6059) = 1.2847 +32'h3ef69b4b,32'h3fb4bef9,32'h3fbc1f95, 32'h3faf3683,32'h3fc1a80b, 32'h3fa5fdc0,32'h3fcae0ce,// invsqrt(0.4817) = 1.4409 +32'h3fa9b0e9,32'h3f59e463,32'h3f62c923, 32'h3f5338d3,32'h3f6974b3, 32'h3f481ae3,32'h3f7492a3,// invsqrt(1.3257) = 0.8685 +32'h40940336,32'h3ee94dc4,32'h3ef2d38e, 32'h3ee2296d,32'h3ef9f7e5, 32'h3ed64232,32'h3f02ef90,// invsqrt(4.6254) = 0.4650 +32'h401af772,32'h3f213a0a,32'h3f27ceb2, 32'h3f1c4a8c,32'h3f2cbe30, 32'h3f1410bb,32'h3f34f801,// invsqrt(2.4214) = 0.6426 +32'h3f838cf6,32'h3f77789d,32'h3f80c938, 32'h3f6fe53f,32'h3f8492e6, 32'h3f6344f9,32'h3f8ae30a,// invsqrt(1.0277) = 0.9864 +32'h3fc1a3c6,32'h3f4bf938,32'h3f544c89, 32'h3f45babc,32'h3f5a8b06, 32'h3f3b5297,32'h3f64f32b,// invsqrt(1.5128) = 0.8130 +32'h40b7e20c,32'h3ed150a0,32'h3ed9dbc1, 32'h3ecae848,32'h3ee0441a, 32'h3ec03a5f,32'h3eeaf203,// invsqrt(5.7463) = 0.4172 +32'h3f654aba,32'h3f848b64,32'h3f89f458, 32'h3f807cac,32'h3f8e0310, 32'h3f7372f6,32'h3f94c641,// invsqrt(0.8957) = 1.0566 +32'h3eefb3e3,32'h3fb75498,32'h3fbed036, 32'h3fb1b7e2,32'h3fc46cec, 32'h3fa85d5d,32'h3fcdc771,// invsqrt(0.4682) = 1.4615 +32'h407ff459,32'h3efae6fe,32'h3f029255, 32'h3ef338bd,32'h3f066976, 32'h3ee66ba5,32'h3f0cd001,// invsqrt(3.9993) = 0.5000 +32'h401efb2f,32'h3f1f2d94,32'h3f25acd4, 32'h3f1a4e25,32'h3f2a8c43, 32'h3f122f15,32'h3f32ab53,// invsqrt(2.4841) = 0.6345 +32'h3ee3547b,32'h3fbc40da,32'h3fc3efe8, 32'h3fb67d8f,32'h3fc9b333, 32'h3face2be,32'h3fd34e04,// invsqrt(0.4440) = 1.5007 +32'h3f0c8d4a,32'h3fa94ae0,32'h3fb033ce, 32'h3fa41c2c,32'h3fb56282, 32'h3f9b7902,32'h3fbe05ad,// invsqrt(0.5490) = 1.3496 +32'h3ed5079c,32'h3fc27820,32'h3fca6822, 32'h3fbc841f,32'h3fd05c23, 32'h3fb2981e,32'h3fda4824,// invsqrt(0.4161) = 1.5503 +32'h3f349cc3,32'h3f955795,32'h3f9b700f, 32'h3f90c53b,32'h3fa00269, 32'h3f8926a3,32'h3fa7a101,// invsqrt(0.7055) = 1.1905 +32'h3f50557d,32'h3f8b0d36,32'h3f90ba28, 32'h3f86cb80,32'h3f94fbde, 32'h3f7f66a1,32'h3f9c140d,// invsqrt(0.8138) = 1.1085 +32'h3f18d130,32'h3fa25b4b,32'h3fa8fbc1, 32'h3f9d62f2,32'h3fadf41a, 32'h3f951a5f,32'h3fb63cad,// invsqrt(0.5969) = 1.2943 +32'h401fad5e,32'h3f1ed4ab,32'h3f255049, 32'h3f19f7f4,32'h3f2a2d00, 32'h3f11dd6e,32'h3f324786,// invsqrt(2.4950) = 0.6331 +32'h3f8e57b7,32'h3f6de7ac,32'h3f779d8a, 32'h3f669f46,32'h3f7ee5f0, 32'h3f5a7bf2,32'h3f8584a2,// invsqrt(1.1121) = 0.9483 +32'h3fdf5c59,32'h3f3deb2d,32'h3f45aba1, 32'h3f381ad5,32'h3f4b7bf9, 32'h3f2e6a43,32'h3f552c8b,// invsqrt(1.7450) = 0.7570 +32'h3ee26b76,32'h3fbca1a0,32'h3fc454a1, 32'h3fb6db5e,32'h3fca1ae2, 32'h3fad3b9d,32'h3fd3baa3,// invsqrt(0.4422) = 1.5038 +32'h3f75539a,32'h3f8023c4,32'h3f855eb2, 32'h3f786f23,32'h3f894ae4, 32'h3f6b5bd1,32'h3f8fd48e,// invsqrt(0.9583) = 1.0215 +32'h3fbf2b0d,32'h3f4d49b0,32'h3f55aabc, 32'h3f4700e6,32'h3f5bf386, 32'h3f3c8797,32'h3f666cd5,// invsqrt(1.4935) = 0.8183 +32'h3fbb109f,32'h3f4f86f1,32'h3f57ff63, 32'h3f492c9b,32'h3f5e59b9, 32'h3f3e960c,32'h3f68f048,// invsqrt(1.4614) = 0.8272 +32'h4120c160,32'h3e9e4c16,32'h3ea4c222, 32'h3e99738e,32'h3ea99aaa, 32'h3e916000,32'h3eb1ae38,// invsqrt(10.0472) = 0.3155 +32'h4295f7a7,32'h3de7c739,32'h3df13d11, 32'h3de0aed6,32'h3df85574, 32'h3dd4db88,32'h3e021461,// invsqrt(74.9837) = 0.1155 +32'h3f1c36c8,32'h3fa094eb,32'h3fa722d5, 32'h3f9baa7b,32'h3fac0d45, 32'h3f937916,32'h3fb43eaa,// invsqrt(0.6102) = 1.2801 +32'h3f19ce45,32'h3fa1d581,32'h3fa87081, 32'h3f9ce141,32'h3fad64c1, 32'h3f949f81,32'h3fb5a681,// invsqrt(0.6008) = 1.2901 +32'h40fadf37,32'h3eb333f0,32'h3eba846c, 32'h3eadb792,32'h3ec000ca, 32'h3ea492f6,32'h3ec92566,// invsqrt(7.8397) = 0.3571 +32'h40444162,32'h3f0f4446,32'h3f151d43, 32'h3f0ae187,32'h3f198001, 32'h3f03924a,32'h3f20cf3e,// invsqrt(3.0665) = 0.5711 +32'h3f1c2256,32'h3fa09f6e,32'h3fa72dc6, 32'h3f9bb4ac,32'h3fac1888, 32'h3f9382be,32'h3fb44a76,// invsqrt(0.6099) = 1.2805 +32'h3f98df68,32'h3f6590b2,32'h3f6eef6a, 32'h3f5e89a7,32'h3f75f675, 32'h3f52d340,32'h3f80d66e,// invsqrt(1.1943) = 0.9150 +32'h400404dc,32'h3f2ead88,32'h3f35cebc, 32'h3f2954a0,32'h3f3b27a4, 32'h3f206b1f,32'h3f441125,// invsqrt(2.0628) = 0.6963 +32'h3fbb38c3,32'h3f4f70b0,32'h3f57e83a, 32'h3f491709,32'h3f5e41e1, 32'h3f3e819c,32'h3f68d74e,// invsqrt(1.4627) = 0.8269 +32'h3f4c6e7b,32'h3f8c5f5d,32'h3f921a1c, 32'h3f88134c,32'h3f96662c, 32'h3f80e9dc,32'h3f9d8f9c,// invsqrt(0.7986) = 1.1190 +32'h3f88f74d,32'h3f72878f,32'h3f7c6dbf, 32'h3f6b1aeb,32'h3f81ed31, 32'h3f5ebb30,32'h3f881d0f,// invsqrt(1.0700) = 0.9667 +32'h40e2e9a5,32'h3ebc6d26,32'h3ec41e02, 32'h3eb6a880,32'h3ec9e2a8, 32'h3ead0b6c,32'h3ed37fbc,// invsqrt(7.0910) = 0.3755 +32'h3fb8d079,32'h3f50c970,32'h3f594f0c, 32'h3f4a653b,32'h3f5fb341, 32'h3f3fbe38,32'h3f6a5a44,// invsqrt(1.4439) = 0.8322 +32'h3f13b9fc,32'h3fa5215d,32'h3fabdece, 32'h3fa01347,32'h3fb0ece3, 32'h3f97a679,32'h3fb959b1,// invsqrt(0.5771) = 1.3164 +32'h3df68d90,32'h4034c401,32'h403c24d3, 32'h402f3b65,32'h4041ad6f, 32'h4026025f,32'h404ae675,// invsqrt(0.1204) = 2.8821 +32'h3f22011e,32'h3f9daf93,32'h3fa41f3b, 32'h3f98dbd5,32'h3fa8f2f9, 32'h3f90d043,32'h3fb0fe8b,// invsqrt(0.6328) = 1.2571 +32'h41111f31,32'h3ea69b0a,32'h3ead67e6, 32'h3ea18165,32'h3eb2818b, 32'h3e990152,32'h3ebb019e,// invsqrt(9.0701) = 0.3320 +32'h40132c5f,32'h3f2570bc,32'h3f2c316a, 32'h3f206038,32'h3f3141ee, 32'h3f17ef5e,32'h3f39b2c8,// invsqrt(2.2996) = 0.6594 +32'h3ea92567,32'h3fda3e2c,32'h3fe32696, 32'h3fd38fdc,32'h3fe9d4e6, 32'h3fc86d57,32'h3ff4f76b,// invsqrt(0.3304) = 1.7398 +32'h3e17436b,32'h40233038,32'h4029d95f, 32'h401e315b,32'h402ed83d, 32'h4015ddeb,32'h40372bad,// invsqrt(0.1477) = 2.6019 +32'h3ff74846,32'h3f347fb6,32'h3f3bddbe, 32'h3f2ef931,32'h3f416443, 32'h3f25c3a7,32'h3f4a99cd,// invsqrt(1.9319) = 0.7195 +32'h3fa881ba,32'h3f5aa810,32'h3f6394cc, 32'h3f53f682,32'h3f6a465a, 32'h3f48ce96,32'h3f756e46,// invsqrt(1.3165) = 0.8716 +32'h4085dffe,32'h3ef5503d,32'h3eff5383, 32'h3eedcdc8,32'h3f036afc, 32'h3ee149b0,32'h3f09ad08,// invsqrt(4.1836) = 0.4889 +32'h3f78aabd,32'h3f7e8d62,32'h3f847899, 32'h3f76c286,32'h3f885e07, 32'h3f69c5c3,32'h3f8edc69,// invsqrt(0.9714) = 1.0146 +32'h3eb6e8ae,32'h3fd1df1f,32'h3fda7011, 32'h3fcb726a,32'h3fe0dcc6, 32'h3fc0bd3c,32'h3feb91f4,// invsqrt(0.3572) = 1.6731 +32'h3f49b767,32'h3f8d5067,32'h3f9314fd, 32'h3f88fcf6,32'h3f97686e, 32'h3f81c73a,32'h3f9e9e2a,// invsqrt(0.7880) = 1.1265 +32'h3f00fbab,32'h3fb0b8bb,32'h3fb7ef4b, 32'h3fab4fd0,32'h3fbd5836, 32'h3fa24b9c,32'h3fc65c6a,// invsqrt(0.5038) = 1.4088 +32'h3db2b193,32'h4054551f,32'h405cffc7, 32'h404dd520,32'h40637fc6, 32'h4042ffce,32'h406e5518,// invsqrt(0.0873) = 3.3854 +32'h3f9c9f31,32'h3f62cd0b,32'h3f6c0ee1, 32'h3f5bdbaa,32'h3f730042, 32'h3f50495d,32'h3f7e928f,// invsqrt(1.2236) = 0.9040 +32'h403b764e,32'h3f12969a,32'h3f18924c, 32'h3f0e19d4,32'h3f1d0f12, 32'h3f069f34,32'h3f2489b2,// invsqrt(2.9291) = 0.5843 +32'h40895e4e,32'h3ef22c90,32'h3efc0f08, 32'h3eeac2b5,32'h3f01bc72, 32'h3ede679e,32'h3f07e9fd,// invsqrt(4.2928) = 0.4826 +32'h3f20d244,32'h3f9e43c6,32'h3fa4b97a, 32'h3f996b7e,32'h3fa991c2, 32'h3f91585d,32'h3fb1a4e3,// invsqrt(0.6282) = 1.2617 +32'h404d3e41,32'h3f0c183d,32'h3f11d016, 32'h3f07ce5b,32'h3f1619f9, 32'h3f00a88c,32'h3f1d3fc8,// invsqrt(3.2069) = 0.5584 +32'h3d1a4ee4,32'h40a19200,32'h40a82a3f, 32'h409c9fd2,32'h40ad1c6e, 32'h40946183,32'h40b55abd,// invsqrt(0.0377) = 5.1521 +32'h405080d8,32'h3f0afec0,32'h3f10ab1c, 32'h3f06bd7c,32'h3f14ec60, 32'h3eff4c13,32'h3f1c03d3,// invsqrt(3.2579) = 0.5540 +32'h3eda16cd,32'h3fc03347,32'h3fc80b93, 32'h3fba510e,32'h3fcdedcc, 32'h3fb082af,32'h3fd7bc2b,// invsqrt(0.4260) = 1.5322 +32'h3fb062ed,32'h3f55b779,32'h3f5e7099, 32'h3f4f2ca2,32'h3f64fb70, 32'h3f44453b,32'h3f6fe2d7,// invsqrt(1.3780) = 0.8519 +32'h405a14a2,32'h3f07e8a6,32'h3f0d74c2, 32'h3f03bf91,32'h3f119dd7, 32'h3ef9a0de,32'h3f188cf9,// invsqrt(3.4075) = 0.5417 +32'h3f72250e,32'h3f80fa96,32'h3f863e48, 32'h3f7a0fa0,32'h3f8a310e, 32'h3f6ce661,32'h3f90c5ad,// invsqrt(0.9459) = 1.0282 +32'h3f8e38e4,32'h3f6e0173,32'h3f77b85d, 32'h3f66b843,32'h3f7f018d, 32'h3f5a939e,32'h3f859319,// invsqrt(1.1111) = 0.9487 +32'h3fe0975f,32'h3f3d65cc,32'h3f4520cf, 32'h3f379989,32'h3f4aed11, 32'h3f2defc5,32'h3f5496d5,// invsqrt(1.7546) = 0.7549 +32'h41ae282c,32'h3e57148f,32'h3e5fdbee, 32'h3e507f09,32'h3e667175, 32'h3e4585d2,32'h3e716aac,// invsqrt(21.7696) = 0.2143 +32'h3d8425bd,32'h4076e966,32'h40807eb0, 32'h406f5a6b,32'h4084462e, 32'h4062c173,32'h408a92aa,// invsqrt(0.0645) = 3.9367 +32'h3ea8c73f,32'h3fda7b03,32'h3fe365e9, 32'h3fd3cad6,32'h3fea1616, 32'h3fc8a537,32'h3ff53bb5,// invsqrt(0.3296) = 1.7417 +32'h3f8e1d7a,32'h3f6e1866,32'h3f77d041, 32'h3f66ce83,32'h3f7f1a25, 32'h3f5aa8b2,32'h3f859ffb,// invsqrt(1.1103) = 0.9490 +32'h409f04e7,32'h3ee115ba,32'h3eea45a2, 32'h3eda31cc,32'h3ef12990, 32'h3eceb5e9,32'h3efca573,// invsqrt(4.9693) = 0.4486 +32'h3f63eacc,32'h3f84f191,32'h3f8a5eb1, 32'h3f80dfb9,32'h3f8e7089, 32'h3f742ea2,32'h3f9538f1,// invsqrt(0.8903) = 1.0598 +32'h3fb1f888,32'h3f54c364,32'h3f5d728d, 32'h3f4e4006,32'h3f63f5ec, 32'h3f436513,32'h3f6ed0df,// invsqrt(1.3904) = 0.8481 +32'h3ff7d850,32'h3f344b3b,32'h3f3ba71f, 32'h3f2ec651,32'h3f412c09, 32'h3f259375,32'h3f4a5ee5,// invsqrt(1.9363) = 0.7186 +32'h3e25ca5d,32'h401bdffa,32'h40223cb6, 32'h40171a6d,32'h40270243, 32'h400f2682,32'h402ef62e,// invsqrt(0.1619) = 2.4853 +32'h3f8e54f4,32'h3f6de9fb,32'h3f779ff1, 32'h3f66a183,32'h3f7ee869, 32'h3f5a7e11,32'h3f8585ee,// invsqrt(1.1120) = 0.9483 +32'h4149dd28,32'h3e8d432f,32'h3e93073c, 32'h3e88f026,32'h3e975a46, 32'h3e81bb17,32'h3e9e8f55,// invsqrt(12.6165) = 0.2815 +32'h3f37f0d9,32'h3f93fc19,32'h3f9a0663, 32'h3f8f7461,32'h3f9e8e1b, 32'h3f87e785,32'h3fa61af7,// invsqrt(0.7185) = 1.1797 +32'h3f83ee25,32'h3f771d66,32'h3f8099c0, 32'h3f6f8cd4,32'h3f846209, 32'h3f62f134,32'h3f8aafd9,// invsqrt(1.0307) = 0.9850 +32'h41293a50,32'h3e9a48a4,32'h3ea094c0, 32'h3e958f90,32'h3ea54dd4, 32'h3e8db06d,32'h3ead2cf7,// invsqrt(10.5767) = 0.3075 +32'h3fe2a206,32'h3f3c8ae9,32'h3f443cfd, 32'h3f36c55a,32'h3f4a028c, 32'h3f2d26c1,32'h3f53a125,// invsqrt(1.7706) = 0.7515 +32'h3f6fdeb3,32'h3f8196c9,32'h3f86e0db, 32'h3f7b3e75,32'h3f8ad869, 32'h3f6e0547,32'h3f917501,// invsqrt(0.9370) = 1.0331 +32'h3edaddeb,32'h3fbfdbc6,32'h3fc7b080, 32'h3fb9fc3b,32'h3fcd900b, 32'h3fb03253,32'h3fd759f3,// invsqrt(0.4275) = 1.5295 +32'h3fc4fdb7,32'h3f4a3b24,32'h3f527c3f, 32'h3f440a4f,32'h3f58ad13, 32'h3f39b8ec,32'h3f62fe76,// invsqrt(1.5390) = 0.8061 +32'h40d72222,32'h3ec18421,32'h3ec96a2d, 32'h3ebb9798,32'h3ecf56b6, 32'h3eb1b80a,32'h3ed93645,// invsqrt(6.7229) = 0.3857 +32'h3f6886b0,32'h3f839e96,32'h3f88fde0, 32'h3f7f2e3d,32'h3f8d0558, 32'h3f71c004,32'h3f93bc74,// invsqrt(0.9083) = 1.0493 +32'h3fc773e2,32'h3f48faad,32'h3f512eb4, 32'h3f42d3a7,32'h3f5755b9, 32'h3f38929e,32'h3f6196c2,// invsqrt(1.5582) = 0.8011 +32'h3f8faa94,32'h3f6cce74,32'h3f7678d6, 32'h3f658eaa,32'h3f7db8a0, 32'h3f5979ae,32'h3f84e6ce,// invsqrt(1.1224) = 0.9439 +32'h411c6eaf,32'h3ea07837,32'h3ea704f5, 32'h3e9b8ea8,32'h3eabee84, 32'h3e935eba,32'h3eb41e72,// invsqrt(9.7770) = 0.3198 +32'h3ed4616d,32'h3fc2c427,32'h3fcab743, 32'h3fbccdd2,32'h3fd0ad98, 32'h3fb2ddf0,32'h3fda9d7a,// invsqrt(0.4148) = 1.5527 +32'h3efdb716,32'h3fb23229,32'h3fb97821, 32'h3facbdb0,32'h3fbeec9a, 32'h3fa3a63b,32'h3fc8040f,// invsqrt(0.4955) = 1.4206 +32'h411759b6,32'h3ea32433,32'h3ea9ccdd, 32'h3e9e25b4,32'h3eaecb5c, 32'h3e95d2e1,32'h3eb71e2f,// invsqrt(9.4594) = 0.3251 +32'h3f9c07a9,32'h3f633b12,32'h3f6c8166, 32'h3f5c4653,32'h3f737625, 32'h3f50ae69,32'h3f7f0e0f,// invsqrt(1.2190) = 0.9057 +32'h40b80c7f,32'h3ed1387c,32'h3ed9c2a0, 32'h3ecad0e0,32'h3ee02a3c, 32'h3ec02433,32'h3eead6e9,// invsqrt(5.7515) = 0.4170 +32'h3ece69d6,32'h3fc58fb7,32'h3fcda007, 32'h3fbf837a,32'h3fd3ac44, 32'h3fb56f16,32'h3fddc0a9,// invsqrt(0.4032) = 1.5749 +32'h41396fce,32'h3e9362fb,32'h3e996705, 32'h3e8edff3,32'h3e9dea0d, 32'h3e875ae6,32'h3ea56f1a,// invsqrt(11.5898) = 0.2937 +32'h3f3be755,32'h3f926a7d,32'h3f986462, 32'h3f8def10,32'h3f9cdfce, 32'h3f8676b1,32'h3fa4582d,// invsqrt(0.7340) = 1.1672 +32'h3fa887a4,32'h3f5aa43a,32'h3f6390ce, 32'h3f53f2ca,32'h3f6a423e, 32'h3f48cb10,32'h3f7569f8,// invsqrt(1.3166) = 0.8715 +32'h3f320170,32'h3f966e80,32'h3f9c925b, 32'h3f91d39b,32'h3fa12d3f, 32'h3f8a26c8,32'h3fa8da12,// invsqrt(0.6953) = 1.1992 +32'h3f50cb46,32'h3f8ae5f8,32'h3f909150, 32'h3f86a575,32'h3f94d1d3, 32'h3f7f1e8e,32'h3f9be801,// invsqrt(0.8156) = 1.1073 +32'h3def7718,32'h40376bdc,32'h403ee86c, 32'h4031ce6f,32'h404485d9, 32'h402872ba,32'h404de18e,// invsqrt(0.1169) = 2.9244 +32'h3fa2f93e,32'h3f5e565c,32'h3f67698e, 32'h3f5787f6,32'h3f6e37f4, 32'h3f4c2ff6,32'h3f798ff4,// invsqrt(1.2732) = 0.8862 +32'h3f448d7d,32'h3f8f2886,32'h3f950062, 32'h3f8ac6a1,32'h3f996247, 32'h3f8378cf,32'h3fa0b019,// invsqrt(0.7678) = 1.1412 +32'h3fbae70a,32'h3f4f9e05,32'h3f581769, 32'h3f4942fb,32'h3f5e7273, 32'h3f3eab3e,32'h3f690a30,// invsqrt(1.4602) = 0.8276 +32'h3ed8ec68,32'h3fc0b74b,32'h3fc894fb, 32'h3fbad108,32'h3fce7b3e, 32'h3fb0fbec,32'h3fd8505a,// invsqrt(0.4237) = 1.5363 +32'h3f200393,32'h3f9ea9dd,32'h3fa523bc, 32'h3f99ce75,32'h3fa9ff23, 32'h3f91b61e,32'h3fb2177a,// invsqrt(0.6251) = 1.2649 +32'h3fc5a3d8,32'h3f49e613,32'h3f5223b6, 32'h3f43b7da,32'h3f5851f0, 32'h3f396ace,32'h3f629efc,// invsqrt(1.5441) = 0.8048 +32'h3fcae6c8,32'h3f474388,32'h3f4f65a3, 32'h3f4129f5,32'h3f557f37, 32'h3f36ff54,32'h3f5fa9d8,// invsqrt(1.5852) = 0.7943 +32'h3f812809,32'h3f79c11e,32'h3f81f966, 32'h3f721bdc,32'h3f85cc07, 32'h3f655dc3,32'h3f8c2b14,// invsqrt(1.0090) = 0.9955 +32'h3ff26bab,32'h3f364cc2,32'h3f3dbd9b, 32'h3f30b820,32'h3f43523e, 32'h3f276b11,32'h3f4c9f4d,// invsqrt(1.8939) = 0.7266 +32'h3f054ef6,32'h3fadd4bc,32'h3fb4ed17, 32'h3fa88277,32'h3fba3f5b, 32'h3f9fa405,32'h3fc31dcd,// invsqrt(0.5207) = 1.3858 +32'h3ed91dea,32'h3fc0a151,32'h3fc87e1b, 32'h3fbabbba,32'h3fce63b2, 32'h3fb0e7bd,32'h3fd837af,// invsqrt(0.4241) = 1.5356 +32'h3eb8f110,32'h3fd0b70a,32'h3fd93be6, 32'h3fca5365,32'h3fdf9f8b, 32'h3fbfad52,32'h3fea459e,// invsqrt(0.3612) = 1.6639 +32'h3f651b1f,32'h3f849928,32'h3f8a02ac, 32'h3f808a05,32'h3f8e11cf, 32'h3f738c3f,32'h3f94d5b5,// invsqrt(0.8949) = 1.0571 +32'h3ee0cd72,32'h3fbd4f03,32'h3fc50919, 32'h3fb78374,32'h3fcad4a8, 32'h3faddad9,32'h3fd47d43,// invsqrt(0.4391) = 1.5092 +32'h4099c8f0,32'h3ee4e222,32'h3eee39ba, 32'h3edde06f,32'h3ef53b6d, 32'h3ed232f0,32'h3f007476,// invsqrt(4.8058) = 0.4562 +32'h3ff12d13,32'h3f36c503,32'h3f3e3ac5, 32'h3f312cb2,32'h3f43d316, 32'h3f27d980,32'h3f4d2648,// invsqrt(1.8842) = 0.7285 +32'h40bf863d,32'h3ecd18cc,32'h3ed577d9, 32'h3ec6d181,32'h3edbbf23, 32'h3ebc5ab0,32'h3ee635f4,// invsqrt(5.9851) = 0.4088 +32'h3fdaf800,32'h3f3fd058,32'h3f47a49a, 32'h3f39f126,32'h3f4d83cc, 32'h3f3027d3,32'h3f574d1f,// invsqrt(1.7107) = 0.7646 +32'h3fe8af87,32'h3f3a1301,32'h3f41ab4a, 32'h3f3460ca,32'h3f475d80, 32'h3f2ae26e,32'h3f50dbdc,// invsqrt(1.8179) = 0.7417 +32'h3e7dd481,32'h3ffbf337,32'h40031deb, 32'h3ff43cbf,32'h4006f926, 32'h3fe761f9,32'h400d668a,// invsqrt(0.2479) = 2.0085 +32'h3f0b64e2,32'h3fa9fe7e,32'h3fb0eec2, 32'h3fa4ca4b,32'h3fb622f5, 32'h3f9c1df6,32'h3fbecf4a,// invsqrt(0.5445) = 1.3552 +32'h3f635b51,32'h3f851b7d,32'h3f8a8a54, 32'h3f81085d,32'h3f8e9d75, 32'h3f747ba3,32'h3f956800,// invsqrt(0.8881) = 1.0611 +32'h3f4d7aa7,32'h3f8c03a5,32'h3f91baa7, 32'h3f87ba64,32'h3f9603e8, 32'h3f8095a2,32'h3f9d28aa,// invsqrt(0.8027) = 1.1162 +32'h3f2a343a,32'h3f99d735,32'h3fa01eb0, 32'h3f95219a,32'h3fa4d44c, 32'h3f8d4841,32'h3facada5,// invsqrt(0.6649) = 1.2264 +32'h3fecaf82,32'h3f387ec7,32'h3f400691, 32'h3f32d8f0,32'h3f45ac68, 32'h3f296f34,32'h3f4f1624,// invsqrt(1.8491) = 0.7354 +32'h3f70dd1c,32'h3f815246,32'h3f86998d, 32'h3f7ab9a3,32'h3f8a8f02, 32'h3f6d8772,32'h3f91281b,// invsqrt(0.9409) = 1.0309 +32'h3f1059d9,32'h3fa70cc5,32'h3fadde45, 32'h3fa1efa5,32'h3fb2fb65, 32'h3f9969c4,32'h3fbb8146,// invsqrt(0.5639) = 1.3317 +32'h411d3ce9,32'h3ea00ed9,32'h3ea6974a, 32'h3e9b2883,32'h3eab7d9f, 32'h3e92fdf6,32'h3eb3a82c,// invsqrt(9.8274) = 0.3190 +32'h3fa2d237,32'h3f5e70ff,32'h3f678549, 32'h3f57a1c9,32'h3f6e547f, 32'h3f4c486d,32'h3f79addb,// invsqrt(1.2720) = 0.8866 +32'h3ee4b7bb,32'h3fbbae6e,32'h3fc35782, 32'h3fb5ef9f,32'h3fc91651, 32'h3fac5c46,32'h3fd2a9aa,// invsqrt(0.4467) = 1.4962 +32'h3e4ab7fe,32'h400cf6dc,32'h4012b7ca, 32'h4008a628,32'h4017087e, 32'h400174fe,32'h401e39a8,// invsqrt(0.1980) = 2.2475 +32'h3f889c08,32'h3f72d886,32'h3f7cc204, 32'h3f6b6968,32'h3f821891, 32'h3f5f058b,32'h3f884a80,// invsqrt(1.0673) = 0.9680 +32'h3f94b4bf,32'h3f68c256,32'h3f72426e, 32'h3f61a244,32'h3f796280, 32'h3f55c225,32'h3f82a150,// invsqrt(1.1618) = 0.9278 +32'h3f0bcf0b,32'h3fa9bde7,32'h3fb0ab88, 32'h3fa48baf,32'h3fb5ddc1, 32'h3f9be2a6,32'h3fbe86ca,// invsqrt(0.5461) = 1.3532 +32'h3f1566c1,32'h3fa433bd,32'h3faae77b, 32'h3f9f2cee,32'h3fafee4a, 32'h3f96cc40,32'h3fb84ef8,// invsqrt(0.5836) = 1.3090 +32'h3f721074,32'h3f810013,32'h3f8643ff, 32'h3f7a1a44,32'h3f8a36f0, 32'h3f6cf077,32'h3f90cbd7,// invsqrt(0.9456) = 1.0284 +32'h3f7efe48,32'h3f7b5ff0,32'h3f82d146, 32'h3f73adfb,32'h3f86aa41, 32'h3f66dab8,32'h3f8d13e2,// invsqrt(0.9961) = 1.0020 +32'h4104027a,32'h3eaeaf1b,32'h3eb5d061, 32'h3ea95628,32'h3ebb2954, 32'h3ea06c91,32'h3ec412eb,// invsqrt(8.2506) = 0.3481 +32'h3f763588,32'h3f7fd1d7,32'h3f852172, 32'h3f77fd0c,32'h3f890bd8, 32'h3f6aefbb,32'h3f8f9280,// invsqrt(0.9618) = 1.0197 +32'h3f493e35,32'h3f8d7aee,32'h3f934140, 32'h3f89262f,32'h3f9795ff, 32'h3f81ee48,32'h3f9ecde6,// invsqrt(0.7861) = 1.1279 +32'h407f356f,32'h3efb44c5,32'h3f02c322, 32'h3ef393a4,32'h3f069bb2, 32'h3ee6c1c4,32'h3f0d04a2,// invsqrt(3.9876) = 0.5008 +32'h41a8e6e1,32'h3e5a668d,32'h3e63509d, 32'h3e53b701,32'h3e6a0029, 32'h3e48926c,32'h3e7524be,// invsqrt(21.1127) = 0.2176 +32'h40936091,32'h3ee9ce5d,32'h3ef35967, 32'h3ee2a617,32'h3efa81ad, 32'h3ed6b84b,32'h3f0337bc,// invsqrt(4.6055) = 0.4660 +32'h41a82cbd,32'h3e5adf49,32'h3e63ce47, 32'h3e542c0b,32'h3e6a8185, 32'h3e49014e,32'h3e75ac42,// invsqrt(21.0218) = 0.2181 +32'h400d9fc0,32'h3f28a685,32'h3f2f88bf, 32'h3f237cda,32'h3f34b26a, 32'h3f1ae212,32'h3f3d4d32,// invsqrt(2.2129) = 0.6722 +32'h417e0bb2,32'h3e7bd7d8,32'h3e830fac, 32'h3e742237,32'h3e86ea7d, 32'h3e6748d6,32'h3e8d572d,// invsqrt(15.8779) = 0.2510 +32'h3ef383a9,32'h3fb5e3d6,32'h3fbd5067, 32'h3fb0526a,32'h3fc2e1d4, 32'h3fa70ab6,32'h3fcc2989,// invsqrt(0.4756) = 1.4500 +32'h4059f503,32'h3f07f281,32'h3f0d7f04, 32'h3f03c920,32'h3f11a866, 32'h3ef9b2f9,32'h3f18980a,// invsqrt(3.4056) = 0.5419 +32'h3f56449e,32'h3f891cd3,32'h3f8eb583, 32'h3f84ea4f,32'h3f92e807, 32'h3f7bd6e7,32'h3f99e6e2,// invsqrt(0.8370) = 1.0931 +32'h415c8637,32'h3e872757,32'h3e8cab8e, 32'h3e83042c,32'h3e90ceb8, 32'h3e783dcd,32'h3e97b3fd,// invsqrt(13.7828) = 0.2694 +32'h3f331c30,32'h3f95f794,32'h3f9c1694, 32'h3f916053,32'h3fa0add5, 32'h3f89b992,32'h3fa85496,// invsqrt(0.6996) = 1.1955 +32'h3ea41050,32'h3fdd98f2,32'h3fe6a46a, 32'h3fd6d058,32'h3fed6d04, 32'h3fcb8203,32'h3ff8bb59,// invsqrt(0.3204) = 1.7666 +32'h3f8261b8,32'h3f7893f8,32'h3f815cad, 32'h3f70f7ed,32'h3f852ab2, 32'h3f644932,32'h3f8b8210,// invsqrt(1.0186) = 0.9908 +32'h3f4c2a11,32'h3f8c76df,32'h3f923295, 32'h3f882a17,32'h3f967f5d, 32'h3f80ff74,32'h3f9daa00,// invsqrt(0.7975) = 1.1198 +32'h3feafa4f,32'h3f392a1a,32'h3f40b8e2, 32'h3f337f04,32'h3f4663f8, 32'h3f2a0c8b,32'h3f4fd671,// invsqrt(1.8358) = 0.7381 +32'h3f2bd27e,32'h3f991d50,32'h3f9f5d34, 32'h3f946d65,32'h3fa40d1f, 32'h3f8c9d88,32'h3fabdcfc,// invsqrt(0.6712) = 1.2206 +32'h3eca0524,32'h3fc7b2b1,32'h3fcfd955, 32'h3fc195b6,32'h3fd5f650, 32'h3fb76569,32'h3fe0269d,// invsqrt(0.3946) = 1.5920 +32'h3fce9668,32'h3f457a66,32'h3f4d89d8, 32'h3f3f6ed1,32'h3f53956d, 32'h3f355b82,32'h3f5da8bc,// invsqrt(1.6140) = 0.7871 +32'h3f65c9fe,32'h3f8466aa,32'h3f89ce1f, 32'h3f805913,32'h3f8ddbb7, 32'h3f732f83,32'h3f949d09,// invsqrt(0.8976) = 1.0555 +32'h3fef8370,32'h3f376722,32'h3f3ee381, 32'h3f31c9da,32'h3f4480c8, 32'h3f286e62,32'h3f4ddc40,// invsqrt(1.8712) = 0.7310 +32'h3fc0db7d,32'h3f4c6307,32'h3f54baa9, 32'h3f46214d,32'h3f5afc63, 32'h3f3bb3c2,32'h3f6569ee,// invsqrt(1.5067) = 0.8147 +32'h3fb58489,32'h3f52ac9e,32'h3f5b45f2, 32'h3f4c399e,32'h3f61b8f2, 32'h3f4179f4,32'h3f6c789c,// invsqrt(1.4181) = 0.8397 +32'h411998a2,32'h3ea1f1c0,32'h3ea88de8, 32'h3e9cfca3,32'h3ead8305, 32'h3e94b972,32'h3eb5c636,// invsqrt(9.5998) = 0.3228 +32'h3f9b970a,32'h3f638d40,32'h3f6cd6ee, 32'h3f5c95fc,32'h3f73ce32, 32'h3f50f9e2,32'h3f7f6a4d,// invsqrt(1.2155) = 0.9070 +32'h3f06f1d9,32'h3facc61d,32'h3fb3d36d, 32'h3fa77c22,32'h3fb91d68, 32'h3f9eab7e,32'h3fc1ee0c,// invsqrt(0.5271) = 1.3773 +32'h3ee509f8,32'h3fbb8cb9,32'h3fc3346d, 32'h3fb5cef2,32'h3fc8f234, 32'h3fac3d51,32'h3fd283d5,// invsqrt(0.4473) = 1.4951 +32'h4045acdf,32'h3f0ec050,32'h3f1493eb, 32'h3f0a619c,32'h3f18f2a0, 32'h3f03191b,32'h3f203b21,// invsqrt(3.0887) = 0.5690 +32'h3f283227,32'h3f9ac19c,32'h3fa112a8, 32'h3f9604d4,32'h3fa5cf70, 32'h3f8e1f85,32'h3fadb4bf,// invsqrt(0.6570) = 1.2337 +32'h40a0f915,32'h3edfb6f7,32'h3ee8d88f, 32'h3ed8ddc6,32'h3eefb1c0, 32'h3ecd73c9,32'h3efb1bbd,// invsqrt(5.0304) = 0.4459 +32'h404e3d4e,32'h3f0bc182,32'h3f1175d1, 32'h3f077a48,32'h3f15bd0c, 32'h3f0058e6,32'h3f1cde6e,// invsqrt(3.2225) = 0.5571 +32'h3f151073,32'h3fa4633f,32'h3fab18ee, 32'h3f9f5afc,32'h3fb02132, 32'h3f96f7e2,32'h3fb8844d,// invsqrt(0.5823) = 1.3105 +32'h3f4bda13,32'h3f8c926c,32'h3f924f42, 32'h3f8844cc,32'h3f969ce2, 32'h3f8118c2,32'h3f9dc8ec,// invsqrt(0.7963) = 1.1206 +32'h3e43db1c,32'h400f69a9,32'h4015442d, 32'h400b05c6,32'h4019a810, 32'h4003b4a0,32'h4020f936,// invsqrt(0.1913) = 2.2866 +32'h3e41f5e6,32'h40101c9a,32'h4015fe6c, 32'h400bb33c,32'h401a67ca, 32'h400458f6,32'h4021c210,// invsqrt(0.1894) = 2.2977 +32'h3f220338,32'h3f9dae8d,32'h3fa41e2a, 32'h3f98dad6,32'h3fa8f1e0, 32'h3f90cf52,32'h3fb0fd64,// invsqrt(0.6329) = 1.2570 +32'h3dc3cfcc,32'h404ad6d0,32'h40531e46, 32'h4044a137,32'h405953df, 32'h403a47e4,32'h4063ad33,// invsqrt(0.0956) = 3.2340 +32'h40a8e2de,32'h3eda6925,32'h3ee35350, 32'h3ed3b984,32'h3eea02f0, 32'h3ec894ce,32'h3ef527a6,// invsqrt(5.2777) = 0.4353 +32'h3faa0e74,32'h3f59a86d,32'h3f628abb, 32'h3f52feb3,32'h3f693475, 32'h3f47e3d2,32'h3f744f56,// invsqrt(1.3286) = 0.8676 +32'h3f850d9f,32'h3f7611e0,32'h3f800e87, 32'h3f6e897e,32'h3f83d2b8, 32'h3f61fb85,32'h3f8a19b5,// invsqrt(1.0395) = 0.9808 +32'h40a6b24f,32'h3edbd72d,32'h3ee4d049, 32'h3ed51c58,32'h3eeb8b1e, 32'h3ec9e4f5,32'h3ef6c281,// invsqrt(5.2093) = 0.4381 +32'h3e7eabbb,32'h3ffb88aa,32'h4002e677, 32'h3ff3d575,32'h4006c012, 32'h3fe7001e,32'h400d2abd,// invsqrt(0.2487) = 2.0052 +32'h3f7ff277,32'h3f7ae7ea,32'h3f8292cf, 32'h3f7339a1,32'h3f8669f4, 32'h3f666c7d,32'h3f8cd085,// invsqrt(0.9998) = 1.0001 +32'h3fb9fe61,32'h3f501fb8,32'h3f589e66, 32'h3f49c0b5,32'h3f5efd69, 32'h3f3f225a,32'h3f699bc4,// invsqrt(1.4531) = 0.8296 +32'h3f9fc812,32'h3f608c19,32'h3f69b663, 32'h3f59ac61,32'h3f70961b, 32'h3f4e3785,32'h3f7c0af7,// invsqrt(1.2483) = 0.8950 +32'h3f524370,32'h3f8a6981,32'h3f900fc5, 32'h3f862cce,32'h3f944c78, 32'h3f7e39f2,32'h3f9b5c4d,// invsqrt(0.8213) = 1.1034 +32'h3fa43d49,32'h3f5d7a99,32'h3f6684d3, 32'h3f56b2ed,32'h3f6d4c7f, 32'h3f4b6624,32'h3f789948,// invsqrt(1.2831) = 0.8828 +32'h3e923b81,32'h3feab831,32'h3ff44cc5, 32'h3fe388c2,32'h3ffb7c34, 32'h3fd78f08,32'h4003baf7,// invsqrt(0.2856) = 1.8712 +32'h3e9a74d4,32'h3fe462a2,32'h3fedb507, 32'h3fdd64d7,32'h3ff4b2d3, 32'h3fd1bdd9,32'h40002ce8,// invsqrt(0.3017) = 1.8207 +32'h40afa716,32'h3ed629a0,32'h3edee768, 32'h3ecf9b4a,32'h3ee575be, 32'h3ec4ae10,32'h3ef062f8,// invsqrt(5.4891) = 0.4268 +32'h4032c93f,32'h3f161a59,32'h3f1c3ac5, 32'h3f118208,32'h3f20d316, 32'h3f09d981,32'h3f287b9d,// invsqrt(2.7935) = 0.5983 +32'h3f151e66,32'h3fa45b8f,32'h3fab10ed, 32'h3f9f5388,32'h3fb018f4, 32'h3f96f0d1,32'h3fb87bab,// invsqrt(0.5825) = 1.3102 +32'h426bae8c,32'h3e02bc3f,32'h3e08124c, 32'h3dfd776a,32'h3e0c12d5, 32'h3df02049,32'h3e12be66,// invsqrt(58.9205) = 0.1303 +32'h3f1c3c78,32'h3fa091fe,32'h3fa71fca, 32'h3f9ba7a5,32'h3fac0a23, 32'h3f937667,32'h3fb43b61,// invsqrt(0.6103) = 1.2801 +32'h4107006e,32'h3eacbcc8,32'h3eb3c9b6, 32'h3ea77315,32'h3eb91369, 32'h3e9ea2ec,32'h3ec1e392,// invsqrt(8.4376) = 0.3443 +32'h4004cb8a,32'h3f2e2aab,32'h3f354688, 32'h3f28d5c5,32'h3f3a9b6d, 32'h3f1ff2f0,32'h3f437e42,// invsqrt(2.0749) = 0.6942 +32'h3f7290a0,32'h3f80ddf9,32'h3f862081, 32'h3f79d827,32'h3f8a1266, 32'h3f6cb1d4,32'h3f90a590,// invsqrt(0.9475) = 1.0273 +32'h3e029995,32'h402f9fd1,32'h4036cae9, 32'h402a3f7f,32'h403c2b3b, 32'h402149a0,32'h4045211a,// invsqrt(0.1275) = 2.8001 +32'h3ed6864e,32'h3fc1ca5d,32'h3fc9b347, 32'h3fbbdbae,32'h3fcfa1f6, 32'h3fb1f88a,32'h3fd9851a,// invsqrt(0.4190) = 1.5449 +32'h40bec698,32'h3ecd7fb6,32'h3ed5e2f6, 32'h3ec73545,32'h3edc2d67, 32'h3ebcb934,32'h3ee6a978,// invsqrt(5.9617) = 0.4096 +32'h3f0ac0ac,32'h3faa62f8,32'h3fb15756, 32'h3fa52bb2,32'h3fb68e9c, 32'h3f9c7a3d,32'h3fbf4011,// invsqrt(0.5420) = 1.3583 +32'h3fb17ac2,32'h3f550ebb,32'h3f5dc0f7, 32'h3f4e890e,32'h3f6446a4, 32'h3f43aa43,32'h3f6f256f,// invsqrt(1.3866) = 0.8492 +32'h3f703446,32'h3f817fb1,32'h3f86c8d3, 32'h3f7b11b1,32'h3f8abfac, 32'h3f6ddadd,32'h3f915b15,// invsqrt(0.9383) = 1.0324 +32'h3ff7b32f,32'h3f3458be,32'h3f3bb52e, 32'h3f2ed36a,32'h3f413a82, 32'h3f259fdd,32'h3f4a6e0f,// invsqrt(1.9352) = 0.7189 +32'h3f8cf540,32'h3f6f1212,32'h3f78d41e, 32'h3f67c08a,32'h3f8012d3, 32'h3f5b8dfc,32'h3f862c1a,// invsqrt(1.1012) = 0.9529 +32'h3e06369c,32'h402d3e77,32'h403450b1, 32'h4027f0cd,32'h40399e5b, 32'h401f1a05,32'h40427523,// invsqrt(0.1311) = 2.7622 +32'h41519b8c,32'h3e8aa0e5,32'h3e90496b, 32'h3e866280,32'h3e9487d0, 32'h3e7e9fae,32'h3e9b9a79,// invsqrt(13.1005) = 0.2763 +32'h3f2d0a68,32'h3f989313,32'h3f9ecd52, 32'h3f93e763,32'h3fa37901, 32'h3f8c1e93,32'h3fab41d1,// invsqrt(0.6759) = 1.2163 +32'h3e3cd217,32'h40120f5c,32'h40180589, 32'h400d96b9,32'h401c7e2b, 32'h40062300,32'h4023f1e4,// invsqrt(0.1844) = 2.3288 +32'h3ff9841a,32'h3f33b06b,32'h3f3b05fd, 32'h3f2e303e,32'h3f40862a, 32'h3f250548,32'h3f49b120,// invsqrt(1.9493) = 0.7162 +32'h3f6c9c04,32'h3f827a94,32'h3f87cdf2, 32'h3f7cf819,32'h3f8bcc7a, 32'h3f6fa7ac,32'h3f9274b0,// invsqrt(0.9243) = 1.0402 +32'h3e11dad7,32'h40262fbb,32'h402cf835, 32'h4021195f,32'h40320e91, 32'h40189ec6,32'h403a892a,// invsqrt(0.1424) = 2.6497 +32'h4012902d,32'h3f25c8cc,32'h3f2c8d14, 32'h3f20b597,32'h3f31a049, 32'h3f18403e,32'h3f3a15a2,// invsqrt(2.2900) = 0.6608 +32'h3ee18785,32'h3fbd00db,32'h3fc4b7bf, 32'h3fb737b0,32'h3fca80ea, 32'h3fad9312,32'h3fd42588,// invsqrt(0.4405) = 1.5067 +32'h3f5f0937,32'h3f8663f7,32'h3f8be036, 32'h3f8246c9,32'h3f8ffd65, 32'h3f76d6f6,32'h3f96d8b3,// invsqrt(0.8712) = 1.0714 +32'h3f1de652,32'h3f9fb8e5,32'h3fa63dd4, 32'h3f9ad531,32'h3fab2187, 32'h3f92af06,32'h3fb347b2,// invsqrt(0.6168) = 1.2733 +32'h3ce3c267,32'h40bc1367,32'h40c3c09a, 32'h40b65180,32'h40c98280, 32'h40acb900,32'h40d31b00,// invsqrt(0.0278) = 5.9973 +32'h409c98a9,32'h3ee2d1c6,32'h3eec13ce, 32'h3edbe040,32'h3ef30554, 32'h3ed04db6,32'h3efe97de,// invsqrt(4.8936) = 0.4520 +32'h3ed26a68,32'h3fc3ac6a,32'h3fcba901, 32'h3fbdaefa,32'h3fd1a672, 32'h3fb3b33d,32'h3fdba22f,// invsqrt(0.4110) = 1.5599 +32'h3f7c04cf,32'h3f7cda96,32'h3f839653, 32'h3f751d09,32'h3f877519, 32'h3f683675,32'h3f8de864,// invsqrt(0.9844) = 1.0079 +32'h3f6ea112,32'h3f81eceb,32'h3f873a81, 32'h3f7be573,32'h3f8b34b2, 32'h3f6ea37b,32'h3f91d5af,// invsqrt(0.9321) = 1.0358 +32'h3e7f90a0,32'h3ffb17ec,32'h4002abcc, 32'h3ff3682c,32'h400683ac, 32'h3fe69895,32'h400ceb78,// invsqrt(0.2496) = 2.0017 +32'h3eccc2a8,32'h3fc65b74,32'h3fce7416, 32'h3fc048fb,32'h3fd4868f, 32'h3fb62a31,32'h3fdea559,// invsqrt(0.3999) = 1.5813 +32'h3f45945e,32'h3f8ec92a,32'h3f949d22, 32'h3f8a6a31,32'h3f98fc1b, 32'h3f83213c,32'h3fa04510,// invsqrt(0.7718) = 1.1383 +32'h3f05c5cf,32'h3fad8773,32'h3fb49ca7, 32'h3fa8378c,32'h3fb9ec8e, 32'h3f9f5d0c,32'h3fc2c70e,// invsqrt(0.5225) = 1.3834 +32'h3fde5339,32'h3f3e5c48,32'h3f46215b, 32'h3f38887b,32'h3f4bf529, 32'h3f2ed223,32'h3f55ab81,// invsqrt(1.7369) = 0.7588 +32'h417408f1,32'h3e807a77,32'h3e85b8ef, 32'h3e79173a,32'h3e89a7c9, 32'h3e6bfb0f,32'h3e9035de,// invsqrt(15.2522) = 0.2561 +32'h3eed9593,32'h3fb8255d,32'h3fbfa981, 32'h3fb28243,32'h3fc54c9b, 32'h3fa91d17,32'h3fceb1c7,// invsqrt(0.4640) = 1.4680 +32'h3f3b1743,32'h3f92bbd1,32'h3f98b909, 32'h3f8e3de8,32'h3f9d36f2, 32'h3f86c162,32'h3fa4b378,// invsqrt(0.7308) = 1.1698 +32'h3efc47bd,32'h3fb2b3b6,32'h3fb9fef7, 32'h3fad3b46,32'h3fbf7768, 32'h3fa41d35,32'h3fc89579,// invsqrt(0.4927) = 1.4246 +32'h3ef9fced,32'h3fb384f9,32'h3fbad8c5, 32'h3fae0621,32'h3fc0579d, 32'h3fa4dd62,32'h3fc9805c,// invsqrt(0.4883) = 1.4311 +32'h40035ca9,32'h3f2f1d39,32'h3f3642fc, 32'h3f29c0e6,32'h3f3b9f4e, 32'h3f20d1b1,32'h3f448e83,// invsqrt(2.0525) = 0.6980 +32'h3e07b2da,32'h402c4b13,32'h4033535d, 32'h402704dc,32'h40389994, 32'h401e3a7f,32'h404163f1,// invsqrt(0.1325) = 2.7470 +32'h3ea24359,32'h3fded2d7,32'h3fe7eb1f, 32'h3fd800a2,32'h3feebd54, 32'h3fcca248,32'h3ffa1bae,// invsqrt(0.3169) = 1.7763 +32'h3f4303e1,32'h3f8fb8b7,32'h3f959675, 32'h3f8b5268,32'h3f99fcc4, 32'h3f83fd3a,32'h3fa151f2,// invsqrt(0.7618) = 1.1457 +32'h3f00287a,32'h3fb14a1c,32'h3fb8869a, 32'h3fabdcbd,32'h3fbdf3f9, 32'h3fa2d11f,32'h3fc6ff97,// invsqrt(0.5006) = 1.4133 +32'h3dbb2012,32'h404f7e60,32'h4057f678, 32'h4049244d,32'h405e508b, 32'h403e8e2e,32'h4068e6aa,// invsqrt(0.0914) = 3.3083 +32'h40701461,32'h3f01884b,32'h3f06d1c7, 32'h3efb225e,32'h3f0ac8e3, 32'h3eedeaaa,32'h3f1164bd,// invsqrt(3.7512) = 0.5163 +32'h3deb091a,32'h40392446,32'h4040b2d1, 32'h4033795f,32'h40465db9, 32'h402a0731,32'h404fcfe7,// invsqrt(0.1148) = 2.9519 +32'h407fbff3,32'h3efb00b0,32'h3f029fb4, 32'h3ef351a5,32'h3f06773a, 32'h3ee6833e,32'h3f0cde6d,// invsqrt(3.9961) = 0.5002 +32'h3e82cd5b,32'h3ff82d9c,32'h40012768, 32'h3ff094b3,32'h4004f3dc, 32'h3fe3eb31,32'h400b489e,// invsqrt(0.2555) = 1.9785 +32'h3d9e90cc,32'h40616813,32'h406a9b58, 32'h405a81a0,32'h407181cc, 32'h404f018a,32'h407d01e2,// invsqrt(0.0774) = 3.5939 +32'h3f8a380d,32'h3f716d83,32'h3f7b482f, 32'h3f6a0981,32'h3f815618, 32'h3f5db82a,32'h3f877ec4,// invsqrt(1.0798) = 0.9623 +32'h3f79ea50,32'h3f7dea6e,32'h3f8423cb, 32'h3f76248f,32'h3f8806bb, 32'h3f69301b,32'h3f8e80f4,// invsqrt(0.9762) = 1.0121 +32'h3f6551b9,32'h3f84895e,32'h3f89f23e, 32'h3f807ab7,32'h3f8e00e5, 32'h3f736f40,32'h3f94c3fc,// invsqrt(0.8958) = 1.0566 +32'h3fe3d2c8,32'h3f3c0ca4,32'h3f43b990, 32'h3f364af2,32'h3f497b42, 32'h3f2cb2cb,32'h3f531369,// invsqrt(1.7799) = 0.7496 +32'h3fd7c005,32'h3f413d45,32'h3f49206d, 32'h3f3b52e8,32'h3f4f0aca, 32'h3f3176f6,32'h3f58e6bc,// invsqrt(1.6855) = 0.7702 +32'h3f6c21d4,32'h3f829c51,32'h3f87f111, 32'h3f7d3984,32'h3f8bf0a0, 32'h3f6fe5a5,32'h3f929a90,// invsqrt(0.9224) = 1.0412 +32'h3f8977f2,32'h3f7215f9,32'h3f7bf785, 32'h3f6aaccf,32'h3f81b057, 32'h3f5e52df,32'h3f87dd4f,// invsqrt(1.0740) = 0.9649 +32'h3fedc62c,32'h3f38128b,32'h3f3f95e9, 32'h3f327004,32'h3f453870, 32'h3f290bce,32'h3f4e9ca6,// invsqrt(1.8576) = 0.7337 +32'h402dd3a5,32'h3f183aa8,32'h3f1e714b, 32'h3f1391ad,32'h3f231a45, 32'h3f0bcd60,32'h3f2ade92,// invsqrt(2.7160) = 0.6068 +32'h41a2fa33,32'h3e5e55b4,32'h3e6768e0, 32'h3e578753,32'h3e6e3741, 32'h3e4c2f5c,32'h3e798f38,// invsqrt(20.3722) = 0.2216 +32'h3f84cad8,32'h3f764fb7,32'h3f802eb5, 32'h3f6ec570,32'h3f83f3d9, 32'h3f62344f,32'h3f8a3c69,// invsqrt(1.0374) = 0.9818 +32'h3f8865d1,32'h3f7308c4,32'h3f7cf43a, 32'h3f6b982c,32'h3f823269, 32'h3f5f31d9,32'h3f886593,// invsqrt(1.0656) = 0.9687 +32'h3f0c8ac0,32'h3fa94c67,32'h3fb03566, 32'h3fa41da8,32'h3fb56426, 32'h3f9b7a6a,32'h3fbe0765,// invsqrt(0.5490) = 1.3496 +32'h3f7fa358,32'h3f7b0ebb,32'h3f82a703, 32'h3f735f42,32'h3f867ebf, 32'h3f669023,32'h3f8ce64e,// invsqrt(0.9986) = 1.0007 +32'h4088383c,32'h3ef3316a,32'h3efd1e89, 32'h3eebbf94,32'h3f024830, 32'h3edf572e,32'h3f087c63,// invsqrt(4.2569) = 0.4847 +32'h3f4939d4,32'h3f8d7c78,32'h3f9342da, 32'h3f8927ad,32'h3f9797a5, 32'h3f81efb2,32'h3f9ecfa0,// invsqrt(0.7860) = 1.1279 +32'h3f3ffaf8,32'h3f90da61,32'h3f96c3f3, 32'h3f8c6b35,32'h3f9b331f, 32'h3f85073f,32'h3fa29715,// invsqrt(0.7499) = 1.1548 +32'h3f50c6fb,32'h3f8ae766,32'h3f9092cd, 32'h3f86a6d8,32'h3f94d35a, 32'h3f7f212d,32'h3f9be99c,// invsqrt(0.8155) = 1.1073 +32'h3f496b4d,32'h3f8d6b17,32'h3f9330c4, 32'h3f8916d4,32'h3f978506, 32'h3f81dfbc,32'h3f9ebc1e,// invsqrt(0.7868) = 1.1274 +32'h3ca80a81,32'h40daf593,32'h40e3e57a, 32'h40d441a7,32'h40ea9967, 32'h40c915c6,32'h40f5c548,// invsqrt(0.0205) = 6.9821 +32'h3f81c379,32'h3f792b5b,32'h3f81ab76, 32'h3f718aaf,32'h3f857bcc, 32'h3f64d43a,32'h3f8bd707,// invsqrt(1.0138) = 0.9932 +32'h41aaf4c0,32'h3e5915a1,32'h3e61f1f1, 32'h3e527065,32'h3e68972d, 32'h3e475d02,32'h3e73aa90,// invsqrt(21.3695) = 0.2163 +32'h3f6ed253,32'h3f81df84,32'h3f872c8f, 32'h3f7bcb79,32'h3f8b2657, 32'h3f6e8adf,32'h3f91c6a5,// invsqrt(0.9329) = 1.0353 +32'h3f8618de,32'h3f751c32,32'h3f7f1d58, 32'h3f6d9b55,32'h3f834f1b, 32'h3f6119e4,32'h3f898fd3,// invsqrt(1.0476) = 0.9770 +32'h3edd9fe3,32'h3fbea93e,32'h3fc67174, 32'h3fb8d315,32'h3fcc479d, 32'h3faf18d0,32'h3fd601e2,// invsqrt(0.4329) = 1.5199 +32'h3db13261,32'h40553a3a,32'h405dee3c, 32'h404eb338,32'h4064753e, 32'h4043d235,32'h406f5641,// invsqrt(0.0865) = 3.3997 +32'h3f4e7966,32'h3f8bad2a,32'h3f9160a4, 32'h3f87668f,32'h3f95a73f, 32'h3f804636,32'h3f9cc798,// invsqrt(0.8065) = 1.1135 +32'h3f93d7e3,32'h3f696ff1,32'h3f72f71f, 32'h3f624a8e,32'h3f7a1c82, 32'h3f566194,32'h3f8302be,// invsqrt(1.1550) = 0.9305 +32'h3e4de874,32'h400bde4b,32'h401193c7, 32'h4007962f,32'h4015dbe3, 32'h40007355,32'h401cfebd,// invsqrt(0.2011) = 2.2300 +32'h3f59574b,32'h3f8823cc,32'h3f8db252, 32'h3f83f8e8,32'h3f91dd36, 32'h3f7a0d81,32'h3f98cf5d,// invsqrt(0.8490) = 1.0853 +32'h3fc4b288,32'h3f4a61c6,32'h3f52a476, 32'h3f442fc3,32'h3f58d679, 32'h3f39dc68,32'h3f6329d4,// invsqrt(1.5367) = 0.8067 +32'h3f138bc2,32'h3fa53b39,32'h3fabf9b9, 32'h3fa02c59,32'h3fb10899, 32'h3f97be3a,32'h3fb976b8,// invsqrt(0.5764) = 1.3172 +32'h3f946fdb,32'h3f68f853,32'h3f727a9f, 32'h3f61d699,32'h3f799c59, 32'h3f55f3ba,32'h3f82bf9c,// invsqrt(1.1597) = 0.9286 +32'h42f15ea1,32'h3db6b23f,32'h3dbe273d, 32'h3db11a81,32'h3dc3befb, 32'h3da7c845,32'h3dcd1137,// invsqrt(120.6848) = 0.0910 +32'h3f8d459f,32'h3f6ece07,32'h3f788d4b, 32'h3f677e94,32'h3f7fdcbe, 32'h3f5b4f7e,32'h3f8605ea,// invsqrt(1.1037) = 0.9519 +32'h4024c072,32'h3f1c5d93,32'h3f22bf70, 32'h3f17942f,32'h3f2788d5, 32'h3f0f99db,32'h3f2f8329,// invsqrt(2.5742) = 0.6233 +32'h3fda381e,32'h3f40249b,32'h3f47fc4d, 32'h3f3a42d5,32'h3f4dde13, 32'h3f307535,32'h3f57abb3,// invsqrt(1.7048) = 0.7659 +32'h400de5c9,32'h3f287ce2,32'h3f2f5d68, 32'h3f23547d,32'h3f3485cd, 32'h3f1abbd4,32'h3f3d1e76,// invsqrt(2.2171) = 0.6716 +32'h3eb4c2c7,32'h3fd31d69,32'h3fdbbb58, 32'h3fcca6f5,32'h3fe231cb, 32'h3fc1e18a,32'h3fecf736,// invsqrt(0.3530) = 1.6830 +32'h42580fc1,32'h3e088ad6,32'h3e0e1d90, 32'h3e045cca,32'h3e124b9c, 32'h3dfacac2,32'h3e194305,// invsqrt(54.0154) = 0.1361 +32'h401d225f,32'h3f201c5c,32'h3f26a55b, 32'h3f1b359e,32'h3f2b8c1a, 32'h3f130a60,32'h3f33b758,// invsqrt(2.4552) = 0.6382 +32'h3f5a7f7e,32'h3f87c766,32'h3f8d5226, 32'h3f839f56,32'h3f917a36, 32'h3f7963cb,32'h3f9867a7,// invsqrt(0.8535) = 1.0824 +32'h409f1caf,32'h3ee104e8,32'h3eea3420, 32'h3eda217d,32'h3ef1178b, 32'h3ecea677,32'h3efc9291,// invsqrt(4.9723) = 0.4485 +32'h3ed7789f,32'h3fc15d47,32'h3fc941bd, 32'h3fbb71ef,32'h3fcf2d15, 32'h3fb1945b,32'h3fd90aa9,// invsqrt(0.4208) = 1.5415 +32'h3fe6558f,32'h3f3b0588,32'h3f42a7b8, 32'h3f354be5,32'h3f48615b, 32'h3f2bc12a,32'h3f51ec16,// invsqrt(1.7995) = 0.7455 +32'h3ed90a7e,32'h3fc0a9f0,32'h3fc88714, 32'h3fbac415,32'h3fce6cef, 32'h3fb0efa8,32'h3fd8415c,// invsqrt(0.4239) = 1.5359 +32'h40b54c3e,32'h3ed2cd50,32'h3edb67fa, 32'h3ecc5950,32'h3ee1dbfa, 32'h3ec197fb,32'h3eec9d4f,// invsqrt(5.6656) = 0.4201 +32'h3e1e236d,32'h401f9a06,32'h40261db2, 32'h401ab744,32'h402b0074, 32'h401292ac,32'h4033250c,// invsqrt(0.1544) = 2.5447 +32'h3e074445,32'h402c9172,32'h40339c9b, 32'h40274912,32'h4038e4fa, 32'h401e7b1f,32'h4041b2ed,// invsqrt(0.1321) = 2.7514 +32'h3e5ee530,32'h40066ed3,32'h400beb83, 32'h4002514f,32'h40100907, 32'h3ff6eae7,32'h4016e4e2,// invsqrt(0.2177) = 2.1434 +32'h3ea9933e,32'h3fd9f772,32'h3fe2dcf9, 32'h3fd34b4c,32'h3fe9891e, 32'h3fc82c63,32'h3ff4a807,// invsqrt(0.3312) = 1.7376 +32'h3fafc009,32'h3f561a6c,32'h3f5ed796, 32'h3f4f8c8e,32'h3f656574, 32'h3f44a01a,32'h3f7051e8,// invsqrt(1.3730) = 0.8534 +32'h3ef3d67a,32'h3fb5c4f0,32'h3fbd303e, 32'h3fb03476,32'h3fc2c0b8, 32'h3fa6ee55,32'h3fcc06d9,// invsqrt(0.4762) = 1.4491 +32'h3f8c90bb,32'h3f6f677e,32'h3f792d06, 32'h3f681358,32'h3f804096, 32'h3f5bdc6f,32'h3f865c0b,// invsqrt(1.0982) = 0.9543 +32'h40172f91,32'h3f233aef,32'h3f29e485, 32'h3f1e3bbe,32'h3f2ee3b6, 32'h3f15e7c1,32'h3f3737b3,// invsqrt(2.3623) = 0.6506 +32'h3fd8d053,32'h3f40c3c6,32'h3f48a1f8, 32'h3f3add21,32'h3f4e889d, 32'h3f310762,32'h3f585e5c,// invsqrt(1.6939) = 0.7684 +32'h3eb4d415,32'h3fd3134e,32'h3fdbb0d4, 32'h3fcc9d2a,32'h3fe226f8, 32'h3fc1d842,32'h3fecebe0,// invsqrt(0.3532) = 1.6827 +32'h3e2aa751,32'h4019a34d,32'h401fe8a9, 32'h4014ef48,32'h40249cae, 32'h400d1895,32'h402c7361,// invsqrt(0.1667) = 2.4496 +32'h3feed754,32'h3f37a92a,32'h3f3f283c, 32'h3f3209dd,32'h3f44c789, 32'h3f28ab08,32'h3f4e265f,// invsqrt(1.8659) = 0.7321 +32'h407dd8cf,32'h3efbf114,32'h3f031cce, 32'h3ef43aad,32'h3f06f801, 32'h3ee76002,32'h3f0d6557,// invsqrt(3.9664) = 0.5021 +32'h3e42a016,32'h400fdd8a,32'h4015bcca, 32'h400b761b,32'h401a2439, 32'h40041f0c,32'h40217b48,// invsqrt(0.1901) = 2.2938 +32'h3fd156d9,32'h3f442d09,32'h3f4c2edf, 32'h3f3e2ba8,32'h3f523040, 32'h3f34295c,32'h3f5c328c,// invsqrt(1.6355) = 0.7820 +32'h401e6aed,32'h3f1f75fd,32'h3f25f831, 32'h3f1a9456,32'h3f2ad9d8, 32'h3f127195,32'h3f32fc99,// invsqrt(2.4753) = 0.6356 +32'h408b707e,32'h3ef05e6f,32'h3efa2e0b, 32'h3ee902ba,32'h3f00c4e0, 32'h3edcbf37,32'h3f06e6a2,// invsqrt(4.3575) = 0.4791 +32'h3f604cbf,32'h3f8602e8,32'h3f8b7b30, 32'h3f81e8b2,32'h3f8f9566, 32'h3f7624af,32'h3f966bc0,// invsqrt(0.8762) = 1.0683 +32'h3e8d64ff,32'h3feeb387,32'h3ff871b7, 32'h3fe764e4,32'h3fffc05a, 32'h3fdb3729,32'h4005f70b,// invsqrt(0.2762) = 1.9029 +32'h3fb55b39,32'h3f52c49b,32'h3f5b5eeb, 32'h3f4c50e0,32'h3f61d2a6, 32'h3f418ffc,32'h3f6c938a,// invsqrt(1.4168) = 0.8401 +32'h3efa2c8d,32'h3fb373e2,32'h3fbac6fa, 32'h3fadf58f,32'h3fc0454d, 32'h3fa4cdb0,32'h3fc96d2c,// invsqrt(0.4886) = 1.4306 +32'h3eb60715,32'h3fd26104,32'h3fdaf743, 32'h3fcbf055,32'h3fe167f3, 32'h3fc13487,32'h3fec23c1,// invsqrt(0.3555) = 1.6771 +32'h3f308193,32'h3f9711bb,32'h3f9d3c41, 32'h3f9271d8,32'h3fa1dc24, 32'h3f8abcb1,32'h3fa9914b,// invsqrt(0.6895) = 1.2043 +32'h3f5610c4,32'h3f892d6d,32'h3f8ec6ca, 32'h3f84fa66,32'h3f92f9d0, 32'h3f7bf564,32'h3f99f984,// invsqrt(0.8362) = 1.0936 +32'h420705bf,32'h3e2cb961,32'h3e33c62c, 32'h3e276fca,32'h3e390fc4, 32'h3e1e9fcd,32'h3e41dfc1,// invsqrt(33.7556) = 0.1721 +32'h3f7625b6,32'h3f7fda10,32'h3f8525ba, 32'h3f780505,32'h3f89103f, 32'h3f6af748,32'h3f8f971e,// invsqrt(0.9615) = 1.0198 +32'h3ec36092,32'h3fcb1085,32'h3fd35a56, 32'h3fc4d928,32'h3fd991b2, 32'h3fba7ce2,32'h3fe3edf8,// invsqrt(0.3816) = 1.6188 +32'h3f99306a,32'h3f6553f7,32'h3f6eb035, 32'h3f5e4ec8,32'h3f75b564, 32'h3f529b7a,32'h3f80b459,// invsqrt(1.1968) = 0.9141 +32'h40228732,32'h3f1d6e7b,32'h3f23db7a, 32'h3f189cba,32'h3f28ad3a, 32'h3f10947b,32'h3f30b579,// invsqrt(2.5395) = 0.6275 +32'h3f8401e6,32'h3f770ae8,32'h3f809020, 32'h3f6f7ae6,32'h3f845821, 32'h3f62e038,32'h3f8aa578,// invsqrt(1.0313) = 0.9847 +32'h3d43ad86,32'h408f7a5c,32'h4095558f, 32'h408b15f6,32'h4099b9f6, 32'h4083c3f7,32'h40a10bf5,// invsqrt(0.0478) = 4.5752 +32'h407249bc,32'h3f00f0d2,32'h3f06341f, 32'h3ef9fcb3,32'h3f0a2699, 32'h3eecd473,32'h3f10bab8,// invsqrt(3.7858) = 0.5140 +32'h3f5ce70e,32'h3f8709b3,32'h3f8c8cb5, 32'h3f82e771,32'h3f90aef7, 32'h3f78075e,32'h3f9792b9,// invsqrt(0.8629) = 1.0765 +32'h3e85de5b,32'h3ff551bd,32'h3fff5513, 32'h3fedcf3c,32'h40036bca, 32'h3fe14b11,32'h4009ade0,// invsqrt(0.2615) = 1.9557 +32'h3a8cf390,32'h41ef1380,32'h41f8d59a, 32'h41e7c1ec,32'h42001397, 32'h41db8f4c,32'h42062ce7,// invsqrt(0.0011) = 30.4944 +32'h3dcbd597,32'h4046ceac,32'h404eec02, 32'h4040b8ac,32'h40550202, 32'h40369402,32'h405f26ad,// invsqrt(0.0995) = 3.1698 +32'h401ab173,32'h3f215e80,32'h3f27f4a4, 32'h3f1c6de4,32'h3f2ce540, 32'h3f143237,32'h3f3520ed,// invsqrt(2.4171) = 0.6432 +32'h3eae9d7f,32'h3fd6cc41,32'h3fdf90ad, 32'h3fd038f1,32'h3fe623fd, 32'h3fc5436b,32'h3ff11983,// invsqrt(0.3410) = 1.7124 +32'h3f2a134b,32'h3f99e61a,32'h3fa02e30, 32'h3f95300a,32'h3fa4e440, 32'h3f8d55ee,32'h3facbe5c,// invsqrt(0.6644) = 1.2269 +32'h3e17d5b9,32'h4022e186,32'h40298776, 32'h401de511,32'h402e83eb, 32'h401595a5,32'h4036d357,// invsqrt(0.1483) = 2.5970 +32'h3e7cc19c,32'h3ffc7c14,32'h40036524, 32'h3ff4c16c,32'h40074278, 32'h3fe7dfa9,32'h400db359,// invsqrt(0.2468) = 2.0128 +32'h3f2da0ad,32'h3f9850fe,32'h3f9e888a, 32'h3f93a754,32'h3fa33234, 32'h3f8be1e4,32'h3faaf7a4,// invsqrt(0.6782) = 1.2143 +32'h3f96bcf3,32'h3f672f58,32'h3f709efe, 32'h3f601b9c,32'h3f77b2ba, 32'h3f54500d,32'h3f81bf24,// invsqrt(1.1776) = 0.9215 +32'h3f5052e1,32'h3f8b0e15,32'h3f90bb11, 32'h3f86cc58,32'h3f94fcce, 32'h3f7f683c,32'h3f9c1508,// invsqrt(0.8138) = 1.1085 +32'h3fc862de,32'h3f4882b0,32'h3f50b1d2, 32'h3f425f57,32'h3f56d52b, 32'h3f38246e,32'h3f611014,// invsqrt(1.5655) = 0.7992 +32'h400d75ee,32'h3f28bf71,32'h3f2fa2b0, 32'h3f239503,32'h3f34cd1f, 32'h3f1af8f6,32'h3f3d692c,// invsqrt(2.2103) = 0.6726 +32'h41b59626,32'h3e52a266,32'h3e5b3b50, 32'h3e4c2fb6,32'h3e61ae00, 32'h3e417092,32'h3e6c6d24,// invsqrt(22.6983) = 0.2099 +32'h40c9a3af,32'h3ec7e2ee,32'h3ed00b8a, 32'h3ec1c479,32'h3ed629ff, 32'h3eb791b6,32'h3ee05cc2,// invsqrt(6.3012) = 0.3984 +32'h3f5031be,32'h3f8b1926,32'h3f90c695, 32'h3f86d712,32'h3f9508a8, 32'h3f7f7c8e,32'h3f9c2173,// invsqrt(0.8133) = 1.1089 +32'h4041745d,32'h3f104cd2,32'h3f16309c, 32'h3f0be1fb,32'h3f1a9b73, 32'h3f04853e,32'h3f21f830,// invsqrt(3.0227) = 0.5752 +32'h3fa3481c,32'h3f5e20a3,32'h3f6731a5, 32'h3f5753e2,32'h3f6dfe66, 32'h3f4bfea0,32'h3f7953a8,// invsqrt(1.2756) = 0.8854 +32'h4125cb52,32'h3e9bdf87,32'h3ea23c3f, 32'h3e9719fe,32'h3ea701c8, 32'h3e8f2619,32'h3eaef5ad,// invsqrt(10.3621) = 0.3107 +32'h414e6cb1,32'h3e8bb177,32'h3e91651d, 32'h3e876aba,32'h3e95abda, 32'h3e804a29,32'h3e9ccc6b,// invsqrt(12.9015) = 0.2784 +32'h40c95c14,32'h3ec80675,32'h3ed03085, 32'h3ec1e6ea,32'h3ed65010, 32'h3eb7b257,32'h3ee084a3,// invsqrt(6.2925) = 0.3986 +32'h3ea585e3,32'h3fdc9e54,32'h3fe59f90, 32'h3fd5dd66,32'h3fec607e, 32'h3fca9bda,32'h3ff7a20a,// invsqrt(0.3233) = 1.7588 +32'h3f71cf69,32'h3f81116b,32'h3f86560d, 32'h3f7a3be5,32'h3f8a4985, 32'h3f6d1052,32'h3f90df4f,// invsqrt(0.9446) = 1.0289 +32'h400e0e85,32'h3f2864b8,32'h3f2f4442, 32'h3f233d10,32'h3f346bea, 32'h3f1aa5a4,32'h3f3d0356,// invsqrt(2.2196) = 0.6712 +32'h3f178f80,32'h3fa3073d,32'h3fa9aeb8, 32'h3f9e09a2,32'h3faeac54, 32'h3f95b848,32'h3fb6fdae,// invsqrt(0.5920) = 1.2997 +32'h3f1e5727,32'h3f9f7ff2,32'h3fa6028e, 32'h3f9a9dfd,32'h3faae483, 32'h3f927aba,32'h3fb307c6,// invsqrt(0.6185) = 1.2715 +32'h4119f608,32'h3ea1c09a,32'h3ea85abf, 32'h3e9cccfd,32'h3ead4e5b, 32'h3e948c4e,32'h3eb58f0a,// invsqrt(9.6226) = 0.3224 +32'h3fb7bbc4,32'h3f51666d,32'h3f59f272, 32'h3f4afd6a,32'h3f605b76, 32'h3f404e65,32'h3f6b0a7b,// invsqrt(1.4354) = 0.8347 +32'h3fa833b9,32'h3f5adabe,32'h3f63c98c, 32'h3f5427a3,32'h3f6a7ca7, 32'h3f48fd21,32'h3f75a729,// invsqrt(1.3141) = 0.8723 +32'h404a8409,32'h3f0d08f0,32'h3f12ca9b, 32'h3f08b7ae,32'h3f171bdc, 32'h3f018598,32'h3f1e4df2,// invsqrt(3.1643) = 0.5622 +32'h3f264887,32'h3f9ba4cd,32'h3fa1ff1f, 32'h3f96e110,32'h3fa6c2dc, 32'h3f8ef02a,32'h3faeb3c2,// invsqrt(0.6495) = 1.2408 +32'h3ebae615,32'h3fcf9e8d,32'h3fd817f7, 32'h3fc9437f,32'h3fde7305, 32'h3fbeabbb,32'h3fe90ac9,// invsqrt(0.3650) = 1.6551 +32'h3fa331aa,32'h3f5e2fe9,32'h3f67418a, 32'h3f5762b0,32'h3f6e0ec2, 32'h3f4c0ca6,32'h3f7964cc,// invsqrt(1.2750) = 0.8856 +32'h3f135c79,32'h3fa555b9,32'h3fac154d, 32'h3fa04609,32'h3fb124fd, 32'h3f97d690,32'h3fb99477,// invsqrt(0.5756) = 1.3180 +32'h3fca1642,32'h3f47aa3c,32'h3f4fd088, 32'h3f418d84,32'h3f55ed40, 32'h3f375da5,32'h3f601d1f,// invsqrt(1.5788) = 0.7959 +32'h40804069,32'h3efaa240,32'h3f026e8f, 32'h3ef2f619,32'h3f0644a2, 32'h3ee62c84,32'h3f0ca96d,// invsqrt(4.0079) = 0.4995 +32'h3d723065,32'h4080f791,32'h40863b23, 32'h407a09c5,32'h408a2dd2, 32'h406ce0d5,32'h4090c249,// invsqrt(0.0591) = 4.1125 +32'h3fa05eb2,32'h3f60228c,32'h3f694888, 32'h3f594610,32'h3f702504, 32'h3f4dd696,32'h3f7b947e,// invsqrt(1.2529) = 0.8934 +32'h3e90f957,32'h3febbc6d,32'h3ff55ba1, 32'h3fe48507,32'h3ffc9307, 32'h3fd87e06,32'h40044d04,// invsqrt(0.2832) = 1.8793 +32'h40819cd3,32'h3ef9507f,32'h3f01beca, 32'h3ef1aeaf,32'h3f058fb2, 32'h3ee4f655,32'h3f0bebdf,// invsqrt(4.0504) = 0.4969 +32'h3e0c555e,32'h40296c97,32'h403056e7, 32'h40243cdc,32'h403586a2, 32'h401b97f9,32'h403e2b85,// invsqrt(0.1370) = 2.7013 +32'h3f0d21d1,32'h3fa8f1b3,32'h3fafd6ff, 32'h3fa3c5bb,32'h3fb502f7, 32'h3f9b271d,32'h3fbda195,// invsqrt(0.5513) = 1.3468 +32'h407fc869,32'h3efafc89,32'h3f029d8b, 32'h3ef34d9f,32'h3f067500, 32'h3ee67f6e,32'h3f0cdc19,// invsqrt(3.9966) = 0.5002 +32'h3fe522b5,32'h3f3b8299,32'h3f4329e3, 32'h3f35c521,32'h3f48e75b, 32'h3f2c3405,32'h3f527877,// invsqrt(1.7901) = 0.7474 +32'h3e658e79,32'h400477d3,32'h4009dffb, 32'h400069b5,32'h400dee19, 32'h3ff34f06,32'h4014b04b,// invsqrt(0.2242) = 2.1121 +32'h3f892ea0,32'h3f7256a2,32'h3f7c3ad2, 32'h3f6aeb7e,32'h3f81d2fb, 32'h3f5e8e41,32'h3f88019a,// invsqrt(1.0717) = 0.9660 +32'h3ea043d0,32'h3fe03558,32'h3fe95c18, 32'h3fd95848,32'h3ff03928, 32'h3fcde7d9,32'h3ffba997,// invsqrt(0.3130) = 1.7874 +32'h4067c3ae,32'h3f03d5ea,32'h3f093776, 32'h3eff9982,32'h3f0d409f, 32'h3ef225a3,32'h3f13fa8e,// invsqrt(3.6213) = 0.5255 +32'h3fbd5aa6,32'h3f4e44d4,32'h3f56b020, 32'h3f47f45a,32'h3f5d009a, 32'h3f3d6e3b,32'h3f6786b9,// invsqrt(1.4793) = 0.8222 +32'h3f42d2ba,32'h3f8fcad7,32'h3f95a953, 32'h3f8b63fa,32'h3f9a1030, 32'h3f840de0,32'h3fa1664a,// invsqrt(0.7610) = 1.1463 +32'h3f95ae8c,32'h3f67ffcc,32'h3f7177f4, 32'h3f60e5ae,32'h3f789212, 32'h3f550f7d,32'h3f823422,// invsqrt(1.1694) = 0.9247 +32'h3f437a5d,32'h3f8f8d21,32'h3f956918, 32'h3f8b2828,32'h3f99ce12, 32'h3f83d534,32'h3fa12106,// invsqrt(0.7636) = 1.1444 +32'h3f314745,32'h3f96bd68,32'h3f9ce47c, 32'h3f922019,32'h3fa181cb, 32'h3f8a6f40,32'h3fa932a4,// invsqrt(0.6925) = 1.2017 +32'h3f494bba,32'h3f8d762e,32'h3f933c4f, 32'h3f892194,32'h3f9790e8, 32'h3f81e9eb,32'h3f9ec891,// invsqrt(0.7863) = 1.1277 +32'h3daf6af0,32'h40564e55,32'h405f0d9c, 32'h404fbedf,32'h40659d11, 32'h4044cfc6,32'h40708c2a,// invsqrt(0.0857) = 3.4169 +32'h3f3c7c00,32'h3f9230b3,32'h3f98283d, 32'h3f8db70c,32'h3f9ca1e4, 32'h3f86419f,32'h3fa41751,// invsqrt(0.7363) = 1.1654 +32'h3f475564,32'h3f8e27fd,32'h3f93f561, 32'h3f89cdf3,32'h3f984f6b, 32'h3f828d37,32'h3f9f9027,// invsqrt(0.7786) = 1.1333 +32'h412c4396,32'h3e98eb05,32'h3e9f28dc, 32'h3e943ca5,32'h3ea3d73d, 32'h3e8c6f59,32'h3eaba489,// invsqrt(10.7665) = 0.3048 +32'h3e26307d,32'h401bb00e,32'h40220ad6, 32'h4016ebf9,32'h4026ceeb, 32'h400efa80,32'h402ec064,// invsqrt(0.1623) = 2.4823 +32'h3f03568e,32'h3faf214b,32'h3fb64739, 32'h3fa9c4d8,32'h3fbba3ac, 32'h3fa0d56f,32'h3fc49315,// invsqrt(0.5130) = 1.3961 +32'h3fdabcd3,32'h3f3fea49,32'h3f47bf9a, 32'h3f3a0a4b,32'h3f4d9f97, 32'h3f303fa6,32'h3f576a3c,// invsqrt(1.7089) = 0.7650 +32'h3d303b85,32'h40972fbf,32'h409d5b7d, 32'h40928ef0,32'h40a1fc4c, 32'h408ad841,32'h40a9b2fb,// invsqrt(0.0430) = 4.8210 +32'h3ed55f85,32'h3fc2500d,32'h3fca3e6b, 32'h3fbc5d46,32'h3fd03132, 32'h3fb27350,32'h3fda1b28,// invsqrt(0.4167) = 1.5490 +32'h3f3511da,32'h3f952744,32'h3f9b3dc4, 32'h3f909664,32'h3f9fcea4, 32'h3f88fa44,32'h3fa76ac4,// invsqrt(0.7073) = 1.1890 +32'h3f9092c6,32'h3f6c0ffd,32'h3f75b29a, 32'h3f64d608,32'h3f7cec90, 32'h3f58cac4,32'h3f847bea,// invsqrt(1.1295) = 0.9409 +32'h3f01b87a,32'h3fb037f1,32'h3fb7693e, 32'h3faad2f6,32'h3fbcce38, 32'h3fa1d555,32'h3fc5cbd9,// invsqrt(0.5067) = 1.4048 +32'h3f6da3ac,32'h3f82321e,32'h3f878287, 32'h3f7c6b9c,32'h3f8b7ed6, 32'h3f6f2294,32'h3f92235a,// invsqrt(0.9283) = 1.0379 +32'h3f1ec705,32'h3f9f47b8,32'h3fa5c808, 32'h3f9a677b,32'h3faaa845, 32'h3f924716,32'h3fb2c8aa,// invsqrt(0.6202) = 1.2698 +32'h3e9d5a60,32'h3fe245fd,32'h3feb8250, 32'h3fdb58be,32'h3ff26f8e, 32'h3fcfcd55,32'h3ffdfaf7,// invsqrt(0.3073) = 1.8038 +32'h3f4b4d40,32'h3f8cc313,32'h3f9281e5, 32'h3f8873f6,32'h3f96d102, 32'h3f814570,32'h3f9dff88,// invsqrt(0.7941) = 1.1221 +32'h3fb25784,32'h3f548ab4,32'h3f5d378c, 32'h3f4e0912,32'h3f63b92e, 32'h3f433103,32'h3f6e913d,// invsqrt(1.3933) = 0.8472 +32'h3f407813,32'h3f90ab46,32'h3f9692ea, 32'h3f8c3d8a,32'h3f9b00a6, 32'h3f84dbfc,32'h3fa26234,// invsqrt(0.7518) = 1.1533 +32'h3f3b7b15,32'h3f9294bc,32'h3f98905b, 32'h3f8e1804,32'h3f9d0d12, 32'h3f869d7d,32'h3fa48799,// invsqrt(0.7323) = 1.1685 +32'h3e10d2c3,32'h4026c6fa,32'h402d95a1, 32'h4021abfd,32'h4032b09f, 32'h401929ad,32'h403b32ef,// invsqrt(0.1414) = 2.6591 +32'h400c04a0,32'h3f299d6a,32'h3f3089b8, 32'h3f246c30,32'h3f35baf2, 32'h3f1bc4cf,32'h3f3e6253,// invsqrt(2.1878) = 0.6761 +32'h3f721998,32'h3f80fda3,32'h3f864176, 32'h3f7a158c,32'h3f8a3454, 32'h3f6cebfe,32'h3f90c91b,// invsqrt(0.9457) = 1.0283 +32'h3f2ba34b,32'h3f99325d,32'h3f9f731c, 32'h3f9481cc,32'h3fa423ac, 32'h3f8cb0dc,32'h3fabf49c,// invsqrt(0.6705) = 1.2213 +32'h3f2a6ea6,32'h3f99bcd5,32'h3fa0033c, 32'h3f950809,32'h3fa4b809, 32'h3f8d3008,32'h3fac900a,// invsqrt(0.6658) = 1.2256 +32'h3eb9528c,32'h3fd0801e,32'h3fd902bc, 32'h3fca1e28,32'h3fdf64b2, 32'h3fbf7ae2,32'h3fea07f8,// invsqrt(0.3620) = 1.6622 +32'h4051acb9,32'h3f0a9b37,32'h3f104383, 32'h3f065cff,32'h3f1481bb, 32'h3efe9541,32'h3f1b941a,// invsqrt(3.2762) = 0.5525 +32'h3fda6c00,32'h3f400dc7,32'h3f47e48c, 32'h3f3a2cb5,32'h3f4dc59f, 32'h3f30603f,32'h3f579215,// invsqrt(1.7064) = 0.7655 +32'h3f81dd6a,32'h3f791277,32'h3f819e82, 32'h3f71728d,32'h3f856e76, 32'h3f64bd5d,32'h3f8bc90e,// invsqrt(1.0146) = 0.9928 +32'h3e899b0e,32'h3ff1f715,32'h3ffbd75f, 32'h3fea8ede,32'h40019fcb, 32'h3fde3681,32'h4007cbfa,// invsqrt(0.2688) = 1.9289 +32'h3f73b062,32'h3f8091cd,32'h3f85d139, 32'h3f794479,32'h3f89c0ca, 32'h3f6c25ec,32'h3f905010,// invsqrt(0.9519) = 1.0249 +32'h40561323,32'h3f092caa,32'h3f0ec600, 32'h3f04f9aa,32'h3f12f900, 32'h3efbf3ff,32'h3f19f8aa,// invsqrt(3.3449) = 0.5468 +32'h402800a2,32'h3f1ad869,32'h3f212a63, 32'h3f161aee,32'h3f25e7de, 32'h3f0e3475,32'h3f2dce57,// invsqrt(2.6250) = 0.6172 +32'h3fb28c4c,32'h3f546b48,32'h3f5d16d8, 32'h3f4dea9c,32'h3f639784, 32'h3f431428,32'h3f6e6df8,// invsqrt(1.3949) = 0.8467 +32'h3dc266b4,32'h404b92db,32'h4053e1ff, 32'h40455781,32'h405a1d59, 32'h403af495,32'h40648045,// invsqrt(0.0949) = 3.2458 +32'h3ea51f91,32'h3fdce2a4,32'h3fe5e6aa, 32'h3fd61f9f,32'h3feca9af, 32'h3fcada96,32'h3ff7eeb8,// invsqrt(0.3225) = 1.7609 +32'h402a9efd,32'h3f19a70c,32'h3f1fec90, 32'h3f14f2ea,32'h3f24a0b2, 32'h3f0d1c06,32'h3f2c7796,// invsqrt(2.6660) = 0.6125 +32'h3ff0f4aa,32'h3f36da67,32'h3f3e5107, 32'h3f31416e,32'h3f43ea00, 32'h3f27ed25,32'h3f4d3e49,// invsqrt(1.8825) = 0.7288 +32'h3f69829d,32'h3f835783,32'h3f88b3e6, 32'h3f7ea470,32'h3f8cb930, 32'h3f713d77,32'h3f936cac,// invsqrt(0.9121) = 1.0470 +32'h3ea4b01a,32'h3fdd2d57,32'h3fe6346b, 32'h3fd66809,32'h3fecf9b9, 32'h3fcb1f31,32'h3ff84291,// invsqrt(0.3217) = 1.7632 +32'h3f8c1819,32'h3f6fce7b,32'h3f799836, 32'h3f68772d,32'h3f8077c1, 32'h3f5c3b02,32'h3f8695d7,// invsqrt(1.0945) = 0.9559 +32'h3ff66e8e,32'h3f34cf60,32'h3f3c30a8, 32'h3f2f466a,32'h3f41b99e, 32'h3f260cd0,32'h3f4af338,// invsqrt(1.9252) = 0.7207 +32'h3f47d80c,32'h3f8df97d,32'h3f93c4fb, 32'h3f89a0df,32'h3f981d99, 32'h3f826283,32'h3f9f5bf5,// invsqrt(0.7806) = 1.1318 +32'h40f9ba53,32'h3eb39ce8,32'h3ebaf1ae, 32'h3eae1d54,32'h3ec07142, 32'h3ea4f35d,32'h3ec99b39,// invsqrt(7.8040) = 0.3580 +32'h3f8ad1a4,32'h3f70e7cf,32'h3f7abd06, 32'h3f6987e5,32'h3f810e78, 32'h3f5d3d5f,32'h3f8733ba,// invsqrt(1.0845) = 0.9602 +32'h404c20df,32'h3f0c7a09,32'h3f1235e0, 32'h3f082d29,32'h3f1682c1, 32'h3f01025c,32'h3f1dad8e,// invsqrt(3.1895) = 0.5599 +32'h3f97e340,32'h3f664ef2,32'h3f6fb56e, 32'h3f5f4214,32'h3f76c24c, 32'h3f5381f8,32'h3f814134,// invsqrt(1.1866) = 0.9180 +32'h3ea3a137,32'h3fdde420,32'h3fe6f2a9, 32'h3fd71939,32'h3fedbd8f, 32'h3fcbc70d,32'h3ff90fbb,// invsqrt(0.3196) = 1.7689 +32'h3ea1703c,32'h3fdf6459,32'h3fe88291, 32'h3fd88daf,32'h3fef593b, 32'h3fcd27e9,32'h3ffabf01,// invsqrt(0.3153) = 1.7809 +32'h3e8a968f,32'h3ff11b23,32'h3ffaf273, 32'h3fe9b9a7,32'h400129f7, 32'h3fdd6c84,32'h40075089,// invsqrt(0.2707) = 1.9221 +32'h402e5847,32'h3f1800b5,32'h3f1e34fb, 32'h3f135980,32'h3f22dc30, 32'h3f0b9829,32'h3f2a9d87,// invsqrt(2.7241) = 0.6059 +32'h3f525c81,32'h3f8a6142,32'h3f900730, 32'h3f8624d0,32'h3f9443a2, 32'h3f7e2acd,32'h3f9b530c,// invsqrt(0.8217) = 1.1032 +32'h4119fecd,32'h3ea1bbfe,32'h3ea855f4, 32'h3e9cc886,32'h3ead496c, 32'h3e948813,32'h3eb589df,// invsqrt(9.6247) = 0.3223 +32'h40219bf2,32'h3f1de0e7,32'h3f245292, 32'h3f190ba6,32'h3f2927d2, 32'h3f10fd90,32'h3f3135e8,// invsqrt(2.5251) = 0.6293 +32'h3ee32938,32'h3fbc52c6,32'h3fc40290, 32'h3fb68eef,32'h3fc9c667, 32'h3facf334,32'h3fd36223,// invsqrt(0.4437) = 1.5013 +32'h3eaaba1d,32'h3fd93ae5,32'h3fe218bb, 32'h3fd29486,32'h3fe8bf1a, 32'h3fc77f3b,32'h3ff3d465,// invsqrt(0.3335) = 1.7317 +32'h3f5f1c37,32'h3f865e3e,32'h3f8bda41, 32'h3f82413d,32'h3f8ff743, 32'h3f76cc73,32'h3f96d246,// invsqrt(0.8715) = 1.0712 +32'h3fa68ca3,32'h3f5bf008,32'h3f64ea28, 32'h3f553470,32'h3f6ba5c0, 32'h3f49fbc9,32'h3f76de67,// invsqrt(1.3012) = 0.8767 +32'h3d584f92,32'h408876b0,32'h408e0898, 32'h40844942,32'h40923606, 32'h407aa5c1,32'h40992c68,// invsqrt(0.0528) = 4.3515 +32'h3f10ffda,32'h3fa6ad0a,32'h3fad7aa2, 32'h3fa192d8,32'h3fb294d4, 32'h3f9911da,32'h3fbb15d2,// invsqrt(0.5664) = 1.3287 +32'h3f3a026e,32'h3f9328d9,32'h3f992a83, 32'h3f8ea798,32'h3f9dabc4, 32'h3f872583,32'h3fa52dd9,// invsqrt(0.7266) = 1.1731 +32'h3fa78341,32'h3f5b4de6,32'h3f644168, 32'h3f549745,32'h3f6af809, 32'h3f4966e3,32'h3f76286b,// invsqrt(1.3087) = 0.8741 +32'h419fc736,32'h3e608cb3,32'h3e69b704, 32'h3e59acf7,32'h3e7096c1, 32'h3e4e3813,32'h3e7c0ba5,// invsqrt(19.9723) = 0.2238 +32'h4153a113,32'h3e89f6fc,32'h3e8f9894, 32'h3e85bdcb,32'h3e93d1c5, 32'h3e7d679b,32'h3e9adbc2,// invsqrt(13.2268) = 0.2750 +32'h3f78d87f,32'h3f7e75fa,32'h3f846c6b, 32'h3f76abd6,32'h3f88517d, 32'h3f69b044,32'h3f8ecf46,// invsqrt(0.9721) = 1.0143 +32'h3e436e0e,32'h400f91a7,32'h40156dcd, 32'h400b2c8a,32'h4019d2ea, 32'h4003d95b,32'h40212619,// invsqrt(0.1908) = 2.2890 +32'h3f14c809,32'h3fa48b3c,32'h3fab428c, 32'h3f9f81bf,32'h3fb04c09, 32'h3f971c9a,32'h3fb8b12e,// invsqrt(0.5812) = 1.3117 +32'h4063ea73,32'h3f04f1ab,32'h3f0a5ecd, 32'h3f00dfd3,32'h3f0e70a5, 32'h3ef42ed2,32'h3f15390f,// invsqrt(3.5612) = 0.5299 +32'h3f295f95,32'h3f9a37aa,32'h3fa08314, 32'h3f957f1a,32'h3fa53ba4, 32'h3f8da0d6,32'h3fad19e8,// invsqrt(0.6616) = 1.2294 +32'h3f63b2e0,32'h3f8501e3,32'h3f8a6fae, 32'h3f80ef8c,32'h3f8e8206, 32'h3f744c9d,32'h3f954b44,// invsqrt(0.8894) = 1.0603 +32'h3f826f60,32'h3f7886f4,32'h3f8155e7, 32'h3f70eb4f,32'h3f8523b9, 32'h3f643d3e,32'h3f8b7ac2,// invsqrt(1.0190) = 0.9906 +32'h3f858a08,32'h3f759f25,32'h3f7fa5a4, 32'h3f6e1a46,32'h3f839542, 32'h3f619228,32'h3f89d951,// invsqrt(1.0433) = 0.9790 +32'h40ff62be,32'h3eb19cb7,32'h3eb8dc95, 32'h3eac2cd1,32'h3ebe4c7b, 32'h3ea31cfc,32'h3ec75c50,// invsqrt(7.9808) = 0.3540 +32'h3f2973de,32'h3f9a2e6e,32'h3fa07978, 32'h3f957627,32'h3fa531bf, 32'h3f8d985b,32'h3fad0f8b,// invsqrt(0.6619) = 1.2291 +32'h3eeae49a,32'h3fb932a8,32'h3fc0c1ca, 32'h3fb38750,32'h3fc66d22, 32'h3faa1466,32'h3fcfe00c,// invsqrt(0.4588) = 1.4764 +32'h3f8e1f0b,32'h3f6e1717,32'h3f77cee3, 32'h3f66cd3d,32'h3f7f18bd, 32'h3f5aa77d,32'h3f859f3e,// invsqrt(1.1103) = 0.9490 +32'h3f803472,32'h3f7aadf2,32'h3f8274a5, 32'h3f730170,32'h3f864ae6, 32'h3f663741,32'h3f8caffd,// invsqrt(1.0016) = 0.9992 +32'h3fd54824,32'h3f425ab3,32'h3f4a4981, 32'h3f3c6799,32'h3f503c9b, 32'h3f327d17,32'h3f5a271d,// invsqrt(1.6663) = 0.7747 +32'h3f22d532,32'h3f9d48c1,32'h3fa3b437, 32'h3f987829,32'h3fa884cf, 32'h3f9071d6,32'h3fb08b22,// invsqrt(0.6361) = 1.2539 +32'h40004974,32'h3f313351,32'h3f386ee1, 32'h3f2bc6a5,32'h3f3ddb8d, 32'h3f22bc30,32'h3f46e602,// invsqrt(2.0045) = 0.7063 +32'h3fdfbc6d,32'h3f3dc261,32'h3f45812b, 32'h3f37f349,32'h3f4b5043, 32'h3f2e44cc,32'h3f54fec0,// invsqrt(1.7479) = 0.7564 +32'h3fabc457,32'h3f58924b,32'h3f61693f, 32'h3f51f115,32'h3f680a75, 32'h3f46e464,32'h3f731726,// invsqrt(1.3419) = 0.8632 +32'h40d94d5a,32'h3ec08c4a,32'h3ec86838, 32'h3ebaa757,32'h3ece4d2b, 32'h3eb0d46e,32'h3ed82014,// invsqrt(6.7907) = 0.3837 +32'h3fa3195a,32'h3f5e4078,32'h3f6752c6, 32'h3f5772bd,32'h3f6e2081, 32'h3f4c1bdc,32'h3f797762,// invsqrt(1.2742) = 0.8859 +32'h40a2b5a2,32'h3ede8488,32'h3ee7999e, 32'h3ed7b4b8,32'h3eee696e, 32'h3ecc5a5e,32'h3ef9c3c8,// invsqrt(5.0847) = 0.4435 +32'h400903b2,32'h3f2b76c7,32'h3f327666, 32'h3f26370e,32'h3f37b61e, 32'h3f1d7787,32'h3f4075a5,// invsqrt(2.1409) = 0.6835 +32'h406c9ee4,32'h3f0279c9,32'h3f07cd1f, 32'h3efcf690,32'h3f0bcba0, 32'h3eefa637,32'h3f1273cc,// invsqrt(3.6972) = 0.5201 +32'h3ff51001,32'h3f355084,32'h3f3cb712, 32'h3f2fc39a,32'h3f4243fc, 32'h3f26836a,32'h3f4b842c,// invsqrt(1.9146) = 0.7227 +32'h3f00fd86,32'h3fb0b776,32'h3fb7edf8, 32'h3fab4e94,32'h3fbd56da, 32'h3fa24a72,32'h3fc65afd,// invsqrt(0.5039) = 1.4088 +32'h41035e9c,32'h3eaf1bec,32'h3eb641a2, 32'h3ea9bfa3,32'h3ebb9deb, 32'h3ea0d080,32'h3ec48d0e,// invsqrt(8.2106) = 0.3490 +32'h3e11548a,32'h40267c73,32'h402d480f, 32'h402163be,32'h403260c4, 32'h4018e53a,32'h403adf48,// invsqrt(0.1419) = 2.6544 +32'h3fc2f85e,32'h3f4b46c1,32'h3f5392c9, 32'h3f450dbb,32'h3f59cbcf, 32'h3f3aaeb1,32'h3f642ad9,// invsqrt(1.5232) = 0.8103 +32'h40ee20ab,32'h3eb7ef8d,32'h3ebf717e, 32'h3eb24e19,32'h3ec512f3, 32'h3ea8ebac,32'h3ece7560,// invsqrt(7.4415) = 0.3666 +32'h3e538c36,32'h4009fdc9,32'h400f9fa8, 32'h4005c463,32'h4013d90f, 32'h3ffd741a,32'h401ae365,// invsqrt(0.2066) = 2.2001 +32'h3f4fc9d2,32'h3f8b3bea,32'h3f90eac4, 32'h3f86f8c6,32'h3f952de8, 32'h3f7fbc69,32'h3f9c4879,// invsqrt(0.8117) = 1.1100 +32'h3dfc7acd,32'h4032a1a3,32'h4039ec27, 32'h402d29c0,32'h403f640a, 32'h40240c9b,32'h4048812f,// invsqrt(0.1233) = 2.8481 +32'h3f23b3e0,32'h3f9cdda3,32'h3fa344b9, 32'h3f981052,32'h3fa8120a, 32'h3f900f76,32'h3fb012e6,// invsqrt(0.6395) = 1.2505 +32'h3f44034e,32'h3f8f5af4,32'h3f9534de, 32'h3f8af784,32'h3f99984e, 32'h3f83a71e,32'h3fa0e8b4,// invsqrt(0.7657) = 1.1428 +32'h3e8dda1c,32'h3fee50e9,32'h3ff80b12, 32'h3fe7054b,32'h3fff56b1, 32'h3fdadc98,32'h4005bfb2,// invsqrt(0.2771) = 1.8998 +32'h3f383f4e,32'h3f93dc94,32'h3f99e594, 32'h3f8f55d3,32'h3f9e6c55, 32'h3f87ca92,32'h3fa5f796,// invsqrt(0.7197) = 1.1787 +32'h40e07e77,32'h3ebd704d,32'h3ec52bbe, 32'h3eb7a3b9,32'h3ecaf853, 32'h3eadf96c,32'h3ed4a2a0,// invsqrt(7.0154) = 0.3775 +32'h3c28c86e,32'h411a7ca8,32'h4120cae4, 32'h4115c1fc,32'h41258590, 32'h410de032,32'h412d675a,// invsqrt(0.0103) = 9.8525 +32'h4001c9a2,32'h3f302c4b,32'h3f375d1f, 32'h3f2ac7ac,32'h3f3cc1be, 32'h3f21caa3,32'h3f45bec7,// invsqrt(2.0279) = 0.7022 +32'h3d9cf84c,32'h40628ca2,32'h406bcbd8, 32'h405b9d3a,32'h4072bb40, 32'h40500e37,32'h407e4a43,// invsqrt(0.0766) = 3.6121 +32'h3eb7d826,32'h3fd15643,32'h3fd9e19f, 32'h3fcaedbf,32'h3fe04a23, 32'h3fc03f8c,32'h3feaf856,// invsqrt(0.3591) = 1.6688 +32'h3f6fbb60,32'h3f81a054,32'h3f86eacb, 32'h3f7b50f8,32'h3f8ae2a4, 32'h3f6e16d0,32'h3f917fb8,// invsqrt(0.9365) = 1.0334 +32'h406afda1,32'h3f02ed6c,32'h3f08457b, 32'h3efdd6c3,32'h3f0c4787, 32'h3ef07a9e,32'h3f12f599,// invsqrt(3.6717) = 0.5219 +32'h40a29d13,32'h3ede9555,32'h3ee7ab19, 32'h3ed7c501,32'h3eee7b6d, 32'h3ecc69cb,32'h3ef9d6a3,// invsqrt(5.0817) = 0.4436 +32'h40eb9652,32'h3eb8ecc1,32'h3ec07907, 32'h3eb3438c,32'h3ec6223c, 32'h3ea9d434,32'h3ecf9194,// invsqrt(7.3621) = 0.3686 +32'h3e7e5766,32'h3ffbb25a,32'h4002fc29, 32'h3ff3fdde,32'h4006d667, 32'h3fe72667,32'h400d4222,// invsqrt(0.2484) = 2.0065 +32'h40855401,32'h3ef5d0e4,32'h3effd96b, 32'h3eee4a80,32'h3f03afe8, 32'h3ee1bfd7,32'h3f09f53c,// invsqrt(4.1665) = 0.4899 +32'h3fbc1bb2,32'h3f4ef36a,32'h3f5765d6, 32'h3f489d98,32'h3f5dbba8, 32'h3f3e0e90,32'h3f684ab0,// invsqrt(1.4696) = 0.8249 +32'h3f5432b5,32'h3f89c79c,32'h3f8f6744, 32'h3f858fde,32'h3f939f02, 32'h3f7d1096,32'h3f9aa695,// invsqrt(0.8289) = 1.0984 +32'h40df4f3a,32'h3ebdf0c1,32'h3ec5b170, 32'h3eb8203d,32'h3ecb81f3, 32'h3eae6f62,32'h3ed532ce,// invsqrt(6.9784) = 0.3785 +32'h3f417c56,32'h3f9049d9,32'h3f962d83, 32'h3f8bdf18,32'h3f9a9844, 32'h3f848283,32'h3fa1f4d9,// invsqrt(0.7558) = 1.1503 +32'h3eef533d,32'h3fb77998,32'h3fbef6b9, 32'h3fb1dbc1,32'h3fc49491, 32'h3fa87f58,32'h3fcdf0fa,// invsqrt(0.4674) = 1.4627 +32'h42205367,32'h3e1e8258,32'h3e24fa9a, 32'h3e19a826,32'h3e29d4cc, 32'h3e1191d3,32'h3e31eb1f,// invsqrt(40.0814) = 0.1580 +32'h3de4b318,32'h403bb055,32'h4043597d, 32'h4035f177,32'h4049185b, 32'h402c5e05,32'h4052abcd,// invsqrt(0.1117) = 2.9925 +32'h3f6ed0fc,32'h3f81dfe1,32'h3f872cf0, 32'h3f7bcc2e,32'h3f8b26bb, 32'h3f6e8b8a,32'h3f91c70d,// invsqrt(0.9329) = 1.0354 +32'h3fff2e04,32'h3f31af10,32'h3f38efae, 32'h3f2c3e9a,32'h3f3e6024, 32'h3f232dd6,32'h3f4770e9,// invsqrt(1.9936) = 0.7082 +32'h3f3cdc57,32'h3f920b65,32'h3f980169, 32'h3f8d92e2,32'h3f9c79ec, 32'h3f861f5d,32'h3fa3ed71,// invsqrt(0.7377) = 1.1643 +32'h3fbc5cb8,32'h3f4ecfae,32'h3f5740a6, 32'h3f487af5,32'h3f5d955f, 32'h3f3dedbf,32'h3f682295,// invsqrt(1.4716) = 0.8243 +32'h3ecbf905,32'h3fc6bd67,32'h3fceda08, 32'h3fc0a7ef,32'h3fd4ef81, 32'h3fb68426,32'h3fdf134a,// invsqrt(0.3984) = 1.5843 +32'h40cfe555,32'h3ec4db13,32'h3ecce405, 32'h3ebed45f,32'h3ed2eab9, 32'h3eb4c931,32'h3edcf5e7,// invsqrt(6.4967) = 0.3923 +32'h3ec436fa,32'h3fcaa174,32'h3fd2e6bc, 32'h3fc46d7d,32'h3fd91ab3, 32'h3fba16e2,32'h3fe3714e,// invsqrt(0.3832) = 1.6154 +32'h3efe1604,32'h3fb210dc,32'h3fb95578, 32'h3fac9d68,32'h3fbec8ec, 32'h3fa387a6,32'h3fc7deae,// invsqrt(0.4963) = 1.4195 +32'h4042d5d1,32'h3f0fc9b3,32'h3f15a823, 32'h3f0b62df,32'h3f1a0ef7, 32'h3f040cd4,32'h3f216502,// invsqrt(3.0443) = 0.5731 +32'h3fc2c372,32'h3f4b625d,32'h3f53af85, 32'h3f45287f,32'h3f59e963, 32'h3f3ac80c,32'h3f6449d6,// invsqrt(1.5216) = 0.8107 +32'h3e84f6aa,32'h3ff6271d,32'h40001994, 32'h3fee9e14,32'h4003de19, 32'h3fe20f06,32'h400a25a0,// invsqrt(0.2597) = 1.9623 +32'h4103708f,32'h3eaf0ff7,32'h3eb63530, 32'h3ea9b40c,32'h3ebb911a, 32'h3ea0c584,32'h3ec47fa2,// invsqrt(8.2150) = 0.3489 +32'h3e3d2e98,32'h4011eba2,32'h4017e05a, 32'h400d7418,32'h401c57e4, 32'h40060231,32'h4023c9cb,// invsqrt(0.1847) = 2.3265 +32'h3f794be9,32'h3f7e3b0c,32'h3f844dc0, 32'h3f7672b6,32'h3f8831eb, 32'h3f697a25,32'h3f8eae33,// invsqrt(0.9738) = 1.0134 +32'h3fc195ca,32'h3f4c0096,32'h3f545434, 32'h3f45c1e0,32'h3f5a92ea, 32'h3f3b595b,32'h3f64fb6f,// invsqrt(1.5124) = 0.8131 +32'h3f5ce26b,32'h3f870b1e,32'h3f8c8e2f, 32'h3f82e8d2,32'h3f90b07c, 32'h3f7809f9,32'h3f979451,// invsqrt(0.8628) = 1.0766 +32'h3e08d3c0,32'h402b94ce,32'h403295a8, 32'h4026542b,32'h4037d64b, 32'h401d931c,32'h4040975b,// invsqrt(0.1336) = 2.7357 +32'h3f163a09,32'h3fa3c01d,32'h3faa6f23, 32'h3f9ebcd8,32'h3faf7268, 32'h3f966210,32'h3fb7cd30,// invsqrt(0.5868) = 1.3054 +32'h420b55a2,32'h3e2a07cb,32'h3e30f871, 32'h3e24d350,32'h3e362cec, 32'h3e1c2681,32'h3e3ed9bb,// invsqrt(34.8336) = 0.1694 +32'h3f960ccd,32'h3f67b6e3,32'h3f712c11, 32'h3f609f01,32'h3f7843f3, 32'h3f54cc87,32'h3f820b36,// invsqrt(1.1723) = 0.9236 +32'h4048178a,32'h3f0de2f5,32'h3f13ad87, 32'h3f098b08,32'h3f180574, 32'h3f024dd1,32'h3f1f42ab,// invsqrt(3.1264) = 0.5656 +32'h3fa26fdd,32'h3f5eb44d,32'h3f67cb55, 32'h3f57e307,32'h3f6e9c9b, 32'h3f4c863c,32'h3f79f966,// invsqrt(1.2690) = 0.8877 +32'h3f10d0b6,32'h3fa6c829,32'h3fad96dc, 32'h3fa1ad22,32'h3fb2b1e2, 32'h3f992ac2,32'h3fbb3442,// invsqrt(0.5657) = 1.3296 +32'h3f156090,32'h3fa43724,32'h3faaeb06, 32'h3f9f303a,32'h3faff1f0, 32'h3f96cf60,32'h3fb852ca,// invsqrt(0.5835) = 1.3091 +32'h3f310619,32'h3f96d925,32'h3f9d015a, 32'h3f923afc,32'h3fa19f82, 32'h3f8a88b9,32'h3fa951c5,// invsqrt(0.6915) = 1.2026 +32'h3f98234e,32'h3f661e71,32'h3f6f82f3, 32'h3f5f1310,32'h3f768e54, 32'h3f53556d,32'h3f8125fc,// invsqrt(1.1886) = 0.9172 +32'h3f5c87d5,32'h3f8726d8,32'h3f8cab0a, 32'h3f8303b2,32'h3f90ce30, 32'h3f783ce5,32'h3f97b370,// invsqrt(0.8614) = 1.0774 +32'h3f95deff,32'h3f67da49,32'h3f7150e9, 32'h3f60c151,32'h3f7869e1, 32'h3f54ed0a,32'h3f821f14,// invsqrt(1.1709) = 0.9242 +32'h40409e8f,32'h3f109cd2,32'h3f1683df, 32'h3f0c2f87,32'h3f1af129, 32'h3f04ceb6,32'h3f2251fa,// invsqrt(3.0097) = 0.5764 +32'h3ea52a66,32'h3fdcdb65,32'h3fe5df20, 32'h3fd61899,32'h3feca1ed, 32'h3fcad3f0,32'h3ff7e697,// invsqrt(0.3226) = 1.7607 +32'h3df9f2e9,32'h40338892,32'h403adc83, 32'h402e099d,32'h40405b77, 32'h4024e0af,32'h40498465,// invsqrt(0.1220) = 2.8625 +32'h3d29b537,32'h409a10bc,32'h40a05a90, 32'h4095595e,32'h40a511ee, 32'h408d7d15,32'h40acee37,// invsqrt(0.0414) = 4.9128 +32'h3e48f41e,32'h400d9500,32'h40135c64, 32'h40093f76,32'h4017b1ee, 32'h4002063a,32'h401eeb2a,// invsqrt(0.1962) = 2.2574 +32'h3f72211d,32'h3f80fba3,32'h3f863f60, 32'h3f7a11a8,32'h3f8a322e, 32'h3f6ce84f,32'h3f90c6db,// invsqrt(0.9458) = 1.0282 +32'h406a82bd,32'h3f030fb6,32'h3f08692b, 32'h3efe193b,32'h3f0c6c42, 32'h3ef0b996,32'h3f131c15,// invsqrt(3.6642) = 0.5224 +32'h3ec9f23d,32'h3fc7bc0a,32'h3fcfe310, 32'h3fc19ec6,32'h3fd60054, 32'h3fb76dff,32'h3fe0311b,// invsqrt(0.3944) = 1.5923 +32'h400ef811,32'h3f27daf5,32'h3f2eb4e0, 32'h3f22b786,32'h3f33d850, 32'h3f1a2720,32'h3f3c68b6,// invsqrt(2.2339) = 0.6691 +32'h40aa5648,32'h3ed97a84,32'h3ee25af2, 32'h3ed2d232,32'h3ee90344, 32'h3ec7b9a8,32'h3ef41bce,// invsqrt(5.3230) = 0.4334 +32'h3fd35cbd,32'h3f433c1e,32'h3f4b3420, 32'h3f3d421d,32'h3f512e21, 32'h3f334c1c,32'h3f5b2422,// invsqrt(1.6513) = 0.7782 +32'h3fa771ab,32'h3f5b596a,32'h3f644d64, 32'h3f54a26f,32'h3f6b045f, 32'h3f497176,32'h3f763558,// invsqrt(1.3082) = 0.8743 +32'h3faede3b,32'h3f56a47c,32'h3f5f6748, 32'h3f501264,32'h3f65f960, 32'h3f451ee5,32'h3f70ecdf,// invsqrt(1.3662) = 0.8556 +32'h3ead4016,32'h3fd7a46f,32'h3fe071ad, 32'h3fd10a80,32'h3fe70b9c, 32'h3fc609f3,32'h3ff20c29,// invsqrt(0.3384) = 1.7191 +32'h3dc545f1,32'h404a161b,32'h405255b3, 32'h4043e668,32'h40588566, 32'h403996ea,32'h4062d4e5,// invsqrt(0.0963) = 3.2220 +32'h3e8081e0,32'h3ffa6261,32'h40024d52, 32'h3ff2b830,32'h4006226b, 32'h3fe5f1dc,32'h400c8595,// invsqrt(0.2510) = 1.9960 +32'h3f662ac2,32'h3f844ad2,32'h3f89b124, 32'h3f803e15,32'h3f8dbde1, 32'h3f72fc5d,32'h3f947dc7,// invsqrt(0.8991) = 1.0546 +32'h4033c6ad,32'h3f15b067,32'h3f1bcc80, 32'h3f111b54,32'h3f206192, 32'h3f097834,32'h3f2804b2,// invsqrt(2.8090) = 0.5967 +32'h3f19b59b,32'h3fa1e27c,32'h3fa87e04, 32'h3f9cedd6,32'h3fad72aa, 32'h3f94ab6d,32'h3fb5b513,// invsqrt(0.6004) = 1.2905 +32'h3f26b344,32'h3f9b72f1,32'h3fa1cb39, 32'h3f96b0ba,32'h3fa68d70, 32'h3f8ec260,32'h3fae7bca,// invsqrt(0.6512) = 1.2392 +32'h3fe2f4fa,32'h3f3c6871,32'h3f44191d, 32'h3f36a3f0,32'h3f49dd9e, 32'h3f2d071a,32'h3f537a75,// invsqrt(1.7731) = 0.7510 +32'h3f8a869a,32'h3f712906,32'h3f7b00e6, 32'h3f69c71d,32'h3f813168, 32'h3f5d7944,32'h3f875854,// invsqrt(1.0822) = 0.9613 +32'h3e935007,32'h3fe9db7d,32'h3ff3670f, 32'h3fe2b2cf,32'h3ffa8fbd, 32'h3fd6c459,32'h40033f1a,// invsqrt(0.2877) = 1.8643 +32'h3fd33551,32'h3f434e56,32'h3f4b4715, 32'h3f3d53c6,32'h3f5141a4, 32'h3f335cd6,32'h3f5b3894,// invsqrt(1.6501) = 0.7785 +32'h3ee6beee,32'h3fbadad0,32'h3fc27b40, 32'h3fb5227b,32'h3fc83395, 32'h3fab99ee,32'h3fd1bc22,// invsqrt(0.4507) = 1.4896 +32'h3fb54e04,32'h3f52cc48,32'h3f5b66e8, 32'h3f4c5850,32'h3f61dae0, 32'h3f419709,32'h3f6c9c27,// invsqrt(1.4164) = 0.8402 +32'h3e6be8c4,32'h4002ac1c,32'h40080180, 32'h3ffd5821,32'h400c018c, 32'h3ff002a6,32'h4012ac49,// invsqrt(0.2304) = 2.0834 +32'h3feef99b,32'h3f379bfe,32'h3f3f1a86, 32'h3f31fd18,32'h3f44b96c, 32'h3f289eef,32'h3f4e1795,// invsqrt(1.8670) = 0.7319 +32'h3fb3d99e,32'h3f53a615,32'h3f5c4999, 32'h3f4d2b73,32'h3f62c43b, 32'h3f425f0e,32'h3f6d90a0,// invsqrt(1.4051) = 0.8436 +32'h3d9ea6e7,32'h4061585f,32'h406a8aff, 32'h405a7266,32'h407170f8, 32'h404ef31e,32'h407cf041,// invsqrt(0.0775) = 3.5929 +32'h3f046146,32'h3fae7084,32'h3fb58f3c, 32'h3fa9197b,32'h3fbae645, 32'h3fa03316,32'h3fc3ccaa,// invsqrt(0.5171) = 1.3906 +32'h3f849172,32'h3f768504,32'h3f804a72, 32'h3f6ef91b,32'h3f841066, 32'h3f626542,32'h3f8a5a53,// invsqrt(1.0357) = 0.9826 +32'h3fbe76f3,32'h3f4daaa9,32'h3f560faa, 32'h3f475ee7,32'h3f5c5b6b, 32'h3f3ce0a5,32'h3f66d9ad,// invsqrt(1.4880) = 0.8198 +32'h3f4f7226,32'h3f8b5953,32'h3f910961, 32'h3f871549,32'h3f954d6b, 32'h3f7ff26f,32'h3f9c697d,// invsqrt(0.8103) = 1.1109 +32'h40828ff7,32'h3ef867ee,32'h3f0145c2, 32'h3ef0cd3d,32'h3f05131b, 32'h3ee420c0,32'h3f0b6959,// invsqrt(4.0801) = 0.4951 +32'h3dd6f122,32'h40419a2f,32'h40498121, 32'h403bacf9,32'h404f6e57, 32'h4031cc4a,32'h40594f06,// invsqrt(0.1050) = 3.0868 +32'h3eacdaaa,32'h3fd7e3a9,32'h3fe0b37b, 32'h3fd147cb,32'h3fe74f59, 32'h3fc64403,32'h3ff25321,// invsqrt(0.3376) = 1.7211 +32'h3dd27991,32'h4043a55e,32'h404ba1ac, 32'h403da825,32'h40519ee5, 32'h4033acc4,32'h405b9a46,// invsqrt(0.1028) = 3.1194 +32'h3db2cec2,32'h405443ca,32'h405cedbe, 32'h404dc454,32'h40636d34, 32'h4042efe4,32'h406e41a4,// invsqrt(0.0873) = 3.3843 +32'h3f8d8605,32'h3f6e97ac,32'h3f7854b8, 32'h3f6749e3,32'h3f7fa281, 32'h3f5b1d93,32'h3f85e768,// invsqrt(1.1057) = 0.9510 +32'h3f64d6c8,32'h3f84acf3,32'h3f8a1747, 32'h3f809d35,32'h3f8e2705, 32'h3f73b09b,32'h3f94ebed,// invsqrt(0.8939) = 1.0577 +32'h3f4a816c,32'h3f8d09d9,32'h3f92cb8e, 32'h3f88b890,32'h3f971cd6, 32'h3f81866e,32'h3f9e4ef8,// invsqrt(0.7910) = 1.1243 +32'h410ae2d1,32'h3eaa4e05,32'h3eb14187, 32'h3ea51762,32'h3eb6782a, 32'h3e9c66ff,32'h3ebf288d,// invsqrt(8.6804) = 0.3394 +32'h4070b0ac,32'h3f015e36,32'h3f06a5fa, 32'h3efad0c7,32'h3f0a9bcc, 32'h3eed9d5e,32'h3f113581,// invsqrt(3.7608) = 0.5157 +32'h3f60aa4f,32'h3f85e6fe,32'h3f8b5e22, 32'h3f81cda2,32'h3f8f777e, 32'h3f75f16a,32'h3f964c6b,// invsqrt(0.8776) = 1.0675 +32'h40680deb,32'h3f03c0d1,32'h3f092181, 32'h3eff709b,32'h3f0d2a05, 32'h3ef1fee3,32'h3f13e2e0,// invsqrt(3.6258) = 0.5252 +32'h40236754,32'h3f1d025d,32'h3f236af3, 32'h3f1833ec,32'h3f283964, 32'h3f103131,32'h3f303c1f,// invsqrt(2.5532) = 0.6258 +32'h40b6e65e,32'h3ed1e073,32'h3eda7172, 32'h3ecb73b3,32'h3ee0de31, 32'h3ec0be73,32'h3eeb9371,// invsqrt(5.7156) = 0.4183 +32'h3eebb635,32'h3fb8e03e,32'h3fc06c02, 32'h3fb3376b,32'h3fc614d5, 32'h3fa9c8b6,32'h3fcf838a,// invsqrt(0.4604) = 1.4738 +32'h3e99a047,32'h3fe5006a,32'h3fee5940, 32'h3fddfdca,32'h3ff55be0, 32'h3fd24ec0,32'h40008575,// invsqrt(0.3001) = 1.8256 +32'h3fb7d681,32'h3f515732,32'h3f59e298, 32'h3f4aeea6,32'h3f604b24, 32'h3f404068,32'h3f6af962,// invsqrt(1.4362) = 0.8344 +32'h414f86f3,32'h3e8b5257,32'h3e91021b, 32'h3e870e83,32'h3e9545ef, 32'h3e7fe59a,32'h3e9c61a5,// invsqrt(12.9704) = 0.2777 +32'h3e84b5fd,32'h3ff66311,32'h400038c8, 32'h3feed832,32'h4003fe37, 32'h3fe24615,32'h400a4746,// invsqrt(0.2592) = 1.9642 +32'h3f729722,32'h3f80dc3f,32'h3f861eb5, 32'h3f79d4ce,32'h3f8a108d, 32'h3f6caea8,32'h3f90a3a0,// invsqrt(0.9476) = 1.0273 +32'h3f02f53d,32'h3faf6251,32'h3fb68ae6, 32'h3faa03e0,32'h3fbbe956, 32'h3fa11125,32'h3fc4dc11,// invsqrt(0.5116) = 1.3982 +32'h3ed2c484,32'h3fc38292,32'h3fcb7d74, 32'h3fbd8669,32'h3fd1799d, 32'h3fb38cd0,32'h3fdb7337,// invsqrt(0.4117) = 1.5586 +32'h3f846c4e,32'h3f76a793,32'h3f805c6e, 32'h3f6f1a9c,32'h3f8422ea, 32'h3f6284ff,32'h3f8a6db8,// invsqrt(1.0346) = 0.9832 +32'h3ea6bba8,32'h3fdbd103,32'h3fe4c9df, 32'h3fd5165f,32'h3feb8483, 32'h3fc9df4c,32'h3ff6bb96,// invsqrt(0.3257) = 1.7524 +32'h3f092e53,32'h3fab5c20,32'h3fb25aaa, 32'h3fa61d3a,32'h3fb79990, 32'h3f9d5f0e,32'h3fc057bc,// invsqrt(0.5359) = 1.3661 +32'h3e0c7bc5,32'h4029556e,32'h40303ecb, 32'h40242667,32'h40356dd1, 32'h401b82b3,32'h403e1185,// invsqrt(0.1372) = 2.6998 +32'h3f978386,32'h3f6697a7,32'h3f70011c, 32'h3f5f8890,32'h3f771034, 32'h3f53c4bf,32'h3f816a03,// invsqrt(1.1837) = 0.9191 +32'h3f8880c5,32'h3f72f0c5,32'h3f7cdb3f, 32'h3f6b80e8,32'h3f82258e, 32'h3f5f1bcf,32'h3f88581b,// invsqrt(1.0664) = 0.9684 +32'h4140dc8e,32'h3e908591,32'h3e966bac, 32'h3e8c18fd,32'h3e9ad841, 32'h3e84b95c,32'h3ea237e2,// invsqrt(12.0538) = 0.2880 +32'h3f9a57de,32'h3f64780f,32'h3f6dcb53, 32'h3f5d799b,32'h3f74c9c7, 32'h3f51d186,32'h3f8038ee,// invsqrt(1.2058) = 0.9107 +32'h3e808f30,32'h3ffa556a,32'h40024693, 32'h3ff2ab9e,32'h40061b79, 32'h3fe5e5f4,32'h400c7e4e,// invsqrt(0.2511) = 1.9956 +32'h3fbab268,32'h3f4fbb47,32'h3f5835dd, 32'h3f495f58,32'h3f5e91cc, 32'h3f3ec61d,32'h3f692b07,// invsqrt(1.4586) = 0.8280 +32'h409c6893,32'h3ee2f4a1,32'h3eec3815, 32'h3edc020a,32'h3ef32aac, 32'h3ed06db8,32'h3efebefe,// invsqrt(4.8878) = 0.4523 +32'h3e6dd580,32'h40022479,32'h40077455, 32'h3ffc512a,32'h400b7039, 32'h3fef0986,32'h4012140b,// invsqrt(0.2323) = 2.0750 +32'h4022c25c,32'h3f1d51db,32'h3f23bdaf, 32'h3f1880fb,32'h3f288e8f, 32'h3f107a31,32'h3f309559,// invsqrt(2.5431) = 0.6271 +32'h3f59dc0c,32'h3f87fa4b,32'h3f8d871f, 32'h3f83d0ac,32'h3f91b0be, 32'h3f79c146,32'h3f98a0c7,// invsqrt(0.8510) = 1.0840 +32'h3e49a2be,32'h400d57a4,32'h40131c86, 32'h400903fa,32'h40177030, 32'h4001cde0,32'h401ea64a,// invsqrt(0.1969) = 2.2535 +32'h3fa347ca,32'h3f5e20db,32'h3f6731de, 32'h3f575417,32'h3f6dfea1, 32'h3f4bfed3,32'h3f7953e5,// invsqrt(1.2756) = 0.8854 +32'h3f023340,32'h3fafe4c8,32'h3fb712b0, 32'h3faa8259,32'h3fbc751f, 32'h3fa188f6,32'h3fc56e82,// invsqrt(0.5086) = 1.4022 +32'h3f680cfb,32'h3f83c116,32'h3f8921c8, 32'h3f7f7120,32'h3f8d2a4e, 32'h3f71ff61,32'h3f93e32d,// invsqrt(0.9064) = 1.0503 +32'h3e5a7089,32'h4007cc0c,32'h400d56fc, 32'h4003a3d7,32'h40117f31, 32'h3ff96c54,32'h40186cde,// invsqrt(0.2133) = 2.1651 +32'h4004afb3,32'h3f2e3cef,32'h3f35598b, 32'h3f28e77a,32'h3f3aaf00, 32'h3f2003b7,32'h3f4392c3,// invsqrt(2.0732) = 0.6945 +32'h3e08c325,32'h402b9f39,32'h4032a07f, 32'h40265e44,32'h4037e174, 32'h401d9cac,32'h4040a30c,// invsqrt(0.1336) = 2.7363 +32'h42ac992a,32'h3dd80c9c,32'h3de0de1a, 32'h3dd16f7d,32'h3de77b39, 32'h3dc6699f,32'h3df28117,// invsqrt(86.2991) = 0.1076 +32'h3fd55e11,32'h3f4250b6,32'h3f4a3f1c, 32'h3f3c5dea,32'h3f5031e8, 32'h3f3273eb,32'h3f5a1be7,// invsqrt(1.6669) = 0.7745 +32'h3e1f121f,32'h401f221a,32'h4025a0e2, 32'h401a4304,32'h402a7ff8, 32'h4012248b,32'h40329e71,// invsqrt(0.1553) = 2.5372 +32'h3e11b3c6,32'h40264601,32'h402d0f65, 32'h40212ef7,32'h4032266f, 32'h4018b33b,32'h403aa22b,// invsqrt(0.1423) = 2.6510 +32'h3e053aa8,32'h402de1fa,32'h4034fae0, 32'h40288f4e,32'h403a4d8c, 32'h401fb02f,32'h40432cab,// invsqrt(0.1301) = 2.7724 +32'h3fd181d6,32'h3f4418e8,32'h3f4c19ec, 32'h3f3e1825,32'h3f521aaf, 32'h3f3416df,32'h3f5c1bf5,// invsqrt(1.6368) = 0.7816 +32'h3edd9be1,32'h3fbeaaf7,32'h3fc6733f, 32'h3fb8d4c0,32'h3fcc4976, 32'h3faf1a65,32'h3fd603d1,// invsqrt(0.4328) = 1.5200 +32'h4000e083,32'h3f30cb59,32'h3f3802ab, 32'h3f2b61dc,32'h3f3d6c28, 32'h3f225cb5,32'h3f46714f,// invsqrt(2.0137) = 0.7047 +32'h3f5d92b0,32'h3f86d55c,32'h3f8c563c, 32'h3f82b4b5,32'h3f9076e3, 32'h3f77a73c,32'h3f9757fa,// invsqrt(0.8655) = 1.0749 +32'h3f87cb2c,32'h3f739300,32'h3f7d841a, 32'h3f6c1e2c,32'h3f827c77, 32'h3f5fb0cc,32'h3f88b327,// invsqrt(1.0609) = 0.9709 +32'h3eaa7464,32'h3fd9674e,32'h3fe246f4, 32'h3fd2bf93,32'h3fe8eeaf, 32'h3fc7a804,32'h3ff4063e,// invsqrt(0.3329) = 1.7331 +32'h3e390bcd,32'h40138ac9,32'h40199073, 32'h400f0689,32'h401e14b3, 32'h40077f75,32'h40259bc7,// invsqrt(0.1807) = 2.3524 +32'h40047c5a,32'h3f2e5eb0,32'h3f357cac, 32'h3f290832,32'h3f3ad32a, 32'h3f2022b6,32'h3f43b8a6,// invsqrt(2.0701) = 0.6950 +32'h3fd25239,32'h3f43b7aa,32'h3f4bb4b6, 32'h3f3db9e1,32'h3f51b27f, 32'h3f33bd92,32'h3f5baece,// invsqrt(1.6431) = 0.7801 +32'h40227d7f,32'h3f1d732d,32'h3f23e05e, 32'h3f18a149,32'h3f28b243, 32'h3f1098cc,32'h3f30bac0,// invsqrt(2.5389) = 0.6276 +32'h3fac30a7,32'h3f584e23,32'h3f61224f, 32'h3f51af03,32'h3f67c16f, 32'h3f46a5cd,32'h3f72caa5,// invsqrt(1.3452) = 0.8622 +32'h3fc2cd1c,32'h3f4b5d52,32'h3f53aa46, 32'h3f45239b,32'h3f59e3fd, 32'h3f3ac36b,32'h3f64442d,// invsqrt(1.5219) = 0.8106 +32'h3f6086a7,32'h3f85f19f,32'h3f8b6933, 32'h3f81d7f1,32'h3f8f82e1, 32'h3f7604f0,32'h3f96585a,// invsqrt(0.8771) = 1.0678 +32'h3f7d3a4d,32'h3f7c3fe2,32'h3f8345d1, 32'h3f748712,32'h3f872239, 32'h3f67a862,32'h3f8d9191,// invsqrt(0.9892) = 1.0055 +32'h3f42f815,32'h3f8fbd10,32'h3f959afb, 32'h3f8b569e,32'h3f9a016c, 32'h3f840138,32'h3fa156d2,// invsqrt(0.7616) = 1.1459 +32'h3ee1f687,32'h3fbcd268,32'h3fc48768, 32'h3fb70aa9,32'h3fca4f27, 32'h3fad686a,32'h3fd3f166,// invsqrt(0.4413) = 1.5053 +32'h3f63bc8a,32'h3f84ff11,32'h3f8a6cbe, 32'h3f80eccf,32'h3f8e7eff, 32'h3f74476d,32'h3f954818,// invsqrt(0.8896) = 1.0602 +32'h3f9c4902,32'h3f630b8b,32'h3f6c4fef, 32'h3f5c1840,32'h3f73433a, 32'h3f5082c4,32'h3f7ed8b6,// invsqrt(1.2210) = 0.9050 +32'h401e7467,32'h3f1f7139,32'h3f25f33b, 32'h3f1a8fb7,32'h3f2ad4bd, 32'h3f126d34,32'h3f32f740,// invsqrt(2.4759) = 0.6355 +32'h3f906329,32'h3f6c36e6,32'h3f75db1a, 32'h3f64fbc0,32'h3f7d1640, 32'h3f58ee80,32'h3f8491c0,// invsqrt(1.1280) = 0.9415 +32'h3f3786d4,32'h3f9426d1,32'h3f9a32d9, 32'h3f8f9dca,32'h3f9ebbe0, 32'h3f880ec0,32'h3fa64aea,// invsqrt(0.7169) = 1.1811 +32'h3fb7ed05,32'h3f514a62,32'h3f59d542, 32'h3f4ae23b,32'h3f603d69, 32'h3f4034a3,32'h3f6aeb01,// invsqrt(1.4369) = 0.8342 +32'h3fac7ee6,32'h3f581d0e,32'h3f60ef38, 32'h3f517f6e,32'h3f678cd8, 32'h3f4678b9,32'h3f72938d,// invsqrt(1.3476) = 0.8614 +32'h40d36b5c,32'h3ec3355e,32'h3ecb2d18, 32'h3ebd3b92,32'h3ed126e4, 32'h3eb345e8,32'h3edb1c8e,// invsqrt(6.6069) = 0.3890 +32'h3f95bbff,32'h3f67f560,32'h3f716d1b, 32'h3f60db94,32'h3f7886e8, 32'h3f5505eb,32'h3f822e48,// invsqrt(1.1698) = 0.9246 +32'h3f321973,32'h3f96645b,32'h3f9c87cd, 32'h3f91c9c6,32'h3fa12262, 32'h3f8a1d78,32'h3fa8ceb0,// invsqrt(0.6957) = 1.1989 +32'h3d47a962,32'h408e0a13,32'h4093d63e, 32'h4089b0f4,32'h40982f5e, 32'h408271be,32'h409f6e94,// invsqrt(0.0487) = 4.5293 +32'h3f898154,32'h3f720db6,32'h3f7beeed, 32'h3f6aa4ce,32'h3f81abeb, 32'h3f5e4b4a,32'h3f87d8ad,// invsqrt(1.0743) = 0.9648 +32'h3e12f843,32'h40258e0d,32'h402c4fef, 32'h40207ca4,32'h40316158, 32'h40180a4b,32'h4039d3b1,// invsqrt(0.1435) = 2.6396 +32'h4032760b,32'h3f163d52,32'h3f1c5f2c, 32'h3f11a3ef,32'h3f20f88f, 32'h3f09f99f,32'h3f28a2df,// invsqrt(2.7885) = 0.5989 +32'h3e787bf9,32'h3ffea555,32'h40048510, 32'h3ff6d9be,32'h40086adb, 32'h3fe9dbc1,32'h400ee9da,// invsqrt(0.2427) = 2.0300 +32'h3f010a98,32'h3fb0ae83,32'h3fb7e4a7, 32'h3fab45e7,32'h3fbd4d43, 32'h3fa24239,32'h3fc650f1,// invsqrt(0.5041) = 1.4085 +32'h3e9e18bb,32'h3fe1bd9b,32'h3feaf45d, 32'h3fdad489,32'h3ff1dd6f, 32'h3fcf5016,32'h3ffd61e2,// invsqrt(0.3088) = 1.7996 +32'h3e9a6ff1,32'h3fe4663f,32'h3fedb8ca, 32'h3fdd6858,32'h3ff4b6b2, 32'h3fd1c12b,32'h40002ef0,// invsqrt(0.3016) = 1.8208 +32'h41a3c4ca,32'h3e5dcc05,32'h3e66d992, 32'h3e5701da,32'h3e6da3bc, 32'h3e4bb0ea,32'h3e78f4ac,// invsqrt(20.4711) = 0.2210 +32'h4030cbfe,32'h3f16f1ec,32'h3f1d1b25, 32'h3f125302,32'h3f21ba10, 32'h3f0a9f7b,32'h3f296d97,// invsqrt(2.7625) = 0.6017 +32'h3fb2038b,32'h3f54bcd0,32'h3f5d6bb4, 32'h3f4e39a5,32'h3f63eedf, 32'h3f435f08,32'h3f6ec97c,// invsqrt(1.3907) = 0.8480 +32'h40039c9a,32'h3f2ef2aa,32'h3f3616b0, 32'h3f2997a4,32'h3f3b71b6, 32'h3f20aa9c,32'h3f445ebe,// invsqrt(2.0564) = 0.6973 +32'h3f6331d6,32'h3f8527a4,32'h3f8a96f9, 32'h3f811424,32'h3f8eaa78, 32'h3f7491f3,32'h3f9575a3,// invsqrt(0.8875) = 1.0615 +32'h3db23684,32'h40549e61,32'h405d4c07, 32'h404e1c25,32'h4063ce43, 32'h40434315,32'h406ea753,// invsqrt(0.0870) = 3.3900 +32'h40289e92,32'h3f1a8fd4,32'h3f20ded8, 32'h3f15d492,32'h3f259a1a, 32'h3f0df1cd,32'h3f2d7cdf,// invsqrt(2.6347) = 0.6161 +32'h3fc68147,32'h3f497558,32'h3f51ae60, 32'h3f434a91,32'h3f57d927, 32'h3f390346,32'h3f622072,// invsqrt(1.5508) = 0.8030 +32'h40831b4f,32'h3ef7e3c9,32'h3f0100fe, 32'h3ef04d24,32'h3f04cc50, 32'h3ee3a765,32'h3f0b1f30,// invsqrt(4.0971) = 0.4940 +32'h3ee2ad94,32'h3fbc861b,32'h3fc437fd, 32'h3fb6c0b2,32'h3fc9fd66, 32'h3fad2258,32'h3fd39bc0,// invsqrt(0.4427) = 1.5029 +32'h407c0058,32'h3efcdcd3,32'h3f03977e, 32'h3ef51f36,32'h3f07764d, 32'h3ee83884,32'h3f0de9a6,// invsqrt(3.9375) = 0.5040 +32'h3f96982f,32'h3f674b8f,32'h3f70bc5b, 32'h3f6036f6,32'h3f77d0f4, 32'h3f5469f6,32'h3f81cefa,// invsqrt(1.1765) = 0.9219 +32'h3fb01d89,32'h3f55e18f,32'h3f5e9c66, 32'h3f4f556e,32'h3f652888, 32'h3f446be2,32'h3f701214,// invsqrt(1.3759) = 0.8525 +32'h3f86c816,32'h3f747cab,32'h3f7e774f, 32'h3f6d00b0,32'h3f82f9a5, 32'h3f608764,32'h3f89364b,// invsqrt(1.0530) = 0.9745 +32'h3deca4e8,32'h403882e9,32'h40400add, 32'h4032dcf1,32'h4045b0d5, 32'h40297300,32'h404f1ac7,// invsqrt(0.1155) = 2.9418 +32'h400583af,32'h3f2db266,32'h3f34c95a, 32'h3f28612e,32'h3f3a1a92, 32'h3f1f847d,32'h3f42f743,// invsqrt(2.0862) = 0.6924 +32'h409b27b2,32'h3ee3ded7,32'h3eed2bdb, 32'h3edce515,32'h3ef4259d, 32'h3ed144d0,32'h3effc5e2,// invsqrt(4.8486) = 0.4541 +32'h3f3ecdbb,32'h3f914c8d,32'h3f973ac7, 32'h3f8cd9e2,32'h3f9bad72, 32'h3f857019,32'h3fa3173b,// invsqrt(0.7453) = 1.1583 +32'h401542b9,32'h3f24478e,32'h3f2afc1b, 32'h3f1f4023,32'h3f300385, 32'h3f16de72,32'h3f386536,// invsqrt(2.3322) = 0.6548 +32'h401a018d,32'h3f21ba8d,32'h3f285473, 32'h3f1cc720,32'h3f2d47e0, 32'h3f1486c0,32'h3f358840,// invsqrt(2.4063) = 0.6446 +32'h3f8d47fc,32'h3f6ecc08,32'h3f788b37, 32'h3f677ca4,32'h3f7fda9a, 32'h3f5b4da9,32'h3f8604cb,// invsqrt(1.1038) = 0.9518 +32'h41d59878,32'h3e423623,32'h3e4a2373, 32'h3e3c4427,32'h3e50156f, 32'h3e325b84,32'h3e59fe13,// invsqrt(26.6994) = 0.1935 +32'h3f8dabc5,32'h3f6e77e0,32'h3f7833a0, 32'h3f672b10,32'h3f7f8070, 32'h3f5b0060,32'h3f85d590,// invsqrt(1.1068) = 0.9505 +32'h3f24701e,32'h3f9c83c0,32'h3fa2e72c, 32'h3f97b930,32'h3fa7b1bc, 32'h3f8fbcea,32'h3fafae02,// invsqrt(0.6423) = 1.2477 +32'h3f635b49,32'h3f851b80,32'h3f8a8a56, 32'h3f81085f,32'h3f8e9d77, 32'h3f747ba7,32'h3f956802,// invsqrt(0.8881) = 1.0611 +32'h3f84cad0,32'h3f764fbe,32'h3f802eb9, 32'h3f6ec577,32'h3f83f3dd, 32'h3f623456,32'h3f8a3c6d,// invsqrt(1.0374) = 0.9818 +32'h40d4df73,32'h3ec28a78,32'h3eca7b38, 32'h3ebc95e7,32'h3ed06fc9, 32'h3eb2a8f6,32'h3eda5cba,// invsqrt(6.6523) = 0.3877 +32'h3f9bbcc3,32'h3f6371af,32'h3f6cba3e, 32'h3f5c7b44,32'h3f73b0aa, 32'h3f50e092,32'h3f7f4b5d,// invsqrt(1.2167) = 0.9066 +32'h3f964a20,32'h3f678798,32'h3f70fad8, 32'h3f607128,32'h3f781148, 32'h3f54a119,32'h3f81f0ac,// invsqrt(1.1741) = 0.9229 +32'h3da90ce0,32'h405a4e00,32'h40633710, 32'h40539f34,32'h4069e5dc, 32'h40487be1,32'h4075092f,// invsqrt(0.0825) = 3.4806 +32'h3e94e726,32'h3fe89aee,32'h3ff2196b, 32'h3fe17c11,32'h3ff93849, 32'h3fd59df5,32'h40028b32,// invsqrt(0.2908) = 1.8543 +32'h3e8249c2,32'h3ff8aad2,32'h40016892, 32'h3ff10e15,32'h400536f1, 32'h3fe45e2f,32'h400b8ee4,// invsqrt(0.2545) = 1.9824 +32'h3eede1a3,32'h3fb807ea,32'h3fbf8ada, 32'h3fb265b7,32'h3fc52d0d, 32'h3fa9020b,32'h3fce90b9,// invsqrt(0.4646) = 1.4671 +32'h3efbd9e3,32'h3fb2daab,32'h3fba2783, 32'h3fad6109,32'h3fbfa125, 32'h3fa440fb,32'h3fc8c133,// invsqrt(0.4919) = 1.4258 +32'h3f6c83fe,32'h3f828134,32'h3f87d4d8, 32'h3f7d04f2,32'h3f8bd393, 32'h3f6fb3d8,32'h3f927c20,// invsqrt(0.9239) = 1.0404 +32'h4015f465,32'h3f23e61e,32'h3f2a96b2, 32'h3f1ee1b0,32'h3f2f9b20, 32'h3f1684f7,32'h3f37f7d9,// invsqrt(2.3430) = 0.6533 +32'h3ed23146,32'h3fc3c700,32'h3fcbc4ad, 32'h3fbdc8bf,32'h3fd1c2ef, 32'h3fb3cba8,32'h3fdbc006,// invsqrt(0.4105) = 1.5607 +32'h3f8fc023,32'h3f6cbcb1,32'h3f76665b, 32'h3f657d72,32'h3f7da59a, 32'h3f59695f,32'h3f84dcd6,// invsqrt(1.1231) = 0.9436 +32'h3fd282a5,32'h3f43a126,32'h3f4b9d47, 32'h3f3da40e,32'h3f519a60, 32'h3f33a8e5,32'h3f5b9589,// invsqrt(1.6446) = 0.7798 +32'h3e94efad,32'h3fe89445,32'h3ff2127d, 32'h3fe1759c,32'h3ff93126, 32'h3fd597d7,32'h40028775,// invsqrt(0.2909) = 1.8541 +32'h402a8fa3,32'h3f19adf6,32'h3f1ff3c2, 32'h3f14f99e,32'h3f24a81a, 32'h3f0d2260,32'h3f2c7f58,// invsqrt(2.6650) = 0.6126 +32'h3f8fd10c,32'h3f6caec6,32'h3f7657de, 32'h3f656ff4,32'h3f7d96b0, 32'h3f595c97,32'h3f84d507,// invsqrt(1.1236) = 0.9434 +32'h401a5f30,32'h3f218979,32'h3f28215e, 32'h3f1c978c,32'h3f2d134a, 32'h3f1459ad,32'h3f355129,// invsqrt(2.4121) = 0.6439 +32'h3fab3984,32'h3f58ea05,32'h3f61c48d, 32'h3f52461f,32'h3f686873, 32'h3f4734f5,32'h3f73799d,// invsqrt(1.3377) = 0.8646 +32'h3f67f669,32'h3f83c77e,32'h3f892874, 32'h3f7f7d8c,32'h3f8d312c, 32'h3f720b27,32'h3f93ea5f,// invsqrt(0.9061) = 1.0505 +32'h3f51794f,32'h3f8aac39,32'h3f905536, 32'h3f866d7b,32'h3f9493f3, 32'h3f7eb47d,32'h3f9ba730,// invsqrt(0.8183) = 1.1055 +32'h3d15abe6,32'h40a40dcb,32'h40aabffd, 32'h409f0825,32'h40afc5a3, 32'h4096a967,32'h40b82461,// invsqrt(0.0365) = 5.2313 +32'h3fa21a93,32'h3f5eeedb,32'h3f680847, 32'h3f581bca,32'h3f6edb58, 32'h3f4cbc02,32'h3f7a3b20,// invsqrt(1.2664) = 0.8886 +32'h3ef5caec,32'h3fb50b86,32'h3fbc6f42, 32'h3faf80b9,32'h3fc1fa0f, 32'h3fa6440d,32'h3fcb36bb,// invsqrt(0.4801) = 1.4433 +32'h3de3e0ee,32'h403c06cd,32'h4043b37d, 32'h4036454a,32'h40497500, 32'h402cad6e,32'h40530cdc,// invsqrt(0.1113) = 2.9979 +32'h3f71247a,32'h3f813f22,32'h3f8685a0, 32'h3f7a9485,32'h3f8a7a7f, 32'h3f6d6448,32'h3f91129e,// invsqrt(0.9420) = 1.0303 +32'h4052578d,32'h3f0a62e3,32'h3f1008e2, 32'h3f062664,32'h3f144562, 32'h3efe2dcc,32'h3f1b54e0,// invsqrt(3.2866) = 0.5516 +32'h3f930df3,32'h3f6a1002,32'h3f739db8, 32'h3f62e5b8,32'h3f7ac802, 32'h3f56f494,32'h3f835c93,// invsqrt(1.1489) = 0.9330 +32'h3f797088,32'h3f7e2862,32'h3f844409, 32'h3f76609e,32'h3f8827eb, 32'h3f696901,32'h3f8ea3ba,// invsqrt(0.9744) = 1.0131 +32'h3f4cd1ce,32'h3f8c3d4f,32'h3f91f6ab, 32'h3f87f24a,32'h3f9641b0, 32'h3f80ca97,32'h3f9d6963,// invsqrt(0.8001) = 1.1180 +32'h40390618,32'h3f138d10,32'h3f1992d2, 32'h3f0f08bf,32'h3f1e1723, 32'h3f07818c,32'h3f259e56,// invsqrt(2.8910) = 0.5881 +32'h3f7449aa,32'h3f806971,32'h3f85a737, 32'h3f78f639,32'h3f89958b, 32'h3f6bdbca,32'h3f9022c3,// invsqrt(0.9542) = 1.0237 +32'h3f476f1c,32'h3f8e1ed2,32'h3f93ebd6, 32'h3f89c510,32'h3f984598, 32'h3f8284cc,32'h3f9f85dc,// invsqrt(0.7790) = 1.1330 +32'h3f71fc51,32'h3f810571,32'h3f864995, 32'h3f7a24ac,32'h3f8a3cb0, 32'h3f6cfa52,32'h3f90d1dd,// invsqrt(0.9453) = 1.0285 +32'h41b02041,32'h3e55dfe9,32'h3e5e9aae, 32'h3e4f53d4,32'h3e6526c2, 32'h3e446a5d,32'h3e701039,// invsqrt(22.0157) = 0.2131 +32'h3fb04add,32'h3f55c60e,32'h3f5e7fc6, 32'h3f4f3ac5,32'h3f650b0f, 32'h3f44529f,32'h3f6ff335,// invsqrt(1.3773) = 0.8521 +32'h409184ac,32'h3eeb4b77,32'h3ef4e60e, 32'h3ee41785,32'h3efc19ff, 32'h3ed81648,32'h3f040d9e,// invsqrt(4.5474) = 0.4689 +32'h3fd630cf,32'h3f41f107,32'h3f49db84, 32'h3f3c0128,32'h3f4fcb62, 32'h3f321c0b,32'h3f59b07f,// invsqrt(1.6734) = 0.7730 +32'h404d3447,32'h3f0c1ba5,32'h3f11d3a1, 32'h3f07d1a8,32'h3f161d9e, 32'h3f00abac,32'h3f1d439a,// invsqrt(3.2063) = 0.5585 +32'h3fc268ee,32'h3f4b91b1,32'h3f53e0c7, 32'h3f45565f,32'h3f5a1c19, 32'h3f3af383,32'h3f647ef5,// invsqrt(1.5188) = 0.8114 +32'h3fb8499c,32'h3f5115c8,32'h3f599e82, 32'h3f4aaf3d,32'h3f60050d, 32'h3f400454,32'h3f6aaff6,// invsqrt(1.4397) = 0.8334 +32'h3ec352b4,32'h3fcb17ba,32'h3fd361d6, 32'h3fc4e024,32'h3fd9996c, 32'h3fba8381,32'h3fe3f60f,// invsqrt(0.3815) = 1.6190 +32'h400f63ba,32'h3f279be6,32'h3f2e733e, 32'h3f227a64,32'h3f3394c0, 32'h3f19ed37,32'h3f3c21ed,// invsqrt(2.2405) = 0.6681 +32'h3f6cca67,32'h3f826dcb,32'h3f87c0a5, 32'h3f7cdf51,32'h3f8bbec8, 32'h3f6f9032,32'h3f926657,// invsqrt(0.9250) = 1.0398 +32'h3f57c156,32'h3f88a3a4,32'h3f8e3762, 32'h3f8474d6,32'h3f926630, 32'h3f7af852,32'h3f995edd,// invsqrt(0.8428) = 1.0893 +32'h3fb9a499,32'h3f505205,32'h3f58d2c1, 32'h3f49f178,32'h3f5f334e, 32'h3f3f508c,32'h3f69d43a,// invsqrt(1.4503) = 0.8304 +32'h3f1da853,32'h3f9fd849,32'h3fa65e81, 32'h3f9af3a0,32'h3fab432a, 32'h3f92cbdb,32'h3fb36aef,// invsqrt(0.6158) = 1.2743 +32'h3f9922b2,32'h3f655e3d,32'h3f6ebae7, 32'h3f5e58be,32'h3f75c066, 32'h3f52a4ea,32'h3f80ba1d,// invsqrt(1.1964) = 0.9143 +32'h3f57072e,32'h3f88debd,32'h3f8e74e4, 32'h3f84ae1f,32'h3f92a581, 32'h3f7b64dd,32'h3f99a132,// invsqrt(0.8400) = 1.0911 +32'h3f9360fe,32'h3f69ce07,32'h3f73590d, 32'h3f62a5c3,32'h3f7a8151, 32'h3f56b7fc,32'h3f83378c,// invsqrt(1.1514) = 0.9319 +32'h3e45ee31,32'h400ea8c0,32'h40147b65, 32'h400a4ac5,32'h4018d961, 32'h40030378,32'h402020ae,// invsqrt(0.1933) = 2.2745 +32'h3fd034d0,32'h3f44b57d,32'h3f4cbce5, 32'h3f3eafef,32'h3f52c273, 32'h3f34a6ac,32'h3f5ccbb6,// invsqrt(1.6266) = 0.7841 +32'h3f05b50f,32'h3fad9251,32'h3fb4a7f7, 32'h3fa84215,32'h3fb9f833, 32'h3f9f6707,32'h3fc2d341,// invsqrt(0.5223) = 1.3837 +32'h4010e67a,32'h3f26bba1,32'h3f2d89d2, 32'h3f21a0fe,32'h3f32a476, 32'h3f191f41,32'h3f3b2633,// invsqrt(2.2641) = 0.6646 +32'h3f945131,32'h3f691067,32'h3f7293af, 32'h3f61edf1,32'h3f79b625, 32'h3f5609d7,32'h3f82cd20,// invsqrt(1.1587) = 0.9290 +32'h3f837c1c,32'h3f778878,32'h3f80d178, 32'h3f6ff49e,32'h3f849b65, 32'h3f635388,32'h3f8aebf0,// invsqrt(1.0272) = 0.9867 +32'h40018306,32'h3f305c4a,32'h3f378f14, 32'h3f2af633,32'h3f3cf52b, 32'h3f21f6b7,32'h3f45f4a7,// invsqrt(2.0236) = 0.7030 +32'h3f293087,32'h3f9a4d1a,32'h3fa09964, 32'h3f9593e2,32'h3fa5529c, 32'h3f8db486,32'h3fad31f8,// invsqrt(0.6609) = 1.2301 +32'h3f349bf5,32'h3f9557ea,32'h3f9b7066, 32'h3f90c58c,32'h3fa002c4, 32'h3f8926f1,32'h3fa7a15f,// invsqrt(0.7055) = 1.1906 +32'h3f6863f9,32'h3f83a86a,32'h3f89081b, 32'h3f7f414c,32'h3f8d0fe0, 32'h3f71d212,32'h3f93c77d,// invsqrt(0.9078) = 1.0496 +32'h3f4b33a6,32'h3f8ccbf1,32'h3f928b1f, 32'h3f887c8e,32'h3f96da82, 32'h3f814d94,32'h3f9e097c,// invsqrt(0.7938) = 1.1224 +32'h3f08912c,32'h3fabbe9c,32'h3fb2c12a, 32'h3fa67cb1,32'h3fb80315, 32'h3f9db980,32'h3fc0c647,// invsqrt(0.5335) = 1.3691 +32'h4090e217,32'h3eebcf57,32'h3ef56f50, 32'h3ee4975c,32'h3efca74a, 32'h3ed88f64,32'h3f0457a1,// invsqrt(4.5276) = 0.4700 +32'h3f0f72b4,32'h3fa79326,32'h3fae6a22, 32'h3fa271e9,32'h3fb38b5f, 32'h3f99e52d,32'h3fbc181b,// invsqrt(0.5603) = 1.3359 +32'h3eb3eecf,32'h3fd3999e,32'h3fdc3ca0, 32'h3fcd1f5d,32'h3fe2b6e1, 32'h3fc2539c,32'h3fed82a2,// invsqrt(0.3514) = 1.6869 +32'h401cad4f,32'h3f205822,32'h3f26e391, 32'h3f1b6f8e,32'h3f2bcc24, 32'h3f134143,32'h3f33fa6f,// invsqrt(2.4481) = 0.6391 +32'h3e87dcee,32'h3ff38314,32'h3ffd7388, 32'h3fec0ebd,32'h400273ef, 32'h3fdfa22d,32'h4008aa38,// invsqrt(0.2654) = 1.9413 +32'h3f8aa1e9,32'h3f711144,32'h3f7ae82c, 32'h3f69b015,32'h3f8124ad, 32'h3f5d6372,32'h3f874aff,// invsqrt(1.0831) = 0.9609 +32'h3fee4dcd,32'h3f37de22,32'h3f3f5f5c, 32'h3f323d35,32'h3f450049, 32'h3f28dbac,32'h3f4e61d2,// invsqrt(1.8617) = 0.7329 +32'h3e7c4f71,32'h3ffcb52d,32'h400382db, 32'h3ff4f8c6,32'h4007610f, 32'h3fe8141a,32'h400dd365,// invsqrt(0.2464) = 2.0146 +32'h3e2dadce,32'h40184b3c,32'h401e828c, 32'h4013a1bf,32'h40232c09, 32'h400bdc9a,32'h402af12e,// invsqrt(0.1696) = 2.4282 +32'h3fae1118,32'h3f5722d1,32'h3f5feac5, 32'h3f508cda,32'h3f6680bc, 32'h3f4592ea,32'h3f717aac,// invsqrt(1.3599) = 0.8575 +32'h3f10aa65,32'h3fa6de3d,32'h3fadadd7, 32'h3fa1c28a,32'h3fb2c98a, 32'h3f993f09,32'h3fbb4d0b,// invsqrt(0.5651) = 1.3303 +32'h3c982a51,32'h40e61923,32'h40ef7d6e, 32'h40df0dec,32'h40f688a6, 32'h40d3508e,32'h41012302,// invsqrt(0.0186) = 7.3373 +32'h3fad01fb,32'h3f57cb20,32'h3f6099f2, 32'h3f513002,32'h3f673510, 32'h3f462d7b,32'h3f723797,// invsqrt(1.3516) = 0.8601 +32'h3ca1d5ce,32'h40df1e34,32'h40e8398e, 32'h40d849af,32'h40ef0e13, 32'h40cce77e,32'h40fa7044,// invsqrt(0.0198) = 7.1147 +32'h400ab2ce,32'h3f2a6b7c,32'h3f316033, 32'h3f2533f4,32'h3f3697bc, 32'h3f1c820f,32'h3f3f49a1,// invsqrt(2.1672) = 0.6793 +32'h3f4519dd,32'h3f8ef583,32'h3f94cb49, 32'h3f8a952e,32'h3f992b9e, 32'h3f8349f5,32'h3fa076d7,// invsqrt(0.7699) = 1.1397 +32'h406fb258,32'h3f01a2c6,32'h3f06ed55, 32'h3efb55b2,32'h3f0ae541, 32'h3eee1b4b,32'h3f118275,// invsqrt(3.7453) = 0.5167 +32'h3f0e0fa9,32'h3fa8640b,32'h3faf438e, 32'h3fa33c68,32'h3fb46b30, 32'h3f9aa504,32'h3fbd0294,// invsqrt(0.5549) = 1.3424 +32'h3db22135,32'h4054ab18,32'h405d5943, 32'h404e2878,32'h4063dbe4, 32'h40434ec3,32'h406eb599,// invsqrt(0.0870) = 3.3908 +32'h42293ac1,32'h3e1a4871,32'h3e20948a, 32'h3e158f5d,32'h3e254d9d, 32'h3e0db03d,32'h3e2d2cbd,// invsqrt(42.3074) = 0.1537 +32'h3f12a48e,32'h3fa5bd47,32'h3fac8115, 32'h3fa0aa6c,32'h3fb193f0, 32'h3f9835a9,32'h3fba08b3,// invsqrt(0.5728) = 1.3213 +32'h3f0ab3ab,32'h3faa6af4,32'h3fb15fa6, 32'h3fa53370,32'h3fb6972a, 32'h3f9c8192,32'h3fbf4908,// invsqrt(0.5418) = 1.3586 +32'h3f18518e,32'h3fa29f43,32'h3fa9427f, 32'h3f9da4d6,32'h3fae3cec, 32'h3f9558ca,32'h3fb688f8,// invsqrt(0.5950) = 1.2964 +32'h3fbe5d4b,32'h3f4db884,32'h3f561e16, 32'h3f476c56,32'h3f5c6a44, 32'h3f3ced5f,32'h3f66e93b,// invsqrt(1.4872) = 0.8200 +32'h3fc0d38f,32'h3f4c673b,32'h3f54bf09, 32'h3f462560,32'h3f5b00e4, 32'h3f3bb79f,32'h3f656ea5,// invsqrt(1.5065) = 0.8147 +32'h3eaac637,32'h3fd93332,32'h3fe210b7, 32'h3fd28d0f,32'h3fe8b6db, 32'h3fc77829,32'h3ff3cbc1,// invsqrt(0.3335) = 1.7315 +32'h3f5c873f,32'h3f872706,32'h3f8cab3a, 32'h3f8303de,32'h3f90ce62, 32'h3f783d3a,32'h3f97b3a3,// invsqrt(0.8614) = 1.0774 +32'h3e03dfa4,32'h402ec62c,32'h4035e862, 32'h40296c83,32'h403b420b, 32'h402081c0,32'h40442cce,// invsqrt(0.1288) = 2.7866 +32'h3fc1c6eb,32'h3f4be6b8,32'h3f543948, 32'h3f45a8cd,32'h3f5a7733, 32'h3f3b419a,32'h3f64de66,// invsqrt(1.5139) = 0.8127 +32'h3dc30924,32'h404b3e03,32'h405389af, 32'h40450541,32'h4059c271, 32'h403aa6aa,32'h40642108,// invsqrt(0.0952) = 3.2405 +32'h3fd6110a,32'h3f41ff6a,32'h3f49ea7e, 32'h3f3c0f1b,32'h3f4fdacd, 32'h3f322942,32'h3f59c0a6,// invsqrt(1.6724) = 0.7733 +32'h408cfff4,32'h3eef08ff,32'h3ef8caab, 32'h3ee7b7be,32'h3f000df6, 32'h3edb85a6,32'h3f062702,// invsqrt(4.4062) = 0.4764 +32'h3fb23054,32'h3f54a212,32'h3f5d4fde, 32'h3f4e1fb8,32'h3f63d238, 32'h3f434679,32'h3f6eab77,// invsqrt(1.3921) = 0.8475 +32'h3ec69485,32'h3fc96b95,32'h3fd1a437, 32'h3fc3411a,32'h3fd7ceb2, 32'h3fb8fa4f,32'h3fe2157d,// invsqrt(0.3879) = 1.6057 +32'h410de9ff,32'h3ea87a62,32'h3eaf5ace, 32'h3ea35210,32'h3eb48320, 32'h3e9ab989,32'h3ebd1ba7,// invsqrt(8.8696) = 0.3358 +32'h3f94c6b6,32'h3f68b448,32'h3f7233ce, 32'h3f6194a4,32'h3f795372, 32'h3f55b53d,32'h3f82996c,// invsqrt(1.1623) = 0.9276 +32'h4235dfaa,32'h3e14d2c7,32'h3e1ae5d5, 32'h3e10447d,32'h3e1f741f, 32'h3e08acad,32'h3e270bef,// invsqrt(45.4684) = 0.1483 +32'h3f397a40,32'h3f935ed5,32'h3f9962b3, 32'h3f8edbed,32'h3f9de59b, 32'h3f875717,32'h3fa56a71,// invsqrt(0.7245) = 1.1748 +32'h3e9a0850,32'h3fe4b307,32'h3fee08b3, 32'h3fddb2c5,32'h3ff508f5, 32'h3fd207ad,32'h40005a06,// invsqrt(0.3008) = 1.8232 +32'h3f2c60e9,32'h3f98de03,32'h3f9f1b51, 32'h3f943008,32'h3fa3c94c, 32'h3f8c6366,32'h3fab95ee,// invsqrt(0.6734) = 1.2186 +32'h3e3bcc9a,32'h401274e8,32'h40186f3a, 32'h400df92a,32'h401ceaf8, 32'h40068042,32'h402463e0,// invsqrt(0.1834) = 2.3351 +32'h3efaba17,32'h3fb34134,32'h3fba923c, 32'h3fadc46f,32'h3fc00f01, 32'h3fa49f26,32'h3fc9344a,// invsqrt(0.4897) = 1.4290 +32'h3d6f4967,32'h4081bf30,32'h40870ae8, 32'h407b8cca,32'h408b03b3, 32'h406e4f7c,32'h4091a25a,// invsqrt(0.0584) = 4.1373 +32'h40939873,32'h3ee9a216,32'h3ef32b50, 32'h3ee27b2a,32'h3efa523c, 32'h3ed68fa1,32'h3f031ee2,// invsqrt(4.6124) = 0.4656 +32'h3ffdf84a,32'h3f321b48,32'h3f396050, 32'h3f2ca782,32'h3f3ed416, 32'h3f239138,32'h3f47ea60,// invsqrt(1.9841) = 0.7099 +32'h3d54dbfb,32'h408990c8,32'h408f2e34, 32'h40855ab8,32'h40936444, 32'h407cabe3,32'h409a690b,// invsqrt(0.0520) = 4.3867 +32'h3eb015bd,32'h3fd5e64b,32'h3fdea154, 32'h3fcf5a06,32'h3fe52d9a, 32'h3fc4703b,32'h3ff01765,// invsqrt(0.3439) = 1.7052 +32'h3f349d00,32'h3f95577c,32'h3f9b6ff4, 32'h3f90c522,32'h3fa0024e, 32'h3f89268c,32'h3fa7a0e4,// invsqrt(0.7055) = 1.1905 +32'h3f9332ba,32'h3f69f2c2,32'h3f737f48, 32'h3f62c95e,32'h3f7aa8ac, 32'h3f56d9b8,32'h3f834c29,// invsqrt(1.1500) = 0.9325 +32'h3f5d4fe6,32'h3f86e9b3,32'h3f8c6b67, 32'h3f82c86c,32'h3f908cae, 32'h3f77cc97,32'h3f976ece,// invsqrt(0.8645) = 1.0755 +32'h421637e9,32'h3e23c145,32'h3e2a7057, 32'h3e1ebdf7,32'h3e2f73a5, 32'h3e166320,32'h3e37ce7c,// invsqrt(37.5546) = 0.1632 +32'h40911ea2,32'h3eeb9e21,32'h3ef53c18, 32'h3ee467a8,32'h3efc7292, 32'h3ed86234,32'h3f043c03,// invsqrt(4.5350) = 0.4696 +32'h3e9aa2f7,32'h3fe4408e,32'h3fed918e, 32'h3fdd43cd,32'h3ff48e4f, 32'h3fd19e8d,32'h400019c8,// invsqrt(0.3020) = 1.8196 +32'h3f64b1db,32'h3f84b7a9,32'h3f8a226b, 32'h3f80a796,32'h3f8e327e, 32'h3f73c445,32'h3f94f7f1,// invsqrt(0.8933) = 1.0580 +32'h3e0b28bf,32'h402a2335,32'h403114f9, 32'h4024ede3,32'h40364a4b, 32'h401c3fae,32'h403ef880,// invsqrt(0.1359) = 2.7127 +32'h3ec21f60,32'h3fcbb83e,32'h3fd408e8, 32'h3fc57bbf,32'h3fda4567, 32'h3fbb16eb,32'h3fe4aa3b,// invsqrt(0.3791) = 1.6240 +32'h3f54d21a,32'h3f8993fa,32'h3f8f3186, 32'h3f855dd0,32'h3f9367b0, 32'h3f7cb1c0,32'h3f9a6ca0,// invsqrt(0.8313) = 1.0968 +32'h3ed4566c,32'h3fc2c933,32'h3fcabc83, 32'h3fbcd2b6,32'h3fd0b300, 32'h3fb2e292,32'h3fdaa324,// invsqrt(0.4147) = 1.5528 +32'h40ae24eb,32'h3ed71692,32'h3edfde06, 32'h3ed080fb,32'h3ee6739d, 32'h3ec587ab,32'h3ef16ced,// invsqrt(5.4420) = 0.4287 +32'h408c1fd2,32'h3eefc7df,32'h3ef99155, 32'h3ee870c6,32'h3f007437, 32'h3edc34f1,32'h3f069222,// invsqrt(4.3789) = 0.4779 +32'h3f806b01,32'h3f7a78ac,32'h3f8258ec, 32'h3f72cdcc,32'h3f862e5c, 32'h3f660655,32'h3f8c9218,// invsqrt(1.0033) = 0.9984 +32'h3e898908,32'h3ff206ef,32'h3ffbe7df, 32'h3fea9e3b,32'h4001a849, 32'h3fde4510,32'h4007d4df,// invsqrt(0.2686) = 1.9294 +32'h3d811212,32'h4079d65d,32'h40820474, 32'h40723074,32'h4085d769, 32'h40657146,32'h408c3700,// invsqrt(0.0630) = 3.9834 +32'h3fa78bf9,32'h3f5b4832,32'h3f643b78, 32'h3f5491be,32'h3f6af1ec, 32'h3f4961a6,32'h3f762204,// invsqrt(1.3090) = 0.8741 +32'h40b43f76,32'h3ed36a42,32'h3edc0b54, 32'h3eccf174,32'h3ee28422, 32'h3ec2281d,32'h3eed4d79,// invsqrt(5.6327) = 0.4213 +32'h3f6a6f84,32'h3f831515,32'h3f886ec3, 32'h3f7e23a7,32'h3f8c7205, 32'h3f70c376,32'h3f93221d,// invsqrt(0.9158) = 1.0450 +32'h404f624c,32'h3f0b5ea6,32'h3f110eec, 32'h3f071a72,32'h3f155320, 32'h3efffc37,32'h3f1c6f77,// invsqrt(3.2404) = 0.5555 +32'h3f70281c,32'h3f8182f9,32'h3f86cc3d, 32'h3f7b180d,32'h3f8ac330, 32'h3f6de0e4,32'h3f915ec4,// invsqrt(0.9381) = 1.0325 +32'h3f9dbf09,32'h3f61fdbf,32'h3f6b3720, 32'h3f5b12b7,32'h3f722229, 32'h3f4f8afe,32'h3f7da9e2,// invsqrt(1.2324) = 0.9008 +32'h4033812e,32'h3f15cd5e,32'h3f1beaa6, 32'h3f113768,32'h3f20809c, 32'h3f0992cf,32'h3f282535,// invsqrt(2.8048) = 0.5971 +32'h4082835a,32'h3ef873ee,32'h3f014c01, 32'h3ef0d8df,32'h3f051989, 32'h3ee42bc6,32'h3f0b7015,// invsqrt(4.0785) = 0.4952 +32'h41761c85,32'h3e7fded7,32'h3e852836, 32'h3e7809a7,32'h3e8912cf, 32'h3e6afbab,32'h3e8f99cc,// invsqrt(15.3820) = 0.2550 +32'h3f509b6f,32'h3f8af5e4,32'h3f90a1e3, 32'h3f86b4e5,32'h3f94e2e3, 32'h3f7f3bce,32'h3f9bf9e1,// invsqrt(0.8149) = 1.1078 +32'h3dcc0bdb,32'h4046b43b,32'h404ed07c, 32'h40409f0a,32'h4054e5ac, 32'h40367bb8,32'h405f08fe,// invsqrt(0.0996) = 3.1681 +32'h3f533a55,32'h3f8a1885,32'h3f8fbb7b, 32'h3f85de4d,32'h3f93f5b3, 32'h3f7da533,32'h3f9b0166,// invsqrt(0.8251) = 1.1009 +32'h3f0d5998,32'h3fa8d05b,32'h3fafb449, 32'h3fa3a568,32'h3fb4df3c, 32'h3f9b087d,32'h3fbd7c27,// invsqrt(0.5521) = 1.3458 +32'h3f3dac12,32'h3f91bb55,32'h3f97ae15, 32'h3f8d4546,32'h3f9c2424, 32'h3f85d5d6,32'h3fa39394,// invsqrt(0.7409) = 1.1618 +32'h402c86af,32'h3f18cd46,32'h3f1f09e6, 32'h3f141fce,32'h3f23b75e, 32'h3f0c5407,32'h3f2b8325,// invsqrt(2.6957) = 0.6091 +32'h3f5438d6,32'h3f89c59f,32'h3f8f6532, 32'h3f858df0,32'h3f939ce0, 32'h3f7d0cee,32'h3f9aa459,// invsqrt(0.8290) = 1.0983 +32'h3f883f8b,32'h3f732ae4,32'h3f7d17bf, 32'h3f6bb941,32'h3f8244b1, 32'h3f5f5130,32'h3f8878ba,// invsqrt(1.0644) = 0.9693 +32'h3effaef9,32'h3fb1823b,32'h3fb8c104, 32'h3fac1325,32'h3fbe301b, 32'h3fa304aa,32'h3fc73e96,// invsqrt(0.4994) = 1.4151 +32'h402857fb,32'h3f1ab038,32'h3f21008e, 32'h3f15f3f8,32'h3f25bcce, 32'h3f0e0f8c,32'h3f2da13a,// invsqrt(2.6304) = 0.6166 +32'h3f80724e,32'h3f7a718e,32'h3f825537, 32'h3f72c6e4,32'h3f862a8c, 32'h3f65ffcb,32'h3f8c8e18,// invsqrt(1.0035) = 0.9983 +32'h3dbcbe20,32'h404e9a4a,32'h40570914, 32'h40484733,32'h405d5c2b, 32'h403dbcb7,32'h4067e6a7,// invsqrt(0.0922) = 3.2940 +32'h3f22bc6e,32'h3f9d54b9,32'h3fa3c0ab, 32'h3f9883c3,32'h3fa891a1, 32'h3f907cd3,32'h3fb09891,// invsqrt(0.6357) = 1.2542 +32'h3fa5c5cb,32'h3f5c73c9,32'h3f657349, 32'h3f55b429,32'h3f6c32e9, 32'h3f4a74c8,32'h3f77724a,// invsqrt(1.2951) = 0.8787 +32'h40d66017,32'h3ec1dba2,32'h3ec9c540, 32'h3ebbec6b,32'h3ecfb477, 32'h3eb20866,32'h3ed9987c,// invsqrt(6.6992) = 0.3864 +32'h3f998123,32'h3f6517a3,32'h3f6e716b, 32'h3f5e144d,32'h3f7574c1, 32'h3f526413,32'h3f80927d,// invsqrt(1.1993) = 0.9132 +32'h3deddcd9,32'h403809c4,32'h403f8cc7, 32'h40326782,32'h40452f0a, 32'h402903bf,32'h404e92cd,// invsqrt(0.1161) = 2.9343 +32'h3f996c9e,32'h3f6526f4,32'h3f6e815c, 32'h3f5e2326,32'h3f75852a, 32'h3f527224,32'h3f809b16,// invsqrt(1.1986) = 0.9134 +32'h43821e9c,32'h3d78d409,32'h3d817e05, 32'h3d71360a,32'h3d854d05, 32'h3d648409,32'h3d8ba606,// invsqrt(260.2391) = 0.0620 +32'h3fb72e88,32'h3f51b717,32'h3f5a4667, 32'h3f4b4b9c,32'h3f60b1e2, 32'h3f409879,32'h3f6b6505,// invsqrt(1.4311) = 0.8359 +32'h4018ac71,32'h3f226ed4,32'h3f291016, 32'h3f1d75e2,32'h3f2e0908, 32'h3f152c50,32'h3f36529a,// invsqrt(2.3855) = 0.6475 +32'h3ff25ccb,32'h3f36525a,32'h3f3dc36e, 32'h3f30bd8c,32'h3f43583c, 32'h3f277034,32'h3f4ca594,// invsqrt(1.8935) = 0.7267 +32'h3ec09fea,32'h3fcc82a0,32'h3fd4db8c, 32'h3fc63fee,32'h3fdb1e3e, 32'h3fbbd0c7,32'h3fe58d65,// invsqrt(0.3762) = 1.6303 +32'h3e9f1771,32'h3fe1089d,32'h3fea37fc, 32'h3fda2515,32'h3ff11b83, 32'h3fcea9de,32'h3ffc96ba,// invsqrt(0.3107) = 1.7940 +32'h3ff81aee,32'h3f343305,32'h3f3b8deb, 32'h3f2eaed8,32'h3f411218, 32'h3f257d39,32'h3f4a43b7,// invsqrt(1.9383) = 0.7183 +32'h4037f7cd,32'h3f13f94d,32'h3f1a0379, 32'h3f0f71ab,32'h3f1e8b1b, 32'h3f07e4f3,32'h3f2617d3,// invsqrt(2.8745) = 0.5898 +32'h3d9f6a9a,32'h4060cde3,32'h4069fadd, 32'h4059ec28,32'h4070dc98, 32'h404e73f0,32'h407c54d0,// invsqrt(0.0778) = 3.5843 +32'h3f228516,32'h3f9d6f80,32'h3fa3dc8a, 32'h3f989db8,32'h3fa8ae52, 32'h3f90956b,32'h3fb0b69f,// invsqrt(0.6348) = 1.2551 +32'h3f551ff6,32'h3f897ad6,32'h3f8f175c, 32'h3f854571,32'h3f934cc1, 32'h3f7c8393,32'h3f9a5068,// invsqrt(0.8325) = 1.0960 +32'h3fb2ff0b,32'h3f542727,32'h3f5ccfef, 32'h3f4da891,32'h3f634e85, 32'h3f42d597,32'h3f6e217f,// invsqrt(1.3984) = 0.8456 +32'h3f88ac40,32'h3f72ca1d,32'h3f7cb304, 32'h3f6b5b6f,32'h3f8210d8, 32'h3f5ef84e,32'h3f884269,// invsqrt(1.0678) = 0.9678 +32'h410a05e8,32'h3eaad618,32'h3eb1cf29, 32'h3ea59b4c,32'h3eb709f6, 32'h3e9ce3f7,32'h3ebfc14b,// invsqrt(8.6264) = 0.3405 +32'h3faeed41,32'h3f569b44,32'h3f5f5db0, 32'h3f500974,32'h3f65ef80, 32'h3f45166e,32'h3f70e286,// invsqrt(1.3666) = 0.8554 +32'h3f3e0bd7,32'h3f919699,32'h3f9787d9, 32'h3f8d21a9,32'h3f9bfcc9, 32'h3f85b41a,32'h3fa36a58,// invsqrt(0.7424) = 1.1606 +32'h40028abe,32'h3f2fa9cc,32'h3f36d54c, 32'h3f2a492b,32'h3f3c35ed, 32'h3f2152cb,32'h3f452c4d,// invsqrt(2.0397) = 0.7002 +32'h43359cd4,32'h3d94ee27,32'h3d9b0253, 32'h3d905f07,32'h3d9f9173, 32'h3d88c5d0,32'h3da72aaa,// invsqrt(181.6126) = 0.0742 +32'h3fb1ef32,32'h3f54c8f9,32'h3f5d785c, 32'h3f4e456f,32'h3f63fbe7, 32'h3f436a34,32'h3f6ed723,// invsqrt(1.3901) = 0.8482 +32'h41e4cccd,32'h3e3ba5c9,32'h3e434e83, 32'h3e35e73e,32'h3e490d0e, 32'h3e2c5455,32'h3e529ff7,// invsqrt(28.6000) = 0.1870 +32'h3fd10298,32'h3f44548f,32'h3f4c5803, 32'h3f3e51f9,32'h3f525a99, 32'h3f344da8,32'h3f5c5eea,// invsqrt(1.6329) = 0.7826 +32'h3f674c97,32'h3f83f7d6,32'h3f895ac4, 32'h3f7fdb46,32'h3f8d64f7, 32'h3f7263f1,32'h3f9420a2,// invsqrt(0.9035) = 1.0520 +32'h3eb7d953,32'h3fd15597,32'h3fd9e0ec, 32'h3fcaed18,32'h3fe0496c, 32'h3fc03eef,32'h3feaf795,// invsqrt(0.3591) = 1.6688 +32'h4161aa71,32'h3e859aea,32'h3e8b0ef4, 32'h3e8183e3,32'h3e8f25fb, 32'h3e7565ae,32'h3e95f707,// invsqrt(14.1041) = 0.2663 +32'h3e48bbfa,32'h400da8cb,32'h401370fd, 32'h400952a5,32'h4017c723, 32'h40021867,32'h401f0161,// invsqrt(0.1960) = 2.2586 +32'h40ccf4b4,32'h3ec6433b,32'h3ece5adf, 32'h3ec03180,32'h3ed46c9a, 32'h3eb613f2,32'h3ede8a28,// invsqrt(6.4049) = 0.3951 +32'h3f464626,32'h3f8e8918,32'h3f945a72, 32'h3f8a2c15,32'h3f98b775, 32'h3f82e664,32'h3f9ffd26,// invsqrt(0.7745) = 1.1363 +32'h3f8972c7,32'h3f721a86,32'h3f7bfc42, 32'h3f6ab139,32'h3f81b2c8, 32'h3f5e570d,32'h3f87dfdd,// invsqrt(1.0738) = 0.9650 +32'h3f332a7e,32'h3f95f197,32'h3f9c1059, 32'h3f915a85,32'h3fa0a76b, 32'h3f89b412,32'h3fa84dde,// invsqrt(0.6999) = 1.1953 +32'h3f17bcc9,32'h3fa2eee8,32'h3fa99564, 32'h3f9df20a,32'h3fae9242, 32'h3f95a1ef,32'h3fb6e25d,// invsqrt(0.5927) = 1.2989 +32'h3e0fdd4a,32'h40275507,32'h402e297a, 32'h402235b0,32'h403348d0, 32'h4019ac20,32'h403bd260,// invsqrt(0.1405) = 2.6679 +32'h3ffb6efd,32'h3f3300ac,32'h3f3a4f12, 32'h3f2d85e1,32'h3f3fc9dd, 32'h3f2463e2,32'h3f48ebdc,// invsqrt(1.9643) = 0.7135 +32'h3fb6899c,32'h3f5215bf,32'h3f5aa8eb, 32'h3f4ba75e,32'h3f61174c, 32'h3f40ef66,32'h3f6bcf44,// invsqrt(1.4261) = 0.8374 +32'h40c870b8,32'h3ec87bc2,32'h3ed0aa9b, 32'h3ec258a0,32'h3ed6cdbe, 32'h3eb81e11,32'h3ee1084d,// invsqrt(6.2638) = 0.3996 +32'h3eead179,32'h3fb93a33,32'h3fc0c9a3, 32'h3fb38e9f,32'h3fc67537, 32'h3faa1b54,32'h3fcfe883,// invsqrt(0.4586) = 1.4766 +32'h40203b9e,32'h3f1e8e1b,32'h3f2506d8, 32'h3f19b38d,32'h3f29e165, 32'h3f119ca0,32'h3f31f852,// invsqrt(2.5036) = 0.6320 +32'h403ec5cc,32'h3f114f92,32'h3f173dec, 32'h3f0cdccf,32'h3f1bb0af, 32'h3f0572df,32'h3f231a9f,// invsqrt(2.9808) = 0.5792 +32'h3f0a75fa,32'h3faa90e7,32'h3fb18725, 32'h3fa55839,32'h3fb6bfd3, 32'h3f9ca46c,32'h3fbf73a0,// invsqrt(0.5409) = 1.3597 +32'h3e8ae465,32'h3ff0d78b,32'h3ffaac18, 32'h3fe97820,32'h400105c1, 32'h3fdd2e6f,32'h40072a99,// invsqrt(0.2713) = 1.9200 +32'h3f51d993,32'h3f8a8c67,32'h3f903417, 32'h3f864ea2,32'h3f9471dc, 32'h3f7e7a0b,32'h3f9b8378,// invsqrt(0.8197) = 1.1045 +32'h3fba0ecf,32'h3f501687,32'h3f5894d5, 32'h3f49b7cc,32'h3f5ef390, 32'h3f3f19e9,32'h3f699173,// invsqrt(1.4536) = 0.8294 +32'h3f79c2e5,32'h3f7dfe76,32'h3f842e39, 32'h3f7637fb,32'h3f881176, 32'h3f694282,32'h3f8e8c33,// invsqrt(0.9756) = 1.0124 +32'h400397f4,32'h3f2ef5c1,32'h3f3619e8, 32'h3f299aa3,32'h3f3b7505, 32'h3f20ad72,32'h3f446236,// invsqrt(2.0561) = 0.6974 +32'h3faeadd5,32'h3f56c236,32'h3f5f8638, 32'h3f502f34,32'h3f66193a, 32'h3f453a32,32'h3f710e3d,// invsqrt(1.3647) = 0.8560 +32'h3fcd5833,32'h3f46132d,32'h3f4e28db, 32'h3f4002ea,32'h3f54391e, 32'h3f35e7d0,32'h3f5e5438,// invsqrt(1.6043) = 0.7895 +32'h3f7fd3a8,32'h3f7af705,32'h3f829aac, 32'h3f734845,32'h3f86720b, 32'h3f667a5d,32'h3f8cd900,// invsqrt(0.9993) = 1.0003 +32'h3efa58ed,32'h3fb363fa,32'h3fbab66c, 32'h3fade624,32'h3fc03442, 32'h3fa4bf14,32'h3fc95b52,// invsqrt(0.4890) = 1.4301 +32'h3f4bdaaf,32'h3f8c9236,32'h3f924f0a, 32'h3f884498,32'h3f969ca8, 32'h3f811890,32'h3f9dc8b0,// invsqrt(0.7963) = 1.1206 +32'h4088936d,32'h3ef2e02c,32'h3efcc9fa, 32'h3eeb70d2,32'h3f021caa, 32'h3edf0c91,32'h3f084eca,// invsqrt(4.2680) = 0.4840 +32'h40b4ce59,32'h3ed316a7,32'h3edbb450, 32'h3ecca069,32'h3ee22a8f, 32'h3ec1db56,32'h3eecefa2,// invsqrt(5.6502) = 0.4207 +32'h3fc026fe,32'h3f4cc2ef,32'h3f551e7b, 32'h3f467e46,32'h3f5b6324, 32'h3f3c0bd6,32'h3f65d594,// invsqrt(1.5012) = 0.8162 +32'h3f5602c7,32'h3f8931e8,32'h3f8ecb74, 32'h3f84febf,32'h3f92fe9d, 32'h3f7bfda0,32'h3f99fe8c,// invsqrt(0.8360) = 1.0937 +32'h3f4373d9,32'h3f8f8f86,32'h3f956b96, 32'h3f8b2a7a,32'h3f99d0a2, 32'h3f83d766,32'h3fa123b6,// invsqrt(0.7635) = 1.1445 +32'h3f5a0835,32'h3f87ec85,32'h3f8d78c9, 32'h3f83c352,32'h3f91a1fc, 32'h3f79a7f9,32'h3f989151,// invsqrt(0.8517) = 1.0836 +32'h40a3a69b,32'h3edde078,32'h3ee6eedb, 32'h3ed715ae,32'h3eedb9a6, 32'h3ecbc3b3,32'h3ef90ba1,// invsqrt(5.1141) = 0.4422 +32'h3ec8d5c4,32'h3fc8494d,32'h3fd07617, 32'h3fc227b6,32'h3fd697ae, 32'h3fb7efba,32'h3fe0cfaa,// invsqrt(0.3923) = 1.5967 +32'h3f99999a,32'h3f650564,32'h3f6e5e6d, 32'h3f5e029c,32'h3f756134, 32'h3f525351,32'h3f808840,// invsqrt(1.2000) = 0.9129 +32'h3ea70a7d,32'h3fdb9d1f,32'h3fe493dc, 32'h3fd4e410,32'h3feb4cea, 32'h3fc9afa4,32'h3ff68156,// invsqrt(0.3263) = 1.7507 +32'h3f2e0638,32'h3f982487,32'h3f9e5a44, 32'h3f937c3a,32'h3fa30292, 32'h3f8bb90f,32'h3faac5bd,// invsqrt(0.6798) = 1.2129 +32'h3fc63861,32'h3f499a60,32'h3f51d4ec, 32'h3f436e77,32'h3f5800d5, 32'h3f392549,32'h3f624a03,// invsqrt(1.5486) = 0.8036 +32'h3fb62e38,32'h3f524a6a,32'h3f5adfbd, 32'h3f4bda6d,32'h3f614fbb, 32'h3f411fc5,32'h3f6c0a63,// invsqrt(1.4233) = 0.8382 +32'h3fd00a93,32'h3f44c974,32'h3f4cd1ac, 32'h3f3ec349,32'h3f52d7d7, 32'h3f34b902,32'h3f5ce21e,// invsqrt(1.6253) = 0.7844 +32'h3ebb81e7,32'h3fcf4837,32'h3fd7be1a, 32'h3fc8efcd,32'h3fde1685, 32'h3fbe5c72,32'h3fe8a9e0,// invsqrt(0.3662) = 1.6524 +32'h3fa7cbad,32'h3f5b1e8e,32'h3f641020, 32'h3f54695f,32'h3f6ac54f, 32'h3f493b68,32'h3f75f346,// invsqrt(1.3109) = 0.8734 +32'h3e5e320b,32'h4006a4fa,32'h400c23e0, 32'h400285ce,32'h4010430c, 32'h3ff74e5e,32'h401721ab,// invsqrt(0.2170) = 2.1468 +32'h3febd93b,32'h3f38d283,32'h3f405db8, 32'h3f332a1c,32'h3f460620, 32'h3f29bc1b,32'h3f4f7421,// invsqrt(1.8426) = 0.7367 +32'h3e053adf,32'h402de1d6,32'h4034faba, 32'h40288f2b,32'h403a4d65, 32'h401fb00e,32'h40432c82,// invsqrt(0.1301) = 2.7724 +32'h3fc76897,32'h3f49005d,32'h3f5134a0, 32'h3f42d92c,32'h3f575bd2, 32'h3f3897d9,32'h3f619d25,// invsqrt(1.5579) = 0.8012 +32'h3f9c9d9e,32'h3f62ce2f,32'h3f6c1011, 32'h3f5bdcc5,32'h3f73017b, 32'h3f504a6a,32'h3f7e93d6,// invsqrt(1.2236) = 0.9040 +32'h401f4900,32'h3f1f06ae,32'h3f258457, 32'h3f1a286f,32'h3f2a6295, 32'h3f120b5b,32'h3f327fa9,// invsqrt(2.4888) = 0.6339 +32'h3e747261,32'h40005ebe,32'h40059c14, 32'h3ff8e17b,32'h40098a15, 32'h3febc823,32'h401016c0,// invsqrt(0.2387) = 2.0467 +32'h3e9970ee,32'h3fe523bc,32'h3fee7e02, 32'h3fde2007,32'h3ff581b7, 32'h3fd26f2f,32'h40009947,// invsqrt(0.2997) = 1.8267 +32'h3f0d68fe,32'h3fa8c729,32'h3fafaab8, 32'h3fa39c7f,32'h3fb4d563, 32'h3f9b000c,32'h3fbd71d6,// invsqrt(0.5524) = 1.3455 +32'h3f912172,32'h3f6b9bd9,32'h3f7539b8, 32'h3f646571,32'h3f7c701f, 32'h3f58601a,32'h3f843abb,// invsqrt(1.1338) = 0.9391 +32'h3e13af9d,32'h40252729,32'h402be4d7, 32'h402018e6,32'h4030f31a, 32'h4017abcd,32'h40396033,// invsqrt(0.1442) = 2.6332 +32'h3f206802,32'h3f9e7829,32'h3fa4f001, 32'h3f999e47,32'h3fa9c9e3, 32'h3f918879,32'h3fb1dfb1,// invsqrt(0.6266) = 1.2633 +32'h3f11b6ce,32'h3fa64446,32'h3fad0d98, 32'h3fa12d49,32'h3fb22495, 32'h3f98b1a4,32'h3fbaa03a,// invsqrt(0.5692) = 1.3255 +32'h3f17b278,32'h3fa2f472,32'h3fa99b28, 32'h3f9df769,32'h3fae9831, 32'h3f95a705,32'h3fb6e895,// invsqrt(0.5926) = 1.2991 +32'h3faf35eb,32'h3f566ebf,32'h3f5f2f59, 32'h3f4fde4b,32'h3f65bfcd, 32'h3f44ed8b,32'h3f70b08d,// invsqrt(1.3688) = 0.8547 +32'h3f9eca08,32'h3f613f70,32'h3f6a710c, 32'h3f5a5a3b,32'h3f715641, 32'h3f4edc38,32'h3f7cd444,// invsqrt(1.2405) = 0.8978 +32'h3f210259,32'h3f9e2c23,32'h3fa4a0e1, 32'h3f995495,32'h3fa9786f, 32'h3f9142a8,32'h3fb18a5c,// invsqrt(0.6289) = 1.2609 +32'h400d6063,32'h3f28cc4c,32'h3f2fb011, 32'h3f23a179,32'h3f34dae5, 32'h3f1b04c4,32'h3f3d779a,// invsqrt(2.2090) = 0.6728 +32'h3f6b20f7,32'h3f82e395,32'h3f883b3d, 32'h3f7dc3ae,32'h3f8c3cfb, 32'h3f70688a,32'h3f92ea8d,// invsqrt(0.9185) = 1.0434 +32'h3ec65898,32'h3fc98a00,32'h3fd1c3e0, 32'h3fc35e97,32'h3fd7ef49, 32'h3fb9163e,32'h3fe237a2,// invsqrt(0.3874) = 1.6067 +32'h3f819f3b,32'h3f794e2e,32'h3f81bd96, 32'h3f71ac71,32'h3f858e74, 32'h3f64f435,32'h3f8bea92,// invsqrt(1.0127) = 0.9937 +32'h3f69eeef,32'h3f833917,32'h3f88943c, 32'h3f7e6974,32'h3f8c9898, 32'h3f710597,32'h3f934a87,// invsqrt(0.9138) = 1.0461 +32'h40187761,32'h3f228b15,32'h3f292d7f, 32'h3f1d9146,32'h3f2e274e, 32'h3f154643,32'h3f367251,// invsqrt(2.3823) = 0.6479 +32'h3e6a0dad,32'h40033078,32'h40088b44, 32'h3ffe58bf,32'h400c8f5c, 32'h3ff0f5c3,32'h401340db,// invsqrt(0.2286) = 2.0917 +32'h3f2a53a2,32'h3f99c906,32'h3fa00fec, 32'h3f9513d9,32'h3fa4c519, 32'h3f8d3b3a,32'h3fac9db8,// invsqrt(0.6653) = 1.2260 +32'h3f92eb43,32'h3f6a2ba1,32'h3f73ba79, 32'h3f630080,32'h3f7ae59a, 32'h3f570df2,32'h3f836c14,// invsqrt(1.1478) = 0.9334 +32'h3f19fdc7,32'h3fa1bc88,32'h3fa85684, 32'h3f9cc90c,32'h3fad4a00, 32'h3f948892,32'h3fb58a7a,// invsqrt(0.6015) = 1.2894 +32'h400f2a3d,32'h3f27bd89,32'h3f2e9641, 32'h3f229b00,32'h3f33b8ca, 32'h3f1a0c1b,32'h3f3c47af,// invsqrt(2.2370) = 0.6686 +32'h3f016603,32'h3fb0700e,32'h3fb7a3a6, 32'h3fab095c,32'h3fbd0a58, 32'h3fa208de,32'h3fc60ad6,// invsqrt(0.5055) = 1.4066 +32'h3fa31bb6,32'h3f5e3edc,32'h3f67511a, 32'h3f57712e,32'h3f6e1ec8, 32'h3f4c1a62,32'h3f797594,// invsqrt(1.2743) = 0.8859 +32'h3f3e6449,32'h3f9174c4,32'h3f9764a2, 32'h3f8d00dd,32'h3f9bd889, 32'h3f859508,32'h3fa3445e,// invsqrt(0.7437) = 1.1596 +32'h3ff9fd7c,32'h3f3384c6,32'h3f3ad88f, 32'h3f2e05ee,32'h3f405766, 32'h3f24dd33,32'h3f498021,// invsqrt(1.9530) = 0.7156 +32'h40276471,32'h3f1b2097,32'h3f217583, 32'h3f1660e6,32'h3f263534, 32'h3f0e76bf,32'h3f2e1f5b,// invsqrt(2.6155) = 0.6183 +32'h42296525,32'h3e1a3521,32'h3e208071, 32'h3e157ca5,32'h3e2538ed, 32'h3e0d9e82,32'h3e2d1710,// invsqrt(42.3488) = 0.1537 +32'h3f9ca290,32'h3f62ca9a,32'h3f6c0c58, 32'h3f5bd94d,32'h3f72fda5, 32'h3f504720,32'h3f7e8fd2,// invsqrt(1.2237) = 0.9040 +32'h3eb3dc85,32'h3fd3a460,32'h3fdc47d2, 32'h3fcd29cb,32'h3fe2c267, 32'h3fc25d7d,32'h3fed8eb5,// invsqrt(0.3513) = 1.6872 +32'h3f4c7e18,32'h3f8c5a01,32'h3f921488, 32'h3f880e1a,32'h3f96606e, 32'h3f80e4f0,32'h3f9d8998,// invsqrt(0.7988) = 1.1189 +32'h3f135b0d,32'h3fa55685,32'h3fac1622, 32'h3fa046d0,32'h3fb125d8, 32'h3f97d74c,32'h3fb9955c,// invsqrt(0.5756) = 1.3181 +32'h3fce0c68,32'h3f45bc7c,32'h3f4dcea0, 32'h3f3faee0,32'h3f53dc3c, 32'h3f359833,32'h3f5df2e9,// invsqrt(1.6098) = 0.7882 +32'h409e2fe7,32'h3ee1ad11,32'h3eeae327, 32'h3edac481,32'h3ef1cbb7, 32'h3ecf40e6,32'h3efd4f52,// invsqrt(4.9433) = 0.4498 +32'h402d1fc0,32'h3f1889ab,32'h3f1ec388, 32'h3f13de45,32'h3f236eed, 32'h3f0c15f0,32'h3f2b3742,// invsqrt(2.7051) = 0.6080 +32'h3f140c4f,32'h3fa4f36d,32'h3fabaeff, 32'h3f9fe6c0,32'h3fb0bbac, 32'h3f977c4a,32'h3fb92622,// invsqrt(0.5783) = 1.3150 +32'h3f5ff365,32'h3f861da1,32'h3f8b9701, 32'h3f82029a,32'h3f8fb208, 32'h3f7655c5,32'h3f9689c0,// invsqrt(0.8748) = 1.0692 +32'h401bde3d,32'h3f20c280,32'h3f275247, 32'h3f1bd6ac,32'h3f2c3e1c, 32'h3f13a2f4,32'h3f3471d4,// invsqrt(2.4354) = 0.6408 +32'h3f362b8e,32'h3f94b3c4,32'h3f9ac58e, 32'h3f90266d,32'h3f9f52e5, 32'h3f889032,32'h3fa6e920,// invsqrt(0.7116) = 1.1854 +32'h409411d1,32'h3ee94242,32'h3ef2c794, 32'h3ee21e46,32'h3ef9eb90, 32'h3ed637a0,32'h3f02e91b,// invsqrt(4.6272) = 0.4649 +32'h3fc81966,32'h3f48a77c,32'h3f50d81e, 32'h3f428303,32'h3f56fc97, 32'h3f384638,32'h3f613962,// invsqrt(1.5633) = 0.7998 +32'h3f3aff97,32'h3f92c51a,32'h3f98c2b2, 32'h3f8e46e7,32'h3f9d40e5, 32'h3f86c9e9,32'h3fa4bde3,// invsqrt(0.7305) = 1.1700 +32'h3e23fd93,32'h401cba60,32'h40232006, 32'h4017ee24,32'h4027ec42, 32'h400fef14,32'h402feb52,// invsqrt(0.1601) = 2.4989 +32'h3ef62d34,32'h3fb4e75f,32'h3fbc49a1, 32'h3faf5dad,32'h3fc1d353, 32'h3fa622da,32'h3fcb0e26,// invsqrt(0.4808) = 1.4422 +32'h404d42c0,32'h3f0c16b5,32'h3f11ce7d, 32'h3f07ccde,32'h3f161854, 32'h3f00a723,32'h3f1d3e0f,// invsqrt(3.2072) = 0.5584 +32'h3eb9da6f,32'h3fd033d7,32'h3fd8b357, 32'h3fc9d436,32'h3fdf12f8, 32'h3fbf34d5,32'h3fe9b259,// invsqrt(0.3630) = 1.6598 +32'h3f9525ba,32'h3f686a1d,32'h3f71e69b, 32'h3f614cbe,32'h3f7903fa, 32'h3f557120,32'h3f826fcc,// invsqrt(1.1652) = 0.9264 +32'h4053b067,32'h3f09f1fd,32'h3f0f9361, 32'h3f05b8f3,32'h3f13cc6b, 32'h3efd5e6e,32'h3f1ad627,// invsqrt(3.3076) = 0.5498 +32'h3e317341,32'h4016aab8,32'h401cd108, 32'h40120dfb,32'h40216dc5, 32'h400a5e16,32'h40291daa,// invsqrt(0.1733) = 2.4022 +32'h3f1b44cb,32'h3fa111dc,32'h3fa7a4e0, 32'h3f9c2399,32'h3fac9323, 32'h3f93ebd5,32'h3fb4cae7,// invsqrt(0.6065) = 1.2840 +32'h3f6dbd57,32'h3f822b16,32'h3f877b36, 32'h3f7c5dfb,32'h3f8b774e, 32'h3f6f15ab,32'h3f921b77,// invsqrt(0.9287) = 1.0377 +32'h3ddd9624,32'h403ead6f,32'h404675d1, 32'h4038d725,32'h404c4c1b, 32'h402f1caa,32'h40560696,// invsqrt(0.1082) = 3.0401 +32'h3f9bbdc6,32'h3f6370f2,32'h3f6cb97a, 32'h3f5c7a8d,32'h3f73afdf, 32'h3f50dfe4,32'h3f7f4a88,// invsqrt(1.2167) = 0.9066 +32'h3f280f46,32'h3f9ad1ab,32'h3fa1235e, 32'h3f961464,32'h3fa5e0a4, 32'h3f8e2e44,32'h3fadc6c4,// invsqrt(0.6565) = 1.2342 +32'h3efc3ecb,32'h3fb2b6e2,32'h3fba0244, 32'h3fad3e58,32'h3fbf7ace, 32'h3fa4201e,32'h3fc89908,// invsqrt(0.4927) = 1.4247 +32'h3e60e338,32'h4005d60b,32'h400b4c7f, 32'h4001bd35,32'h400f6555, 32'h3ff5d249,32'h40163966,// invsqrt(0.2196) = 2.1339 +32'h3f91d7b0,32'h3f6b0876,32'h3f74a050, 32'h3f63d691,32'h3f7bd235, 32'h3f57d8c0,32'h3f83e803,// invsqrt(1.1394) = 0.9368 +32'h3e8b4a37,32'h3ff07f74,32'h3ffa5069, 32'h3fe922bc,32'h4000d690, 32'h3fdcdd89,32'h4006f929,// invsqrt(0.2721) = 1.9172 +32'h3c77256d,32'h40ff5591,32'h4104e0c6, 32'h40f78494,32'h4108c944, 32'h40ea7d99,32'h410f4cc1,// invsqrt(0.0151) = 8.1420 +32'h3f8e7476,32'h3f6dcfaa,32'h3f77848d, 32'h3f668801,32'h3f7ecc37, 32'h3f5a65e6,32'h3f857729,// invsqrt(1.1129) = 0.9479 +32'h3fdac855,32'h3f3fe53d,32'h3f47ba59, 32'h3f3a0567,32'h3f4d9a2f, 32'h3f303b04,32'h3f576493,// invsqrt(1.7092) = 0.7649 +32'h3e8d4021,32'h3feed2ac,32'h3ff89220, 32'h3fe78314,32'h3fffe1b8, 32'h3fdb53c2,32'h40060885,// invsqrt(0.2759) = 1.9039 +32'h3fc3713b,32'h3f4b07dd,32'h3f535153, 32'h3f44d0c4,32'h3f59886c, 32'h3f3a74ef,32'h3f63e441,// invsqrt(1.5269) = 0.8093 +32'h3ebb7fe2,32'h3fcf4955,32'h3fd7bf43, 32'h3fc8f0e2,32'h3fde17b6, 32'h3fbe5d78,32'h3fe8ab20,// invsqrt(0.3662) = 1.6525 +32'h3f571b11,32'h3f88d869,32'h3f8e6e4d, 32'h3f84a7fd,32'h3f929eb9, 32'h3f7b593d,32'h3f999a17,// invsqrt(0.8403) = 1.0909 +32'h40aa246e,32'h3ed99a5e,32'h3ee27c18, 32'h3ed2f112,32'h3ee92564, 32'h3ec7d6e8,32'h3ef43f8e,// invsqrt(5.3169) = 0.4337 +32'h3f7009ac,32'h3f818b2f,32'h3f86d4c8, 32'h3f7b27f6,32'h3f8acbfb, 32'h3f6deff7,32'h3f9167fa,// invsqrt(0.9376) = 1.0327 +32'h3d3747e7,32'h4094403e,32'h409a4d50, 32'h408fb670,32'h409ed71e, 32'h4088261a,32'h40a66774,// invsqrt(0.0447) = 4.7274 +32'h3fcfca29,32'h3f44e7f2,32'h3f4cf16a, 32'h3f3ee0d8,32'h3f52f884, 32'h3f34d503,32'h3f5d0459,// invsqrt(1.6234) = 0.7849 +32'h3fa53f3f,32'h3f5ccd76,32'h3f65d0a0, 32'h3f560b17,32'h3f6c92ff, 32'h3f4ac724,32'h3f77d6f3,// invsqrt(1.2910) = 0.8801 +32'h3f1a65f5,32'h3fa185ee,32'h3fa81dae, 32'h3f9c941d,32'h3fad0f7f, 32'h3f94566d,32'h3fb54d2f,// invsqrt(0.6031) = 1.2877 +32'h3f976595,32'h3f66ae73,32'h3f7018d6, 32'h3f5f9eaa,32'h3f7728a0, 32'h3f53d9ae,32'h3f8176ce,// invsqrt(1.1828) = 0.9195 +32'h3f9fc090,32'h3f60915f,32'h3f69bbe1, 32'h3f59b17e,32'h3f709bc2, 32'h3f4e3c5d,32'h3f7c10e3,// invsqrt(1.2481) = 0.8951 +32'h3f4aa360,32'h3f8cfe07,32'h3f92bf41, 32'h3f88ad1c,32'h3f97102c, 32'h3f817b94,32'h3f9e41b4,// invsqrt(0.7916) = 1.1240 +32'h3fafbd71,32'h3f561c01,32'h3f5ed93b, 32'h3f4f8e16,32'h3f656726, 32'h3f44a18e,32'h3f7053ae,// invsqrt(1.3730) = 0.8534 +32'h3fa7dc17,32'h3f5b13d7,32'h3f6404f9, 32'h3f545efc,32'h3f6ab9d4, 32'h3f493191,32'h3f75e73f,// invsqrt(1.3114) = 0.8732 +32'h3f8356dc,32'h3f77ab90,32'h3f80e3bb, 32'h3f7016a3,32'h3f84ae32, 32'h3f6373c3,32'h3f8affa2,// invsqrt(1.0261) = 0.9872 +32'h3f6a30f7,32'h3f832696,32'h3f8880fa, 32'h3f7e4596,32'h3f8c84c5, 32'h3f70e39b,32'h3f9335c2,// invsqrt(0.9148) = 1.0455 +32'h3f0e44ca,32'h3fa84497,32'h3faf22d1, 32'h3fa31deb,32'h3fb4497d, 32'h3f9a8822,32'h3fbcdf46,// invsqrt(0.5557) = 1.3414 +32'h404b7841,32'h3f0cb432,32'h3f127268, 32'h3f086589,32'h3f16c111, 32'h3f0137c5,32'h3f1deed5,// invsqrt(3.1792) = 0.5608 +32'h3efbb9f0,32'h3fb2e604,32'h3fba3353, 32'h3fad6c0a,32'h3fbfad4e, 32'h3fa44b68,32'h3fc8cdf0,// invsqrt(0.4917) = 1.4262 +32'h42f99edd,32'h3db3a6c9,32'h3dbafbf5, 32'h3dae26e7,32'h3dc07bd7, 32'h3da4fc6f,32'h3dc9a64f,// invsqrt(124.8103) = 0.0895 +32'h3f98068f,32'h3f663431,32'h3f6f9997, 32'h3f5f2825,32'h3f76a5a3, 32'h3f536967,32'h3f813231,// invsqrt(1.1877) = 0.9176 +32'h3ab2927c,32'h41d4679a,32'h41dd1303, 32'h41cde70a,32'h41e39392, 32'h41c310c6,32'h41ee69d6,// invsqrt(0.0014) = 27.0924 +32'h401da04e,32'h3f1fdc5a,32'h3f2662bc, 32'h3f1af791,32'h3f2b4785, 32'h3f12cf97,32'h3f336f7f,// invsqrt(2.4629) = 0.6372 +32'h3f7aa0de,32'h3f7d8de3,32'h3f83f3a3, 32'h3f75cad9,32'h3f87d527, 32'h3f68db1f,32'h3f8e4d05,// invsqrt(0.9790) = 1.0107 +32'h3c319803,32'h41169b20,32'h411cc0cd, 32'h4111fedd,32'h41215d0f, 32'h410a4fc4,32'h41290c28,// invsqrt(0.0108) = 9.6050 +32'h3e8d8f35,32'h3fee8fee,32'h3ff84ca9, 32'h3fe74261,32'h3fff9a35, 32'h3fdb1677,32'h4005e310,// invsqrt(0.2765) = 1.9018 +32'h3f91c0a9,32'h3f6b1b06,32'h3f74b3a4, 32'h3f63e891,32'h3f7be619, 32'h3f57e9cc,32'h3f83f26f,// invsqrt(1.1387) = 0.9371 +32'h3e6353c8,32'h40051db2,32'h400a8ca0, 32'h40010a81,32'h400e9fd1, 32'h3ff47fb0,32'h40156a7a,// invsqrt(0.2220) = 2.1224 +32'h3c8373cf,32'h40f79049,32'h4100d589, 32'h40effc31,32'h41049f94, 32'h40e35ab5,32'h410af052,// invsqrt(0.0160) = 7.8942 +32'h3f42b60b,32'h3f8fd56e,32'h3f95b458, 32'h3f8b6e3e,32'h3f9a1b88, 32'h3f841799,32'h3fa1722d,// invsqrt(0.7606) = 1.1466 +32'h3fa3724b,32'h3f5e03f7,32'h3f6713cd, 32'h3f573817,32'h3f6ddfad, 32'h3f4be44b,32'h3f793379,// invsqrt(1.2769) = 0.8849 +32'h3f003226,32'h3fb1436b,32'h3fb87fa4, 32'h3fabd641,32'h3fbdeccf, 32'h3fa2cafa,32'h3fc6f816,// invsqrt(0.5008) = 1.4131 +32'h413cbdbe,32'h3e92173b,32'h3e980dbb, 32'h3e8d9e5b,32'h3e9c869b, 32'h3e862a3c,32'h3ea3faba,// invsqrt(11.7963) = 0.2912 +32'h3f846728,32'h3f76ac5f,32'h3f805eee, 32'h3f6f1f42,32'h3f84257c, 32'h3f628967,32'h3f8a706a,// invsqrt(1.0344) = 0.9832 +32'h3ff6e80a,32'h3f34a2e0,32'h3f3c0256, 32'h3f2f1b46,32'h3f4189f0, 32'h3f25e3f2,32'h3f4ac144,// invsqrt(1.9290) = 0.7200 +32'h404bf60a,32'h3f0c88c9,32'h3f124539, 32'h3f083b74,32'h3f16928e, 32'h3f010fe7,32'h3f1dbe1b,// invsqrt(3.1869) = 0.5602 +32'h3f005940,32'h3fb12869,32'h3fb86387, 32'h3fabbc12,32'h3fbdcfde, 32'h3fa2b22c,32'h3fc6d9c4,// invsqrt(0.5014) = 1.4123 +32'h3f8edfdc,32'h3f6d7638,32'h3f772774, 32'h3f66314b,32'h3f7e6c61, 32'h3f5a13c1,32'h3f8544f6,// invsqrt(1.1162) = 0.9465 +32'h3fa60946,32'h3f5c46f8,32'h3f6544a4, 32'h3f5588b7,32'h3f6c02e5, 32'h3f4a4ba0,32'h3f773ffc,// invsqrt(1.2972) = 0.8780 +32'h3fd71459,32'h3f418a55,32'h3f4970a1, 32'h3f3b9d9b,32'h3f4f5d5b, 32'h3f31bdbc,32'h3f593d3b,// invsqrt(1.6803) = 0.7714 +32'h3f057376,32'h3fadbcf4,32'h3fb4d457, 32'h3fa86b6a,32'h3fba25e2, 32'h3f9f8e2f,32'h3fc3031d,// invsqrt(0.5213) = 1.3850 +32'h3f157300,32'h3fa42d03,32'h3faae07b, 32'h3f9f2669,32'h3fafe715, 32'h3f96c612,32'h3fb8476c,// invsqrt(0.5838) = 1.3088 +32'h3feaab72,32'h3f394935,32'h3f40d941, 32'h3f339d2b,32'h3f46854b, 32'h3f2a291c,32'h3f4ff95b,// invsqrt(1.8334) = 0.7385 +32'h3f9291b6,32'h3f6a7320,32'h3f7404e2, 32'h3f6345ce,32'h3f7b3234, 32'h3f574f9a,32'h3f839434,// invsqrt(1.1451) = 0.9345 +32'h3f8810e9,32'h3f73548c,32'h3f7d431a, 32'h3f6be1a2,32'h3f825b02, 32'h3f5f7771,32'h3f88901a,// invsqrt(1.0630) = 0.9699 +32'h4045302e,32'h3f0eed6c,32'h3f14c2de, 32'h3f0a8d56,32'h3f1922f4, 32'h3f034288,32'h3f206dc2,// invsqrt(3.0811) = 0.5697 +32'h40019144,32'h3f305299,32'h3f3784fd, 32'h3f2aecce,32'h3f3ceac8, 32'h3f21edd0,32'h3f45e9c6,// invsqrt(2.0245) = 0.7028 +32'h3e6eb5f8,32'h4001e73b,32'h40073496, 32'h3ffbda6c,32'h400b2e9a, 32'h3fee9908,32'h4011cf4c,// invsqrt(0.2331) = 2.0712 +32'h3f7df99b,32'h3f7be0cf,32'h3f831457, 32'h3f742ae8,32'h3f86ef4a, 32'h3f675111,32'h3f8d5c35,// invsqrt(0.9921) = 1.0040 +32'h3ec83be4,32'h3fc89633,32'h3fd0c621, 32'h3fc27242,32'h3fd6ea12, 32'h3fb83659,32'h3fe125fb,// invsqrt(0.3911) = 1.5991 +32'h3ed45475,32'h3fc2ca1a,32'h3fcabd74, 32'h3fbcd397,32'h3fd0b3f7, 32'h3fb2e366,32'h3fdaa428,// invsqrt(0.4147) = 1.5528 +32'h3c7d345b,32'h40fc42d8,32'h4103475c, 32'h40f489f1,32'h410723cf, 32'h40e7ab1a,32'h410d933b,// invsqrt(0.0155) = 8.0440 +32'h3fec1b3c,32'h3f38b8ac,32'h3f4042d2, 32'h3f33110f,32'h3f45ea6f, 32'h3f29a45f,32'h3f4f571f,// invsqrt(1.8446) = 0.7363 +32'h3fd2b69f,32'h3f438904,32'h3f4b8428, 32'h3f3d8ca8,32'h3f518084, 32'h3f3392ba,32'h3f5b7a72,// invsqrt(1.6462) = 0.7794 +32'h3e7cfb8c,32'h3ffc5f29,32'h40035618, 32'h3ff4a563,32'h400732fa, 32'h3fe7c51b,32'h400da31f,// invsqrt(0.2471) = 2.0119 +32'h4108e823,32'h3eab8808,32'h3eb2885b, 32'h3ea647c8,32'h3eb7c89a, 32'h3e9d875f,32'h3ec08903,// invsqrt(8.5567) = 0.3419 +32'h3f8a92c1,32'h3f711e72,32'h3f7af5e5, 32'h3f69bcdd,32'h3f812bbe, 32'h3f5d6f8e,32'h3f875265,// invsqrt(1.0826) = 0.9611 +32'h3f945d93,32'h3f6906ad,32'h3f72898f, 32'h3f61e483,32'h3f79abb9, 32'h3f5600e8,32'h3f82c7aa,// invsqrt(1.1591) = 0.9288 +32'h3f16eadc,32'h3fa36012,32'h3faa0b2d, 32'h3f9e5fbe,32'h3faf0b82, 32'h3f9609dd,32'h3fb76163,// invsqrt(0.5895) = 1.3024 +32'h422a182f,32'h3e19e3e3,32'h3e202be2, 32'h3e152de4,32'h3e24e1e2, 32'h3e0d53e6,32'h3e2cbbe0,// invsqrt(42.5236) = 0.1534 +32'h3f3e3b45,32'h3f918471,32'h3f9774f3, 32'h3f8d1010,32'h3f9be954, 32'h3f85a36d,32'h3fa355f7,// invsqrt(0.7431) = 1.1601 +32'h3fe82b1c,32'h3f3a4809,32'h3f41e27d, 32'h3f349433,32'h3f479653, 32'h3f2b1323,32'h3f511763,// invsqrt(1.8138) = 0.7425 +32'h40704090,32'h3f017c61,32'h3f06c560, 32'h3efb0b45,32'h3f0abc1f, 32'h3eedd4c8,32'h3f11575e,// invsqrt(3.7539) = 0.5161 +32'h3f4e234c,32'h3f8bca53,32'h3f917efd, 32'h3f8782d3,32'h3f95c67d, 32'h3f8060fe,32'h3f9ce852,// invsqrt(0.8052) = 1.1144 +32'h3e12caa3,32'h4025a7c6,32'h402c6ab4, 32'h40209594,32'h40317ce6, 32'h401821ea,32'h4039f090,// invsqrt(0.1434) = 2.6412 +32'h3eeba5c1,32'h3fb8e6b2,32'h3fc072ba, 32'h3fb33dad,32'h3fc61bbf, 32'h3fa9cea4,32'h3fcf8ac8,// invsqrt(0.4602) = 1.4740 +32'h40598bef,32'h3f081352,32'h3f0da12c, 32'h3f03e8ef,32'h3f11cb8f, 32'h3ef9ef3e,32'h3f18bcdf,// invsqrt(3.3992) = 0.5424 +32'h3e5e51f6,32'h40069b4f,32'h400c19d0, 32'h40027c6f,32'h401038b1, 32'h3ff73c9d,32'h401716d2,// invsqrt(0.2171) = 2.1462 +32'h408570af,32'h3ef5b678,32'h3effbdeb, 32'h3eee30e3,32'h3f03a1c1, 32'h3ee1a793,32'h3f09e668,// invsqrt(4.1700) = 0.4897 +32'h41a95857,32'h3e5a1d56,32'h3e63046a, 32'h3e537008,32'h3e69b1b8, 32'h3e484f30,32'h3e74d290,// invsqrt(21.1681) = 0.2173 +32'h3f7955e2,32'h3f7e35f6,32'h3f844b1b, 32'h3f766dc8,32'h3f882f32, 32'h3f69757a,32'h3f8eab59,// invsqrt(0.9740) = 1.0133 +32'h3ee0051f,32'h3fbda395,32'h3fc5611d, 32'h3fb7d56e,32'h3fcb2f44, 32'h3fae2883,32'h3fd4dc2f,// invsqrt(0.4375) = 1.5118 +32'h3eec3488,32'h3fb8aec8,32'h3fc03886, 32'h3fb30778,32'h3fc5dfd6, 32'h3fa99b4a,32'h3fcf4c05,// invsqrt(0.4613) = 1.4723 +32'h4144edca,32'h3e8f0581,32'h3e94dbef, 32'h3e8aa4af,32'h3e993cc1, 32'h3e8358a6,32'h3ea088ca,// invsqrt(12.3081) = 0.2850 +32'h3ee48587,32'h3fbbc30a,32'h3fc36cf6, 32'h3fb6039a,32'h3fc92c66, 32'h3fac6f33,32'h3fd2c0cd,// invsqrt(0.4463) = 1.4968 +32'h3f7df9f6,32'h3f7be0a2,32'h3f83143f, 32'h3f742abc,32'h3f86ef32, 32'h3f6750e8,32'h3f8d5c1c,// invsqrt(0.9921) = 1.0040 +32'h3f2e8e63,32'h3f97e924,32'h3f9e1c74, 32'h3f9342a8,32'h3fa2c2f0, 32'h3f8b8284,32'h3faa8314,// invsqrt(0.6819) = 1.2110 +32'h3f1a920a,32'h3fa16ee4,32'h3fa805b4, 32'h3f9c7dc8,32'h3facf6d0, 32'h3f944144,32'h3fb53354,// invsqrt(0.6038) = 1.2869 +32'h3f82551c,32'h3f789ffe,32'h3f8162ef, 32'h3f710395,32'h3f853123, 32'h3f64543d,32'h3f8b88d0,// invsqrt(1.0182) = 0.9910 +32'h3f20d965,32'h3f9e4044,32'h3fa4b5d4, 32'h3f996818,32'h3fa98e00, 32'h3f915524,32'h3fb1a0f4,// invsqrt(0.6283) = 1.2616 +32'h3fa8fa3a,32'h3f5a5a0b,32'h3f634399, 32'h3f53aae1,32'h3f69f2c3, 32'h3f4886f0,32'h3f7516b4,// invsqrt(1.3201) = 0.8703 +32'h3bb3dc77,32'h4153a468,32'h415c47da, 32'h414d29d3,32'h4162c26f, 32'h41425d84,32'h416d8ebe,// invsqrt(0.0055) = 13.4976 +32'h3e9b1c7d,32'h3fe3e713,32'h3fed346c, 32'h3fdced0f,32'h3ff42e6f, 32'h3fd14c5f,32'h3fffcf1f,// invsqrt(0.3030) = 1.8168 +32'h3f399850,32'h3f9352e5,32'h3f995647, 32'h3f8ed05b,32'h3f9dd8d1, 32'h3f874c21,32'h3fa55d0b,// invsqrt(0.7250) = 1.1745 +32'h40114b81,32'h3f2681a0,32'h3f2d4d72, 32'h3f2168c2,32'h3f326650, 32'h3f18e9fb,32'h3f3ae517,// invsqrt(2.2702) = 0.6637 +32'h4165e639,32'h3e845e89,32'h3e89c5a9, 32'h3e805131,32'h3e8dd301, 32'h3e732093,32'h3e9493e8,// invsqrt(14.3687) = 0.2638 +32'h407b11e6,32'h3efd54ca,32'h3f03d5eb, 32'h3ef5937f,32'h3f07b690, 32'h3ee8a6ae,32'h3f0e2cf9,// invsqrt(3.9230) = 0.5049 +32'h4283fabe,32'h3df7119b,32'h3e00939c, 32'h3def8165,32'h3e045bb8, 32'h3de2e65f,32'h3e0aa93a,// invsqrt(65.9897) = 0.1231 +32'h402a6027,32'h3f19c35f,32'h3f200a0b, 32'h3f150e5f,32'h3f24bf0b, 32'h3f0d3609,32'h3f2c9761,// invsqrt(2.6621) = 0.6129 +32'h3f586223,32'h3f8870d5,32'h3f8e0280, 32'h3f844396,32'h3f922fc0, 32'h3f7a9b01,32'h3f9925d6,// invsqrt(0.8452) = 1.0877 +32'h3f44548b,32'h3f8f3d48,32'h3f9515fc, 32'h3f8adac0,32'h3f997884, 32'h3f838bdf,32'h3fa0c765,// invsqrt(0.7669) = 1.1419 +32'h3e5cc88b,32'h40071308,32'h400c966c, 32'h4002f07e,32'h4010b8f6, 32'h3ff81882,32'h40179d33,// invsqrt(0.2156) = 2.1536 +32'h3ef01d75,32'h3fb72c46,32'h3fbea63e, 32'h3fb190cc,32'h3fc441b8, 32'h3fa83855,32'h3fcd9a2f,// invsqrt(0.4690) = 1.4602 +32'h3fa64de3,32'h3f5c1983,32'h3f651554, 32'h3f555ca6,32'h3f6bd230, 32'h3f4a21e0,32'h3f770cf6,// invsqrt(1.2993) = 0.8773 +32'h4009df09,32'h3f2aee2c,32'h3f31e838, 32'h3f25b2a3,32'h3f3723c1, 32'h3f1cfa13,32'h3f3fdc51,// invsqrt(2.1542) = 0.6813 +32'h3f3409dc,32'h3f959476,32'h3f9baf6c, 32'h3f91003f,32'h3fa043a3, 32'h3f895e8c,32'h3fa7e556,// invsqrt(0.7033) = 1.1924 +32'h411d2b3b,32'h3ea017d9,32'h3ea6a0a9, 32'h3e9b313e,32'h3eab8744, 32'h3e93063a,32'h3eb3b248,// invsqrt(9.8231) = 0.3191 +32'h4217ba0d,32'h3e22f060,32'h3e2996eb, 32'h3e1df376,32'h3e2e93d4, 32'h3e15a348,32'h3e36e402,// invsqrt(37.9317) = 0.1624 +32'h3eedaac4,32'h3fb81d27,32'h3fbfa0f5, 32'h3fb27a4d,32'h3fc543cf, 32'h3fa9158d,32'h3fcea88f,// invsqrt(0.4642) = 1.4677 +32'h3f1f1b82,32'h3f9f1d68,32'h3fa59bfe, 32'h3f9a3e77,32'h3faa7aef, 32'h3f92203b,32'h3fb2992b,// invsqrt(0.6215) = 1.2685 +32'h3f1bdd1e,32'h3fa0c314,32'h3fa752e1, 32'h3f9bd73b,32'h3fac3ebb, 32'h3f93a37c,32'h3fb4727b,// invsqrt(0.6088) = 1.2816 +32'h3fd8255e,32'h3f410ff2,32'h3f48f140, 32'h3f3b26f8,32'h3f4eda3a, 32'h3f314d56,32'h3f58b3dc,// invsqrt(1.6886) = 0.7695 +32'h3f3fc547,32'h3f90eea7,32'h3f96d90c, 32'h3f8c7edc,32'h3f9b48d8, 32'h3f8519de,32'h3fa2add6,// invsqrt(0.7491) = 1.1554 +32'h3f3d51d0,32'h3f91de0e,32'h3f97d239, 32'h3f8d66ef,32'h3f9c4959, 32'h3f85f5ba,32'h3fa3ba8e,// invsqrt(0.7395) = 1.1628 +32'h3d9ec569,32'h406142b7,32'h406a7475, 32'h405a5d68,32'h407159c4, 32'h404edf3a,32'h407cd7f2,// invsqrt(0.0775) = 3.5915 +32'h3f242824,32'h3f9ca60c,32'h3fa30ade, 32'h3f97da6f,32'h3fa7d67b, 32'h3f8fdc69,32'h3fafd481,// invsqrt(0.6412) = 1.2488 +32'h3feea8ba,32'h3f37bb18,32'h3f3f3ae4, 32'h3f321b3e,32'h3f44dabe, 32'h3f28bb7e,32'h3f4e3a7e,// invsqrt(1.8645) = 0.7323 +32'h3f91cd04,32'h3f6b1110,32'h3f74a944, 32'h3f63dee8,32'h3f7bdb6c, 32'h3f57e0a6,32'h3f83ecd7,// invsqrt(1.1391) = 0.9370 +32'h3f1d8f77,32'h3f9fe4e5,32'h3fa66b9f, 32'h3f9affd8,32'h3fab50ac, 32'h3f92d76f,32'h3fb37915,// invsqrt(0.6155) = 1.2747 +32'h3f3b8ded,32'h3f928d5e,32'h3f9888b0, 32'h3f8e10e0,32'h3f9d052e, 32'h3f8696ba,32'h3fa47f54,// invsqrt(0.7326) = 1.1683 +32'h3ef95445,32'h3fb3c1a6,32'h3fbb17ec, 32'h3fae40f2,32'h3fc098a0, 32'h3fa5151b,32'h3fc9c477,// invsqrt(0.4870) = 1.4330 +32'h3fc0e31a,32'h3f4c5efe,32'h3f54b676, 32'h3f461d64,32'h3f5af810, 32'h3f3bb00e,32'h3f656566,// invsqrt(1.5069) = 0.8146 +32'h4033c3a0,32'h3f15b1ac,32'h3f1bcdd2, 32'h3f111c8f,32'h3f2062ef, 32'h3f09795f,32'h3f28061f,// invsqrt(2.8088) = 0.5967 +32'h3f87ff07,32'h3f73648b,32'h3f7d53c0, 32'h3f6bf124,32'h3f826394, 32'h3f5f8622,32'h3f889915,// invsqrt(1.0625) = 0.9702 +32'h40f42a15,32'h3eb5a5cf,32'h3ebd0fd7, 32'h3eb01648,32'h3ec29f5e, 32'h3ea6d1be,32'h3ecbe3e8,// invsqrt(7.6301) = 0.3620 +32'h3f89f52a,32'h3f71a802,32'h3f7b8512, 32'h3f6a4236,32'h3f81756f, 32'h3f5dede3,32'h3f879f99,// invsqrt(1.0778) = 0.9632 +32'h3ee9c439,32'h3fb9a4c0,32'h3fc1388a, 32'h3fb3f5ea,32'h3fc6e760, 32'h3faa7d2e,32'h3fd0601c,// invsqrt(0.4566) = 1.4799 +32'h40ac69bf,32'h3ed82a50,32'h3ee0fd04, 32'h3ed18c48,32'h3ee79b0c, 32'h3ec684e6,32'h3ef2a26e,// invsqrt(5.3879) = 0.4308 +32'h412f28d0,32'h3e97a61f,32'h3e9dd6b2, 32'h3e9301b0,32'h3ea27b20, 32'h3e8b44f7,32'h3eaa37d9,// invsqrt(10.9475) = 0.3022 +32'h3fcb489d,32'h3f471390,32'h3f4f33b5, 32'h3f40fb74,32'h3f554bd0, 32'h3f36d345,32'h3f5f73ff,// invsqrt(1.5882) = 0.7935 +32'h3d8b274e,32'h40709d9c,32'h407a6fcd, 32'h40693ff9,32'h4080e6b9, 32'h405cf93c,32'h40870a17,// invsqrt(0.0679) = 3.8363 +32'h3f8420af,32'h3f76ee1f,32'h3f808125, 32'h3f6f5efe,32'h3f8448b5, 32'h3f62c5c8,32'h3f8a9550,// invsqrt(1.0322) = 0.9843 +32'h3f8faee1,32'h3f6ccae9,32'h3f767527, 32'h3f658b3b,32'h3f7db4d5, 32'h3f59766e,32'h3f84e4d1,// invsqrt(1.1225) = 0.9438 +32'h3f78eca9,32'h3f7e6bab,32'h3f84670e, 32'h3f76a1d9,32'h3f884bf8, 32'h3f69a6cd,32'h3f8ec97d,// invsqrt(0.9724) = 1.0141 +32'h3e53df63,32'h4009e2b1,32'h400f8374, 32'h4005aa1e,32'h4013bc06, 32'h3ffd4254,32'h401ac4fa,// invsqrt(0.2069) = 2.1984 +32'h3f55be84,32'h3f8947cf,32'h3f8ee23f, 32'h3f8513fa,32'h3f931614, 32'h3f7c25d9,32'h3f9a1721,// invsqrt(0.8349) = 1.0944 +32'h3ebf3edc,32'h3fcd3f0e,32'h3fd59fac, 32'h3fc6f698,32'h3fdbe822, 32'h3fbc7dd4,32'h3fe660e6,// invsqrt(0.3735) = 1.6362 +32'h3e54557b,32'h4009bc53,32'h400f5b86, 32'h400584ee,32'h401392ec, 32'h3ffcfbde,32'h401a99eb,// invsqrt(0.2074) = 2.1960 +32'h3eaa2a56,32'h3fd99697,32'h3fe2782b, 32'h3fd2ed69,32'h3fe92159, 32'h3fc7d371,32'h3ff43b51,// invsqrt(0.3324) = 1.7346 +32'h3eebce57,32'h3fb8d6c8,32'h3fc0622a, 32'h3fb32e40,32'h3fc60ab2, 32'h3fa9c006,32'h3fcf78ec,// invsqrt(0.4606) = 1.4735 +32'h407c6b8b,32'h3efca71c,32'h3f037b8a, 32'h3ef4eb24,32'h3f075986, 32'h3ee8072f,32'h3f0dcb80,// invsqrt(3.9441) = 0.5035 +32'h3d94905e,32'h4068ded4,32'h40726016, 32'h4061bde2,32'h40798108, 32'h4055dc50,32'h4082b14d,// invsqrt(0.0725) = 3.7129 +32'h3f5eb9bd,32'h3f867bf0,32'h3f8bf928, 32'h3f825e05,32'h3f901713, 32'h3f7702fc,32'h3f96f39a,// invsqrt(0.8700) = 1.0721 +32'h40cc9faf,32'h3ec66c67,32'h3ece85b9, 32'h3ec05969,32'h3ed498b7, 32'h3eb639c2,32'h3edeb85e,// invsqrt(6.3945) = 0.3955 +32'h3d9f6759,32'h4060d02e,32'h4069fd40, 32'h4059ee61,32'h4070df0d, 32'h404e760b,32'h407c5763,// invsqrt(0.0778) = 3.5844 +32'h3fe413ef,32'h3f3bf1c6,32'h3f439d9a, 32'h3f3630e7,32'h3f495e79, 32'h3f2c9a1e,32'h3f52f542,// invsqrt(1.7819) = 0.7491 +32'h404b444e,32'h3f0cc62c,32'h3f12851e, 32'h3f0876f6,32'h3f16d454, 32'h3f014848,32'h3f1e0302,// invsqrt(3.1760) = 0.5611 +32'h40b61652,32'h3ed25837,32'h3edaee19, 32'h3ecbe7cc,32'h3ee15e84, 32'h3ec12c71,32'h3eec19df,// invsqrt(5.6902) = 0.4192 +32'h3f42d384,32'h3f8fca8c,32'h3f95a904, 32'h3f8b63b1,32'h3f9a0fdf, 32'h3f840d9a,32'h3fa165f6,// invsqrt(0.7610) = 1.1463 +32'h406c5144,32'h3f028f35,32'h3f07e36b, 32'h3efd2018,32'h3f0be294, 32'h3eefcd90,32'h3f128bd8,// invsqrt(3.6925) = 0.5204 +32'h4022b052,32'h3f1d5a93,32'h3f23c6c3, 32'h3f18896f,32'h3f2897e7, 32'h3f108234,32'h3f309f23,// invsqrt(2.5420) = 0.6272 +32'h3edb14ad,32'h3fbfc3ca,32'h3fc7978a, 32'h3fb9e4fb,32'h3fcd7659, 32'h3fb01c4c,32'h3fd73f08,// invsqrt(0.4279) = 1.5287 +32'h4006a160,32'h3f2cf9b8,32'h3f340922, 32'h3f27ae28,32'h3f3954b2, 32'h3f1edae2,32'h3f4227f8,// invsqrt(2.1036) = 0.6895 +32'h3f8385b8,32'h3f777f6d,32'h3f80ccc3, 32'h3f6febda,32'h3f84968d, 32'h3f634b3b,32'h3f8ae6dd,// invsqrt(1.0275) = 0.9865 +32'h3f18d0a9,32'h3fa25b93,32'h3fa8fc0c, 32'h3f9d6339,32'h3fadf467, 32'h3f951aa2,32'h3fb63cfe,// invsqrt(0.5969) = 1.2943 +32'h3f1fb217,32'h3f9ed252,32'h3fa54dd8, 32'h3f99f5ae,32'h3faa2a7c, 32'h3f91db46,32'h3fb244e4,// invsqrt(0.6238) = 1.2661 +32'h3f39aaad,32'h3f934b9c,32'h3f994eb2, 32'h3f8ec94b,32'h3f9dd103, 32'h3f874570,32'h3fa554de,// invsqrt(0.7253) = 1.1742 +32'h3deaff44,32'h40392826,32'h4040b6da, 32'h40337d20,32'h404661e0, 32'h402a0ac0,32'h404fd440,// invsqrt(0.1147) = 2.9521 +32'h3eadd441,32'h3fd74874,32'h3fe011f0, 32'h3fd0b156,32'h3fe6a90e, 32'h3fc5b57a,32'h3ff1a4ea,// invsqrt(0.3395) = 1.7162 +32'h3ed6a506,32'h3fc1bc7f,32'h3fc9a4d7, 32'h3fbbce3c,32'h3fcf931a, 32'h3fb1ebcd,32'h3fd97589,// invsqrt(0.4192) = 1.5445 +32'h3fae6dca,32'h3f56e99f,32'h3f5faf3d, 32'h3f505568,32'h3f664374, 32'h3f455e63,32'h3f713a79,// invsqrt(1.3627) = 0.8566 +32'h3fd41c27,32'h3f42e3f2,32'h3f4ad85a, 32'h3f3ceca4,32'h3f50cfa8, 32'h3f32fb22,32'h3f5ac12a,// invsqrt(1.6571) = 0.7768 +32'h408d4db2,32'h3eeec734,32'h3ef88631, 32'h3ee777f7,32'h3effd56f, 32'h3edb493b,32'h3f060216,// invsqrt(4.4157) = 0.4759 +32'h3e900de2,32'h3fec7cc7,32'h3ff623d4, 32'h3fe53f7c,32'h3ffd611e, 32'h3fd92eac,32'h4004b8f7,// invsqrt(0.2814) = 1.8853 +32'h4045dccd,32'h3f0eaf05,32'h3f1481eb, 32'h3f0a50d8,32'h3f18e018, 32'h3f030939,32'h3f2027b7,// invsqrt(3.0916) = 0.5687 +32'h3e7c26eb,32'h3ffcc97b,32'h40038d6c, 32'h3ff50c75,32'h40076bf0, 32'h3fe826bf,32'h400ddeca,// invsqrt(0.2462) = 2.0152 +32'h3fff4078,32'h3f31a8a4,32'h3f38e8fe, 32'h3f2c3860,32'h3f3e5942, 32'h3f2327ef,32'h3f4769b3,// invsqrt(1.9942) = 0.7081 +32'h3fed4bf6,32'h3f3841eb,32'h3f3fc739, 32'h3f329df1,32'h3f456b33, 32'h3f293750,32'h3f4ed1d4,// invsqrt(1.8539) = 0.7344 +32'h3ef676ca,32'h3fb4cc5b,32'h3fbc2d83, 32'h3faf437d,32'h3fc1b661, 32'h3fa60a0a,32'h3fcaefd4,// invsqrt(0.4814) = 1.4413 +32'h3ecf6ee9,32'h3fc5133c,32'h3fcd1e78, 32'h3fbf0acf,32'h3fd326e5, 32'h3fb4fcc4,32'h3fdd34f0,// invsqrt(0.4051) = 1.5711 +32'h3f9e79af,32'h3f617883,32'h3f6aac73, 32'h3f5a918e,32'h3f719368, 32'h3f4f10a2,32'h3f7d1454,// invsqrt(1.2381) = 0.8987 +32'h4056f3eb,32'h3f08e4de,32'h3f0e7b46, 32'h3f04b411,32'h3f12ac13, 32'h3efb7020,32'h3f19a814,// invsqrt(3.3586) = 0.5457 +32'h3f254542,32'h3f9c1eb3,32'h3fa27dfe, 32'h3f97573a,32'h3fa74576, 32'h3f8f601c,32'h3faf3c94,// invsqrt(0.6456) = 1.2446 +32'h3d283cd0,32'h409abcb5,32'h40a10d8d, 32'h40960013,32'h40a5ca2f, 32'h408e1b04,32'h40adaf3e,// invsqrt(0.0411) = 4.9342 +32'h3ea2aae0,32'h3fde8be4,32'h3fe7a146, 32'h3fd7bbda,32'h3fee7150, 32'h3fcc6120,32'h3ff9cc0a,// invsqrt(0.3177) = 1.7741 +32'h3fb1575e,32'h3f5523fc,32'h3f5dd716, 32'h3f4e9da9,32'h3f645d69, 32'h3f43bdc8,32'h3f6f3d4a,// invsqrt(1.3855) = 0.8496 +32'h4021657d,32'h3f1dfb87,32'h3f246e49, 32'h3f192576,32'h3f29445a, 32'h3f111604,32'h3f3153cc,// invsqrt(2.5218) = 0.6297 +32'h3f8fcbd0,32'h3f6cb315,32'h3f765c59, 32'h3f657421,32'h3f7d9b4d, 32'h3f59608b,32'h3f84d771,// invsqrt(1.1234) = 0.9435 +32'h3e360908,32'h4014c1de,32'h401ad43a, 32'h40103418,32'h401f6200, 32'h40089d24,32'h4026f8f4,// invsqrt(0.1778) = 2.3718 +32'h3eb0d759,32'h3fd57114,32'h3fde2754, 32'h3fcee865,32'h3fe4b003, 32'h3fc40495,32'h3fef93d3,// invsqrt(0.3454) = 1.7015 +32'h3f6c3e16,32'h3f829481,32'h3f87e8ef, 32'h3f7d2a5e,32'h3f8be841, 32'h3f6fd74b,32'h3f9291ca,// invsqrt(0.9228) = 1.0410 +32'h3ff596b3,32'h3f351ec5,32'h3f3c834a, 32'h3f2f9360,32'h3f420eae, 32'h3f2655ba,32'h3f4b4c55,// invsqrt(1.9187) = 0.7219 +32'h3fee46be,32'h3f37e0db,32'h3f3f6232, 32'h3f323fd9,32'h3f450333, 32'h3f28de2c,32'h3f4e64e0,// invsqrt(1.8615) = 0.7329 +32'h3f11c466,32'h3fa63c85,32'h3fad0585, 32'h3fa125c5,32'h3fb21c45, 32'h3f98aa84,32'h3fba9786,// invsqrt(0.5694) = 1.3252 +32'h401a5685,32'h3f218e02,32'h3f282616, 32'h3f1c9bf2,32'h3f2d1826, 32'h3f145dd8,32'h3f355640,// invsqrt(2.4115) = 0.6440 +32'h3f22f219,32'h3f9d3ace,32'h3fa3a5b1, 32'h3f986aa2,32'h3fa875dc, 32'h3f906506,32'h3fb07b79,// invsqrt(0.6365) = 1.2534 +32'h40006cc6,32'h3f311af1,32'h3f385583, 32'h3f2baf04,32'h3f3dc170, 32'h3f22a5ce,32'h3f46caa6,// invsqrt(2.0066) = 0.7059 +32'h400863bc,32'h3f2bdb36,32'h3f32deef, 32'h3f26986b,32'h3f3821b9, 32'h3f1dd3c3,32'h3f40e661,// invsqrt(2.1311) = 0.6850 +32'h3f12979d,32'h3fa5c498,32'h3fac88b3, 32'h3fa0b183,32'h3fb19bc7, 32'h3f983c61,32'h3fba10e9,// invsqrt(0.5726) = 1.3215 +32'h3ee894e9,32'h3fba1da6,32'h3fc1b65e, 32'h3fb46b1c,32'h3fc768e8, 32'h3faaec35,32'h3fd0e7cf,// invsqrt(0.4543) = 1.4837 +32'h3ff05c6f,32'h3f371445,32'h3f3e8d43, 32'h3f317987,32'h3f442801, 32'h3f28224a,32'h3f4d7f3e,// invsqrt(1.8778) = 0.7297 +32'h3c4378fe,32'h410f8da2,32'h4115699e, 32'h410b28a5,32'h4119ce9b, 32'h4103d5aa,32'h41212196,// invsqrt(0.0119) = 9.1552 +32'h3f8ac430,32'h3f70f37c,32'h3f7ac92e, 32'h3f699337,32'h3f8114b9, 32'h3f5d4819,32'h3f873a48,// invsqrt(1.0841) = 0.9604 +32'h3f25681d,32'h3f9c0e3f,32'h3fa26cdf, 32'h3f974748,32'h3fa733d6, 32'h3f8f5101,32'h3faf2a1d,// invsqrt(0.6461) = 1.2441 +32'h3f0d3fb8,32'h3fa8dfd0,32'h3fafc460, 32'h3fa3b464,32'h3fb4efcc, 32'h3f9b16af,32'h3fbd8d81,// invsqrt(0.5518) = 1.3463 +32'h3fef82ce,32'h3f376760,32'h3f3ee3c2, 32'h3f31ca17,32'h3f44810b, 32'h3f286e9c,32'h3f4ddc86,// invsqrt(1.8712) = 0.7310 +32'h3eeb76e3,32'h3fb8f918,32'h3fc085e0, 32'h3fb34f83,32'h3fc62f75, 32'h3fa9df89,32'h3fcf9f6f,// invsqrt(0.4599) = 1.4746 +32'h41094081,32'h3eab50c7,32'h3eb24ed9, 32'h3ea61239,32'h3eb78d67, 32'h3e9d54a2,32'h3ec04afe,// invsqrt(8.5782) = 0.3414 +32'h3f1367a0,32'h3fa54f78,32'h3fac0ecc, 32'h3fa03ffa,32'h3fb11e4a, 32'h3f97d0d2,32'h3fb98d72,// invsqrt(0.5758) = 1.3178 +32'h3fbb2ae2,32'h3f4f7861,32'h3f57f03b, 32'h3f491e7d,32'h3f5e4a1f, 32'h3f3e88ad,32'h3f68dfef,// invsqrt(1.4622) = 0.8270 +32'h3fc1febc,32'h3f4bc961,32'h3f541abd, 32'h3f458c5b,32'h3f5a57c3, 32'h3f3b26a7,32'h3f64bd77,// invsqrt(1.5156) = 0.8123 +32'h405fc449,32'h3f062bbf,32'h3f0ba5b1, 32'h3f021048,32'h3f0fc128, 32'h3ef66fb2,32'h3f169997,// invsqrt(3.4964) = 0.5348 +32'h401e1d55,32'h3f1f9d19,32'h3f2620e6, 32'h3f1aba40,32'h3f2b03c0, 32'h3f129580,32'h3f332880,// invsqrt(2.4705) = 0.6362 +32'h3f83c5d1,32'h3f774334,32'h3f80ad6c, 32'h3f6fb179,32'h3f84764a, 32'h3f6313ec,32'h3f8ac510,// invsqrt(1.0295) = 0.9856 +32'h3f3d9dfd,32'h3f91c0bf,32'h3f97b3b7, 32'h3f8d4a85,32'h3f9c29f1, 32'h3f85dacf,32'h3fa399a7,// invsqrt(0.7407) = 1.1619 +32'h3eefdcd9,32'h3fb744f0,32'h3fbebfea, 32'h3fb1a8b4,32'h3fc45c26, 32'h3fa84efc,32'h3fcdb5de,// invsqrt(0.4685) = 1.4610 +32'h3f3e8fd2,32'h3f916425,32'h3f975356, 32'h3f8cf0c1,32'h3f9bc6bb, 32'h3f8585c5,32'h3fa331b7,// invsqrt(0.7444) = 1.1590 +32'h3fa468ad,32'h3f5d5d5d,32'h3f666667, 32'h3f569697,32'h3f6d2d2d, 32'h3f4b4b4b,32'h3f787879,// invsqrt(1.2844) = 0.8824 +32'h3ea7f5c8,32'h3fdb0315,32'h3fe3f389, 32'h3fd44ebe,32'h3feaa7e0, 32'h3fc9222e,32'h3ff5d471,// invsqrt(0.3280) = 1.7460 +32'h3fac5234,32'h3f583913,32'h3f610c63, 32'h3f519a98,32'h3f67aade, 32'h3f469275,32'h3f72b301,// invsqrt(1.3463) = 0.8619 +32'h3ed46a2c,32'h3fc2c024,32'h3fcab316, 32'h3fbcc9ef,32'h3fd0a94b, 32'h3fb2da40,32'h3fda98fa,// invsqrt(0.4149) = 1.5525 +32'h3f77f77b,32'h3f7ee954,32'h3f84a872, 32'h3f771ba7,32'h3f888f48, 32'h3f6a1a33,32'h3f8f1003,// invsqrt(0.9686) = 1.0161 +32'h3f1b2746,32'h3fa1212e,32'h3fa7b4d2, 32'h3f9c3273,32'h3faca38d, 32'h3f93f9e6,32'h3fb4dc1a,// invsqrt(0.6061) = 1.2845 +32'h3f82d4a7,32'h3f7826b0,32'h3f8123ce, 32'h3f708dfe,32'h3f84f027, 32'h3f63e4d5,32'h3f8b44bb,// invsqrt(1.0221) = 0.9891 +32'h3f5e71da,32'h3f8691a9,32'h3f8c0fc5, 32'h3f827314,32'h3f902e5a, 32'h3f772ae3,32'h3f970bfc,// invsqrt(0.8689) = 1.0728 +32'h40be6f9c,32'h3ecdae9f,32'h3ed613cb, 32'h3ec762bf,32'h3edc5fab, 32'h3ebce449,32'h3ee6de21,// invsqrt(5.9511) = 0.4099 +32'h3f7d0dab,32'h3f7c5620,32'h3f835164, 32'h3f749ca1,32'h3f872e23, 32'h3f67bccf,32'h3f8d9e0d,// invsqrt(0.9885) = 1.0058 +32'h42e6d2f0,32'h3dbad2b7,32'h3dc272d3, 32'h3db51aa2,32'h3dc82ae8, 32'h3dab927e,32'h3dd1b30c,// invsqrt(115.4120) = 0.0931 +32'h3f2a88c2,32'h3f99b110,32'h3f9ff6fc, 32'h3f94fc9f,32'h3fa4ab6d, 32'h3f8d2539,32'h3fac82d3,// invsqrt(0.6661) = 1.2252 +32'h3fae5c0c,32'h3f56f48e,32'h3f5fba9e, 32'h3f506002,32'h3f664f2a, 32'h3f45686d,32'h3f7146bf,// invsqrt(1.3622) = 0.8568 +32'h3f4baf06,32'h3f8ca146,32'h3f925eb6, 32'h3f885331,32'h3f96accb, 32'h3f812665,32'h3f9dd997,// invsqrt(0.7956) = 1.1211 +32'h3f681fbb,32'h3f83bbc3,32'h3f891c3e, 32'h3f7f66cf,32'h3f8d249b, 32'h3f71f59b,32'h3f93dd34,// invsqrt(0.9067) = 1.0502 +32'h3e970a3d,32'h3fe6f42a,32'h3ff06166, 32'h3fdfe23e,32'h3ff77352, 32'h3fd419b4,32'h40019dee,// invsqrt(0.2950) = 1.8411 +32'h40560bbe,32'h3f092f09,32'h3f0ec877, 32'h3f04fbf6,32'h3f12fb8a, 32'h3efbf85a,32'h3f19fb53,// invsqrt(3.3445) = 0.5468 +32'h3debc82d,32'h4038d933,32'h404064ad, 32'h40333097,32'h40460d49, 32'h4029c23e,32'h404f7ba2,// invsqrt(0.1151) = 2.9472 +32'h40349bc4,32'h3f1557fe,32'h3f1b707c, 32'h3f10c5a0,32'h3f2002da, 32'h3f092704,32'h3f27a176,// invsqrt(2.8220) = 0.5953 +32'h3fea86f7,32'h3f39579d,32'h3f40e841, 32'h3f33ab23,32'h3f4694bb, 32'h3f2a3657,32'h3f500987,// invsqrt(1.8322) = 0.7388 +32'h40a27b8a,32'h3edeac4c,32'h3ee7c300, 32'h3ed7db44,32'h3eee9408, 32'h3ecc7ee2,32'h3ef9f06a,// invsqrt(5.0776) = 0.4438 +32'h3da6d583,32'h405bbffa,32'h4064b824, 32'h405505db,32'h406b7243, 32'h4049cfa7,32'h4076a877,// invsqrt(0.0815) = 3.5037 +32'h3fe7010b,32'h3f3ac011,32'h3f425f6b, 32'h3f35088e,32'h3f4816ee, 32'h3f2b815e,32'h3f519e1e,// invsqrt(1.8047) = 0.7444 +32'h3f96e547,32'h3f671071,32'h3f707ed4, 32'h3f5ffda8,32'h3f77919e, 32'h3f5433ac,32'h3f81adcd,// invsqrt(1.1789) = 0.9210 +32'h3f37c133,32'h3f940f47,32'h3f9a1a5a, 32'h3f8f86fa,32'h3f9ea2a8, 32'h3f87f922,32'h3fa63080,// invsqrt(0.7178) = 1.1803 +32'h3e82c257,32'h3ff8380f,32'h40012cd9, 32'h3ff09ed6,32'h4004f976, 32'h3fe3f4ca,32'h400b4e7c,// invsqrt(0.2554) = 1.9788 +32'h3da63f6e,32'h405c2315,32'h40651f4a, 32'h405565ed,32'h406bdc71, 32'h404a2aaa,32'h407717b4,// invsqrt(0.0812) = 3.5098 +32'h4012f08b,32'h3f259266,32'h3f2c5475, 32'h3f2080dc,32'h3f316600, 32'h3f180e49,32'h3f39d893,// invsqrt(2.2959) = 0.6600 +32'h3f93c2e3,32'h3f698087,32'h3f730863, 32'h3f625aa2,32'h3f7a2e48, 32'h3f5670d0,32'h3f830c0d,// invsqrt(1.1544) = 0.9307 +32'h3eba5d2a,32'h3fcfeac4,32'h3fd86749, 32'h3fc98d5f,32'h3fdec4ad, 32'h3fbef1b9,32'h3fe96053,// invsqrt(0.3640) = 1.6575 +32'h400bb09a,32'h3f29d065,32'h3f30bec7, 32'h3f249d9b,32'h3f35f191, 32'h3f1bf3a1,32'h3f3e9b8b,// invsqrt(2.1827) = 0.6769 +32'h3fb2e60c,32'h3f5435f9,32'h3f5cdf5b, 32'h3f4db6ee,32'h3f635e66, 32'h3f42e333,32'h3f6e3221,// invsqrt(1.3976) = 0.8459 +32'h3fc54522,32'h3f4a1685,32'h3f525621, 32'h3f43e6cf,32'h3f5885d7, 32'h3f39974b,32'h3f62d55b,// invsqrt(1.5412) = 0.8055 +32'h3e1c2b7e,32'h40209ab8,32'h402728df, 32'h401bb01b,32'h402c137d, 32'h40137e6b,32'h4034452d,// invsqrt(0.1525) = 2.5607 +32'h3f38913a,32'h3f93bbbf,32'h3f99c369, 32'h3f8f3600,32'h3f9e4928, 32'h3f87ac6c,32'h3fa5d2bc,// invsqrt(0.7210) = 1.1777 +32'h414ad8da,32'h3e8ceb70,32'h3e92abe8, 32'h3e889b17,32'h3e96fc41, 32'h3e816a81,32'h3e9e2cd7,// invsqrt(12.6779) = 0.2809 +32'h406b28b9,32'h3f02e16d,32'h3f0838fe, 32'h3efdbf7e,32'h3f0c3aab, 32'h3ef06493,32'h3f12e821,// invsqrt(3.6744) = 0.5217 +32'h40992492,32'h3ee55cd5,32'h3eeeb970, 32'h3ede5761,32'h3ef5bee5, 32'h3ed2a3a0,32'h3f00b953,// invsqrt(4.7857) = 0.4571 +32'h3e31155c,32'h4016d2a4,32'h401cfa96, 32'h401234af,32'h4021988b, 32'h400a82c0,32'h40294a7a,// invsqrt(0.1729) = 2.4047 +32'h3e858a74,32'h3ff59ec2,32'h3fffa53c, 32'h3fee19e5,32'h4003950c, 32'h3fe191cc,32'h4009d919,// invsqrt(0.2608) = 1.9581 +32'h3fa6019e,32'h3f5c4c0d,32'h3f6549ee, 32'h3f558da4,32'h3f6c0856, 32'h3f4a504a,32'h3f7745b0,// invsqrt(1.2969) = 0.8781 +32'h3e820657,32'h3ff8eb41,32'h40018a1a, 32'h3ff14c8b,32'h40055975, 32'h3fe4995c,32'h400bb30d,// invsqrt(0.2540) = 1.9844 +32'h3fdaab39,32'h3f3ff202,32'h3f47c7a4, 32'h3f3a11c8,32'h3f4da7de, 32'h3f3046be,32'h3f5772e8,// invsqrt(1.7084) = 0.7651 +32'h418593b0,32'h3e759644,32'h3e7f9c66, 32'h3e6e11aa,32'h3e839080, 32'h3e618a00,32'h3e89d455,// invsqrt(16.6971) = 0.2447 +32'h3e93b362,32'h3fe98cc8,32'h3ff31524, 32'h3fe26683,32'h3ffa3b69, 32'h3fd67c11,32'h400312ee,// invsqrt(0.2885) = 1.8618 +32'h3f2fcbc9,32'h3f975fc3,32'h3f9d8d77, 32'h3f92bd7c,32'h3fa22fbe, 32'h3f8b045a,32'h3fa9e8e0,// invsqrt(0.6867) = 1.2067 +32'h3fc38ea7,32'h3f4af896,32'h3f53416e, 32'h3f44c1f5,32'h3f59780f, 32'h3f3a66e8,32'h3f63d31c,// invsqrt(1.5278) = 0.8090 +32'h3f8e7614,32'h3f6dce51,32'h3f778325, 32'h3f6686b2,32'h3f7ecac4, 32'h3f5a64a8,32'h3f857667,// invsqrt(1.1130) = 0.9479 +32'h4011c151,32'h3f263e47,32'h3f2d075a, 32'h3f21277a,32'h3f321e28, 32'h3f18ac22,32'h3f3a9980,// invsqrt(2.2774) = 0.6626 +32'h4005e4e7,32'h3f2d734b,32'h3f3487ad, 32'h3f282403,32'h3f39d6f5, 32'h3f1f4a89,32'h3f42b06f,// invsqrt(2.0921) = 0.6914 +32'h3fdb30c2,32'h3f3fb781,32'h3f478abf, 32'h3f39d912,32'h3f4d692e, 32'h3f301103,32'h3f57313d,// invsqrt(1.7124) = 0.7642 +32'h4051143d,32'h3f0acdb9,32'h3f107815, 32'h3f068df5,32'h3f14b7d9, 32'h3efef206,32'h3f1bcccb,// invsqrt(3.2669) = 0.5533 +32'h3e3f866c,32'h4011066d,32'h4016f1cb, 32'h400c95e7,32'h401b6251, 32'h40052fb3,32'h4022c885,// invsqrt(0.1870) = 2.3123 +32'h409dff13,32'h3ee1cfee,32'h3eeb0770, 32'h3edae64d,32'h3ef1f111, 32'h3ecf60ea,32'h3efd7674,// invsqrt(4.9374) = 0.4500 +32'h41575153,32'h3e88c72a,32'h3e8e5c5a, 32'h3e849745,32'h3e928c3f, 32'h3e7b3990,32'h3e9986bc,// invsqrt(13.4574) = 0.2726 +32'h400584cb,32'h3f2db1ad,32'h3f34c89a, 32'h3f28607c,32'h3f3a19cc, 32'h3f1f83d4,32'h3f42f674,// invsqrt(2.0862) = 0.6923 +32'h3fa39f3d,32'h3f5de577,32'h3f66f40e, 32'h3f571a85,32'h3f6dbeff, 32'h3f4bc848,32'h3f79113c,// invsqrt(1.2783) = 0.8845 +32'h3e8feb3e,32'h3fec993b,32'h3ff64171, 32'h3fe55b12,32'h3ffd7f9a, 32'h3fd948cd,32'h4004c8ef,// invsqrt(0.2811) = 1.8861 +32'h3b0e989f,32'h41a81319,32'h41aeef4f, 32'h41a2edf1,32'h41b41477, 32'h419a5aaf,32'h41bca7b9,// invsqrt(0.0022) = 21.4381 +32'h3d49706d,32'h408d694a,32'h40932ee4, 32'h40891516,32'h40978318, 32'h4081de15,32'h409eba19,// invsqrt(0.0492) = 4.5093 +32'h3f7f00f2,32'h3f7b5e9f,32'h3f82d097, 32'h3f73acb4,32'h3f86a98c, 32'h3f66d982,32'h3f8d1325,// invsqrt(0.9961) = 1.0020 +32'h403fc4fd,32'h3f10eec3,32'h3f16d929, 32'h3f0c7ef6,32'h3f1b48f6, 32'h3f0519f7,32'h3f22adf5,// invsqrt(2.9964) = 0.5777 +32'h3f20b82b,32'h3f9e509f,32'h3fa4c6da, 32'h3f9977f4,32'h3fa99f86, 32'h3f91642a,32'h3fb1b350,// invsqrt(0.6278) = 1.2621 +32'h3f035499,32'h3faf2299,32'h3fb64894, 32'h3fa9c61b,32'h3fbba511, 32'h3fa0d6a1,32'h3fc4948b,// invsqrt(0.5130) = 1.3962 +32'h3e912c32,32'h3feb931f,32'h3ff530a3, 32'h3fe45cfc,32'h3ffc66c6, 32'h3fd85817,32'h400435d5,// invsqrt(0.2835) = 1.8780 +32'h3f7fac64,32'h3f7b0a4a,32'h3f82a4b3, 32'h3f735af4,32'h3f867c5e, 32'h3f668c0f,32'h3f8ce3d0,// invsqrt(0.9987) = 1.0006 +32'h3fe1d424,32'h3f3ce0c8,32'h3f44965d, 32'h3f371898,32'h3f4a5e8c, 32'h3f2d759d,32'h3f540187,// invsqrt(1.7643) = 0.7529 +32'h401b07ba,32'h3f213192,32'h3f27c5e2, 32'h3f1c4257,32'h3f2cb51d, 32'h3f1408f4,32'h3f34ee80,// invsqrt(2.4223) = 0.6425 +32'h3d30a972,32'h409700ae,32'h409d2a80, 32'h4092614f,32'h40a1c9df, 32'h408aad08,32'h40a97e26,// invsqrt(0.0431) = 4.8151 +32'h41a9631e,32'h3e5a1666,32'h3e62fd30, 32'h3e53694e,32'h3e69aa48, 32'h3e4848d0,32'h3e74cac6,// invsqrt(21.1734) = 0.2173 +32'h3f7372bd,32'h3f80a213,32'h3f85e229, 32'h3f796406,32'h3f89d239, 32'h3f6c43d0,32'h3f906254,// invsqrt(0.9510) = 1.0255 +32'h3e39d7d4,32'h401339b6,32'h40193c10, 32'h400eb7f1,32'h401dbdd5, 32'h40073500,32'h402540c6,// invsqrt(0.1815) = 2.3473 +32'h3f5d4770,32'h3f86ec47,32'h3f8c6e16, 32'h3f82caed,32'h3f908f71, 32'h3f77d155,32'h3f9771b4,// invsqrt(0.8644) = 1.0756 +32'h3f9a0b98,32'h3f64b097,32'h3f6e062b, 32'h3f5db069,32'h3f750659, 32'h3f520571,32'h3f8058a9,// invsqrt(1.2035) = 0.9116 +32'h3e6ed70b,32'h4001de3c,32'h40072b39, 32'h3ffbc8fb,32'h400b24f6, 32'h3fee8882,32'h4011c533,// invsqrt(0.2332) = 2.0706 +32'h3f6d172c,32'h3f8258ac,32'h3f87aaa8, 32'h3f7cb65d,32'h3f8ba826, 32'h3f6f6965,32'h3f924ea1,// invsqrt(0.9261) = 1.0391 +32'h3ed50656,32'h3fc278b5,32'h3fca68bd, 32'h3fbc84b0,32'h3fd05cc2, 32'h3fb298a6,32'h3fda48cc,// invsqrt(0.4161) = 1.5503 +32'h3ea9c200,32'h3fd9d96b,32'h3fe2bdb9, 32'h3fd32e31,32'h3fe968f3, 32'h3fc810d0,32'h3ff48654,// invsqrt(0.3316) = 1.7367 +32'h3fd1fa52,32'h3f43e09d,32'h3f4bdf55, 32'h3f3de193,32'h3f51de5f, 32'h3f33e32d,32'h3f5bdcc5,// invsqrt(1.6405) = 0.7808 +32'h3ff84426,32'h3f34240f,32'h3f3b7e59, 32'h3f2ea058,32'h3f410210, 32'h3f256f7c,32'h3f4a32ec,// invsqrt(1.9396) = 0.7180 +32'h3f98d1e4,32'h3f659ad9,32'h3f6ef9fb, 32'h3f5e937e,32'h3f760156, 32'h3f52dc93,32'h3f80dc21,// invsqrt(1.1939) = 0.9152 +32'h3e30552a,32'h401724c0,32'h401d500c, 32'h40128447,32'h4021f085, 32'h400ace29,32'h4029a6a3,// invsqrt(0.1722) = 2.4098 +32'h3f2218e0,32'h3f9da404,32'h3fa41334, 32'h3f98d0a1,32'h3fa8e697, 32'h3f90c5a6,32'h3fb0f192,// invsqrt(0.6332) = 1.2567 +32'h3fa66f99,32'h3f5c0337,32'h3f64fe20, 32'h3f55470a,32'h3f6bba4e, 32'h3f4a0d68,32'h3f76f3f0,// invsqrt(1.3003) = 0.8770 +32'h3e6dbdac,32'h40022aff,32'h40077b1f, 32'h3ffc5dd0,32'h400b7736, 32'h3fef1581,32'h40121b5d,// invsqrt(0.2322) = 2.0754 +32'h3fe35ff8,32'h3f3c3c18,32'h3f43eaf4, 32'h3f3678f2,32'h3f49ae1a, 32'h3f2cde5f,32'h3f5348ad,// invsqrt(1.7764) = 0.7503 +32'h3ed77340,32'h3fc15fb0,32'h3fc9443e, 32'h3fbb7444,32'h3fcf2faa, 32'h3fb19692,32'h3fd90d5d,// invsqrt(0.4208) = 1.5416 +32'h3fd6d175,32'h3f41a874,32'h3f498ffc, 32'h3f3bbacf,32'h3f4f7da1, 32'h3f31d966,32'h3f595f0a,// invsqrt(1.6783) = 0.7719 +32'h3fa481e4,32'h3f5d4c66,32'h3f6654be, 32'h3f568624,32'h3f6d1b00, 32'h3f4b3bb7,32'h3f78656d,// invsqrt(1.2852) = 0.8821 +32'h410b9fc8,32'h3ea9da9f,32'h3eb0c96d, 32'h3ea4a786,32'h3eb5fc86, 32'h3e9bfd05,32'h3ebea707,// invsqrt(8.7265) = 0.3385 +32'h3b6a51f6,32'h41831d59,32'h4188775d, 32'h417e33ad,32'h418c7ae0, 32'h4170d2a4,32'h41932b64,// invsqrt(0.0036) = 16.7238 +32'h4033dc8c,32'h3f15a74c,32'h3f1bc306, 32'h3f111281,32'h3f2057d1, 32'h3f096fd8,32'h3f27fa7a,// invsqrt(2.8103) = 0.5965 +32'h3d44de0e,32'h408f0b38,32'h4094e1e2, 32'h408aaa39,32'h409942e1, 32'h40835de5,32'h40a08f35,// invsqrt(0.0481) = 4.5613 +32'h406136d9,32'h3f05bd30,32'h3f0b329f, 32'h3f01a51b,32'h3f0f4ab3, 32'h3ef5a4a0,32'h3f161d7e,// invsqrt(3.5190) = 0.5331 +32'h3f23cb84,32'h3f9cd251,32'h3fa338f1, 32'h3f980559,32'h3fa805e9, 32'h3f900511,32'h3fb00631,// invsqrt(0.6398) = 1.2502 +32'h3f186a20,32'h3fa29227,32'h3fa934da, 32'h3f9d9820,32'h3fae2ee0, 32'h3f954cc0,32'h3fb67a40,// invsqrt(0.5954) = 1.2960 +32'h3faf554f,32'h3f565b8c,32'h3f5f1b5e, 32'h3f4fcbaf,32'h3f65ab3b, 32'h3f44dbe9,32'h3f709b01,// invsqrt(1.3698) = 0.8544 +32'h3f620870,32'h3f857f20,32'h3f8af208, 32'h3f8168f3,32'h3f8f0835, 32'h3f7532a4,32'h3f95d7d6,// invsqrt(0.8829) = 1.0642 +32'h3e3dfee8,32'h40119b8d,32'h40178d01, 32'h400d2677,32'h401c0217, 32'h4005b8a6,32'h40236fe8,// invsqrt(0.1855) = 2.3216 +32'h40304204,32'h3f172cf6,32'h3f1d5897, 32'h3f128c3c,32'h3f21f950, 32'h3f0ad5b2,32'h3f29afda,// invsqrt(2.7540) = 0.6026 +32'h402490f5,32'h3f1c7421,32'h3f22d6e9, 32'h3f17aa0b,32'h3f27a0ff, 32'h3f0fae91,32'h3f2f9c79,// invsqrt(2.5713) = 0.6236 +32'h427cee3d,32'h3dfc65cd,32'h3e03598d, 32'h3df4abd5,32'h3e07368a, 32'h3de7cb35,32'h3e0da6d9,// invsqrt(63.2327) = 0.1258 +32'h3f57c869,32'h3f88a167,32'h3f8e350d, 32'h3f8472aa,32'h3f9263ca, 32'h3f7af435,32'h3f995c59,// invsqrt(0.8429) = 1.0892 +32'h3fe17625,32'h3f3d0823,32'h3f44bf53, 32'h3f373ebe,32'h3f4a88b8, 32'h3f2d99c2,32'h3f542db4,// invsqrt(1.7614) = 0.7535 +32'h3e590400,32'h40083dea,32'h400dcd80, 32'h40041239,32'h4011f931, 32'h3ffa3d79,32'h4018ecae,// invsqrt(0.2119) = 2.1722 +32'h3f6b6c3a,32'h3f82cea8,32'h3f882575, 32'h3f7d9b1b,32'h3f8c268f, 32'h3f704219,32'h3f92d30f,// invsqrt(0.9196) = 1.0428 +32'h3f986751,32'h3f65eb12,32'h3f6f4d7c, 32'h3f5ee143,32'h3f76574b, 32'h3f532640,32'h3f810927,// invsqrt(1.1907) = 0.9164 +32'h3fbc011a,32'h3f4f020c,32'h3f577512, 32'h3f48abc8,32'h3f5dcb56, 32'h3f3e1c01,32'h3f685b1d,// invsqrt(1.4688) = 0.8251 +32'h4051ea65,32'h3f0a86da,32'h3f102e50, 32'h3f064941,32'h3f146be9, 32'h3efe6fd9,32'h3f1b7d3e,// invsqrt(3.2799) = 0.5522 +32'h3e630d7f,32'h4005324b,32'h400aa20f, 32'h40011e78,32'h400eb5e2, 32'h3ff4a584,32'h40158198,// invsqrt(0.2217) = 2.1237 +32'h4002a1f5,32'h3f2f9a2f,32'h3f36c50d, 32'h3f2a3a09,32'h3f3c2533, 32'h3f214475,32'h3f451ac7,// invsqrt(2.0411) = 0.6999 +32'h3f0e8736,32'h3fa81d5d,32'h3faef9fd, 32'h3fa2f7e4,32'h3fb41f76, 32'h3f9a641c,32'h3fbcb33e,// invsqrt(0.5568) = 1.3402 +32'h3f33399c,32'h3f95eb44,32'h3f9c09c4, 32'h3f915464,32'h3fa0a0a4, 32'h3f89ae44,32'h3fa846c4,// invsqrt(0.7001) = 1.1951 +32'h3f99e09b,32'h3f64d087,32'h3f6e2767, 32'h3f5dcf5e,32'h3f752890, 32'h3f5222c5,32'h3f806a95,// invsqrt(1.2022) = 0.9120 +32'h3ee0c0d1,32'h3fbd5455,32'h3fc50ea1, 32'h3fb7889b,32'h3fcada5b, 32'h3faddfbc,32'h3fd4833b,// invsqrt(0.4390) = 1.5093 +32'h3f64cad9,32'h3f84b069,32'h3f8a1ae1, 32'h3f80a090,32'h3f8e2aba, 32'h3f73b6f6,32'h3f94efcf,// invsqrt(0.8937) = 1.0578 +32'h3e732759,32'h4000b603,32'h4005f6e9, 32'h3ff98aad,32'h4009e796, 32'h3fec686e,32'h401078b5,// invsqrt(0.2375) = 2.0522 +32'h3fd6376c,32'h3f41ee08,32'h3f49d866, 32'h3f3bfe41,32'h3f4fc82d, 32'h3f32194b,32'h3f59ad23,// invsqrt(1.6736) = 0.7730 +32'h40329cee,32'h3f162cf7,32'h3f1c4e25, 32'h3f119414,32'h3f20e708, 32'h3f09ea99,32'h3f289083,// invsqrt(2.7908) = 0.5986 +32'h421345b6,32'h3e25627f,32'h3e2c229a, 32'h3e20526c,32'h3e3132ae, 32'h3e17e24c,32'h3e39a2ce,// invsqrt(36.8181) = 0.1648 +32'h3f643a21,32'h3f84da74,32'h3f8a46a2, 32'h3f80c951,32'h3f8e57c5, 32'h3f74042d,32'h3f951eff,// invsqrt(0.8915) = 1.0591 +32'h3e995515,32'h3fe5388a,32'h3fee93aa, 32'h3fde3432,32'h3ff59802, 32'h3fd2824b,32'h4000a4f5,// invsqrt(0.2995) = 1.8273 +32'h413e380b,32'h3e9185ad,32'h3e97763c, 32'h3e8d1142,32'h3e9beaa8, 32'h3e85a490,32'h3ea3575a,// invsqrt(11.8887) = 0.2900 +32'h3f097074,32'h3fab32e2,32'h3fb22fbc, 32'h3fa5f53e,32'h3fb76d60, 32'h3f9d392e,32'h3fc02971,// invsqrt(0.5369) = 1.3648 +32'h3ec20997,32'h3fcbc3ad,32'h3fd414cf, 32'h3fc586d4,32'h3fda51a8, 32'h3fbb216b,32'h3fe4b711,// invsqrt(0.3790) = 1.6244 +32'h3f9301ad,32'h3f6a19c7,32'h3f73a7e4, 32'h3f62ef31,32'h3f7ad279, 32'h3f56fd8c,32'h3f83620f,// invsqrt(1.1485) = 0.9331 +32'h41cfc75a,32'h3e44e947,32'h3e4cf2cc, 32'h3e3ee222,32'h3e52f9f0, 32'h3e34d63b,32'h3e5d05d7,// invsqrt(25.9723) = 0.1962 +32'h3f4ccdd5,32'h3f8c3eab,32'h3f91f816, 32'h3f87f39c,32'h3f964326, 32'h3f80cbd7,32'h3f9d6aeb,// invsqrt(0.8000) = 1.1180 +32'h3f294675,32'h3f9a431b,32'h3fa08efd, 32'h3f958a32,32'h3fa547e6, 32'h3f8dab58,32'h3fad26c0,// invsqrt(0.6612) = 1.2298 +32'h3f1ad4d9,32'h3fa14c0c,32'h3fa7e170, 32'h3f9c5c01,32'h3facd17b, 32'h3f942145,32'h3fb50c37,// invsqrt(0.6048) = 1.2859 +32'h3f370d6f,32'h3f9457e9,32'h3f9a65f3, 32'h3f8fcd62,32'h3f9ef07a, 32'h3f883bd6,32'h3fa68206,// invsqrt(0.7150) = 1.1826 +32'h3e0f520f,32'h4027a63a,32'h402e7dfe, 32'h40228467,32'h40339fd1, 32'h4019f6b3,32'h403c2d85,// invsqrt(0.1400) = 2.6730 +32'h4031294b,32'h3f16ca28,32'h3f1cf1c2, 32'h3f122c76,32'h3f218f74, 32'h3f0a7af6,32'h3f2940f4,// invsqrt(2.7681) = 0.6010 +32'h3f62ea5c,32'h3f853c9b,32'h3f8aaccb, 32'h3f812877,32'h3f8ec0ef, 32'h3f74b875,32'h3f958d2b,// invsqrt(0.8864) = 1.0622 +32'h3f15951b,32'h3fa41a4a,32'h3faaccff, 32'h3f9f1443,32'h3fafd307, 32'h3f96b4e1,32'h3fb83269,// invsqrt(0.5843) = 1.3082 +32'h3f2ed8e0,32'h3f97c8c5,32'h3f9dfac3, 32'h3f932347,32'h3fa2a041, 32'h3f8b64ca,32'h3faa5ebe,// invsqrt(0.6830) = 1.2100 +32'h3fa9e183,32'h3f59c535,32'h3f62a8b0, 32'h3f531a9a,32'h3f69534c, 32'h3f47fe41,32'h3f746fa5,// invsqrt(1.3272) = 0.8680 +32'h3ebe5e4a,32'h3fcdb7fa,32'h3fd61d87, 32'h3fc76bd1,32'h3fdc69b1, 32'h3fbcece1,32'h3fe6e8a1,// invsqrt(0.3718) = 1.6400 +32'h3f47205c,32'h3f8e3aea,32'h3f940912, 32'h3f89e04b,32'h3f9863b1, 32'h3f829e98,32'h3f9fa564,// invsqrt(0.7778) = 1.1338 +32'h3f78a9b6,32'h3f7e8de9,32'h3f8478df, 32'h3f76c309,32'h3f885e4f, 32'h3f69c63f,32'h3f8edcb5,// invsqrt(0.9713) = 1.0146 +32'h3f811746,32'h3f79d154,32'h3f8201d6, 32'h3f722b93,32'h3f85d4b6, 32'h3f656ca6,32'h3f8c342d,// invsqrt(1.0085) = 0.9958 +32'h3f8b918d,32'h3f7041f6,32'h3f7a1068, 32'h3f68e720,32'h3f80b59f, 32'h3f5ca511,32'h3f86d6a7,// invsqrt(1.0904) = 0.9577 +32'h3dd7270e,32'h404181eb,32'h404967df, 32'h403b9573,32'h404f5457, 32'h4031b601,32'h405933c9,// invsqrt(0.1051) = 3.0853 +32'h3e97e9f2,32'h3fe649de,32'h3fefb026, 32'h3fdf3d28,32'h3ff6bcdc, 32'h3fd37d4f,32'h40013e5b,// invsqrt(0.2967) = 1.8358 +32'h3f709bd9,32'h3f8163cf,32'h3f86abcd, 32'h3f7adba1,32'h3f8aa1cc, 32'h3f6da7a6,32'h3f913bc9,// invsqrt(0.9399) = 1.0315 +32'h40a2f4e1,32'h3ede5955,32'h3ee76ca7, 32'h3ed78ad8,32'h3eee3b24, 32'h3ecc32b1,32'h3ef9934b,// invsqrt(5.0924) = 0.4431 +32'h4062a2e7,32'h3f05519a,32'h3f0ac2a6, 32'h3f013cd2,32'h3f0ed76e, 32'h3ef4df06,32'h3f15a4bd,// invsqrt(3.5412) = 0.5314 +32'h423f2f46,32'h3e112777,32'h3e17142e, 32'h3e0cb5ef,32'h3e1b85b7, 32'h3e054e0b,32'h3e22ed9b,// invsqrt(47.7962) = 0.1446 +32'h3f0a6d5c,32'h3faa9636,32'h3fb18cac, 32'h3fa55d5f,32'h3fb6c583, 32'h3f9ca94c,32'h3fbf7996,// invsqrt(0.5407) = 1.3599 +32'h3f85cffa,32'h3f755eeb,32'h3f7f62cb, 32'h3f6ddc03,32'h3f8372d9, 32'h3f61572c,32'h3f89b545,// invsqrt(1.0454) = 0.9780 +32'h3ff2f599,32'h3f3618fc,32'h3f3d87b8, 32'h3f3085ef,32'h3f431ac5, 32'h3f273b84,32'h3f4c6530,// invsqrt(1.8981) = 0.7258 +32'h3f7fd4c3,32'h3f7af67a,32'h3f829a65, 32'h3f7347c0,32'h3f8671c2, 32'h3f6679df,32'h3f8cd8b3,// invsqrt(0.9993) = 1.0003 +32'h3fd3f640,32'h3f42f55e,32'h3f4aea7c, 32'h3f3cfd88,32'h3f50e252, 32'h3f330b22,32'h3f5ad4b8,// invsqrt(1.6560) = 0.7771 +32'h3cfc5132,32'h40b2b05d,32'h40b9fb7b, 32'h40ad3807,32'h40bf73d1, 32'h40a41a21,32'h40c891b7,// invsqrt(0.0308) = 5.6980 +32'h40330533,32'h3f160134,32'h3f1c209a, 32'h3f1169a8,32'h3f20b826, 32'h3f09c26a,32'h3f285f64,// invsqrt(2.7972) = 0.5979 +32'h3ddd545e,32'h403ec9c2,32'h4046934c, 32'h4038f29a,32'h404c6a74, 32'h402f36ad,32'h40562661,// invsqrt(0.1081) = 3.0419 +32'h3e0bd9ec,32'h4029b74d,32'h4030a4a9, 32'h40248548,32'h4035d6ae, 32'h401bdc95,32'h403e7f61,// invsqrt(0.1366) = 2.7059 +32'h3f4b5f36,32'h3f8cbcdc,32'h3f927b6c, 32'h3f886def,32'h3f96ca59, 32'h3f813fba,32'h3f9df88e,// invsqrt(0.7944) = 1.1220 +32'h3ffbd149,32'h3f32ddb9,32'h3f3a2ab1, 32'h3f2d63ff,32'h3f3fa46b, 32'h3f2443c9,32'h3f48c4a1,// invsqrt(1.9673) = 0.7130 +32'h3f06a907,32'h3facf4ce,32'h3fb40406, 32'h3fa7a965,32'h3fb94f6f, 32'h3f9ed65f,32'h3fc22275,// invsqrt(0.5260) = 1.3788 +32'h3f9939a6,32'h3f654d0e,32'h3f6ea904, 32'h3f5e4815,32'h3f75adfd, 32'h3f529522,32'h3f80b078,// invsqrt(1.1971) = 0.9140 +32'h3f08d4d4,32'h3fab9421,32'h3fb294f4, 32'h3fa65384,32'h3fb7d592, 32'h3f9d927d,32'h3fc09699,// invsqrt(0.5345) = 1.3678 +32'h4033472d,32'h3f15e598,32'h3f1c03dc, 32'h3f114ee4,32'h3f209a90, 32'h3f09a90e,32'h3f284066,// invsqrt(2.8012) = 0.5975 +32'h3d985d88,32'h4065f274,32'h406f552a, 32'h405ee86b,32'h40765f33, 32'h40532d07,32'h40810d4b,// invsqrt(0.0744) = 3.6662 +32'h3eca8bf1,32'h3fc77032,32'h3fcf9420, 32'h3fc15541,32'h3fd5af11, 32'h3fb72858,32'h3fdfdbfa,// invsqrt(0.3956) = 1.5899 +32'h4023d61e,32'h3f1ccd3e,32'h3f2333a8, 32'h3f18006d,32'h3f280079, 32'h3f100068,32'h3f30007f,// invsqrt(2.5599) = 0.6250 +32'h3ee4c527,32'h3fbba8ec,32'h3fc351c6, 32'h3fb5ea48,32'h3fc9106a, 32'h3fac5736,32'h3fd2a37c,// invsqrt(0.4468) = 1.4960 +32'h410389eb,32'h3eaeff16,32'h3eb6239e, 32'h3ea9a3af,32'h3ebb7f05, 32'h3ea0b604,32'h3ec46cb0,// invsqrt(8.2212) = 0.3488 +32'h3e010567,32'h4030b211,32'h4037e85b, 32'h402b495a,32'h403d5112, 32'h4022457d,32'h404654ef,// invsqrt(0.1260) = 2.8172 +32'h3e17a660,32'h4022faf1,32'h4029a1eb, 32'h401dfdb5,32'h402e9f27, 32'h4015acfd,32'h4036efdf,// invsqrt(0.1481) = 2.5985 +32'h3faa8df9,32'h3f5956ff,32'h3f6235fa, 32'h3f52afc4,32'h3f68dd36, 32'h3f47990a,32'h3f73f3f0,// invsqrt(1.3325) = 0.8663 +32'h3ddb40e3,32'h403fb074,32'h40478369, 32'h4039d23c,32'h404d61a0, 32'h40300a89,32'h40572953,// invsqrt(0.1071) = 3.0563 +32'h3f6e71b3,32'h3f81f9d2,32'h3f8747f0, 32'h3f7bfe78,32'h3f8b4286, 32'h3f6ebb2f,32'h3f91e42b,// invsqrt(0.9314) = 1.0362 +32'h418c1271,32'h3e6fd352,32'h3e799d40, 32'h3e687bdf,32'h3e807a5a, 32'h3e5c3f75,32'h3e86988f,// invsqrt(17.5090) = 0.2390 +32'h3e7c8333,32'h3ffc9b46,32'h40037561, 32'h3ff4dfaa,32'h4007532f, 32'h3fe7fc50,32'h400dc4dc,// invsqrt(0.2466) = 2.0138 +32'h3e089fa5,32'h402bb583,32'h4032b7b3, 32'h402673e0,32'h4037f956, 32'h401db125,32'h4040bc11,// invsqrt(0.1334) = 2.7377 +32'h3f9cd4c9,32'h3f62a647,32'h3f6be689, 32'h3f5bb616,32'h3f72d6ba, 32'h3f5025c4,32'h3f7e670c,// invsqrt(1.2252) = 0.9034 +32'h3ecc1d07,32'h3fc6abdf,32'h3fcec7c9, 32'h3fc096f0,32'h3fd4dcb8, 32'h3fb6740c,32'h3fdeff9c,// invsqrt(0.3987) = 1.5838 +32'h3e57f3c0,32'h400893b0,32'h400e26c6, 32'h4004655e,32'h40125518, 32'h3ffadb04,32'h40194cf4,// invsqrt(0.2109) = 2.1776 +32'h3f7f6a98,32'h3f7b2a9d,32'h3f82b586, 32'h3f737a49,32'h3f868daf, 32'h3f66a9bf,32'h3f8cf5f5,// invsqrt(0.9977) = 1.0011 +32'h3f161989,32'h3fa3d1d6,32'h3faa8196, 32'h3f9ece06,32'h3faf8566, 32'h3f967257,32'h3fb7e115,// invsqrt(0.5863) = 1.3060 +32'h3e5b44be,32'h40078a46,32'h400d1287, 32'h40036414,32'h401138b8, 32'h3ff8f384,32'h4018230a,// invsqrt(0.2141) = 2.1610 +32'h3fa4a967,32'h3f5d31d7,32'h3f663919, 32'h3f566c65,32'h3f6cfe8b, 32'h3f4b2352,32'h3f78479e,// invsqrt(1.2864) = 0.8817 +32'h3f351c8c,32'h3f9522dc,32'h3f9b392e, 32'h3f90921e,32'h3f9fc9ec, 32'h3f88f638,32'h3fa765d2,// invsqrt(0.7075) = 1.1889 +32'h40334170,32'h3f15e7fe,32'h3f1c065c, 32'h3f115138,32'h3f209d22, 32'h3f09ab42,32'h3f284318,// invsqrt(2.8009) = 0.5975 +32'h40061e8f,32'h3f2d4dff,32'h3f3460db, 32'h3f27ffdb,32'h3f39aeff, 32'h3f1f2848,32'h3f428692,// invsqrt(2.0956) = 0.6908 +32'h3fb85759,32'h3f510dfd,32'h3f599665, 32'h3f4aa7af,32'h3f5ffcb3, 32'h3f3ffd2c,32'h3f6aa736,// invsqrt(1.4402) = 0.8333 +32'h3f14498f,32'h3fa4d158,32'h3fab8b86, 32'h3f9fc5b6,32'h3fb09728, 32'h3f975cfe,32'h3fb8ffe1,// invsqrt(0.5792) = 1.3139 +32'h4093e254,32'h3ee967b3,32'h3ef2ee8b, 32'h3ee24291,32'h3efa13ad, 32'h3ed65a02,32'h3f02fe1e,// invsqrt(4.6214) = 0.4652 +32'h3f420243,32'h3f901803,32'h3f95f9a5, 32'h3f8baec9,32'h3f9a62df, 32'h3f8454bf,32'h3fa1bce9,// invsqrt(0.7578) = 1.1487 +32'h402a559b,32'h3f19c822,32'h3f200efe, 32'h3f1512fc,32'h3f24c424, 32'h3f0d3a68,32'h3f2c9cb8,// invsqrt(2.6615) = 0.6130 +32'h3faa13e0,32'h3f59a4f5,32'h3f62871f, 32'h3f52fb56,32'h3f6930be, 32'h3f47e0a3,32'h3f744b71,// invsqrt(1.3287) = 0.8675 +32'h3fdc9cbe,32'h3f3f1918,32'h3f46e5e0, 32'h3f393f83,32'h3f4cbf75, 32'h3f2f7f89,32'h3f567f6f,// invsqrt(1.7235) = 0.7617 +32'h40f57d6e,32'h3eb52817,32'h3ebc8cfd, 32'h3eaf9c6a,32'h3ec218aa, 32'h3ea65e49,32'h3ecb56cb,// invsqrt(7.6716) = 0.3610 +32'h3eb1351d,32'h3fd53895,32'h3fddec86, 32'h3fceb1a0,32'h3fe4737a, 32'h3fc3d0b2,32'h3fef5468,// invsqrt(0.3461) = 1.6998 +32'h404a2061,32'h3f0d2bb0,32'h3f12eec6, 32'h3f08d95e,32'h3f174118, 32'h3f01a582,32'h3f1e74f4,// invsqrt(3.1582) = 0.5627 +32'h3f5e75b2,32'h3f86907f,32'h3f8c0e8f, 32'h3f8271f3,32'h3f902d1b, 32'h3f7728c0,32'h3f970aae,// invsqrt(0.8690) = 1.0727 +32'h3ee172af,32'h3fbd0996,32'h3fc4c0d6, 32'h3fb74026,32'h3fca8a46, 32'h3fad9b17,32'h3fd42f55,// invsqrt(0.4403) = 1.5070 +32'h3fc69003,32'h3f496dde,32'h3f51a698, 32'h3f434352,32'h3f57d124, 32'h3f38fc68,32'h3f62180e,// invsqrt(1.5513) = 0.8029 +32'h3fab58b7,32'h3f58d644,32'h3f61affe, 32'h3f5232f9,32'h3f685349, 32'h3f4722d1,32'h3f736371,// invsqrt(1.3386) = 0.8643 +32'h3f95cced,32'h3f67e844,32'h3f715f76, 32'h3f60cedf,32'h3f7878db, 32'h3f54f9e0,32'h3f8226ed,// invsqrt(1.1703) = 0.9244 +32'h3fdf6c0d,32'h3f3de480,32'h3f45a4ae, 32'h3f38145c,32'h3f4b74d2, 32'h3f2e6422,32'h3f55250d,// invsqrt(1.7455) = 0.7569 +32'h3f60bcaa,32'h3f85e186,32'h3f8b5871, 32'h3f81c855,32'h3f8f71a1, 32'h3f75e75d,32'h3f964647,// invsqrt(0.8779) = 1.0673 +32'h3fc1d26d,32'h3f4be0ab,32'h3f5432fb, 32'h3f45a2ef,32'h3f5a70b7, 32'h3f3b3c0b,32'h3f64d79b,// invsqrt(1.5142) = 0.8126 +32'h3f0907a5,32'h3fab744e,32'h3fb273d4, 32'h3fa634aa,32'h3fb7b378, 32'h3f9d7542,32'h3fc072e0,// invsqrt(0.5353) = 1.3668 +32'h4105b690,32'h3ead9157,32'h3eb4a6f3, 32'h3ea84123,32'h3eb9f727, 32'h3e9f6621,32'h3ec2d229,// invsqrt(8.3571) = 0.3459 +32'h3ff99742,32'h3f33a985,32'h3f3afecf, 32'h3f2e298e,32'h3f407ec6, 32'h3f24fef3,32'h3f49a961,// invsqrt(1.9499) = 0.7161 +32'h3e554d17,32'h40096c4a,32'h400f0838, 32'h40053757,32'h40133d2b, 32'h3ffc68db,32'h401a4014,// invsqrt(0.2083) = 2.1911 +32'h3f4e88c6,32'h3f8ba7f7,32'h3f915b3b, 32'h3f876185,32'h3f95a1ad, 32'h3f804170,32'h3f9cc1c2,// invsqrt(0.8068) = 1.1133 +32'h40000379,32'h3f3163ba,32'h3f38a144, 32'h3f2bf592,32'h3f3e0f6c, 32'h3f22e8a6,32'h3f471c59,// invsqrt(2.0002) = 0.7071 +32'h4026b50c,32'h3f1b721c,32'h3f21ca5c, 32'h3f16afec,32'h3f268c8c, 32'h3f0ec19c,32'h3f2e7adc,// invsqrt(2.6048) = 0.6196 +32'h411524f3,32'h3ea457f3,32'h3eab0d2b, 32'h3e9f5008,32'h3eb01516, 32'h3e96ed81,32'h3eb8779d,// invsqrt(9.3215) = 0.3275 +32'h3fdfb3e7,32'h3f3dc5fe,32'h3f4584ef, 32'h3f37f6cb,32'h3f4b5423, 32'h3f2e481e,32'h3f5502d0,// invsqrt(1.7477) = 0.7564 +32'h3f25ca3a,32'h3f9be00b,32'h3fa23cc7, 32'h3f971a7d,32'h3fa70255, 32'h3f8f2692,32'h3faef640,// invsqrt(0.6476) = 1.2426 +32'h3f35b19e,32'h3f94e5a2,32'h3f9af974, 32'h3f9056c4,32'h3f9f8852, 32'h3f88bdfd,32'h3fa72119,// invsqrt(0.7097) = 1.1870 +32'h3f6441a7,32'h3f84d844,32'h3f8a445b, 32'h3f80c731,32'h3f8e556d, 32'h3f740028,32'h3f951c8a,// invsqrt(0.8916) = 1.0590 +32'h4122bac9,32'h3e9d5584,32'h3ea3c17e, 32'h3e988487,32'h3ea8927b, 32'h3e907d8e,32'h3eb09974,// invsqrt(10.1706) = 0.3136 +32'h3f71071e,32'h3f814701,32'h3f868dd2, 32'h3f7aa3c8,32'h3f8a82ee, 32'h3f6d72bd,32'h3f911b74,// invsqrt(0.9415) = 1.0306 +32'h3f2038fe,32'h3f9e8f67,32'h3fa50832, 32'h3f99b4d0,32'h3fa9e2ca, 32'h3f919dd2,32'h3fb1f9c8,// invsqrt(0.6259) = 1.2640 +32'h3faa03dc,32'h3f59af35,32'h3f6291c9, 32'h3f530545,32'h3f693bb9, 32'h3f47ea0c,32'h3f7456f2,// invsqrt(1.3282) = 0.8677 +32'h3e1d5635,32'h402001fa,32'h402689e5, 32'h401b1c0a,32'h402b6fd6, 32'h4012f225,32'h403399bb,// invsqrt(0.1536) = 2.5511 +32'h3f0487ad,32'h3fae573d,32'h3fb574eb, 32'h3fa900f9,32'h3fbacb2f, 32'h3fa01bdf,32'h3fc3b049,// invsqrt(0.5177) = 1.3898 +32'h3f8988fc,32'h3f7206fa,32'h3f7be7ea, 32'h3f6a9e46,32'h3f81a84f, 32'h3f5e451a,32'h3f87d4e5,// invsqrt(1.0745) = 0.9647 +32'h40c8b825,32'h3ec85813,32'h3ed08578, 32'h3ec23609,32'h3ed6a783, 32'h3eb7fd4c,32'h3ee0e040,// invsqrt(6.2725) = 0.3993 +32'h4000e8e0,32'h3f30c59d,32'h3f37fcb3, 32'h3f2b5c4c,32'h3f3d6604, 32'h3f225771,32'h3f466adf,// invsqrt(2.0142) = 0.7046 +32'h4303042d,32'h3daf5851,32'h3db6807e, 32'h3da9fa2f,32'h3dbbde9f, 32'h3da107f6,32'h3dc4d0d8,// invsqrt(131.0163) = 0.0874 +32'h3f1d4381,32'h3fa00b7e,32'h3fa693cc, 32'h3f9b2543,32'h3fab7a07, 32'h3f92fae1,32'h3fb3a469,// invsqrt(0.6143) = 1.2759 +32'h3ef2314f,32'h3fb662b8,32'h3fbdd476, 32'h3fb0cd69,32'h3fc369c5, 32'h3fa77f3b,32'h3fccb7f3,// invsqrt(0.4730) = 1.4540 +32'h3e2aaaab,32'h4019a1ca,32'h401fe716, 32'h4014edd1,32'h40249b0f, 32'h400d1732,32'h402c71ae,// invsqrt(0.1667) = 2.4495 +32'h4109b64e,32'h3eab0771,32'h3eb20285, 32'h3ea5cb22,32'h3eb73ed4, 32'h3e9d1148,32'h3ebff8ae,// invsqrt(8.6070) = 0.3409 +32'h3f023494,32'h3fafe3e2,32'h3fb711c2, 32'h3faa817b,32'h3fbc7429, 32'h3fa18823,32'h3fc56d81,// invsqrt(0.5086) = 1.4022 +32'h3e91455d,32'h3feb7eb6,32'h3ff51b65, 32'h3fe44934,32'h3ffc50e8, 32'h3fd84559,32'h40042a61,// invsqrt(0.2837) = 1.8774 +32'h40cdc782,32'h3ec5dd94,32'h3ecdf112, 32'h3ebfcef5,32'h3ed3ffb1, 32'h3eb5b698,32'h3ede180f,// invsqrt(6.4306) = 0.3943 +32'h3fad6043,32'h3f57906b,32'h3f605cd8, 32'h3f50f71a,32'h3f66f62a, 32'h3f45f792,32'h3f71f5b2,// invsqrt(1.3545) = 0.8592 +32'h4024a5d9,32'h3f1c6a34,32'h3f22cc94, 32'h3f17a06c,32'h3f27965c, 32'h3f0fa574,32'h3f2f9154,// invsqrt(2.5726) = 0.6235 +32'h3fa9efec,32'h3f59bbfa,32'h3f629f13, 32'h3f5311a6,32'h3f694966, 32'h3f47f5c5,32'h3f746547,// invsqrt(1.3276) = 0.8679 +32'h4085ffab,32'h3ef5333d,32'h3eff3555, 32'h3eedb1ac,32'h3f035b73, 32'h3ee12f0e,32'h3f099cc2,// invsqrt(4.1875) = 0.4887 +32'h40be50b3,32'h3ecdbf52,32'h3ed6252c, 32'h3ec772ef,32'h3edc718f, 32'h3ebcf39f,32'h3ee6f0df,// invsqrt(5.9474) = 0.4101 +32'h3e01d5cb,32'h4030240a,32'h40375488, 32'h402abfac,32'h403cb8e6, 32'h4021c30e,32'h4045b584,// invsqrt(0.1268) = 2.8084 +32'h3f26da70,32'h3f9b60b0,32'h3fa1b83a, 32'h3f969f09,32'h3fa679e1, 32'h3f8eb19c,32'h3fae674e,// invsqrt(0.6518) = 1.2387 +32'h3ea75d43,32'h3fdb66c9,32'h3fe45b4f, 32'h3fd4af65,32'h3feb12b3, 32'h3fc97dbe,32'h3ff6445a,// invsqrt(0.3269) = 1.7491 +32'h3cbf7bea,32'h40cd1e53,32'h40d57d9b, 32'h40c6d6de,32'h40dbc510, 32'h40bc5fc5,32'h40e63c29,// invsqrt(0.0234) = 6.5408 +32'h407af2fe,32'h3efd6463,32'h3f03de0a, 32'h3ef5a29f,32'h3f07beec, 32'h3ee8b503,32'h3f0e35bb,// invsqrt(3.9211) = 0.5050 +32'h3f8f0c64,32'h3f6d513f,32'h3f7700f9, 32'h3f660d74,32'h3f7e44c4, 32'h3f59f1cc,32'h3f853036,// invsqrt(1.1176) = 0.9459 +32'h403de1ef,32'h3f11a6a9,32'h3f179890, 32'h3f0d313b,32'h3f1c0dfd, 32'h3f05c2d9,32'h3f237c5f,// invsqrt(2.9669) = 0.5806 +32'h3dccbb8b,32'h40465ee6,32'h404e77ac, 32'h40404c52,32'h40548a40, 32'h40362d5b,32'h405ea937,// invsqrt(0.1000) = 3.1628 +32'h3f5af820,32'h3f87a1fa,32'h3f8d2b34, 32'h3f837b0f,32'h3f91521f, 32'h3f791f10,32'h3f983da6,// invsqrt(0.8553) = 1.0813 +32'h3d3664ae,32'h40949c79,32'h409aad4f, 32'h40900fd9,32'h409f39ef, 32'h40887acd,32'h40a6cefb,// invsqrt(0.0445) = 4.7389 +32'h3f6c9100,32'h3f827d9d,32'h3f87d11b, 32'h3f7cfdfc,32'h3f8bcfba, 32'h3f6fad3f,32'h3f927818,// invsqrt(0.9241) = 1.0403 +32'h3fc2fa0c,32'h3f4b45e1,32'h3f5391df, 32'h3f450ce2,32'h3f59cade, 32'h3f3aade3,32'h3f6429dd,// invsqrt(1.5233) = 0.8102 +32'h412e04ae,32'h3e982534,32'h3e9e5af7, 32'h3e937ce1,32'h3ea30349, 32'h3e8bb9ac,32'h3eaac67e,// invsqrt(10.8761) = 0.3032 +32'h3f2e2d8d,32'h3f981359,32'h3f9e4861, 32'h3f936b92,32'h3fa2f028, 32'h3f8ba947,32'h3faab273,// invsqrt(0.6804) = 1.2123 +32'h4080b9ae,32'h3efa2c15,32'h3f023110, 32'h3ef2838d,32'h3f060555, 32'h3ee5bfff,32'h3f0c671c,// invsqrt(4.0227) = 0.4986 +32'h3d35332c,32'h4095198d,32'h409b2f7d, 32'h40908918,32'h409fbff2, 32'h4088edab,32'h40a75b5f,// invsqrt(0.0442) = 4.7545 +32'h40289c90,32'h3f1a90bf,32'h3f20dfcd, 32'h3f15d576,32'h3f259b16, 32'h3f0df2a5,32'h3f2d7de7,// invsqrt(2.6346) = 0.6161 +32'h401a67a7,32'h3f21850b,32'h3f281cc3, 32'h3f1c9342,32'h3f2d0e8c, 32'h3f14559d,32'h3f354c31,// invsqrt(2.4126) = 0.6438 +32'h3f924b8c,32'h3f6aab52,32'h3f743f60, 32'h3f637c48,32'h3f7b6e6a, 32'h3f578336,32'h3f83b3be,// invsqrt(1.1429) = 0.9354 +32'h400c35c9,32'h3f297fab,32'h3f306ac1, 32'h3f244f5a,32'h3f359b12, 32'h3f1ba97d,32'h3f3e40ef,// invsqrt(2.1908) = 0.6756 +32'h3fb7edf1,32'h3f5149dc,32'h3f59d4b6, 32'h3f4ae1b9,32'h3f603cd9, 32'h3f403428,32'h3f6aea6a,// invsqrt(1.4369) = 0.8342 +32'h40bf4a0c,32'h3ecd390e,32'h3ed5996c, 32'h3ec6f0c7,32'h3edbe1b3, 32'h3ebc7850,32'h3ee65a2a,// invsqrt(5.9778) = 0.4090 +32'h3ed1498b,32'h3fc43345,32'h3fcc355d, 32'h3fbe31b3,32'h3fd236ef, 32'h3fb42f16,32'h3fdc398c,// invsqrt(0.4088) = 1.5641 +32'h3d22714d,32'h409d7916,32'h40a3e685, 32'h4098a704,32'h40a8b898, 32'h40909e39,32'h40b0c163,// invsqrt(0.0397) = 5.0215 +32'h4003b219,32'h3f2ee462,32'h3f3607d4, 32'h3f2989cd,32'h3f3b6269, 32'h3f209d7f,32'h3f444eb7,// invsqrt(2.0577) = 0.6971 +32'h4039aa58,32'h3f134bbd,32'h3f194ed5, 32'h3f0ec96c,32'h3f1dd126, 32'h3f07458e,32'h3f255504,// invsqrt(2.9010) = 0.5871 +32'h3fa9a593,32'h3f59ebaa,32'h3f62d0b6, 32'h3f533fe1,32'h3f697c7f, 32'h3f482192,32'h3f749ace,// invsqrt(1.3254) = 0.8686 +32'h3f030c70,32'h3faf52ca,32'h3fb67abd, 32'h3fa9f4d3,32'h3fbbd8b3, 32'h3fa102e3,32'h3fc4caa3,// invsqrt(0.5119) = 1.3977 +32'h410860b1,32'h3eabdd20,32'h3eb2e0ee, 32'h3ea69a47,32'h3eb823c7, 32'h3e9dd586,32'h3ec0e888,// invsqrt(8.5236) = 0.3425 +32'h3f04dc9a,32'h3fae1f7b,32'h3fb53ae4, 32'h3fa8caee,32'h3fba8f72, 32'h3f9fe8ab,32'h3fc371b5,// invsqrt(0.5190) = 1.3881 +32'h3ebd5478,32'h3fce4832,32'h3fd6b3a2, 32'h3fc7f79e,32'h3fdd0436, 32'h3fbd7153,32'h3fe78a81,// invsqrt(0.3698) = 1.6445 +32'h4057f1c0,32'h3f089452,32'h3f0e2770, 32'h3f0465fc,32'h3f1255c6, 32'h3efadc2f,32'h3f194dab,// invsqrt(3.3741) = 0.5444 +32'h400917bb,32'h3f2b6a3f,32'h3f32695b, 32'h3f262ae9,32'h3f37a8b1, 32'h3f1d6c05,32'h3f406795,// invsqrt(2.1421) = 0.6833 +32'h3e1c7055,32'h4020775e,32'h40270414, 32'h401b8dd6,32'h402bed9c, 32'h40135df3,32'h40341d7f,// invsqrt(0.1528) = 2.5585 +32'h3f76aed9,32'h3f7f92e8,32'h3f8500b2, 32'h3f77c00a,32'h3f88ea21, 32'h3f6ab5ef,32'h3f8f6f2e,// invsqrt(0.9636) = 1.0187 +32'h3e909b8f,32'h3fec08d2,32'h3ff5ab23, 32'h3fe4cf14,32'h3ffce4e0, 32'h3fd8c42e,32'h400477e3,// invsqrt(0.2824) = 1.8817 +32'h4184299b,32'h3e76e5c9,32'h3e807cce, 32'h3e6f56ea,32'h3e84443e, 32'h3e62be21,32'h3e8a90a2,// invsqrt(16.5203) = 0.2460 +32'h3e3be1ab,32'h40126cb2,32'h401866ae, 32'h400df134,32'h401ce22c, 32'h400678b8,32'h40245aa8,// invsqrt(0.1835) = 2.3346 +32'h3f173b18,32'h3fa334b6,32'h3fa9de0c, 32'h3f9e35b6,32'h3faedd0c, 32'h3f95e20a,32'h3fb730b8,// invsqrt(0.5907) = 1.3011 +32'h3e3fe86f,32'h4010e160,32'h4016cb3a, 32'h400c71fc,32'h401b3a9e, 32'h40050dac,32'h40229eee,// invsqrt(0.1874) = 2.3100 +32'h3f21becb,32'h3f9dcfe4,32'h3fa440de, 32'h3f98fb29,32'h3fa91599, 32'h3f90edf1,32'h3fb122d1,// invsqrt(0.6318) = 1.2581 +32'h40890e84,32'h3ef27304,32'h3efc585c, 32'h3eeb0701,32'h3f01e230, 32'h3edea852,32'h3f081187,// invsqrt(4.2830) = 0.4832 +32'h4046a581,32'h3f0e66de,32'h3f1436d2, 32'h3f0a0ae7,32'h3f1892c9, 32'h3f02c6f6,32'h3f1fd6ba,// invsqrt(3.1039) = 0.5676 +32'h40b29fbb,32'h3ed45fb9,32'h3edd0ad1, 32'h3ecddf68,32'h3ee38b22, 32'h3ec3098b,32'h3eee60ff,// invsqrt(5.5820) = 0.4233 +32'h3ebecb6d,32'h3fcd7d1c,32'h3fd5e041, 32'h3fc732bf,32'h3fdc2a9d, 32'h3fbcb6d0,32'h3fe6a68c,// invsqrt(0.3726) = 1.6381 +32'h3da7e25b,32'h405b0fc0,32'h406400b8, 32'h40545b06,32'h406ab572, 32'h40492dd0,32'h4075e2a8,// invsqrt(0.0820) = 3.4927 +32'h3f69b4a6,32'h3f834973,32'h3f88a543, 32'h3f7e892d,32'h3f8caa20, 32'h3f7123a4,32'h3f935ce4,// invsqrt(0.9129) = 1.0466 +32'h3f8886ee,32'h3f72eb49,32'h3f7cd58b, 32'h3f6b7b98,32'h3f82229e, 32'h3f5f16c6,32'h3f885507,// invsqrt(1.0666) = 0.9683 +32'h3f730451,32'h3f80bf49,32'h3f860090, 32'h3f799ca9,32'h3f89f186, 32'h3f6c7977,32'h3f90831e,// invsqrt(0.9493) = 1.0264 +32'h40a31027,32'h3ede46bc,32'h3ee7594c, 32'h3ed778d1,32'h3eee2737, 32'h3ecc219d,32'h3ef97e6b,// invsqrt(5.0957) = 0.4430 +32'h3ecf69ea,32'h3fc5159c,32'h3fcd20f0, 32'h3fbf0d1c,32'h3fd32970, 32'h3fb4fef2,32'h3fdd379a,// invsqrt(0.4051) = 1.5711 +32'h3e895bd5,32'h3ff22ebe,32'h3ffc114e, 32'h3feac4d2,32'h4001bd9d, 32'h3fde699f,32'h4007eb36,// invsqrt(0.2683) = 1.9307 +32'h3e7eb061,32'h3ffb865e,32'h4002e546, 32'h3ff3d33d,32'h4006bed8, 32'h3fe6fe04,32'h400d2974,// invsqrt(0.2487) = 2.0051 +32'h3fc3655d,32'h3f4b0e07,32'h3f5357bf, 32'h3f44d6be,32'h3f598f08, 32'h3f3a7a99,32'h3f63eb2d,// invsqrt(1.5265) = 0.8094 +32'h400ee267,32'h3f27e7ae,32'h3f2ec21e, 32'h3f22c3da,32'h3f33e5f2, 32'h3f1a32cf,32'h3f3c76fd,// invsqrt(2.2326) = 0.6693 +32'h3ffa82db,32'h3f3354f6,32'h3f3aa6cc, 32'h3f2dd796,32'h3f40242c, 32'h3f24b14a,32'h3f494a78,// invsqrt(1.9571) = 0.7148 +32'h3f7951b0,32'h3f7e381a,32'h3f844c37, 32'h3f766fda,32'h3f883057, 32'h3f697770,32'h3f8eac8c,// invsqrt(0.9739) = 1.0133 +32'h40718331,32'h3f0125c7,32'h3f066b3d, 32'h3efa635d,32'h3f0a5f55, 32'h3eed35b7,32'h3f10f629,// invsqrt(3.7736) = 0.5148 +32'h3e8b5bb0,32'h3ff0705f,32'h3ffa40b7, 32'h3fe9141e,32'h4000ce7c, 32'h3fdccfb0,32'h4006f0b3,// invsqrt(0.2722) = 1.9168 +32'h3fe15367,32'h3f3d16b5,32'h3f44ce7d, 32'h3f374cde,32'h3f4a9854, 32'h3f2da723,32'h3f543e0f,// invsqrt(1.7604) = 0.7537 +32'h3ffe66a6,32'h3f31f4a2,32'h3f393816, 32'h3f2c820b,32'h3f3eaaad, 32'h3f236db9,32'h3f47beff,// invsqrt(1.9875) = 0.7093 +32'h3f7b8887,32'h3f7d1906,32'h3f83b6d1, 32'h3f755990,32'h3f87968c, 32'h3f686fcc,32'h3f8e0b6e,// invsqrt(0.9826) = 1.0088 +32'h3fb29d0c,32'h3f546152,32'h3f5d0c7a, 32'h3f4de0f4,32'h3f638cd8, 32'h3f430b02,32'h3f6e62ca,// invsqrt(1.3954) = 0.8465 +32'h3f45bde1,32'h3f8eba2d,32'h3f948d87, 32'h3f8a5ba9,32'h3f98ec0b, 32'h3f831377,32'h3fa0343d,// invsqrt(0.7724) = 1.1378 +32'h3f2a787d,32'h3f99b865,32'h3f9ffe9d, 32'h3f9503bb,32'h3fa4b347, 32'h3f8d2bf4,32'h3fac8b0e,// invsqrt(0.6659) = 1.2254 +32'h3ff34d02,32'h3f35f843,32'h3f3d65a9, 32'h3f306636,32'h3f42f7b6, 32'h3f271d77,32'h3f4c4075,// invsqrt(1.9008) = 0.7253 +32'h3e8c70bb,32'h3fef82c3,32'h3ff94967, 32'h3fe82dc7,32'h40004f31, 32'h3fdbf579,32'h40066b58,// invsqrt(0.2743) = 1.9094 +32'h3f8fd246,32'h3f6cadc4,32'h3f7656d1, 32'h3f656efa,32'h3f7d959a, 32'h3f595ba9,32'h3f84d476,// invsqrt(1.1236) = 0.9434 +32'h40dc303c,32'h3ebf4828,32'h3ec716dc, 32'h3eb96d22,32'h3eccf1e2, 32'h3eafaac2,32'h3ed6b442,// invsqrt(6.8809) = 0.3812 +32'h3f13a1d7,32'h3fa52edd,32'h3fabecdb, 32'h3fa0205e,32'h3fb0fb5a, 32'h3f97b2e0,32'h3fb968d8,// invsqrt(0.5767) = 1.3168 +32'h3d02e04f,32'h40af7056,32'h40b6997e, 32'h40aa1178,32'h40bbf85c, 32'h40a11e06,32'h40c4ebce,// invsqrt(0.0320) = 5.5943 +32'h3e09b9dd,32'h402b053b,32'h40320039, 32'h4025c8fe,32'h40373c76, 32'h401d0f41,32'h403ff633,// invsqrt(0.1345) = 2.7267 +32'h3f49c8dd,32'h3f8d4a4a,32'h3f930ea0, 32'h3f88f709,32'h3f9761e1, 32'h3f81c19c,32'h3f9e974e,// invsqrt(0.7882) = 1.1264 +32'h3f87eb8c,32'h3f7375fc,32'h3f7d65e6, 32'h3f6c020b,32'h3f826ceb, 32'h3f5f9626,32'h3f88a2de,// invsqrt(1.0619) = 0.9704 +32'h3fe17709,32'h3f3d07c3,32'h3f44bef0, 32'h3f373e62,32'h3f4a8852, 32'h3f2d996b,32'h3f542d49,// invsqrt(1.7614) = 0.7535 +32'h3f50a750,32'h3f8af1f0,32'h3f909dc5, 32'h3f86b10f,32'h3f94dea5, 32'h3f7f3488,32'h3f9bf570,// invsqrt(0.8151) = 1.1077 +32'h3f473e08,32'h3f8e3052,32'h3f93fe0c, 32'h3f89d606,32'h3f985858, 32'h3f8294de,32'h3f9f9980,// invsqrt(0.7783) = 1.1335 +32'h3ee7f77a,32'h3fba5cc4,32'h3fc1f80f, 32'h3fb4a84a,32'h3fc7ac88, 32'h3fab262b,32'h3fd12ea7,// invsqrt(0.4531) = 1.4857 +32'h401b2722,32'h3f212141,32'h3f27b4e5, 32'h3f1c3285,32'h3f2ca3a1, 32'h3f13f9f8,32'h3f34dc2f,// invsqrt(2.4243) = 0.6423 +32'h3ec4e63a,32'h3fca4733,32'h3fd288cd, 32'h3fc41600,32'h3fd8ba00, 32'h3fb9c400,32'h3fe30c00,// invsqrt(0.3846) = 1.6125 +32'h3fb2814e,32'h3f5471d2,32'h3f5d1da6, 32'h3f4df0f3,32'h3f639e85, 32'h3f431a29,32'h3f6e754f,// invsqrt(1.3946) = 0.8468 +32'h3e0bb1b3,32'h4029cfba,32'h4030be16, 32'h40249cf6,32'h4035f0da, 32'h401bf304,32'h403e9acc,// invsqrt(0.1364) = 2.7075 +32'h3efa0590,32'h3fb381df,32'h3fbad58b, 32'h3fae031f,32'h3fc0544b, 32'h3fa4da89,32'h3fc97ce1,// invsqrt(0.4883) = 1.4310 +32'h3e1816c1,32'h4022beaf,32'h40296334, 32'h401dc34c,32'h402e5e98, 32'h401575a7,32'h4036ac3d,// invsqrt(0.1485) = 2.5948 +32'h3fc160c3,32'h3f4c1c8d,32'h3f54714f, 32'h3f45dcfc,32'h3f5ab0e0, 32'h3f3b7309,32'h3f651ad3,// invsqrt(1.5108) = 0.8136 +32'h3f669233,32'h3f842d22,32'h3f89923e, 32'h3f80214e,32'h3f8d9e12, 32'h3f72c5d6,32'h3f945c75,// invsqrt(0.9007) = 1.0537 +32'h3dd5f4fe,32'h40420c21,32'h4049f7b9, 32'h403c1b6e,32'h404fe86c, 32'h403234ef,32'h4059ceeb,// invsqrt(0.1045) = 3.0939 +32'h3eed5aac,32'h3fb83c35,32'h3fbfc147, 32'h3fb29868,32'h3fc56514, 32'h3fa93211,32'h3fcecb6b,// invsqrt(0.4636) = 1.4687 +32'h411495ee,32'h3ea4a6f8,32'h3eab5f6a, 32'h3e9f9ca2,32'h3eb069c0, 32'h3e973612,32'h3eb8d050,// invsqrt(9.2866) = 0.3281 +32'h40964f66,32'h3ee78388,32'h3ef0f69e, 32'h3ee06d38,32'h3ef80cee, 32'h3ed49d5e,32'h3f01ee64,// invsqrt(4.6972) = 0.4614 +32'h3f66db78,32'h3f841827,32'h3f897c67, 32'h3f800cf7,32'h3f8d8797, 32'h3f729f4d,32'h3f9444e8,// invsqrt(0.9018) = 1.0530 +32'h4193a20e,32'h3e699a7c,32'h3e732367, 32'h3e6273cc,32'h3e7a4a18, 32'h3e5688a7,32'h3e831a9f,// invsqrt(18.4541) = 0.2328 +32'h3e5f28ba,32'h40065a7a,32'h400bd656, 32'h40023d96,32'h400ff33a, 32'h3ff6c588,32'h4016ce0c,// invsqrt(0.2179) = 2.1421 +32'h406f3dcb,32'h3f01c255,32'h3f070e2f, 32'h3efb92e4,32'h3f0b0712, 32'h3eee5544,32'h3f11a5e2,// invsqrt(3.7381) = 0.5172 +32'h4128ee24,32'h3e9a6b69,32'h3ea0b8ef, 32'h3e95b143,32'h3ea57315, 32'h3e8dd05b,32'h3ead53fd,// invsqrt(10.5581) = 0.3078 +32'h404ca99a,32'h3f0c4b15,32'h3f120501, 32'h3f07ffa4,32'h3f165072, 32'h3f00d73d,32'h3f1d78d9,// invsqrt(3.1979) = 0.5592 +32'h3dbef7d3,32'h404d6537,32'h4055c763, 32'h40471b96,32'h405c1104, 32'h403ca0df,32'h40668bbb,// invsqrt(0.0932) = 3.2748 +32'h40fc36f9,32'h3eb2b9a7,32'h3eba0525, 32'h3ead4107,32'h3ebf7dc5, 32'h3ea422a9,32'h3ec89c23,// invsqrt(7.8817) = 0.3562 +32'h406aae0c,32'h3f03039d,32'h3f085c94, 32'h3efe01c9,32'h3f0c5f4d, 32'h3ef0a360,32'h3f130e82,// invsqrt(3.6669) = 0.5222 +32'h3d4fc835,32'h408b3c74,32'h4090eb54, 32'h4086f94c,32'h40952e7c, 32'h407fbd67,32'h409c4914,// invsqrt(0.0507) = 4.4399 +32'h3f7f0cce,32'h3f7b58c7,32'h3f82cd8c, 32'h3f73a70a,32'h3f86a66b, 32'h3f66d425,32'h3f8d0fde,// invsqrt(0.9963) = 1.0019 +32'h3ec5d174,32'h3fc9cecb,32'h3fd20b7b, 32'h3fc3a148,32'h3fd838fe, 32'h3fb9556c,32'h3fe284da,// invsqrt(0.3864) = 1.6088 +32'h3f0c398c,32'h3fa97d65,32'h3fb06863, 32'h3fa44d25,32'h3fb598a3, 32'h3f9ba767,32'h3fbe3e61,// invsqrt(0.5478) = 1.3512 +32'h3f82ada1,32'h3f784bba,32'h3f813715, 32'h3f70b1e6,32'h3f8503ff, 32'h3f6406da,32'h3f8b5985,// invsqrt(1.0209) = 0.9897 +32'h3dd37ca8,32'h40432d62,32'h404b24ca, 32'h403d33d5,32'h40511e57, 32'h40333e94,32'h405b1398,// invsqrt(0.1033) = 3.1119 +32'h3ee1c51d,32'h3fbce711,32'h3fc49ce7, 32'h3fb71eaf,32'h3fca6549, 32'h3fad7b63,32'h3fd40895,// invsqrt(0.4410) = 1.5059 +32'h3f9aac26,32'h3f6439c7,32'h3f6d8a81, 32'h3f5d3d3c,32'h3f74870c, 32'h3f519854,32'h3f8015fa,// invsqrt(1.2084) = 0.9097 +32'h3d263856,32'h409bac62,32'h40a20702, 32'h4096e869,32'h40a6cafb, 32'h408ef720,32'h40aebc44,// invsqrt(0.0406) = 4.9641 +32'h40459ee0,32'h3f0ec55e,32'h3f14992e, 32'h3f0a6682,32'h3f18f80a, 32'h3f031dbf,32'h3f2040cd,// invsqrt(3.0878) = 0.5691 +32'h402b6320,32'h3f194f08,32'h3f1f90f3, 32'h3f149d97,32'h3f244263, 32'h3f0ccb30,32'h3f2c14ca,// invsqrt(2.6779) = 0.6111 +32'h41021bac,32'h3eaff4b7,32'h3eb72347, 32'h3eaa91cc,32'h3ebc8632, 32'h3ea19799,32'h3ec58065,// invsqrt(8.1318) = 0.3507 +32'h3f577306,32'h3f88bc77,32'h3f8e5137, 32'h3f848ce6,32'h3f9280c8, 32'h3f7b25e9,32'h3f997ab9,// invsqrt(0.8416) = 1.0901 +32'h400d9231,32'h3f28ae99,32'h3f2f9127, 32'h3f2384ae,32'h3f34bb12, 32'h3f1ae97d,32'h3f3d5643,// invsqrt(2.2120) = 0.6724 +32'h3f156069,32'h3fa4373a,32'h3faaeb1c, 32'h3f9f304f,32'h3faff207, 32'h3f96cf74,32'h3fb852e3,// invsqrt(0.5835) = 1.3091 +32'h3f6e279e,32'h3f820e08,32'h3f875cf8, 32'h3f7c25a6,32'h3f8b582d, 32'h3f6ee04d,32'h3f91fada,// invsqrt(0.9303) = 1.0368 +32'h4050e86a,32'h3f0adc48,32'h3f10873b, 32'h3f069c11,32'h3f14c771, 32'h3eff0cc2,32'h3f1bdd21,// invsqrt(3.2642) = 0.5535 +32'h3f336e8a,32'h3f95d526,32'h3f9bf2bf, 32'h3f913ef3,32'h3fa088f1, 32'h3f8999f3,32'h3fa82df1,// invsqrt(0.7009) = 1.1945 +32'h3e435c71,32'h400f981f,32'h40157489, 32'h400b32d0,32'h4019d9d8, 32'h4003df4c,32'h40212d5c,// invsqrt(0.1908) = 2.2894 +32'h406eb14d,32'h3f01e880,32'h3f0735e8, 32'h3efbdce3,32'h3f0b2ff7, 32'h3eee9b5e,32'h3f11d0b9,// invsqrt(3.7296) = 0.5178 +32'h3f8e1ee8,32'h3f6e1734,32'h3f77cf02, 32'h3f66cd5a,32'h3f7f18dc, 32'h3f5aa798,32'h3f859f4f,// invsqrt(1.1103) = 0.9490 +32'h3f275075,32'h3f9b29da,32'h3fa17f26, 32'h3f9669e0,32'h3fa63f20, 32'h3f8e7f40,32'h3fae29c0,// invsqrt(0.6536) = 1.2370 +32'h3efb45f6,32'h3fb30f49,32'h3fba5e47, 32'h3fad940b,32'h3fbfd985, 32'h3fa4714e,32'h3fc8fc42,// invsqrt(0.4908) = 1.4275 +32'h42031b14,32'h3e2f48ff,32'h3e36708d, 32'h3e29eb56,32'h3e3bce36, 32'h3e20f9e5,32'h3e44bfa7,// invsqrt(32.7764) = 0.1747 +32'h40174848,32'h3f232d99,32'h3f29d6a4, 32'h3f1e2ed0,32'h3f2ed56c, 32'h3f15db81,32'h3f3728bb,// invsqrt(2.3638) = 0.6504 +32'h3e05aac9,32'h402d98fc,32'h4034aee7, 32'h4028488c,32'h4039ff58, 32'h401f6d27,32'h4042dabd,// invsqrt(0.1305) = 2.7678 +32'h42ae59b4,32'h3dd6f600,32'h3ddfbc20, 32'h3dd06169,32'h3de650b7, 32'h3dc569c2,32'h3df1485e,// invsqrt(87.1752) = 0.1071 +32'h3fc9afab,32'h3f47dcfe,32'h3f50055b, 32'h3f41beb7,32'h3f5623a1, 32'h3f378c41,32'h3f605617,// invsqrt(1.5757) = 0.7966 +32'h3f9433a2,32'h3f6927a4,32'h3f72abde, 32'h3f620477,32'h3f79cf0b, 32'h3f561f2e,32'h3f82da2a,// invsqrt(1.1578) = 0.9293 +32'h3f7eb448,32'h3f7b8471,32'h3f82e445, 32'h3f73d15e,32'h3f86bdcf, 32'h3f66fc3e,32'h3f8d285f,// invsqrt(0.9949) = 1.0025 +32'h3f8c564a,32'h3f6f9952,32'h3f7960e2, 32'h3f6843a6,32'h3f805b47, 32'h3f5c0a31,32'h3f867802,// invsqrt(1.0964) = 0.9550 +32'h3e4de8e6,32'h400bde24,32'h4011939e, 32'h40079609,32'h4015dbb9, 32'h40007331,32'h401cfe91,// invsqrt(0.2011) = 2.2300 +32'h3fd54554,32'h3f425bfb,32'h3f4a4ad6, 32'h3f3c68d6,32'h3f503dfa, 32'h3f327e44,32'h3f5a288c,// invsqrt(1.6662) = 0.7747 +32'h3f9303ea,32'h3f6a17fe,32'h3f73a609, 32'h3f62ed77,32'h3f7ad091, 32'h3f56fbea,32'h3f83610f,// invsqrt(1.1486) = 0.9331 +32'h40b7ce50,32'h3ed15bdd,32'h3ed9e773, 32'h3ecaf32c,32'h3ee05024, 32'h3ec044b1,32'h3eeafe9f,// invsqrt(5.7439) = 0.4172 +32'h402a8b76,32'h3f19afd8,32'h3f1ff5b8, 32'h3f14fb71,32'h3f24aa1f, 32'h3f0d241a,32'h3f2c8176,// invsqrt(2.6648) = 0.6126 +32'h401af15a,32'h3f213d35,32'h3f27d1fe, 32'h3f1c4d9f,32'h3f2cc195, 32'h3f1413a4,32'h3f34fb90,// invsqrt(2.4210) = 0.6427 +32'h3f7a861f,32'h3f7d9b6c,32'h3f83faae, 32'h3f75d7f9,32'h3f87dc68, 32'h3f68e78d,32'h3f8e549d,// invsqrt(0.9786) = 1.0109 +32'h3ef6f77d,32'h3fb49d39,32'h3fbbfc75, 32'h3faf15cc,32'h3fc183e2, 32'h3fa5dec2,32'h3fcabaed,// invsqrt(0.4824) = 1.4398 +32'h3f338b15,32'h3f95c93c,32'h3f9be658, 32'h3f913366,32'h3fa07c2e, 32'h3f898f03,32'h3fa82091,// invsqrt(0.7013) = 1.1941 +32'h3f1ab1a8,32'h3fa15e64,32'h3fa7f488, 32'h3f9c6dca,32'h3face522, 32'h3f94321d,32'h3fb520cf,// invsqrt(0.6043) = 1.2864 +32'h3fb30ed9,32'h3f541dca,32'h3f5cc630, 32'h3f4d9f7d,32'h3f63447d, 32'h3f42ccfd,32'h3f6e16fd,// invsqrt(1.3989) = 0.8455 +32'h3f6b1c8f,32'h3f82e4cf,32'h3f883c84, 32'h3f7dc610,32'h3f8c3e4c, 32'h3f706acc,32'h3f92ebee,// invsqrt(0.9184) = 1.0435 +32'h3ef62900,32'h3fb4e8ea,32'h3fbc4b3c, 32'h3faf5f2c,32'h3fc1d4fa, 32'h3fa62444,32'h3fcb0fe2,// invsqrt(0.4808) = 1.4422 +32'h400ea949,32'h3f280948,32'h3f2ee516, 32'h3f22e46d,32'h3f3409f1, 32'h3f1a51aa,32'h3f3c9cb4,// invsqrt(2.2291) = 0.6698 +32'h3f4ba9cb,32'h3f8ca314,32'h3f926098, 32'h3f8854f2,32'h3f96aeba, 32'h3f81280d,32'h3f9ddb9f,// invsqrt(0.7956) = 1.1211 +32'h3f171f4f,32'h3fa343b6,32'h3fa9eda8, 32'h3f9e4440,32'h3faeed1e, 32'h3f95efd1,32'h3fb7418d,// invsqrt(0.5903) = 1.3015 +32'h3f936179,32'h3f69cda5,32'h3f7358a7, 32'h3f62a564,32'h3f7a80e8, 32'h3f56b7a2,32'h3f833755,// invsqrt(1.1514) = 0.9319 +32'h4009287d,32'h3f2b5fc6,32'h3f325e75, 32'h3f2620c2,32'h3f379d78, 32'h3f1d6267,32'h3f405bd3,// invsqrt(2.1431) = 0.6831 +32'h3f0866e8,32'h3fabd936,32'h3fb2dcda, 32'h3fa6967b,32'h3fb81f95, 32'h3f9dd1ee,32'h3fc0e422,// invsqrt(0.5328) = 1.3700 +32'h3f1b35ee,32'h3fa11993,32'h3fa7ace7, 32'h3f9c2b14,32'h3fac9b66, 32'h3f93f2ea,32'h3fb4d390,// invsqrt(0.6063) = 1.2843 +32'h3ed8fa57,32'h3fc0b11b,32'h3fc88e8a, 32'h3fbacb08,32'h3fce749e, 32'h3fb0f63e,32'h3fd84968,// invsqrt(0.4238) = 1.5361 +32'h3f7c0590,32'h3f7cda35,32'h3f839621, 32'h3f751cac,32'h3f8774e6, 32'h3f68361c,32'h3f8de82e,// invsqrt(0.9845) = 1.0079 +32'h3f52c85f,32'h3f8a3dd5,32'h3f8fe251, 32'h3f860278,32'h3f941dae, 32'h3f7de9bc,32'h3f9b2b48,// invsqrt(0.8234) = 1.1021 +32'h3fc1cf70,32'h3f4be23d,32'h3f54349d, 32'h3f45a474,32'h3f5a7266, 32'h3f3b3d7c,32'h3f64d95e,// invsqrt(1.5141) = 0.8127 +32'h3f294b1c,32'h3f9a40fc,32'h3fa08cc8, 32'h3f958824,32'h3fa545a0, 32'h3f8da965,32'h3fad245f,// invsqrt(0.6613) = 1.2297 +32'h402f2590,32'h3f17a787,32'h3f1dd829, 32'h3f13030d,32'h3f227ca3, 32'h3f0b4642,32'h3f2a396e,// invsqrt(2.7367) = 0.6045 +32'h403fa6fe,32'h3f10fa1a,32'h3f16e4f6, 32'h3f0c89f4,32'h3f1b551c, 32'h3f052461,32'h3f22baaf,// invsqrt(2.9946) = 0.5779 +32'h3f69f8ae,32'h3f83365b,32'h3f889164, 32'h3f7e642a,32'h3f8c95ab, 32'h3f710093,32'h3f934776,// invsqrt(0.9140) = 1.0460 +32'h3fe8e8e4,32'h3f39fc15,32'h3f41936f, 32'h3f344a92,32'h3f4744f2, 32'h3f2acd62,32'h3f50c222,// invsqrt(1.8196) = 0.7413 +32'h40b6f7b5,32'h3ed1d680,32'h3eda6718, 32'h3ecb6a0f,32'h3ee0d389, 32'h3ec0b551,32'h3eeb8847,// invsqrt(5.7177) = 0.4182 +32'h3fd210c6,32'h3f43d625,32'h3f4bd46f, 32'h3f3dd76d,32'h3f51d327, 32'h3f33d98f,32'h3f5bd105,// invsqrt(1.6411) = 0.7806 +32'h3e2a67e3,32'h4019bfe2,32'h40200668, 32'h40150afd,32'h4024bb4d, 32'h400d32d4,32'h402c9376,// invsqrt(0.1664) = 2.4514 +32'h3f2ca0ab,32'h3f98c1c6,32'h3f9efded, 32'h3f9414a8,32'h3fa3ab0a, 32'h3f8c4976,32'h3fab763c,// invsqrt(0.6743) = 1.2178 +32'h3ea0e80a,32'h3fdfc2d0,32'h3fe8e4e2, 32'h3fd8e941,32'h3fefbe71, 32'h3fcd7eaa,32'h3ffb2908,// invsqrt(0.3143) = 1.7838 +32'h3fccfac1,32'h3f46404e,32'h3f4e57d4, 32'h3f402eaa,32'h3f546978, 32'h3f361142,32'h3f5e86e0,// invsqrt(1.6014) = 0.7902 +32'h405a0d25,32'h3f07eafb,32'h3f0d772f, 32'h3f03c1d4,32'h3f11a056, 32'h3ef9a526,32'h3f188f97,// invsqrt(3.4071) = 0.5418 +32'h3f40eb39,32'h3f908013,32'h3f9665f4, 32'h3f8c13a9,32'h3f9ad25d, 32'h3f84b450,32'h3fa231b6,// invsqrt(0.7536) = 1.1519 +32'h3e98b727,32'h3fe5aef1,32'h3fef0ee6, 32'h3fdea6fa,32'h3ff616de, 32'h3fd2ef08,32'h4000e768,// invsqrt(0.2983) = 1.8310 +32'h3f2daf28,32'h3f984aa4,32'h3f9e81ef, 32'h3f93a12d,32'h3fa32b67, 32'h3f8bdc0f,32'h3faaf085,// invsqrt(0.6785) = 1.2141 +32'h40c05afc,32'h3ecca741,32'h3ed501ad, 32'h3ec66371,32'h3edb457d, 32'h3ebbf26b,32'h3ee5b683,// invsqrt(6.0111) = 0.4079 +32'h3d194a5c,32'h40a21b13,32'h40a8b8ea, 32'h409d24b2,32'h40adaf4c, 32'h4094df66,32'h40b5f499,// invsqrt(0.0374) = 5.1692 +32'h3f963f3c,32'h3f678ffc,32'h3f710393, 32'h3f60794a,32'h3f781a44, 32'h3f54a8cd,32'h3f81f561,// invsqrt(1.1738) = 0.9230 +32'h3e34ee81,32'h401535d5,32'h401b4ced, 32'h4010a483,32'h401fde3f, 32'h400907a4,32'h40277b1e,// invsqrt(0.1767) = 2.3790 +32'h401cc0c0,32'h3f204e30,32'h3f26d937, 32'h3f1b65ea,32'h3f2bc17c, 32'h3f133821,32'h3f33ef45,// invsqrt(2.4493) = 0.6390 +32'h3fff708b,32'h3f3197eb,32'h3f38d797, 32'h3f2c282b,32'h3f3e4757, 32'h3f231894,32'h3f4756ee,// invsqrt(1.9956) = 0.7079 +32'h3eebef7d,32'h3fb8c9cb,32'h3fc054a5, 32'h3fb321a8,32'h3fc5fcc8, 32'h3fa9b419,32'h3fcf6a57,// invsqrt(0.4608) = 1.4731 +32'h3f03f87c,32'h3faeb5b8,32'h3fb5d742, 32'h3fa95c90,32'h3fbb306a, 32'h3fa072a4,32'h3fc41a56,// invsqrt(0.5155) = 1.3928 +32'h3eec65bd,32'h3fb89b8e,32'h3fc02484, 32'h3fb2f4d5,32'h3fc5cb3d, 32'h3fa989a2,32'h3fcf3670,// invsqrt(0.4617) = 1.4717 +32'h3ee6a9c6,32'h3fbae361,32'h3fc2842c, 32'h3fb52aca,32'h3fc83cc4, 32'h3faba1cd,32'h3fd1c5c1,// invsqrt(0.4505) = 1.4899 +32'h4042f6e8,32'h3f0fbd7f,32'h3f159b6f, 32'h3f0b570b,32'h3f1a01e3, 32'h3f04019e,32'h3f215750,// invsqrt(3.0463) = 0.5729 +32'h4070ae25,32'h3f015ee4,32'h3f06a6ae, 32'h3efad218,32'h3f0a9c86, 32'h3eed9e9d,32'h3f113644,// invsqrt(3.7606) = 0.5157 +32'h3ecf2159,32'h3fc5381f,32'h3fcd44dd, 32'h3fbf2e91,32'h3fd34e6b, 32'h3fb51ea5,32'h3fdd5e57,// invsqrt(0.4046) = 1.5722 +32'h3e01a0aa,32'h4030481f,32'h40377a16, 32'h402ae2a6,32'h403cdf90, 32'h4021e432,32'h4045de04,// invsqrt(0.1266) = 2.8106 +32'h3fa93c71,32'h3f5a2f50,32'h3f631720, 32'h3f538175,32'h3f69c4fb, 32'h3f485fb2,32'h3f74e6be,// invsqrt(1.3222) = 0.8697 +32'h3f983611,32'h3f661042,32'h3f6f7430, 32'h3f5f0550,32'h3f767f22, 32'h3f534866,32'h3f811e06,// invsqrt(1.1891) = 0.9170 +32'h3f67791a,32'h3f83eb25,32'h3f894d8f, 32'h3f7fc2ab,32'h3f8d575e, 32'h3f724ca2,32'h3f941263,// invsqrt(0.9042) = 1.0516 +32'h407f23f6,32'h3efb4d5f,32'h3f02c79d, 32'h3ef39bfb,32'h3f06a04e, 32'h3ee6c9ab,32'h3f0d0977,// invsqrt(3.9866) = 0.5008 +32'h41b78705,32'h3e518483,32'h3e5a11c1, 32'h3e4b1a94,32'h3e607bb0, 32'h3e406a05,32'h3e6b2c3f,// invsqrt(22.9409) = 0.2088 +32'h3fbad5b9,32'h3f4fa7a4,32'h3f58216c, 32'h3f494c4e,32'h3f5e7cc2, 32'h3f3eb414,32'h3f6914fc,// invsqrt(1.4596) = 0.8277 +32'h409c8de2,32'h3ee2d994,32'h3eec1bee, 32'h3edbe7d1,32'h3ef30db1, 32'h3ed054e1,32'h3efea0a1,// invsqrt(4.8923) = 0.4521 +32'h3e5191d6,32'h400aa41b,32'h40104cc3, 32'h4006659d,32'h40148b41, 32'h3ffea595,32'h401b9e14,// invsqrt(0.2047) = 2.2105 +32'h3f608840,32'h3f85f125,32'h3f8b68b3, 32'h3f81d77a,32'h3f8f825e, 32'h3f76040f,32'h3f9657d0,// invsqrt(0.8771) = 1.0678 +32'h413def10,32'h3e91a1a0,32'h3e979352, 32'h3e8d2c59,32'h3e9c0899, 32'h3e85be3a,32'h3ea376b8,// invsqrt(11.8709) = 0.2902 +32'h3fc65708,32'h3f498acb,32'h3f51c4b3, 32'h3f435f5c,32'h3f57f022, 32'h3f3916f9,32'h3f623885,// invsqrt(1.5495) = 0.8033 +32'h3ffb56d0,32'h3f330948,32'h3f3a5808, 32'h3f2d8e39,32'h3f3fd317, 32'h3f246bca,32'h3f48f586,// invsqrt(1.9636) = 0.7136 +32'h3e8efa8b,32'h3fed600e,32'h3ff71062, 32'h3fe61bcf,32'h3ffe54a1, 32'h3fd9ff66,32'h40053885,// invsqrt(0.2793) = 1.8923 +32'h3f83b83d,32'h3f774ff2,32'h3f80b40e, 32'h3f6fbdd3,32'h3f847d1d, 32'h3f631fa0,32'h3f8acc37,// invsqrt(1.0291) = 0.9858 +32'h3fccae74,32'h3f46653e,32'h3f4e7e46, 32'h3f405278,32'h3f54910c, 32'h3f36332f,32'h3f5eb055,// invsqrt(1.5991) = 0.7908 +32'h40c33169,32'h3ecb290b,32'h3ed373dd, 32'h3ec4f0ee,32'h3ed9abfa, 32'h3eba9368,32'h3ee40980,// invsqrt(6.0998) = 0.4049 +32'h3f6cacd7,32'h3f8275f0,32'h3f87c91e, 32'h3f7cef1a,32'h3f8bc781, 32'h3f6f9f26,32'h3f926f7b,// invsqrt(0.9245) = 1.0400 +32'h3ff26f4f,32'h3f364b64,32'h3f3dbc2e, 32'h3f30b6cc,32'h3f4350c6, 32'h3f2769ce,32'h3f4c9dc4,// invsqrt(1.8940) = 0.7266 +32'h3e392d83,32'h40137d5b,32'h40198279, 32'h400ef985,32'h401e064f, 32'h4007731f,32'h40258cb5,// invsqrt(0.1808) = 2.3516 +32'h3fa085a4,32'h3f60075a,32'h3f692c3a, 32'h3f592bb3,32'h3f7007e1, 32'h3f4dbd9c,32'h3f7b75f8,// invsqrt(1.2541) = 0.8930 +32'h3e8fcd2a,32'h3fecb1f8,32'h3ff65b32, 32'h3fe5730e,32'h3ffd9a1c, 32'h3fd95f86,32'h4004d6d2,// invsqrt(0.2809) = 1.8869 +32'h3ff38633,32'h3f35e2e3,32'h3f3d4f6a, 32'h3f30517f,32'h3f42e0cf, 32'h3f2709d6,32'h3f4c2878,// invsqrt(1.9025) = 0.7250 +32'h3ec938d1,32'h3fc817fb,32'h3fd042c1, 32'h3fc1f7e6,32'h3fd662d6, 32'h3fb7c26e,32'h3fe0984e,// invsqrt(0.3930) = 1.5951 +32'h3f85d227,32'h3f755cec,32'h3f7f60b7, 32'h3f6dda14,32'h3f8371c8, 32'h3f615557,32'h3f89b427,// invsqrt(1.0455) = 0.9780 +32'h3ecfc162,32'h3fc4ec1b,32'h3fccf5be, 32'h3fbee4e0,32'h3fd2fcf8, 32'h3fb4d8d4,32'h3fdd0904,// invsqrt(0.4058) = 1.5699 +32'h40befca3,32'h3ecd62a1,32'h3ed5c4b2, 32'h3ec71914,32'h3edc0e3e, 32'h3ebc9e7e,32'h3ee688d4,// invsqrt(5.9683) = 0.4093 +32'h3f831876,32'h3f77e67a,32'h3f810264, 32'h3f704fbf,32'h3f84cdc1, 32'h3f63a9de,32'h3f8b20b2,// invsqrt(1.0242) = 0.9881 +32'h410fcce0,32'h3ea75e93,32'h3eae336a, 32'h3ea23ef2,32'h3eb3530c, 32'h3e99b4e6,32'h3ebbdd19,// invsqrt(8.9875) = 0.3336 +32'h3eb69170,32'h3fd2113e,32'h3fdaa43c, 32'h3fcba300,32'h3fe1127a, 32'h3fc0eb44,32'h3febca36,// invsqrt(0.3566) = 1.6746 +32'h40b96a5f,32'h3ed072b8,32'h3ed8f4ca, 32'h3eca112b,32'h3edf5657, 32'h3ebf6e94,32'h3ee9f8ee,// invsqrt(5.7942) = 0.4154 +32'h3f1d36a7,32'h3fa01208,32'h3fa69a9a, 32'h3f9b2b9a,32'h3fab8108, 32'h3f9300e2,32'h3fb3abc0,// invsqrt(0.6141) = 1.2761 +32'h3e867f31,32'h3ff4bee3,32'h3ffebc3b, 32'h3fed40e1,32'h40031d1e, 32'h3fe0c434,32'h40095b75,// invsqrt(0.2627) = 1.9511 +32'h3dc458f0,32'h404a8fed,32'h4052d47f, 32'h40445c80,32'h405907ec, 32'h403a06ca,32'h40635da2,// invsqrt(0.0959) = 3.2296 +32'h3f89ddb4,32'h3f71bc91,32'h3f7b9a78, 32'h3f6a5625,32'h3f818073, 32'h3f5e00c4,32'h3f87ab23,// invsqrt(1.0771) = 0.9636 +32'h3d09439b,32'h40ab4ed7,32'h40b24cd5, 32'h40a61058,32'h40b78b54, 32'h409d52da,32'h40c048d2,// invsqrt(0.0335) = 5.4626 +32'h4101bb8d,32'h3eb035da,32'h3eb76712, 32'h3eaad0f0,32'h3ebccbfc, 32'h3ea1d36a,32'h3ec5c982,// invsqrt(8.1083) = 0.3512 +32'h3f5d46e7,32'h3f86ec71,32'h3f8c6e41, 32'h3f82cb15,32'h3f908f9d, 32'h3f77d1a0,32'h3f9771e2,// invsqrt(0.8644) = 1.0756 +32'h3fbcfbb2,32'h3f4e789f,32'h3f56e609, 32'h3f482690,32'h3f5d3818, 32'h3f3d9dcc,32'h3f67c0dc,// invsqrt(1.4764) = 0.8230 +32'h3fb66d51,32'h3f522609,32'h3f5ab9df, 32'h3f4bb728,32'h3f6128c0, 32'h3f40fe5c,32'h3f6be18c,// invsqrt(1.4252) = 0.8376 +32'h3f8aa047,32'h3f7112af,32'h3f7ae9a7, 32'h3f69b176,32'h3f812570, 32'h3f5d64c0,32'h3f874bcb,// invsqrt(1.0830) = 0.9609 +32'h41424409,32'h3e8fff9c,32'h3e95e040, 32'h3e8b9722,32'h3e9a48ba, 32'h3e843e56,32'h3ea1a186,// invsqrt(12.1416) = 0.2870 +32'h3f3bf77f,32'h3f926431,32'h3f985dd5, 32'h3f8de8f6,32'h3f9cd910, 32'h3f8670e9,32'h3fa4511d,// invsqrt(0.7342) = 1.1670 +32'h40b526d8,32'h3ed2e311,32'h3edb7e9f, 32'h3ecc6e67,32'h3ee1f349, 32'h3ec1abf6,32'h3eecb5ba,// invsqrt(5.6610) = 0.4203 +32'h3f3ae9f2,32'h3f92cd99,32'h3f98cb8b, 32'h3f8e4f24,32'h3f9d4a00, 32'h3f86d1b7,32'h3fa4c76d,// invsqrt(0.7301) = 1.1703 +32'h3fad6324,32'h3f578ea1,32'h3f605afb, 32'h3f50f55d,32'h3f66f43f, 32'h3f45f5ed,32'h3f71f3af,// invsqrt(1.3546) = 0.8592 +32'h3f89db77,32'h3f71be88,32'h3f7b9c83, 32'h3f6a580b,32'h3f81817f, 32'h3f5e0291,32'h3f87ac3c,// invsqrt(1.0770) = 0.9636 +32'h3f8fe3e8,32'h3f6c9f43,32'h3f7647b9, 32'h3f6560eb,32'h3f7d8611, 32'h3f594e58,32'h3f84cc52,// invsqrt(1.1241) = 0.9432 +32'h3f3f9d89,32'h3f90fdae,32'h3f96e8b0, 32'h3f8c8d6d,32'h3f9b58f1, 32'h3f8527aa,32'h3fa2beb4,// invsqrt(0.7485) = 1.1559 +32'h40dac593,32'h3ebfe672,32'h3ec7bb9c, 32'h3eba0693,32'h3ecd9b7b, 32'h3eb03c20,32'h3ed765ee,// invsqrt(6.8366) = 0.3825 +32'h3f86e7b0,32'h3f746007,32'h3f7e597f, 32'h3f6ce4ec,32'h3f82ea4d, 32'h3f606d16,32'h3f892638,// invsqrt(1.0539) = 0.9741 +32'h4075c3f5,32'h3f000676,32'h3f054032, 32'h3ef83653,32'h3f092b7f, 32'h3eeb25fe,32'h3f0fb3a9 // invsqrt(3.8401) = 0.5103 diff --git a/Advanced Synthesis Cookbook/float/mult_3tick.v b/Advanced Synthesis Cookbook/float/mult_3tick.v new file mode 100644 index 0000000..a6e1dff --- /dev/null +++ b/Advanced Synthesis Cookbook/float/mult_3tick.v @@ -0,0 +1,396 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 06-11-2007 + +// Latency 3 pipelined DSP block based multiplier. +// input width up to 36x36 with input, output, and pipeline registers. +// +// This was derived from a pipelined LPM MULT VQM. +// The awkward flow is necessary to be super explicit about +// where the registers are intended to be. The tools +// are too clever for their own good sometimes. +// + +module mult_3tick ( + clk, + a_in, + b_in, + o +); + +parameter IN_WIDTH = 36; +parameter OUT_WIDTH = 2 * IN_WIDTH; + +input clk; +input [IN_WIDTH-1:0] a_in, b_in; +output [OUT_WIDTH-1:0] o; + +// ENHANCEMENT - shift these left +wire [35:0] a = 36'b0 | a_in; +wire [35:0] b = 36'b0 | b_in; +wire [71:0] o_int; +assign o = o_int[OUT_WIDTH-1:0]; + +wire gnd; +wire vcc; +assign gnd = 1'b0; +assign vcc = 1'b1; + +wire [35:0] mult1_out; +stratixii_mac_mult mult1_i ( + .signa(gnd), + .signb(gnd), + .sourcea(gnd), + .sourceb(gnd), + .round(gnd), + .saturate(gnd), + .dataa({a[17:0]}), + .datab({b[17:0]}), + .clk({clk_unconnected_wire_0,clk_unconnected_wire_1,clk_unconnected_wire_2,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(mult1_out[35:0]) +// .observabledataa_regout(), +// .observabledatab_regout()); +); + +defparam mult1_i .dataa_width = 18; +defparam mult1_i .datab_width = 18; +defparam mult1_i .dataa_clock = "0"; +defparam mult1_i .datab_clock = "0"; +defparam mult1_i .signa_clock = "none"; +defparam mult1_i .signb_clock = "none"; +defparam mult1_i .dataa_clear = "0"; +defparam mult1_i .datab_clear = "0"; +defparam mult1_i .signa_clear = "none"; +defparam mult1_i .signb_clear = "none"; +defparam mult1_i .output_clock = "0"; +defparam mult1_i .output_clear = "0"; +defparam mult1_i .round_clock = "none"; +defparam mult1_i .round_clear = "none"; +defparam mult1_i .saturate_clock = "none"; +defparam mult1_i .saturate_clear = "none"; +defparam mult1_i .mode_clock = "none"; +defparam mult1_i .zeroacc_clock = "none"; +defparam mult1_i .mode_clear = "none"; +defparam mult1_i .zeroacc_clear = "none"; +defparam mult1_i .dynamic_mode = "no"; +defparam mult1_i .bypass_multiplier = "no"; +defparam mult1_i .signa_internally_grounded = "true"; +defparam mult1_i .signb_internally_grounded = "true"; + +wire [35:0] mult2_out; +stratixii_mac_mult mult2_i ( + .signa(gnd), + .signb(gnd), + .sourcea(gnd), + .sourceb(gnd), + .round(gnd), + .saturate(gnd), + .dataa(a[35:18]), + .datab(b[35:18]), + .clk({clk_unconnected_wire_3,clk_unconnected_wire_4,clk_unconnected_wire_5,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(mult2_out[35:0]) +// .observabledataa_regout(), +// .observabledatab_regout()); +); +defparam mult2_i .dataa_width = 18; +defparam mult2_i .datab_width = 18; +defparam mult2_i .dataa_clock = "0"; +defparam mult2_i .datab_clock = "0"; +defparam mult2_i .signa_clock = "none"; +defparam mult2_i .signb_clock = "none"; +defparam mult2_i .dataa_clear = "0"; +defparam mult2_i .datab_clear = "0"; +defparam mult2_i .signa_clear = "none"; +defparam mult2_i .signb_clear = "none"; +defparam mult2_i .output_clock = "0"; +defparam mult2_i .output_clear = "0"; +defparam mult2_i .round_clock = "none"; +defparam mult2_i .round_clear = "none"; +defparam mult2_i .saturate_clock = "none"; +defparam mult2_i .saturate_clear = "none"; +defparam mult2_i .mode_clock = "none"; +defparam mult2_i .zeroacc_clock = "none"; +defparam mult2_i .mode_clear = "none"; +defparam mult2_i .zeroacc_clear = "none"; +defparam mult2_i .dynamic_mode = "no"; +defparam mult2_i .bypass_multiplier = "no"; +defparam mult2_i .signa_internally_grounded = "false"; +defparam mult2_i .signb_internally_grounded = "false"; + +wire [35:0] mult3_out; +stratixii_mac_mult mult3_i ( + .signa(gnd), + .signb(gnd), + .sourcea(gnd), + .sourceb(gnd), + .round(gnd), + .saturate(gnd), + .dataa(a[35:18]), + .datab(b[17:0]), + .clk({clk_unconnected_wire_6,clk_unconnected_wire_7,clk_unconnected_wire_8,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(mult3_out[35:0]) +// .observabledataa_regout(), +// .observabledatab_regout()); +); +defparam mult3_i .dataa_width = 18; +defparam mult3_i .datab_width = 18; +defparam mult3_i .dataa_clock = "0"; +defparam mult3_i .datab_clock = "0"; +defparam mult3_i .signa_clock = "none"; +defparam mult3_i .signb_clock = "none"; +defparam mult3_i .dataa_clear = "0"; +defparam mult3_i .datab_clear = "0"; +defparam mult3_i .signa_clear = "none"; +defparam mult3_i .signb_clear = "none"; +defparam mult3_i .output_clock = "0"; +defparam mult3_i .output_clear = "0"; +defparam mult3_i .round_clock = "none"; +defparam mult3_i .round_clear = "none"; +defparam mult3_i .saturate_clock = "none"; +defparam mult3_i .saturate_clear = "none"; +defparam mult3_i .mode_clock = "none"; +defparam mult3_i .zeroacc_clock = "none"; +defparam mult3_i .mode_clear = "none"; +defparam mult3_i .zeroacc_clear = "none"; +defparam mult3_i .dynamic_mode = "no"; +defparam mult3_i .bypass_multiplier = "no"; +defparam mult3_i .signa_internally_grounded = "false"; +defparam mult3_i .signb_internally_grounded = "true"; + +wire [35:0] mult4_out; +stratixii_mac_mult mult4_i ( + .signa(gnd), + .signb(gnd), + .sourcea(gnd), + .sourceb(gnd), + .round(gnd), + .saturate(gnd), + .dataa(a[17:0]), + .datab(b[35:18]), + .clk({clk_unconnected_wire_9,clk_unconnected_wire_10,clk_unconnected_wire_11,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + .scanina(18'b0), + .scaninb(18'b0), + .scanouta(), + .scanoutb(), + + // synthesis translate off + // simulation only ports + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .mode(1'b0), + // synthesis translate on + + .dataout(mult4_out[35:0]) +// .observabledataa_regout(), +// .observabledatab_regout()); +); +defparam mult4_i .dataa_width = 18; +defparam mult4_i .datab_width = 18; +defparam mult4_i .dataa_clock = "0"; +defparam mult4_i .datab_clock = "0"; +defparam mult4_i .signa_clock = "none"; +defparam mult4_i .signb_clock = "none"; +defparam mult4_i .dataa_clear = "0"; +defparam mult4_i .datab_clear = "0"; +defparam mult4_i .signa_clear = "none"; +defparam mult4_i .signb_clear = "none"; +defparam mult4_i .output_clock = "0"; +defparam mult4_i .output_clear = "0"; +defparam mult4_i .round_clock = "none"; +defparam mult4_i .round_clear = "none"; +defparam mult4_i .saturate_clock = "none"; +defparam mult4_i .saturate_clear = "none"; +defparam mult4_i .mode_clock = "none"; +defparam mult4_i .zeroacc_clock = "none"; +defparam mult4_i .mode_clear = "none"; +defparam mult4_i .zeroacc_clear = "none"; +defparam mult4_i .dynamic_mode = "no"; +defparam mult4_i .bypass_multiplier = "no"; +defparam mult4_i .signa_internally_grounded = "true"; +defparam mult4_i .signb_internally_grounded = "false"; + +stratixii_mac_out out5 ( + .multabsaturate(gnd), + .multcdsaturate(gnd), + .signa(gnd), + .signb(gnd), + .dataa(mult1_out), + .datab(mult2_out), + .datac(mult3_out), + .datad(mult4_out), + .clk({clk_unconnected_wire_9,clk_unconnected_wire_10,clk_unconnected_wire_11,clk}), + .aclr({gnd,gnd,gnd,gnd}), + .ena({vcc,vcc,vcc,vcc}), + + // synthesis translate off + // simulation only ports + .saturate(1'b0), + .saturate1(1'b0), + .devpor(1'b1), + .devclrn(1'b1), + .zeroacc(1'b0), + .zeroacc1(1'b0), + .mode0(1'b0), + .mode1(1'b0), + .accoverflow(), + // synthesis translate on + + .round0(1'b0), + .round1(1'b0), + .addnsub0(1'b0), + .addnsub1(1'b0), + + .dataout(o_int)); +defparam out5 .operation_mode = "36_bit_multiply"; +defparam out5 .dataa_width = 36; +defparam out5 .datab_width = 36; +defparam out5 .datac_width = 36; +defparam out5 .datad_width = 36; +defparam out5 .addnsub0_clock = "none"; +defparam out5 .addnsub1_clock = "none"; +defparam out5 .addnsub0_pipeline_clock = "none"; +defparam out5 .addnsub1_pipeline_clock = "none"; +defparam out5 .addnsub0_clear = "none"; +defparam out5 .addnsub1_clear = "none"; +defparam out5 .addnsub0_pipeline_clear = "none"; +defparam out5 .addnsub1_pipeline_clear = "none"; +defparam out5 .round0_clock = "none"; +defparam out5 .round1_clock = "none"; +defparam out5 .round0_pipeline_clock = "none"; +defparam out5 .round1_pipeline_clock = "none"; +defparam out5 .round0_clear = "none"; +defparam out5 .round1_clear = "none"; +defparam out5 .round0_pipeline_clear = "none"; +defparam out5 .round1_pipeline_clear = "none"; +defparam out5 .saturate_clock = "none"; +defparam out5 .multabsaturate_clock = "none"; +defparam out5 .multcdsaturate_clock = "none"; +defparam out5 .saturate_pipeline_clock = "none"; +defparam out5 .multabsaturate_pipeline_clock = "none"; +defparam out5 .multcdsaturate_pipeline_clock = "none"; +defparam out5 .saturate_clear = "none"; +defparam out5 .multabsaturate_clear = "none"; +defparam out5 .multcdsaturate_clear = "none"; +defparam out5 .saturate_pipeline_clear = "none"; +defparam out5 .multabsaturate_pipeline_clear = "none"; +defparam out5 .multcdsaturate_pipeline_clear = "none"; +defparam out5 .mode0_clock = "none"; +defparam out5 .mode1_clock = "none"; +defparam out5 .zeroacc1_clock = "none"; +defparam out5 .saturate1_clock = "none"; +defparam out5 .mode0_pipeline_clock = "none"; +defparam out5 .mode1_pipeline_clock = "none"; +defparam out5 .zeroacc1_pipeline_clock = "none"; +defparam out5 .saturate1_pipeline_clock = "none"; +defparam out5 .mode0_clear = "none"; +defparam out5 .mode1_clear = "none"; +defparam out5 .zeroacc1_clear = "none"; +defparam out5 .saturate1_clear = "none"; +defparam out5 .mode0_pipeline_clear = "none"; +defparam out5 .mode1_pipeline_clear = "none"; +defparam out5 .zeroacc1_pipeline_clear = "none"; +defparam out5 .saturate1_pipeline_clear = "none"; +defparam out5 .output1_clock = "none"; +defparam out5 .output2_clock = "none"; +defparam out5 .output3_clock = "none"; +defparam out5 .output4_clock = "none"; +defparam out5 .output5_clock = "none"; +defparam out5 .output6_clock = "none"; +defparam out5 .output7_clock = "none"; +defparam out5 .output1_clear = "none"; +defparam out5 .output2_clear = "none"; +defparam out5 .output3_clear = "none"; +defparam out5 .output4_clear = "none"; +defparam out5 .output5_clear = "none"; +defparam out5 .output6_clear = "none"; +defparam out5 .output7_clear = "none"; +defparam out5 .dataa_forced_to_zero = "no"; +defparam out5 .datac_forced_to_zero = "no"; +defparam out5 .output_clock = "0"; +defparam out5 .zeroacc_clock = "none"; +defparam out5 .signa_clock = "none"; +defparam out5 .signb_clock = "none"; +defparam out5 .zeroacc_pipeline_clock = "none"; +defparam out5 .signa_pipeline_clock = "none"; +defparam out5 .signb_pipeline_clock = "none"; +defparam out5 .zeroacc_clear = "none"; +defparam out5 .signa_clear = "none"; +defparam out5 .signb_clear = "none"; +defparam out5 .output_clear = "0"; +defparam out5 .zeroacc_pipeline_clear = "none"; +defparam out5 .signa_pipeline_clear = "none"; +defparam out5 .signb_pipeline_clear = "none"; + +endmodule diff --git a/Advanced Synthesis Cookbook/float/scale_up.v b/Advanced Synthesis Cookbook/float/scale_up.v new file mode 100644 index 0000000..b91fc53 --- /dev/null +++ b/Advanced Synthesis Cookbook/float/scale_up.v @@ -0,0 +1,66 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 04-20-2007 + +// shift "in" left until the most significant bit is a "1" +// pass the shifted value, and number of shifts required +// to the output. + +module scale_up (in,out,distance); + +parameter WIDTH = 16; +parameter WIDTH_DIST = 4; + +input [WIDTH-1:0] in; +output [WIDTH-1:0] out; +output [WIDTH_DIST-1:0] distance; + +wire [(WIDTH_DIST+1) * WIDTH-1:0] shift_layers; +assign shift_layers [WIDTH-1:0] = in; + +genvar i; +generate + for (i=0;i +#include +#include + +int main (void) +{ + + float f = 0.0; + void * ptr = &f; + unsigned int i = 0; + float tmp_x,tmp_y,sq,orig; + int n = 0; + int max = 100000; + + srand (123); + + for (n=0; n -64 disparity +// 32 -> 0 +// 64 -> +64 disparity + +// first and second layers - LUT based +wire [3:0] sum_a,sum_b,sum_c,sum_d,sum_e; +twelve_four_comp ca (.data(din_r[11:0]),.sum(sum_a)); +twelve_four_comp cb (.data(din_r[23:12]),.sum(sum_b)); +twelve_four_comp cc (.data(din_r[35:24]),.sum(sum_c)); +twelve_four_comp cd (.data(din_r[47:36]),.sum(sum_d)); +twelve_four_comp ce (.data(din_r[59:48]),.sum(sum_e)); + +// this block takes care of compressing 4 data bits, +// and mixing in a constant for disparity +reg [6:0] sum_f; +always @(*) begin + case (din_r[63:60]) + 4'h0: sum_f=7'h5f; + 4'h1: sum_f=7'h60; + 4'h2: sum_f=7'h60; + 4'h3: sum_f=7'h61; + 4'h4: sum_f=7'h60; + 4'h5: sum_f=7'h61; + 4'h6: sum_f=7'h61; + 4'h7: sum_f=7'h62; + 4'h8: sum_f=7'h60; + 4'h9: sum_f=7'h61; + 4'ha: sum_f=7'h61; + 4'hb: sum_f=7'h62; + 4'hc: sum_f=7'h61; + 4'hd: sum_f=7'h62; + 4'he: sum_f=7'h62; + 4'hf: sum_f=7'h63; + default: sum_f=0; + endcase +end + +// compressor output registers +reg [3:0] sum_a_r,sum_b_r,sum_c_r,sum_d_r,sum_e_r; +reg [6:0] sum_f_r; + +generate + if (DISABLE_REGISTERS) begin + always @(*) begin + sum_a_r <= sum_a; + sum_b_r <= sum_b; + sum_c_r <= sum_c; + sum_d_r <= sum_d; + sum_e_r <= sum_e; + sum_f_r <= sum_f; + end + end + else begin + always @(posedge clk or posedge arst) begin + if (arst) begin + sum_a_r <= 0; + sum_b_r <= 0; + sum_c_r <= 0; + sum_d_r <= 0; + sum_e_r <= 0; + sum_f_r <= 0; + end + else begin + sum_a_r <= sum_a; + sum_b_r <= sum_b; + sum_c_r <= sum_c; + sum_d_r <= sum_d; + sum_e_r <= sum_e; + sum_f_r <= sum_f; + end + end + end +endgenerate + +// third layer binary adders +wire[4:0] sum_g = sum_a_r + sum_b_r; // this is 0..24 +wire[4:0] sum_h = sum_c_r + sum_d_r; // this is 0..24 +wire[6:0] sum_i = sum_e_r + sum_f_r; // this is 95..111 (which are all negative) + +// fourth layer ternary add +wire [8:0] ones_sum; +ternary_add ta (.a({2'b0,sum_g}),.b({2'b0,sum_h}),.c(sum_i),.o(ones_sum)); + defparam ta .WIDTH=7; + +// ones count register +reg [8:0] ones_sum_r; +generate + if (DISABLE_REGISTERS) begin + always @(*) begin + ones_sum_r <= ones_sum; + end + end + else begin + always @(posedge clk or posedge arst) begin + if (arst) ones_sum_r <= 0; + else ones_sum_r <= ones_sum; + end + end +endgenerate + +// running disparity +// the input word disparity is (2*#ones)-64 +// there is an extra -1 associated with the [66] bit +// the net -65 constant is embedded in sum_f, and the LSB 1 of signed_inword +reg [7:0] running_ones; +wire [7:0] signed_inword = {ones_sum_r[6:0],1'b1}; // -65 to 63 + +// check the signs to select invert or not +wire inword_gt_0 = !signed_inword[7]; +wire running_positive = !running_ones[7]; +wire inword_invert = ~DISABLE_DISPARITY & (~(inword_gt_0 ^ running_positive)); + +always @(posedge clk or posedge arst) begin + if (arst) running_ones <= 0; + else if (DISABLE_REGISTERS | din_fresh_history[2]) begin + running_ones <= inword_invert ? (running_ones - signed_inword) + : (running_ones + signed_inword); + end +end + +// mix together the output word bits +wire [66:0] dout_w; +assign dout_w = {inword_invert, + din_r[64]^pn_reverse,din_r[64]^1'b1^pn_reverse, + din_r[63:0] ^ {64{inword_invert}}}; + +// output registers +generate + if (DISABLE_REGISTERS) begin + always @(*) dout <= dout_w; + end + else begin + always @(posedge clk or posedge arst) begin + if (arst) dout <= 0; + else if (din_fresh_history[2]) dout <= dout_w; + end + end +endgenerate + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/interlaken_lane/frame_sync_control.v b/Advanced Synthesis Cookbook/interlaken_lane/frame_sync_control.v new file mode 100644 index 0000000..a59c3ca --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/frame_sync_control.v @@ -0,0 +1,203 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +// baeckler - 09-23-2008 + +module frame_sync_control ( + input clk, arst, + input [64:0] din, + input din_valid, + input word_locked, + output reg sync_locked, + output good_sync, + output missing_sync, + + output scrambler_load, + output scrambler_evolve, + input scrambler_match, + input scrambler_mismatch, + + output check_crc32 +); + +`include "log2.inc" + +parameter META_FRAME_LEN = 10; // words per metaframe +localparam META_CNTR_BITS = log2(META_FRAME_LEN-1); + +///////////////////////////////////////// +// +reg sync_detected; +always @(posedge clk or posedge arst) begin + if (arst) sync_detected <= 0; + else if (din_valid) sync_detected <= (din == {1'b1,64'h78f678f678f678f6}); +end + +///////////////////////////////////////// +// Where are we within the metaframe? + +reg [META_CNTR_BITS-1:0] meta_cntr; +reg meta_cntr_max; +reg expect_sync_word,expect_scram_state,expect_skip,expect_payload,expect_diag; +wire advance_meta = din_valid; +reg hold_meta; + +always @(posedge clk or posedge arst) begin + if (arst) begin + meta_cntr <= 0; + meta_cntr_max <= 0; + expect_sync_word <= 0; + expect_scram_state <= 0; + expect_skip <= 0; + expect_diag <= 0; + end + else begin + if (hold_meta) begin + // freeze waiting for the sync word + meta_cntr <= 1; + meta_cntr_max <= 0; + expect_sync_word <= 1'b1; + expect_scram_state <= 0; + expect_skip <= 0; + expect_diag <= 0; + end + else if (advance_meta) begin + meta_cntr_max <= (meta_cntr == META_FRAME_LEN-2); + if (meta_cntr_max) meta_cntr <= 0; + else meta_cntr <= meta_cntr + 1'b1; + + expect_sync_word <= ~|meta_cntr; + expect_scram_state <= expect_sync_word; + expect_skip <= expect_scram_state; + expect_payload <= expect_payload | expect_skip; + expect_diag <= 1'b0; + if (meta_cntr == (META_FRAME_LEN-1)) begin + expect_payload <= 1'b0; + expect_diag <= 1'b1; + end + end + end +end + +///////////////////////////////// +// tally up expected or missing +// sync words +///////////////////////////////// +reg last_din_valid; +always @(posedge clk or posedge arst) begin + if (arst) last_din_valid <= 1'b0; + else last_din_valid <= din_valid; +end +assign good_sync = last_din_valid & expect_sync_word & sync_detected; +assign missing_sync = last_din_valid & expect_sync_word & !sync_detected; + +reg [2:0] sync_tally; +reg rst_sync_tally, inc_sync_tally; +always @(posedge clk or posedge arst) begin + if (arst) sync_tally <= 0; + else begin + if (rst_sync_tally) sync_tally <= 0; + else if (inc_sync_tally) sync_tally <= sync_tally + 1'b1; + end +end + +///////////////////////////////// +// Watch the scrambler synch +// 3 consecutive problems = lost lock +///////////////////////////////// +reg [1:0] scramble_miss_cntr; +always @(posedge clk or posedge arst) begin + if (arst) begin + scramble_miss_cntr <= 0; + end + else begin + if (scrambler_match | !sync_locked) + scramble_miss_cntr <= 0; + else if (scrambler_mismatch & (scramble_miss_cntr != 2'b11)) + scramble_miss_cntr <= scramble_miss_cntr + 1'b1; + end +end + +///////////////////////////////// +// tell the scrambler and CRC what to do +///////////////////////////////// +assign scrambler_load = last_din_valid & expect_scram_state; +assign scrambler_evolve = last_din_valid & + (!expect_sync_word & !expect_scram_state); +assign check_crc32 = last_din_valid & expect_diag; + +///////////////////////////////// +// Little control machine +// implementing figure 5-10 from Interlaken 1.1 spec +///////////////////////////////// +localparam ST_RESET = 2'h0, + ST_SEARCH = 2'h1, + ST_VERIFY = 2'h2, + ST_LOCKED = 2'h3; + +reg [1:0] state,next_state; + +always @(*) begin + next_state = state; + sync_locked = 1'b0; + inc_sync_tally = 1'b0; + rst_sync_tally = 1'b0; + hold_meta = 1'b0; + + case (state) + ST_RESET : begin + next_state = ST_SEARCH; + end + ST_SEARCH : begin + hold_meta = 1'b1; + rst_sync_tally = 1'b1; + if (good_sync) next_state = ST_VERIFY; + end + ST_VERIFY : begin + // I want to see 3 more consecutive good sync words to lock + if (good_sync) inc_sync_tally = 1'b1; + if (missing_sync) next_state = ST_SEARCH; + else if (sync_tally == 3'b011) begin + next_state = ST_LOCKED; + rst_sync_tally = 1'b1; + end + end + ST_LOCKED : begin + // Drop lock if there are + // 4 consecutive bad sync words + // 3 consecutive scrambler state problems + sync_locked = 1'b1; + if (missing_sync) inc_sync_tally = 1'b1; + if (good_sync) rst_sync_tally = 1'b1; + else if (sync_tally == 3'b100 || scramble_miss_cntr == 2'b11) + next_state = ST_SEARCH; + end + endcase +end + +always @(posedge clk or posedge arst) begin + if (arst) state <= ST_RESET; + else state <= (!word_locked ? ST_RESET : next_state); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/interlaken_lane/gearbox_20_67.v b/Advanced Synthesis Cookbook/interlaken_lane/gearbox_20_67.v new file mode 100644 index 0000000..aba6ca3 --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/gearbox_20_67.v @@ -0,0 +1,118 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +// baeckler - 09-18-2008 +// Convert a steady 20 bit input stream into a +// on and off 67 bit stream + +module gearbox_20_67 ( + input clk,arst, + input [19:0] din, + input slip_to_frame, // 1=slip until you hit a properly framed word + output [66:0] dout, + output reg dout_valid +); + +reg loword_valid; +reg [22:0] loword; + +// worst case : want 23 bits, have 22, need to take on 20 more +// therefore we need a 42 bit buffer +reg [41:0] storage; +reg [5:0] top_ptr; +reg [2:0] schedule; // [0,1] 22 bits, [2] 23 bits +reg mv_hi, mv_md; + +reg [21:0] hiword,midword; +assign dout = {hiword,midword,loword}; + +wire enough_bits = (top_ptr > 6'd22) || (!schedule[2] && top_ptr == 6'd22); + +always @(posedge clk or posedge arst) begin + if (arst) begin + top_ptr <= 0; + storage <= 0; + loword_valid <= 0; + schedule <= 3'b001; + mv_hi <= 0; + mv_md <= 0; + dout_valid <= 0; + hiword <= 0; + midword <= 0; + loword <= 0; + end + else begin + + // always take in new data - 20 bits + storage <= {storage[21:0],din}; + loword_valid <= enough_bits; + + // read 22 to hi, 22 to mid, 23 to low to form 67 + mv_hi <= schedule[0]; + mv_md <= schedule[1]; + dout_valid <= schedule[2] & enough_bits; + + if (loword_valid && mv_hi) hiword <= loword[22:1]; + if (loword_valid && mv_md) midword <= loword[22:1]; + + // pull out 22 or 23 bits of data from the register + case (top_ptr) + 6'd42: loword <= storage[41:19]; + 6'd41: loword <= storage[40:18]; + 6'd40: loword <= storage[39:17]; + 6'd39: loword <= storage[38:16]; + 6'd38: loword <= storage[37:15]; + 6'd37: loword <= storage[36:14]; + 6'd36: loword <= storage[35:13]; + 6'd35: loword <= storage[34:12]; + 6'd34: loword <= storage[33:11]; + 6'd33: loword <= storage[32:10]; + 6'd32: loword <= storage[31:9]; + 6'd31: loword <= storage[30:8]; + 6'd30: loword <= storage[29:7]; + 6'd29: loword <= storage[28:6]; + 6'd28: loword <= storage[27:5]; + 6'd27: loword <= storage[26:4]; + 6'd26: loword <= storage[25:3]; + 6'd25: loword <= storage[24:2]; + 6'd24: loword <= storage[23:1]; + 6'd23: loword <= storage[22:0]; + 6'd22: loword <= {storage[21:0],1'b0}; // 16 hex + + default: loword <= 0; // not X, just for simulation sanity + endcase + + // we are always gaining 20 and losing either 0, 22 or 23 bits + top_ptr <= top_ptr + (!enough_bits ? 6'd20 : (schedule[2] ? -6'd3 : -6'd2)); + + // when successful advance to next word + if (enough_bits) schedule <= {schedule[1:0],schedule[2]}; + + // Optional slip to find properly framed words + if (slip_to_frame & loword_valid & mv_hi & (~loword[21] ^ loword[20])) + schedule <= 3'b001; + + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/interlaken_lane/gearbox_20_67_tb.sv b/Advanced Synthesis Cookbook/interlaken_lane/gearbox_20_67_tb.sv new file mode 100644 index 0000000..fda451d --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/gearbox_20_67_tb.sv @@ -0,0 +1,70 @@ +`timescale 1 ps / 1 ps +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 09-19-2008 + +// Note : This testbench is for observation only. +// See testbench gearbox_67_20_tb + +module gearbox_20_67_tb (); + +reg clk,arst; +reg [19:0] din; +wire [66:0] dout; +wire dout_valid; + +gearbox_20_67 dut ( + .* +); + +initial begin + clk = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + +always begin + #5 clk = ~clk; +end + +reg [4*67-1:0] data_stream = { + 3'b010, 64'h1234567812345670, + 3'b010, 64'habcdef12abcdef11, + 3'b010, 64'h1234567812345679, + 3'b010, 64'habcdef12abcdef13 +}; + +integer n = 4*67-1; +integer k; + +always @(negedge clk) begin + #2 if (!arst) begin + din = 0; + for (k=19;k>=0;k=k-1) begin + din[k] = data_stream[n]; + if (n > 0) n = n - 1; + end + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/interlaken_lane/gearbox_67_20.v b/Advanced Synthesis Cookbook/interlaken_lane/gearbox_67_20.v new file mode 100644 index 0000000..f7c3a89 --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/gearbox_67_20.v @@ -0,0 +1,189 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +// baeckler - 09-19-2008 +// Convert a 67 bit stream to a 20 bit stream +// Note : requires a specific din_valid schedule to avoid overflow. +// +module gearbox_67_20 ( + input clk,arst, + input [66:0] din, + input din_valid, + output [19:0] dout +); + +// worst case : 19 bits surplus, and 67 arriving = 86 bits + +reg [85:0] storage; +reg [4:0] wr_ptr /* synthesis preserve */; +reg [4:0] next_wr_ptr; +reg [85:0] aligned_din; + +////////////////////////////////////////////////////// +// This is a debug only sanity check +////////////////////////////////////////////////////// +// synthesis translate off +reg [85:0] aligned_din_mask; +reg [85:0] storage_mask; + +always @(*) begin + case (wr_ptr) + 5'd19 : aligned_din_mask = {67'h7ffffffffffffffff,19'b0}; + 5'd18 : aligned_din_mask = {1'b0,67'h7ffffffffffffffff,18'b0}; + 5'd17 : aligned_din_mask = {2'b0,67'h7ffffffffffffffff,17'b0}; + 5'd16 : aligned_din_mask = {3'b0,67'h7ffffffffffffffff,16'b0}; + 5'd15 : aligned_din_mask = {4'b0,67'h7ffffffffffffffff,15'b0}; + 5'd14 : aligned_din_mask = {5'b0,67'h7ffffffffffffffff,14'b0}; + 5'd13 : aligned_din_mask = {6'b0,67'h7ffffffffffffffff,13'b0}; + 5'd12 : aligned_din_mask = {7'b0,67'h7ffffffffffffffff,12'b0}; + 5'd11 : aligned_din_mask = {8'b0,67'h7ffffffffffffffff,11'b0}; + 5'd10 : aligned_din_mask = {9'b0,67'h7ffffffffffffffff,10'b0}; + 5'd9 : aligned_din_mask = {10'b0,67'h7ffffffffffffffff,9'b0}; + 5'd8 : aligned_din_mask = {11'b0,67'h7ffffffffffffffff,8'b0}; + 5'd7 : aligned_din_mask = {12'b0,67'h7ffffffffffffffff,7'b0}; + 5'd6 : aligned_din_mask = {13'b0,67'h7ffffffffffffffff,6'b0}; + 5'd5 : aligned_din_mask = {14'b0,67'h7ffffffffffffffff,5'b0}; + 5'd4 : aligned_din_mask = {15'b0,67'h7ffffffffffffffff,4'b0}; + 5'd3 : aligned_din_mask = {16'b0,67'h7ffffffffffffffff,3'b0}; + 5'd2 : aligned_din_mask = {17'b0,67'h7ffffffffffffffff,2'b0}; + 5'd1 : aligned_din_mask = {18'b0,67'h7ffffffffffffffff,1'b0}; + 5'd0 : aligned_din_mask = {19'b0,67'h7ffffffffffffffff}; + default : aligned_din_mask = 0; // could be X for QOR + endcase +end + +always @(posedge clk or posedge arst) begin + if (arst) begin + storage_mask <= 0; + end + else begin + if (din_valid) begin + storage_mask <= (storage_mask << 7'd20) | aligned_din_mask; + if (|((storage_mask << 7'd20) & aligned_din_mask)) + $display ("Warning - TX gearbox lost one or more bits"); + end + else + storage_mask <= (storage_mask << 7'd20); + end +end + +wire [19:0] dout_mask; +assign dout_mask = storage_mask [85:85-19]; + +reg [4:0] flushing; +always @(posedge clk or posedge arst) begin + if (arst) flushing <= 5'b11111; + else if (|flushing) flushing <= flushing - 1'b1; +end + +always @(posedge clk) begin + #1 if (din_valid & ~(din[65] ^ din[64]) & (~|flushing)) begin + // the data in to the gearbox should have 10 or 01 framing bits + // possibly ignoring some pipe flush at reset time + $display ("Warning - TX gearbox din is not properly framed"); + end + if (~&dout_mask & (~|flushing)) begin + // sim only check for gearbox sending out "missing" bits + // possibly ignoring some pipe flush + $display ("Warning - some TX gearbox dout bits are invalid"); + end +end + +// synthesis translate on +////////////////////////////////////////////////////// +// End of sanity check +////////////////////////////////////////////////////// + +assign dout = storage [85:85-19]; + +// semi barrel shifter to align incomming data words +always @(*) begin + case (wr_ptr) + 5'd19 : aligned_din = {din,19'b0}; + 5'd18 : aligned_din = {1'b0,din,18'b0}; + 5'd17 : aligned_din = {2'b0,din,17'b0}; + 5'd16 : aligned_din = {3'b0,din,16'b0}; + 5'd15 : aligned_din = {4'b0,din,15'b0}; + 5'd14 : aligned_din = {5'b0,din,14'b0}; + 5'd13 : aligned_din = {6'b0,din,13'b0}; + 5'd12 : aligned_din = {7'b0,din,12'b0}; + 5'd11 : aligned_din = {8'b0,din,11'b0}; + 5'd10 : aligned_din = {9'b0,din,10'b0}; + 5'd9 : aligned_din = {10'b0,din,9'b0}; + 5'd8 : aligned_din = {11'b0,din,8'b0}; + 5'd7 : aligned_din = {12'b0,din,7'b0}; + 5'd6 : aligned_din = {13'b0,din,6'b0}; + 5'd5 : aligned_din = {14'b0,din,5'b0}; + 5'd4 : aligned_din = {15'b0,din,4'b0}; + 5'd3 : aligned_din = {16'b0,din,3'b0}; + 5'd2 : aligned_din = {17'b0,din,2'b0}; + 5'd1 : aligned_din = {18'b0,din,1'b0}; + 5'd0 : aligned_din = {19'b0,din}; + default : aligned_din = 0; // could be X for QOR + endcase +end + +// figure out where the next word will need to be loaded +always @(*) begin + case (wr_ptr) + 5'd19 : next_wr_ptr = 5'd12; // residue 0 + 67 new = 7 leftover + 5'd18 : next_wr_ptr = 5'd11; // residue 1 + 67 new = 8 leftover + 5'd17 : next_wr_ptr = 5'd10; // residue 2 + 67 new = 9 leftover + 5'd16 : next_wr_ptr = 5'd9; // residue 3 + 67 new = 10 leftover + 5'd15 : next_wr_ptr = 5'd8; // residue 4 + 67 new = 11 leftover + 5'd14 : next_wr_ptr = 5'd7; // residue 5 + 67 new = 12 leftover + 5'd13 : next_wr_ptr = 5'd6; // residue 6 + 67 new = 13 leftover + 5'd12 : next_wr_ptr = 5'd5; // residue 7 + 67 new = 14 leftover + 5'd11 : next_wr_ptr = 5'd4; // residue 8 + 67 new = 15 leftover + 5'd10 : next_wr_ptr = 5'd3; // residue 9 + 67 new = 16 leftover + 5'd9 : next_wr_ptr = 5'd2; // residue 10 + 67 new = 17 leftover + 5'd8 : next_wr_ptr = 5'd1; // residue 11 + 67 new = 18 leftover + 5'd7 : next_wr_ptr = 5'd0; // residue 12 + 67 new = 19 leftover + 5'd6 : next_wr_ptr = 5'd19; // residue 13 + 67 new = 0 leftover + 5'd5 : next_wr_ptr = 5'd18; // residue 14 + 67 new = 1 leftover + 5'd4 : next_wr_ptr = 5'd17; // residue 15 + 67 new = 2 leftover + 5'd3 : next_wr_ptr = 5'd16; // residue 16 + 67 new = 3 leftover + 5'd2 : next_wr_ptr = 5'd15; // residue 17 + 67 new = 4 leftover + 5'd1 : next_wr_ptr = 5'd14; // residue 18 + 67 new = 5 leftover + 5'd0 : next_wr_ptr = 5'd13; // residue 19 + 67 new = 6 leftover + default : next_wr_ptr = 5'd0; + endcase +end + +always @(posedge clk or posedge arst) begin + if (arst) begin + wr_ptr <= 7'd19; + storage <= 0; + end + else begin + if (din_valid) begin + storage <= (storage << 7'd20) | aligned_din; + wr_ptr <= next_wr_ptr; + end + else begin + storage <= (storage << 7'd20); + end + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/interlaken_lane/gearbox_67_20_tb.sv b/Advanced Synthesis Cookbook/interlaken_lane/gearbox_67_20_tb.sv new file mode 100644 index 0000000..3d43823 --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/gearbox_67_20_tb.sv @@ -0,0 +1,127 @@ +`timescale 1 ps / 1 ps +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 09-19-2008 + +module gearbox_67_20_tb (); + +reg clk,arst,late_arst; +reg [66:0] din; +reg din_valid; +wire [19:0] dout; +wire [66:0] recovered; +wire recovered_valid; + +gearbox_67_20 dut ( + .* +); + +gearbox_20_67 dut_b ( + .clk, + .arst(late_arst), + .din(dout), + .slip_to_frame(1'b1), + .dout(recovered), + .dout_valid(recovered_valid) +); + +initial begin + clk = 0; + #1 arst = 1'b1; late_arst = 1'b1; + @(negedge clk) arst = 1'b0; + @(negedge clk) late_arst = 1'b0; + +end + +always begin + #5 clk = ~clk; +end + +reg [20*67-1:0] data_stream = { + 3'b010, 64'h1234167812345670, + 3'b010, 64'h2bcd2f12abcdef12, + 3'b010, 64'h3234367812345679, + 3'b010, 64'h4bcd4f12abcdef13, + 3'b010, 64'h5234567812345670, + 3'b010, 64'h6bcd6f12abcdef11, + 3'b010, 64'h7234767812345674, + 3'b010, 64'h8bcd8f12abcdef13, + 3'b010, 64'h9234967812345670, + 3'b010, 64'habcdaf12abcdef11, + 3'b010, 64'hb234b67812345679, + 3'b010, 64'hcbcdcf12abcdef13, + 3'b010, 64'hd234d67812345670, + 3'b010, 64'hebcdef12abcdef11, + 3'b010, 64'hf234f67812345679, + 3'b010, 64'h0bcd0f12abcdef13, + 3'b010, 64'h1234167812345670, + 3'b010, 64'h2bcd2f12abcdef11, + 3'b010, 64'h3234367812345679, + 3'b010, 64'h4234467812345679 +}; + +reg [20*67-1:0] data_stream_readback; + +reg [66:0] schedule = 67'b1001001000100100100010010010001001001000100100100010010010001001000; + +////////////////////////////// +// Loop the sample data in +////////////////////////////// +integer n = 0; +always begin + #2 if (!arst) begin + din = 0; + for (n=0;n<67;n=n+1) begin + din = data_stream[66:0]; + din_valid = schedule[66-n]; + @(negedge clk); + if (din_valid) data_stream = + {data_stream[66:0],data_stream[20*67-1:67]}; + end + end +end + +////////////////////////////// +// verify recovery +////////////////////////////// +reg fail = 0; +always @(posedge clk or posedge arst) begin + if (arst) data_stream_readback = data_stream; + else begin + #1 if (recovered_valid) begin + if (recovered !== data_stream_readback[66:0]) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end + data_stream_readback = + {data_stream_readback[66:0],data_stream_readback[20*67-1:67]}; + end + end +end + +initial begin + #1000000 if (!fail) $display ("PASS"); + $stop(); +end + +endmodule diff --git a/Advanced Synthesis Cookbook/interlaken_lane/lane_bits.txt b/Advanced Synthesis Cookbook/interlaken_lane/lane_bits.txt new file mode 100644 index 0000000..702a408 --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/lane_bits.txt @@ -0,0 +1,17456 @@ +D0E13 +0E130 +E130E +128A0 +00000 +00000 +48D10 +F0F0F +0F0F0 +D498F +14D61 +7B632 +14B21 +76228 +C240D +80B98 +E1C36 +681B8 +E329A +7538D +8B323 +92E41 +632B2 +B61B4 +A11CF +16C83 +764B2 +52238 +EC9BA +C1E1F +45F50 +C8037 +5982B +58491 +0AB02 +6BF71 +E2219 +1137E +E2861 +74432 +4A36D +62C32 +B7130 +D974A +E2600 +026AF +92A00 +AB17E +52C0E +1EB44 +11035 +45418 +4684F +85BA8 +BA31B +0517A +09023 +7E01C +08AE6 +EE25B +A0E32 +A6713 +6C5A3 +0AB90 +4BBD3 +E5ADB +24A07 +20CA1 +F2A52 +6E433 +A06E4 +A081E +34E6F +385CF +4025D +AF122 +8BB39 +D2E40 +19344 +CB5AE +B2BB5 +C4B91 +5B8B1 +D1257 +9CE05 +009F6 +C2570 +C9BAD +21851 +A894E +1D124 +D4360 +2D319 +94DF3 +86340 +DE886 +BFE33 +350D2 +C6AC3 +3E333 +21E91 +08DF5 +61D14 +81D59 +73630 +B06EA +8E33E +6A194 +92990 +F555A +2AE4D +562E5 +88B33 +A671C +87582 +5C515 +88C27 +65B30 +E0909 +05D18 +D8CAB +6386B +35E5D +05014 +4B0DA +7C0CB +43832 +88C2E +0991F +D35AA +87CD0 +634A8 +C5BC6 +EE6C5 +30CFD +0FB35 +C500C +8C571 +9C435 +DEC17 +94629 +9D566 +83B81 +4461D +19609 +E8360 +6008D +862B7 +2CF80 +07448 +61A45 +7D00E +451C9 +63764 +AD634 +7C14E +5A668 +4B595 +84ED6 +48E64 +FA342 +D5360 +40676 +7BB45 +A4051 +EAC51 +8F8CC +E9AF0 +228B7 +B1A1B +8D40D +91585 +12917 +A0C3D +62413 +D62BB +ACCD0 +4A24D +3F8A2 +3518B +DB614 +ADD9A +69758 +E05A5 +19EE5 +34538 +E49A6 +130B4 +82E1A +78C55 +58833 +4F242 +53341 +44D50 +BCBA9 +0C3E9 +F24F8 +8171D +35167 +5202D +206E3 +AA33F +17305 +067E1 +D1477 +E0128 +B222B +2664F +C5451 +CCEF7 +88844 +D9535 +4DD4C +D0C2D +3C201 +7FC2A +9B88C +D4C34 +50BE1 +4A701 +5097F +6CEA1 +127B4 +07153 +DCD23 +94F87 +418E0 +D47EA +22F02 +783A8 +8CFF6 +D56C0 +0A39D +D0ED8 +308F8 +C74B4 +79242 +33719 +09312 +981DC +60A3E +E25A8 +E9290 +BF879 +C4E5B +241C6 +A403D +03E7E +9C022 +9B8A5 +480C3 +2D268 +DB228 +F88E4 +120AD +3E1B5 +4840D +140D9 +45B9C +C10F1 +34A2D +92900 +A9975 +06156 +DB913 +D72A3 +8305D +8F969 +ACFA0 +4C250 +9B02B +505A6 +73CC1 +156FC +1AA69 +44E7A +E85B3 +B229A +10B24 +F28CC +B1939 +ABB4F +17612 +F0107 +BC564 +698C7 +ACA6A +723B0 +4E082 +2F84A +B5E47 +3F9B9 +3A972 +22B6E +88753 +C5071 +E5900 +354DB +855E6 +26585 +49E6C +2E2A4 +07871 +97142 +602F1 +55B36 +54886 +D23EE +2282D +CBA5A +16A51 +C8762 +755B1 +4B70D +86580 +91605 +58242 +59D15 +B90DE +11AF6 +96384 +6682C +D9678 +F2605 +536AD +43CD8 +8DBCB +B1E0A +0EE3D +C90A6 +13BB4 +B3028 +94E7E +BF46C +00643 +D0E13 +0E130 +E130E +128A2 +C429A +B7AAF +10491 +92E42 +54DA7 +78D50 +5F460 +D53C5 +3205B +9D236 +77E22 +21F45 +12C36 +29892 +E79C6 +18C1E +69A68 +FBC64 +8E494 +D9593 +1F7E0 +0D048 +D701A +587D0 +E289B +E0CC6 +D5B83 +B52CB +5714C +1AA92 +EED04 +3E8C0 +774C1 +E1151 +C2DBA +A262B +72150 +FF5A2 +E0CC2 +19765 +08AFC +73218 +8E9F0 +A0A62 +E784E +37CD0 +65B03 +46A60 +C1605 +862AA +0146F +B8AD4 +82BCC +4DC69 +8C1D5 +81670 +A0D02 +A9489 +5A452 +8CB10 +9C0D8 +3E9E6 +84A5D +3CEE7 +19316 +6553A +257A2 +1780C +20B60 +3FC4F +904B0 +DEE89 +1BD03 +18698 +9C683 +D4801 +22B6E +1F10A +D97A1 +12494 +D8EEB +5C648 +18897 +ED005 +2E373 +A2F23 +0992C +1B35A +2D78A +99962 +FF7E2 +66275 +40663 +05CD4 +08D41 +AB954 +634CA +189C7 +A8A4B +75159 +87A59 +C19AC +6BE83 +6B4EF +16506 +0F0CD +4B253 +66D62 +81962 +EE7D0 +C6482 +50050 +641E3 +AD562 +43171 +0F4CD +7ABA1 +07568 +5F286 +F80D1 +55119 +B5424 +355D0 +1880A +83F70 +29EDB +0EF92 +1507E +4DFE2 +AAA88 +B1564 +8564D +2A773 +5D6A0 +BA193 +03ACE +C6A4F +E47A0 +C0473 +81EC6 +5A08F +C50C0 +A3523 +772A5 +25047 +322C7 +02675 +363E5 +52904 +CF710 +A9096 +0435D +2CF3E +27102 +BA87E +0AA0B +6FD82 +B08B1 +0C5B0 +3A047 +A6B7E +6C4E0 +05D66 +AC891 +40C0C +841DE +1E319 +341CB +4A69B +9B5C4 +2B60F +93CA9 +5A27B +20641 +44BB5 +EB33F +926C0 +AF318 +E686B +8B38A +A5608 +35941 +E2FF3 +1B125 +F4ACA +2A9CA +C4C3C +593E7 +57981 +32C32 +08AEF +298D2 +19492 +77294 +63DF8 +2414A +92913 +B8980 +CF20D +AF614 +98722 +CD854 +1C346 +594A8 +B1DF6 +5CF13 +A02E8 +5A7A3 +541C6 +08420 +98265 +326B0 +A5340 +F5124 +3DD90 +17215 +938CC +ABBAE +61487 +9A34D +44D66 +C3AFA +59706 +2C143 +127E3 +4A261 +EA552 +C5645 +42B12 +4DF6D +3A2A5 +726B8 +38CC0 +34191 +0C386 +CEBA1 +DF3D4 +4B000 +19516 +5C3DC +ED347 +A8D68 +246F3 +828D8 +8309B +D6016 +CB743 +01F2B +940B5 +13AB9 +395B3 +4ECC8 +668E4 +40D4D +74C21 +6C14D +9BAB0 +5A847 +210B3 +604DF +60B5E +4F429 +0B334 +32082 +303F2 +F8F2C +206B0 +FD697 +21A98 +8A6DA +C08EC +59D41 +6585B +636CD +504D5 +42682 +C03DE +5AA4E +1ABD7 +D03E8 +03AC6 +2396B +48FF6 +14E81 +EF1ED +2A820 +51FC2 +88142 +3B907 +3C996 +208F2 +BFD14 +78243 +9E01D +06201 +177F1 +0A82A +0BEDB +083E8 +2D11B +A83BD +9ACDA +79CE0 +ED08D +0AAC6 +461EB +6F21C +E0878 +F2AA1 +85424 +DFD8C +8CCAE +68589 +DE4ED +51E46 +38B99 +2D05D +BD122 +3838C +DED25 +0325D +7A9C3 +6CCD3 +1A0D6 +80890 +DB388 +52B22 +EBBA4 +9F3F0 +8EE46 +271C3 +D0E13 +0E130 +E130E +128A6 +0332A +DEB5E +88CF4 +0E965 +9FAA0 +4B696 +1DDC4 +03D78 +46006 +C0B1A +894A9 +90929 +890A6 +8F3CE +C5B7C +05E01 +6AD94 +96832 +ECCF8 +A8D1D +833B4 +1352A +9731A +85F88 +D405C +E480B +56C07 +4AAAB +A82CE +68ADE +0B2BC +1C699 +868A5 +4F8C1 +1C5A3 +3D1A0 +1BB4C +B09A0 +D9779 +23969 +08906 +4A7B2 +706CC +CF044 +A24A2 +085BF +1509B +041FB +E207A +AAFA9 +511CC +6786F +E4299 +72EB2 +D2B8C +64195 +2C8C9 +0F09F +D03FB +E1952 +5B5B4 +10E94 +DF470 +21816 +5B4CD +E30E1 +46495 +B0986 +0D6FA +207A9 +2931D +27A82 +634BE +10988 +ACB70 +CD860 +2CA20 +74A18 +CC713 +95E75 +1C40B +E59A6 +C2133 +49E17 +5C274 +C88B2 +83541 +C2307 +219A2 +37C03 +46D17 +00B9C +F0D0E +5715C +9BE78 +24609 +A3CA4 +B32AB +BE2D1 +8F451 +20B48 +E7488 +33FCE +1BBA3 +55F52 +885E4 +C505D +784E2 +56100 +E790C +E8F2D +F4504 +BC5AB +21CD4 +0A9D4 +617D6 +A12A3 +44383 +B20A8 +55882 +41461 +1BC68 +F11A6 +F2938 +AB8F5 +0619A +49C96 +E7283 +820DA +BAC6E +3C097 +B1D00 +597F9 +265D1 +0F411 +4BAF3 +4818E +2A180 +CC04E +1FA61 +9E6F0 +8419D +4E350 +B9200 +19D2C +110D1 +4A8C4 +240C5 +E6CDF +281F0 +CC01E +A2B90 +98B30 +0E194 +4C096 +F6F3A +E2592 +56CE0 +5E0B0 +97C5A +15559 +D855F +92B32 +052BA +047B0 +7C148 +6DD51 +2D941 +138AE +71B29 +438E6 +6841E +16249 +71A42 +6F005 +0183A +3AB88 +C6F28 +6BD9A +17F04 +19707 +4A630 +31E16 +41BBF +40A3D +44DF2 +2102D +679D8 +09820 +CD1B3 +A8DB9 +A0648 +ED591 +9E612 +0FB98 +61479 +2B245 +2C016 +B1911 +43417 +A962A +3972A +6F01D +2A448 +E84E3 +3B8C6 +5B89C +93D6E +A84C2 +1456C +7B2DC +32EBD +2881E +39415 +1213D +1F710 +76295 +0962C +2B4FA +8D481 +FA986 +F6416 +1F590 +93662 +8A200 +04994 +3623D +26589 +610BF +E0565 +53CB4 +27C33 +99529 +F103D +BDA93 +3C35F +70620 +2AF46 +EA63C +87034 +44043 +61CCB +A1F38 +B394A +DBF11 +0C141 +39941 +19F2B +B5110 +36F6C +D28B3 +75AD2 +13A01 +1302A +49B4E +F31A4 +42269 +F885A +DC502 +83624 +ED09A +909DE +D07F0 +011FC +A442F +129E9 +D3D1C +1942C +08CAB +25F11 +11D8B +A018F +2B907 +81769 +9DC9B +43247 +132AE +1A22A +1B9DE +B21E2 +0F5C4 +60BF8 +F4738 +2B4D3 +4A20D +EBA54 +5A75F +03D9E +64404 +E1126 +A928A +C0F62 +2A8B4 +5FD16 +8734B +F82BA +50D3C +304B3 +AB309 +0BEE8 +A78D5 +20139 +1170A +D15D0 +7A038 +A6233 +6C158 +A1A4B +35B13 +40A5C +61BA2 +8E318 +9C0AC +E57B3 +158B6 +E3169 +A7701 +E8532 +EA2A5 +C35AF +C0565 +45231 +76517 +35C04 +C6A83 +0CC2C +E3E04 +98A25 +75B8F +98629 +4B823 +D0E13 +0E130 +E130E +13B51 +8CB56 +64B1C +5A257 +C955B +C2A81 +221DA +19ED7 +6148A +80E69 +ED238 +A668B +F9839 +68BC4 +24DC2 +85C37 +D1DC1 +0AC93 +19452 +4C9BA +6F1D6 +6734D +440AF +C5D8A +482F0 +826E2 +19D88 +8657A +2BFE2 +8CB01 +C92E6 +2E0A0 +08675 +17491 +6880B +67BE2 +412CB +A3D41 +F5E09 +0DE54 +28F50 +3D0F5 +F9044 +D96B2 +8671D +403C5 +AF582 +99535 +14D6E +4916C +1BEAC +FA24F +025A0 +D3C84 +59394 +0014F +9BB26 +00D04 +94E34 +1443C +C4917 +1CD7E +427A6 +70B88 +AE19E +219A9 +31D03 +4D5D0 +583C2 +1DC19 +714A9 +D92E9 +56D41 +229B2 +9274D +D9703 +0C12F +88A56 +A9EAB +5C580 +4AD06 +5007F +94E78 +FC258 +4AAA6 +6E915 +6C273 +D19A0 +86AA5 +B47BA +69342 +93F79 +7D809 +624C8 +44A32 +43F9C +F683D +8829A +59508 +53E6C +CC0D5 +EC916 +F9270 +C8054 +5BAD5 +41395 +B508E +94B4B +89496 +77BA0 +9A8D4 +80733 +F4CBD +471F2 +89601 +18540 +B4A7C +7C826 +770C4 +3C067 +1F35F +48435 +DAEBC +7BC0D +00E72 +60425 +4A480 +10197 +6B184 +115F5 +1597E +A00B6 +0DE17 +E4285 +9E413 +39E22 +4C953 +CC8CD +05902 +177DE +4B72E +51C5D +ADB42 +A908D +9225E +A1750 +D6C64 +B9537 +332D1 +74160 +94BD3 +68878 +13862 +03D74 +2819E +16A52 +80B02 +6E532 +CE866 +670C7 +98D81 +4642B +D99B1 +55540 +E2EC1 +B88EC +32983 +DF0A5 +A7264 +A6DB1 +4B882 +B2C6A +4DCB1 +12DB3 +59542 +13136 +DE592 +85B7C +E8DA4 +0BC41 +A07F8 +55673 +38430 +4DE51 +01BA5 +267A4 +FC990 +2BB52 +4BB55 +4D96A +E1531 +C8E43 +F27C8 +309F0 +22D9B +36C7E +A35D8 +4A381 +27D22 +98ED0 +3B1F0 +1763E +770A0 +4F125 +62EAF +2C9B0 +783A2 +D8A07 +D4B5F +9A649 +8463A +4AA95 +76388 +0103D +C8727 +DD120 +E2B04 +68319 +EDCEC +091E0 +747E5 +A01E6 +610B2 +498FF +4012B +155CE +D0E84 +C5D32 +C62F2 +34F81 +0D80C +4D8C1 +83A8A +404FC +13C81 +E2201 +E99A9 +F1796 +B2194 +17B60 +1773F +42F73 +CD7BC +FBA00 +008D2 +81F16 +D5554 +4CBAE +31253 +94668 +446A4 +4592B +2AEF8 +1E056 +C00B5 +4044B +9764C +4A2F8 +04CE7 +80C28 +C5328 +BA4DB +EC4CE +3157A +48C42 +A211B +AE255 +E0F90 +46732 +66E49 +AC494 +BB04A +3B3BC +6754B +84B68 +1DE3A +62FA4 +1236E +8923A +2C286 +4D8FC +3A3B6 +116BF +498AB +83044 +4DD4D +095F1 +56399 +98971 +A05AB +33946 +FB42B +18D15 +E8213 +87E7C +36D11 +B8357 +2108F +A46FC +A2CD1 +DF28E +118A5 +28032 +7B607 +908CD +CA09A +68A31 +31588 +BCF5C +18A3E +D2848 +AB060 +EA2D9 +BF1C8 +249A1 +912F5 +F96F1 +90162 +AD830 +3EA68 +02307 +4662E +673CA +27CD2 +96985 +2AEAA +0879A +43CA6 +29524 +25834 +B064F +E550A +D0E13 +0E130 +E130E +128AF +7CA38 +00236 +88C70 +B15EC +F0E14 +4B6D6 +57B52 +5C96C +95118 +53A10 +D0850 +4A41C +0F094 +639B2 +07313 +812AD +C9E1F +96802 +3711C +701BA +3C328 +BCB06 +28883 +40082 +CDB3A +24B4E +E6162 +7093F +27E1C +E06BA +48508 +618A8 +5126D +53162 +85AD7 +93CDC +1AA7C +7F881 +6E104 +06466 +9B993 +015CB +C5932 +B67C0 +09481 +B9C4A +F93FA +D3FA4 +8E100 +88F39 +D14B5 +39B65 +70A64 +51A89 +D41F1 +455DC +64897 +F89C2 +63618 +36714 +BED45 +82F2F +0CEA8 +23134 +A12C1 +FEB59 +3B41B +16113 +00F69 +7848D +DEAA0 +00037 +4F699 +D6415 +D8DD0 +A1299 +B6220 +35C0F +DBD5C +8ED07 +7527D +74E44 +50A07 +8BCEB +C1352 +80B15 +115C2 +78C70 +D28A4 +ECB9B +1E548 +43286 +185F2 +A635F +2D4C2 +20B1B +D04F0 +719E8 +9853C +C56AD +6F18A +6C56A +817E1 +53746 +C03A9 +2FC54 +E8E69 +20F6C +41220 +98EDE +C7814 +4CD66 +1D293 +098E5 +D1EFB +B3414 +20D22 +4F789 +35650 +837FC +479D1 +7807A +E29AA +1EEDC +A0D15 +A663F +04511 +8E2F6 +63A21 +57D58 +A47AB +994A8 +939A8 +345F5 +1A08B +76467 +AAA2A +A0E0B +990F2 +2D2DA +5FA51 +52457 +85949 +4E31A +5CBD4 +4D819 +B8624 +AFA82 +EF3CA +21B49 +895CC +A68D4 +9D53F +449AD +C122F +DC968 +9341A +578C3 +CADEA +31661 +078A7 +4B38E +35B28 +6D0EF +1C480 +12858 +48509 +418BF +38F91 +F8988 +B2824 +D7EBC +20298 +06F75 +13014 +44C22 +78B50 +C26A9 +69FB0 +9E0E6 +9988B +1A959 +265B8 +FC0A2 +50D51 +A8E94 +9312E +7B4D7 +C0478 +6C052 +E0819 +7E3B2 +78F2C +981DC +731D4 +D711C +4000F +2076A +85418 +612F5 +796C2 +85D6A +AAA46 +084AF +DD20E +E1C44 +2A0C0 +56E85 +5C0D7 +03221 +EEA61 +2A34C +A28FB +AE706 +376E2 +A4FD0 +80F72 +0FE7B +A0521 +3941D +0C9C4 +7857A +C4E65 +81EC2 +F8F52 +34A51 +44659 +C4C19 +E7B20 +20E22 +A34AA +4CBA7 +1F6D1 +98211 +00290 +669E3 +FB956 +C26B4 +043C9 +98CA4 +85F67 +38F11 +69996 +48F48 +9A50B +1A800 +A4718 +F5CF5 +10F0E +E440B +33828 +9FC51 +E8BC7 +61E19 +6805D +AB7E1 +80539 +78B6A +C44C9 +E86B7 +25914 +D7503 +8F233 +EF025 +AACF2 +9B780 +05F32 +88438 +8EAA1 +0C9E1 +54B2E +88564 +AAEAE +43403 +58594 +60A27 +29626 +F0224 +91C94 +3BDC8 +C4E05 +B4F92 +F088A +742B9 +6A1C1 +A448F +121CE +4DDCE +CA8F3 +F100A +C83B2 +F082C +D8D42 +ECB49 +B6451 +54ECD +590A3 +B2CC3 +CF7F1 +13816 +25D21 +88CC5 +A762C +E5CAB +A260D +7E749 +A5032 +3BC4A +3C452 +97812 +1CE9A +88827 +9A802 +07267 +75719 +4D4E8 +DD5C8 +625A1 +59D18 +99B42 +12207 +7015A +926B7 +8E4DB +28CB6 +F2D24 +10305 +73B6A +05834 +7482E +0F1EC +D0E13 +0E130 +E130E +13B50 +00194 +205D2 +3C557 +0F03A +E0DE6 +1125B +59F6D +D1106 +1509C +152C4 +D1B24 +92B70 +74BA7 +1EA23 +0AD8D +A9D25 +695EC +8CA85 +B026D +4F927 +59022 +EC31B +88682 +EC459 +45857 +D1D85 +9531D +CD639 +A5380 +E94C6 +1291D +215FB +3923D +D6811 +9EEE8 +9C621 +5288E +9969D +39007 +AD05A +9CA01 +D988B +549A2 +BAB26 +A0D1B +08099 +F520D +204D6 +8281F +F07A3 +232F8 +92525 +37AF5 +4557D +0DAD8 +C1305 +68935 +39ABA +529A8 +26A17 +2BDC4 +9150C +D7429 +B0097 +A7E0F +3C292 +1C73B +6940E +E4324 +81CAE +055E6 +11EDE +33E60 +18DA8 +A243C +79175 +98318 +CA7D2 +41737 +0B635 +007FC +2C7D1 +4F149 +0F8D6 +3FA13 +5CC04 +6A5BA +BA6A1 +E9A48 +20072 +3E4D9 +27168 +4C626 +C4903 +631CE +2CA7D +AB08F +24DCE +29019 +92A15 +563B6 +8D11D +1E191 +9ACDE +F0E20 +78085 +62976 +32123 +076EA +355B8 +971B0 +9C367 +B2584 +E1EF2 +99D32 +9068C +2B13A +5F64F +1411D +C0BA3 +4F141 +A82E5 +2214A +1ECC9 +F2736 +29C8D +680D4 +17F85 +0D8BC +28B8C +3B40A +CF097 +10FC1 +5C6FE +6221E +25BC5 +9612C +8EDC8 +4D9B0 +A1840 +96C67 +2A093 +CB482 +2737D +90876 +BA142 +C91C7 +70A34 +42015 +150AE +6AB57 +344C2 +90010 +E858B +80675 +856D8 +50693 +ED43B +1B82B +49885 +0673A +ED60E +A8C88 +84CCD +429C2 +B831B +7B131 +A0DBA +611C4 +169AF +5B3B1 +5C61C +D4568 +65860 +81158 +91324 +FC804 +88FE6 +ED448 +5172C +EDB41 +43466 +61ECA +989B2 +6A4A5 +48698 +DA9B1 +6063F +861D1 +9ED1C +CA98F +184A1 +FD14A +C485E +CF01E +3864E +0D456 +24648 +6DC47 +C3CA9 +91601 +D212D +4C419 +D55A9 +E0755 +42484 +FC627 +173F4 +FC895 +2839E +142A9 +49112 +A58D8 +E5B46 +97753 +12202 +1AEF4 +888B0 +12D21 +A1CAE +FAD53 +6C80D +BA916 +18BEA +CB627 +094E2 +8C967 +D69AB +6016A +AF499 +1869B +1450D +DC2DE +2E0D1 +C242D +4D5D3 +B2A2F +0A56D +E2EA4 +6AE0A +11B4A +B15CC +9C322 +48ED6 +EB085 +4876E +18065 +C95B1 +EAB70 +A1630 +34230 +AE99B +41C24 +9A934 +4842C +759ED +F150A +BCDD8 +C9CF4 +4126F +15158 +A00F6 +6AB2D +A190C +3D3C5 +01CB9 +34D2B +095E9 +4C635 +316A4 +D5A29 +96ED5 +88D2D +3C209 +DDBA3 +C8241 +AD8C7 +C6295 +5D9E4 +48D50 +44954 +CE925 +4244F +BA4C5 +AC06A +6A2FC +AE423 +9E55C +7F8B3 +50406 +A108A +3A451 +F34F3 +0F1D3 +051CA +70843 +6BBD9 +2CCA8 +74DAE +DBC61 +30161 +64298 +9B696 +E2A47 +73029 +46327 +C5365 +B6749 +81708 +0F742 +26A84 +F692E +83E78 +45926 +01CA3 +31CCB +CA2CF +469B8 +04044 +5D505 +EC1E9 +7D400 +5AA39 +18126 +5CD0B +179BA +0555D +AB52E +5B2FF +B01AA +430AA +D0E13 +0E130 +E130E +128A0 +DEE04 +66031 +25BD0 +9F80D +3C0E8 +622EA +D6814 +A3A5C +E2674 +093F8 +919AB +14609 +A9D74 +454B4 +036DA +878B1 +C96DE +5EA62 +8A805 +4B944 +28906 +CEA29 +C11C2 +6A258 +B04DC +46A02 +F644C +6C696 +E8240 +854FB +7A214 +49031 +016B9 +013F8 +C34E5 +29582 +EA694 +8B513 +09CDA +15361 +55089 +2D230 +FE384 +D4703 +520A3 +DC3FF +290B2 +EDE03 +D9AD8 +64022 +544F8 +961AD +40A97 +48A34 +2C330 +5D7F6 +22BFC +192A8 +10663 +E0B56 +B810F +D28E8 +00C83 +2DBFE +14B61 +0BFA6 +007F8 +17262 +0C111 +F518B +D16C5 +9A08B +1EA2B +1CAAF +88146 +9A7C4 +90BD4 +C841A +88521 +B6634 +A2910 +75133 +C9402 +4F025 +8E9DF +83AA1 +36D18 +7BC75 +0B75C +821A2 +3F452 +E2A28 +551C3 +74811 +37931 +0B3D9 +0C0DB +685C1 +851E8 +F216D +572AE +AB12B +B0525 +3A504 +95905 +8FC3B +53C5D +15230 +DDC20 +6077E +9946C +64E6D +570EC +89C53 +C127A +228F1 +0E4B8 +53A35 +496F2 +504E4 +586B6 +96770 +C82AB +1EB21 +CA394 +8C89C +98355 +50B25 +2FD12 +6C256 +B3B5F +01491 +1FC90 +6F4EE +D41A8 +A2AFC +8A8EE +221CE +31DFA +0B165 +55580 +604CC +5B97D +0E392 +7FA54 +85BA2 +98547 +53139 +34A7C +282D1 +2D841 +3CAD8 +5C552 +21D0B +5230C +3504E +D966A +A519E +B4A38 +2A241 +75B92 +84C14 +C96FB +7A09E +7880C +1F964 +0EBD1 +D0170 +CAE84 +9FEE1 +532D0 +F981A +FF127 +90176 +2462C +93E62 +23C88 +C5913 +EA1A5 +AD685 +6D5C1 +0F801 +48D03 +3AA32 +F0206 +BA027 +FD00F +42132 +ED597 +18AA0 +6CA81 +C3F96 +AB531 +13C55 +DA00A +14585 +F07AF +A221A +E0A98 +58318 +BD4B9 +3442A +245D6 +68B6D +31442 +E8AA1 +440DF +99657 +83F1C +51511 +F3383 +1242C +13069 +BE0E7 +290A3 +5A998 +C7DC3 +E83A2 +185A5 +88EFE +35941 +46B72 +A71AD +108CB +EB0D7 +144C1 +12C58 +A73E1 +014F1 +AAD33 +99CA3 +FEE48 +26D90 +52E69 +C452A +AE8EB +55631 +3A9EC +A7353 +0166C +9686D +4BB38 +97324 +94560 +F7D40 +726A1 +629D6 +499D2 +624DF +1E823 +49C30 +B520A +3EE60 +CB281 +7419F +58915 +91814 +468F6 +DA32D +711C3 +51C51 +0952F +0C92B +6015D +39C2C +BE924 +8ACC8 +FB5CA +58C40 +EB71A +61C0E +CC413 +D9732 +0C725 +17643 +4CC0F +6ACC7 +85B4C +9C24C +9D57F +83C15 +C594C +3618D +32F34 +ABC41 +E3D49 +C23AD +82104 +FE5F8 +133B3 +48032 +A62C7 +BE00A +A1122 +B517A +72967 +EC05A +1209A +FCB95 +5E458 +550C5 +72029 +8EA8D +91609 +DAAF2 +318E5 +C16C1 +6744E +5E428 +2136C +6A8AE +89A21 +D1A39 +43860 +E9831 +4DCA3 +A7062 +8B421 +20328 +2E608 +C245C +61286 +627D6 +0E1F7 +AAAFE +10D17 +2631E +30125 +0A46E +E7C1B +69E68 +D0E13 +0E130 +E130E +13B51 +8F031 +60D0D +A5457 +C88E8 +40989 +DDADD +52226 +7C431 +80163 +392E4 +66E0C +B1D4E +43E05 +E89F9 +214A9 +468DD +0DB20 +32777 +81F40 +EED80 +9797E +322C0 +BB8F3 +0E1B1 +0A72E +791E0 +27D28 +F0E50 +9ACF5 +146B6 +DA481 +60568 +88CED +59601 +66AC3 +7D850 +7BEE8 +FEA78 +A0250 +8014B +8E491 +6316B +DC062 +F141B +4C401 +2B8C9 +D1616 +92FC5 +D2C19 +0B62C +90E91 +D25D9 +36377 +7AF32 +08841 +D9A65 +DADD1 +44916 +9AE62 +E0692 +24024 +22884 +05A8C +2C7E6 +C650D +22792 +AD634 +ACEE6 +25542 +7CB28 +51497 +27333 +A490A +121F2 +9C6B1 +E15EA +9A303 +48A1A +079E2 +61BF4 +7DF6A +CCB82 +950A4 +8AA23 +13007 +B1D90 +E99A2 +17EB4 +89866 +DB122 +FF624 +1E511 +43AC8 +86FFA +40AC8 +6E90E +134C9 +4DCDE +076DC +2B04D +20300 +798ED +66579 +829A8 +33848 +2A984 +08165 +B24C5 +41154 +8A416 +8F244 +4CFE2 +5D863 +C5D31 +C6192 +092E1 +BB4B1 +C4218 +C6872 +DE26B +78554 +F1691 +8C5F9 +A085D +A85AB +124E4 +E2F13 +C650A +0AB7B +0F93E +36D64 +E16E4 +85107 +645C0 +A004A +1AD67 +08ACB +53964 +9919E +59855 +97728 +09864 +B63C4 +3E29D +58FAC +004F3 +D2017 +9518D +827B4 +181D9 +0E836 +B8951 +77160 +77D1D +75084 +53713 +0FD4A +5872D +979C8 +E19B3 +04349 +49443 +46F84 +2C684 +D684D +395F3 +8298D +6C97C +45D01 +1A785 +A2279 +D8A06 +AC4A3 +2BC55 +8A39C +075D9 +CF21C +D852C +F913A +E3059 +8D6D1 +71685 +01610 +1C1B0 +150E6 +2496A +94C55 +56B9D +93303 +26513 +3283D +6281A +FA89F +04190 +27B11 +D73A7 +C4B96 +3B190 +2DD01 +33BB0 +CC518 +D0FDB +03577 +0024B +DE26B +B0595 +AD881 +D7E1A +258BE +96210 +39245 +78341 +DBA04 +A9A44 +1521F +8D915 +85174 +8A333 +A0169 +59EAB +1B98F +309B0 +D9F2A +2E592 +BF8C9 +2252F +6D44B +244CC +C6DDF +D46D2 +21AB4 +F230B +AB155 +B2095 +0609C +B0EB3 +A6603 +6B7D8 +73E23 +B10D4 +5C06B +EE092 +4F73C +82D50 +81815 +97E51 +1A9D7 +29158 +3AE62 +25D05 +66927 +C2D10 +B035A +63249 +6B592 +8ED0D +40C4C +86CA2 +2F356 +063E4 +7F11A +911C8 +AA6BF +39841 +A8856 +9214D +40E62 +AF670 +2B0A0 +7C9B9 +36F8E +96A9A +3431F +0476F +1D918 +0FCE6 +C0F74 +08257 +17070 +B097B +4AD65 +F80FB +1530B +B1DA5 +0D181 +D19D9 +4BBA6 +A5113 +00BFC +32140 +2853A +8E40E +635DD +039AE +C523C +58447 +86B8B +F3E81 +F58D0 +5B031 +B23AD +E3718 +33476 +46143 +FA440 +43950 +69648 +58B48 +60E2E +201A2 +DD670 +C4A13 +FC915 +4FC2E +1948C +E3125 +3007F +80539 +92DE0 +AF713 +688A0 +6C94E +6EA32 +9B70D +A0CD6 +22614 +14105 +7AD66 +05CE6 +3A891 +177F1 +D0E13 +0E130 +E130E +128A6 +211FB +4C6B8 +6F0B4 +1F80D +56C53 +388A7 +970C5 +B18CD +8E158 +52A8F +02D72 +90877 +36864 +55AE8 +21A9E +42409 +4DA2C +32122 +F5040 +23529 +6B270 +7741D +2D822 +0F14D +2E1DF +92E2D +96418 +E8A22 +5720B +8A8EA +20DBA +12692 +C4BC9 +211FE +31A0A +9B5F2 +3AE36 +C5AFB +81240 +6105C +092AB +0759A +11ACE +C3641 +5371C +D0677 +75033 +5C250 +9F3C1 +32620 +5F231 +F154B +E7520 +781A9 +C9420 +76640 +C2B11 +6370A +81CF9 +A9CDD +26B9A +0B427 +9E407 +2214B +FE540 +E1A40 +84627 +139ED +28234 +CF80B +6896E +38412 +A2895 +97B6D +9D6D4 +1410D +3331F +B26F4 +F2EC2 +09616 +C1599 +98568 +5CC4D +6D47F +11581 +7007D +9C12F +4E1B4 +D8C23 +17532 +67F33 +343A3 +2970D +94C18 +11824 +EEFAD +232A0 +3CB82 +15F31 +29D99 +218BA +573A0 +C26D3 +5BA25 +5A978 +4634F +A2044 +4826A +E9A31 +B4D16 +80DA2 +70BC7 +4F138 +851C0 +0163C +8ED90 +C6423 +F1FAE +9A017 +10085 +45604 +8346C +AC0F8 +528C3 +B88B8 +74141 +0D015 +4EABE +8536B +84731 +B6310 +3D300 +7BCDE +02437 +8E548 +C9C50 +5FA2B +FD20B +90984 +00A0D +9150D +B9C67 +5F46E +C0209 +1616F +4049A +02574 +57005 +BD90F +6D953 +ADEA0 +F8279 +826AC +52584 +560C3 +44E13 +86AEA +83B09 +6B3D3 +A0791 +35258 +4FE04 +1401E +8BEA0 +E649B +B83E8 +A4355 +0F442 +39B32 +558FB +63BB6 +0E9C2 +D013A +3EC73 +CBB52 +75086 +F308C +895C9 +02C17 +BC0AB +7598B +AB24C +0BD95 +C07B6 +C500F +AD510 +8355F +6B1CA +0396E +B2B21 +AAA54 +FDDBC +8134B +0929F +817A8 +A06E8 +93F48 +3E9A2 +29690 +88B0E +4C59E +F85E6 +8D250 +2512A +040C1 +43F7A +BD20B +50D2F +46B8F +F2405 +0FA48 +FEC27 +04F03 +2A286 +0EC40 +65489 +DB9E0 +88244 +002CA +3CC8F +81928 +13E3E +1D281 +4D98B +00AD6 +04E35 +6A3BA +A75BB +02758 +E1D24 +0AAB0 +29DEB +C2C33 +0720D +CC2E5 +11B88 +440ED +4200E +4089B +95C33 +0F25B +653F0 +A4C60 +95458 +EF964 +A287E +AB501 +2D3B5 +B218C +0DA18 +9AD2B +B42F5 +D182B +C4C1A +629A7 +98335 +8AF83 +4EE04 +8403D +54137 +FD31A +6DB2E +A6348 +B8351 +21102 +DA159 +0FCA5 +62604 +240A1 +6A176 +5FEEA +2A70A +75388 +CFAF8 +5C8FE +61622 +E6C44 +763A0 +AE14F +C3351 +EE984 +1B55A +F49B6 +8E458 +CB514 +A685A +926F6 +22905 +896C3 +CBD40 +AF2AB +17032 +4020D +DF44A +D570A +141CB +41D10 +1BA82 +FAB15 +D4E43 +151BD +41555 +39E8A +08872 +F2A8F +81E02 +AC1CF +94861 +1C782 +A080C +F6E5C +AC46F +C62CA +56266 +D9C09 +45162 +245C5 +38AAD +DA080 +CF00A +A4082 +61BD3 +14B2A +972D6 +38C38 +43171 +5FE74 +AC366 +2C16E +2D72B +208D6 +D0E13 +0E130 +E130E +128AE +AE2ED +01E8E +0E2B0 +58186 +70048 +081A0 +9C809 +E9D01 +6225D +42A6B +90597 +F2CA2 +620E4 +D3101 +6DC2C +D661A +6A548 +D5533 +982EA +25112 +73CEE +DC700 +4A6DA +15ED3 +330C2 +783A6 +E7C81 +AAF29 +661C3 +B4095 +DA009 +7F195 +01575 +EA880 +62CB8 +E2587 +EA6D6 +A87A1 +1CDB6 +09340 +75D08 +3C44B +25F36 +D4682 +5D1ED +B9425 +198D4 +5A287 +684B6 +BB1A8 +157DD +7C716 +2A11A +4DD21 +069FA +46D97 +86F04 +29E04 +FA957 +24A9A +71DA3 +01577 +D0739 +2DE96 +9E630 +D4072 +0F70A +A6B4C +9C192 +40D8A +92E05 +C04D4 +9753F +16C0B +3338E +A1094 +CBA7A +1B218 +10E48 +2D665 +DE082 +25862 +94AAC +0BB0E +8A5A2 +2A574 +81170 +5CCA9 +9AEA8 +81F92 +96B88 +51050 +58E0C +E6EBF +10106 +D44AF +A12D5 +7930C +92045 +E1FAD +0214A +F3BB6 +43A46 +5A481 +8E9CC +6F146 +AED6B +9F9CF +A6810 +2A092 +C249F +12826 +55571 +09FD4 +A52C5 +15C00 +5A1A1 +75FD4 +28ED1 +34882 +5D9B0 +FAAE4 +E1928 +1A9B2 +7139D +11AD1 +6A815 +4A025 +31F82 +038F4 +AB68E +9A012 +D00D1 +93624 +50058 +AF556 +1EEC8 +6661C +57585 +EBB16 +9C953 +6DB9D +94455 +19311 +E071C +0CDDC +04046 +2F5D4 +6A310 +8716C +CAC1A +75417 +83CE5 +A71D0 +AA207 +535AE +1B963 +4A3D4 +A1995 +E27CA +14128 +0CC85 +05CCE +6F8C4 +018A7 +F8C89 +83B2D +A2608 +7D48E +E1B5A +93BA0 +BAB81 +B570E +3646B +CA9E6 +19834 +33AD4 +DA529 +FB4C3 +64A4A +994EF +03C2E +964A8 +FC0AC +F4607 +E0082 +85B21 +53026 +1961F +938D8 +F88A1 +D5DCA +E045D +968D2 +DE237 +19CF0 +21920 +A103C +B2599 +91C6F +366A0 +CE28C +A8B5A +1C58E +42CE0 +04F06 +1BC82 +9D251 +68AC7 +7CC09 +4EA18 +571CF +83389 +B5137 +8AB54 +7416A +08D6C +8D0BA +6444B +88387 +8F999 +7AA13 +714DA +0DC53 +E41AE +200C6 +9D083 +84801 +82A7B +5C3F9 +AF480 +EB424 +739A4 +382A5 +A009A +603A9 +377D6 +42CF1 +C0CC0 +3CB21 +55067 +C1E11 +A681C +12540 +921F3 +4C473 +516D5 +11EE3 +81439 +0D1A4 +22529 +8C50C +FB4EF +26E00 +42618 +6AB28 +EB6F6 +C48DD +20138 +2D6CB +66698 +D9C9A +0D91D +2DE9C +B54C8 +550E8 +56603 +6C402 +E1DED +55B52 +5342C +01B48 +08302 +C1BEC +99648 +5B530 +16406 +803B9 +42CEC +B4C14 +3D084 +14415 +0A8FE +F4966 +6A193 +70326 +0206B +3E594 +2826D +DE66A +084CA +69391 +25128 +CAEF0 +454A1 +8D804 +4A54A +71E81 +F834E +A1670 +0D2A1 +E4EC2 +CE1AC +34580 +4A2DB +77722 +9C820 +14C49 +A383A +4795B +1CA6A +99377 +98867 +67036 +49D84 +4A5DA +5742F +91B22 +C4520 +14EC6 +26C10 +5EAAB +B72D0 +20795 +50894 +C8CBD +B5D18 +1CDAE +50A49 +57645 +544F1 +D0E13 +0E130 +E130E +13B51 +3041F +6AAC8 +D04B0 +68D00 +BA594 +98D4D +DCBD6 +5734B +401BC +8C265 +24485 +A94BC +D4E57 +B242C +A1B82 +68B93 +CB4AC +93C83 +2122D +B75E2 +072C3 +29F3A +AD442 +AA9C8 +EA174 +B421C +B74EF +0E105 +14364 +232B8 +C6635 +AABE4 +B803D +CCDD3 +22E90 +89A3D +4A82C +8B782 +37446 +D427C +E012B +2817B +69498 +A1E74 +49388 +D1313 +4156B +E6CC2 +34BD3 +81220 +43B90 +8B2F1 +B6245 +59421 +8102B +44DBC +4C92C +A4E3C +0B029 +C8750 +B3667 +00BD8 +6069C +B637D +5E145 +24396 +C1490 +EE137 +E043F +8A36A +99533 +18134 +6B18E +195B6 +2327E +B16E2 +132BF +C4BD2 +130C0 +990D5 +65B81 +34E63 +EC154 +8C220 +56EF9 +7EB2D +50118 +C4A6C +20F0C +50303 +D5BB8 +2A813 +78899 +359AF +EDE18 +803F3 +10496 +14EBD +22174 +17785 +76265 +84105 +16A0F +CA05E +9EA9E +498B8 +6C768 +EC17A +6E690 +8EB12 +E133F +8C1E1 +281DD +990D9 +075FC +5B148 +114A3 +D6558 +E4DB5 +02189 +61C8C +00A90 +C581C +8ED86 +91B10 +61F78 +DA39A +E70F0 +13CB0 +CBE69 +3B31D +2271B +7242A +DC7B5 +33348 +01699 +BEAAC +A3BAA +5C0E4 +7806C +9C9FD +47122 +41949 +8A361 +5614E +5A017 +54004 +04BD4 +E41C6 +DDA36 +A8455 +0867E +1DEF7 +50DAC +4F12B +13E4C +6A843 +38BDA +C2F42 +03B4F +26A76 +494D3 +4B1B4 +D12A6 +F169A +A1253 +920D5 +C0AC0 +B549A +E40AC +B2E9B +4DF93 +A2F04 +0A242 +DE6DA +1A230 +D96AA +8BF45 +0F4FC +21A9C +0D4D9 +6B818 +404D9 +6FEA2 +81F88 +CB42A +8E246 +5FD41 +1AE0A +3BA98 +9E994 +29825 +F7AF1 +83A18 +A4658 +A7E20 +9D9A7 +BB10A +C895A +4D037 +E66EF +28558 +E42A3 +6EC8E +FA79B +19468 +27D02 +D9701 +952A0 +C8C45 +FEAB2 +2A0EC +53150 +3EA14 +29A68 +737E2 +664A5 +6E083 +BE943 +D63C5 +2E501 +57996 +92191 +FB232 +D40A8 +8883F +47EE4 +05EDC +D8161 +0A2C0 +AD48B +B1315 +0104F +799B1 +28A42 +954E5 +96E2D +4B268 +36339 +43D8D +90179 +2A2C1 +86DA6 +1BB5A +C6043 +39924 +2378F +191DD +6AB18 +A1D35 +7A2B9 +52178 +3A7AC +634E8 +75B69 +15481 +159AB +B69CD +6971A +E1240 +83E96 +E398A +67D94 +10465 +B90A1 +BEF09 +C8465 +1C629 +37856 +98D0D +8868D +C169C +74CF0 +01136 +9240F +DC26C +33E31 +C13D4 +83B2C +0460E +208E6 +B78CF +71C3C +849C6 +298FA +DB6A0 +9CA22 +17977 +28B90 +00CCB +ABFDA +1E6EE +E1815 +90D07 +F6853 +C720A +ADE54 +8AAA1 +E51E4 +330CC +AA365 +B7585 +E3328 +076CF +02111 +39F97 +208D1 +FD74D +CC389 +8710A +7B6E8 +992B3 +C078E +46D68 +FD41B +50180 +6ED2F +1D5B4 +1E629 +4910C +71E82 +445E0 +7F428 +B755E +2EC82 +90F8B +DA060 +AA765 +B88BC +3E489 +37170 +D0E13 +0E130 +E130E +128A9 +F5AB7 +6427D +81894 +0A254 +BD1CE +3034B +9778C +1A4CC +44F8E +F03D2 +40993 +0808A +42434 +095CE +35348 +B94F9 +8A278 +EC143 +C278C +2294E +384A8 +83EEB +87E8B +604ED +2114F +768EE +06B7F +0386F +F0452 +512A8 +5C47B +22717 +27411 +1246A +D2F70 +A97D6 +6B857 +5A21D +F26C1 +4C241 +6B312 +57BED +2CA00 +CF183 +2F8B7 +542E1 +59E0F +6BA49 +686E1 +33933 +01751 +55847 +358BA +5F144 +E0AAE +06DAF +C6CB9 +72092 +C3919 +77259 +18C50 +FE042 +BA8B0 +BA523 +14324 +AB4E1 +E740A +B3C8D +7EA3B +A906C +4C309 +74B2F +C0C6F +D405C +CAA1A +0EA03 +E0359 +02093 +F2A2B +60056 +40391 +72B0F +C29AE +E8C49 +19F40 +E486F +11907 +C45D9 +80635 +DC692 +80A70 +47AEC +86FFD +140C9 +CF5D0 +80A85 +6D2C7 +0F002 +5D5EB +64259 +FAA1C +0411A +933D3 +42AE5 +7A10F +C11C7 +C2360 +15546 +4D2FD +2180A +BF600 +7E381 +DD40E +510EA +0B46F +C82D9 +3C8A0 +F7ED8 +83145 +4642D +46C21 +820FF +D4353 +44DA2 +271B9 +7D0A4 +4B2D9 +909F5 +0C172 +C764A +3EFB0 +C6B3E +12807 +0E504 +4D69A +97259 +CB468 +A9351 +1FC2E +F1D03 +557E4 +02275 +CAEC5 +31203 +88907 +E5B61 +BECC6 +0DD22 +31811 +49748 +686F0 +43912 +500D9 +D75FA +78A52 +4810F +84E8B +AF600 +84F03 +108C9 +464E4 +BDB0A +648CC +768E5 +0E801 +447D1 +59EF1 +0FCCE +104E0 +011A9 +CB0F0 +50F33 +7C6D8 +1484E +E9441 +7DFC1 +8C44C +F9CC5 +2B1A0 +66622 +85F18 +68944 +9BE02 +3E22B +241F0 +B7036 +E4469 +6CC94 +EE3C3 +24868 +28B05 +19F6F +C8236 +D4551 +0E2CA +992C7 +78B08 +28CB9 +476C6 +7E08C +345CE +474CA +49DD0 +7698B +8DA08 +721DB +8DCA4 +91045 +AF9A9 +8E454 +6E29C +E222D +E4E0A +E2DF5 +8F710 +55908 +1CD3A +CB3BD +5B2CB +58306 +41D59 +3617A +10F80 +A6462 +47E32 +4601E +48CA3 +841B3 +1D2D1 +36B9C +64EA1 +BAB13 +842C2 +8EAC9 +66AB6 +46322 +1ACCE +4AE62 +49030 +00514 +21257 +F8CB0 +77CC0 +43192 +A090C +58EEA +D2540 +D1A04 +8C6AA +D9BCD +C273F +6200F +8A12B +FB4A0 +6FD0C +80018 +6FE88 +24A3F +08491 +A30F3 +5E85C +B4CE5 +1F632 +082E3 +B6F74 +CD623 +00A90 +EE5C8 +6D6AA +C2131 +375EC +D04B4 +CB774 +A042A +94C08 +B0786 +58ABA +4D204 +DE676 +D0418 +B1335 +811AA +231AD +6877F +6FC08 +A910C +697CF +0CD41 +1CA3D +83635 +D9363 +9680E +E37E0 +D04C5 +36B5E +17E90 +16BC4 +8A2E8 +BEF80 +A4420 +FF2C1 +29DAF +B42C3 +B621D +8AF49 +4FDD4 +21B30 +3634A +78B0E +5534B +B6C22 +B8F63 +AC5C5 +04C6B +4D700 +9EAAA +5E908 +F95A4 +211C9 +31BFE +3C20E +480B5 +5C0C7 +AD479 +64B0D +89CAA +AF982 +32F25 +F52F1 +8C946 +23CA9 +D0E13 +0E130 +E130E +128AC +C529F +EE040 +6DE56 +92640 +F80D0 +C6029 +51770 +48AE0 +BF947 +49B94 +24BCD +04702 +6F386 +A5D2E +B6419 +1958B +88486 +75AD1 +73850 +37104 +D782A +27A9D +46E03 +C74CE +B5F64 +C1901 +C7613 +97A16 +B48D8 +7AAA4 +25752 +C2ACF +87CA9 +845D1 +EE0A6 +0BC15 +EB4AF +91D15 +D0AA5 +86675 +8D21B +4396C +83E02 +93D00 +C563A +0D054 +51C58 +43437 +7334D +9913A +26A9B +00D86 +F2471 +4F120 +78B48 +E8790 +C2A0B +AC286 +B5195 +487D3 +866B2 +8C524 +7C4BC +2E325 +345B2 +AA29E +8C513 +AC945 +E9FB2 +6120B +3EE32 +15898 +CE2F1 +5EB20 +B62A4 +B1744 +5524C +21AAC +26311 +44A94 +4B511 +61AC8 +B9610 +8CDD3 +5E2A4 +06D45 +D5182 +1232E +3A88F +50363 +C459E +C4971 +0EC7C +956C9 +24981 +A1572 +49AB2 +C0D88 +CA07E +CCF5D +89B7F +80384 +625F9 +63841 +0ED44 +92141 +E086E +0EA30 +A1ED7 +C1D66 +FF24E +20924 +AB554 +4DF7E +CDE00 +62C02 +22BB7 +4C91C +02F54 +E6176 +54361 +70148 +7371A +40805 +69BA7 +15E02 +8FBD9 +6D9A8 +3C452 +8B99E +B0955 +B656C +6D463 +006FD +22000 +C5103 +5A7EA +C5464 +75267 +349C2 +91ADD +7B89D +4DD01 +12A6D +30097 +A0197 +2C6D4 +648DF +A84C0 +1A5FB +2CA51 +D2FC4 +54157 +D45C5 +8BB41 +2E171 +6D4CB +83076 +41F99 +95B2A +26006 +73F48 +F824B +23694 +96CDD +62EB9 +009ED +8E961 +89243 +D3279 +3BA60 +7B205 +249D7 +43C5D +0D3CC +04656 +B9A26 +E5011 +DE0E9 +0B8D6 +45984 +79259 +57DD3 +4C12F +C4B37 +911AC +C4AAD +418B2 +E5901 +2CB6C +B0D78 +0B800 +CF7E0 +4B55F +E128F +544AD +7901C +AD6AA +84868 +0B7F1 +E87AC +92485 +A2CC5 +75D0B +4A3C6 +998DF +20846 +1BF0A +5ACE0 +FF894 +06312 +0EFC8 +A3D2E +65494 +23AE2 +9BD87 +01226 +08750 +9B911 +D67FC +8C104 +48CDC +A338B +6B202 +505E0 +6AC9D +EB412 +64D0B +D90A9 +A5E22 +9AAC9 +A0F20 +0F7D9 +54975 +90E33 +1A735 +2B985 +12021 +A861D +313BA +4C66B +108AE +0EA28 +E6254 +D18C8 +DA4EB +B59F6 +0559B +60AA7 +CA8A4 +B0B32 +4E255 +1D846 +DC810 +6A975 +1017C +82C0E +38B9C +8D960 +80DA1 +42611 +DC726 +A2094 +C7B4F +3594B +0A88D +0EE68 +A54C4 +468C0 +AE9F1 +70688 +05164 +4F491 +9633B +90028 +2B59C +0D3E9 +1A21E +80FE6 +07437 +33B46 +315C0 +A42E2 +19A02 +6A948 +35829 +3CD99 +E51BA +E0425 +4C4AD +7F16B +0D4A1 +15C81 +3984A +E616C +1368B +D161A +37CEA +0FD77 +04A2A +5D869 +A5AE8 +1F03B +88788 +820DD +B618D +8105A +F8657 +A335F +830A9 +46250 +ADA99 +D1888 +8F968 +6DA36 +A1E4A +307B7 +A1936 +83011 +437FC +E1211 +423DB +10024 +BA313 +30A1F +B3222 +ADE94 +8D1EB +60ED3 +40296 +D3783 +D0E13 +0E130 +E130E +128A0 +32AE4 +4F1D7 +23150 +E9A7D +2881B +617AB +130F1 +92CBE +C4934 +8FBE7 +E4728 +6443A +488D6 +DC3D9 +B3902 +C7288 +EA961 +DEA43 +94A12 +5391C +D714E +21E36 +508F2 +1E31F +6BCC3 +0A4BC +5597B +81183 +B5A13 +E44ED +CCA21 +65342 +8068D +C25B6 +21072 +F6142 +12470 +B3903 +92903 +4CE52 +1E314 +B2DEA +4266A +9A149 +C0F84 +E2DB3 +09A88 +A0292 +5D2ED +392A0 +7F3E0 +0A478 +214D2 +51744 +0DC12 +137E3 +D4909 +C1BBC +A7C61 +84D5F +9C342 +AA241 +3DF32 +A89E8 +0124C +EE747 +3F624 +0A270 +5B27E +AEFAA +01C05 +2C6AF +71FC8 +9D6DE +E137A +AAC20 +54A85 +C1930 +CF98A +B6715 +8A035 +9A8B2 +E3ED8 +A8AB0 +5AB9A +2C288 +43989 +16306 +785DF +8D79A +18A79 +51C0D +2A3EA +576FB +D4B95 +16419 +810C2 +1218B +1EF09 +25B2D +34D6F +D2B80 +5F442 +43A3A +15717 +A0E10 +99063 +32EF4 +34140 +1F88E +B8878 +115C4 +AFFA6 +19986 +4FE05 +4308F +98536 +90B58 +B4C9B +8250A +4E8ED +C200E +669CE +FA832 +22F51 +CF134 +65892 +A4326 +C674B +09B8A +A775E +B814E +05840 +D7594 +D5055 +6B801 +A45CA +1B79C +14862 +B44C3 +16B5B +9D8C2 +04647 +8FB24 +60E33 +61FA2 +5D925 +58E89 +C595E +2359D +69919 +A94AA +EE186 +9D9D4 +95DC2 +1DF06 +34CDA +5B091 +E784E +A6020 +25804 +82EF8 +FE1C0 +0FA9E +1E59C +6E3CD +64049 +B2046 +707DA +F8428 +12F33 +C1700 +1AADE +AE676 +DA8A5 +EC07B +0D1C2 +92A55 +21CE9 +59B82 +B180F +2893B +78D00 +045AC +499FA +0E18E +4C4EC +68919 +9E2DE +3F4C2 +38B47 +55011 +F209E +22652 +80A68 +7AD79 +67203 +BBCC0 +81B6E +FE901 +D144E +32A3F +43344 +CCA2A +2A85A +AA53E +BBC92 +1C098 +C72D7 +712F5 +63B6B +23F79 +1A37A +00346 +5DA18 +11304 +9E676 +2886F +87C3B +06592 +2DDF0 +621B1 +D202E +DF4D3 +A5535 +286E3 +3BCAA +158C2 +CA14E +4B38E +4A2B8 +3C806 +130B1 +1B321 +B9E70 +6D743 +80E06 +C2A6D +E01A5 +08182 +3BD6A +2A483 +72C41 +52C88 +820EC +6EE49 +A7BD1 +29853 +CC243 +12A99 +E032E +47259 +B8127 +2DA2D +4844F +063D8 +AAE79 +24DE1 +856CD +46A85 +46219 +582A8 +AD3E8 +EC9A7 +2A270 +EEC43 +B3043 +C343D +FC0A1 +4CD9C +906E8 +76A93 +180F5 +CB4C7 +98AD3 +C2E84 +91DB0 +DF3A9 +9A315 +B8982 +8EC16 +191D0 +AD6E8 +9F81C +0A42C +4536A +804F5 +04181 +5AF8F +34CCE +4A4D3 +A484B +B47BD +74545 +366DC +200C6 +4C7D7 +AD2D3 +0DA00 +E46AE +AB63D +6E962 +A10C5 +8806D +DB142 +4A441 +5DB5F +9AC5A +E9B5E +AD20F +0540C +A92BB +403B2 +BC3ED +51DBA +44356 +D62AA +70BBA +07400 +CDADC +39CA0 +5CA5B +92139 +33592 +ACB96 +B0E20 +C1C92 +BA9E2 +0ECD9 +68364 +20495 +D0E13 +0E130 +E130E +128AE +B7872 +23FD2 +C4817 +AB336 +1EF19 +92B00 +1F782 +F00C1 +E44AF +74384 +78F07 +BD438 +84F84 +80F02 +C8C47 +9C4F9 +CB0C0 +7C010 +C3F85 +13DAC +26E18 +188D6 +72FD3 +C32D5 +A8A86 +51667 +871B0 +A0A38 +BD9B1 +01AE2 +D5822 +26F47 +B0799 +05AAA +57B31 +46BD7 +4A05E +D4183 +32E93 +3226F +0E516 +0BC67 +41F26 +AA382 +FB87E +91D05 +31E0D +B2A94 +84FA0 +B5624 +143C9 +BFC4B +241E3 +457FB +03A3C +41334 +5CE8B +2561A +8E9A4 +5CF14 +BE10B +63C45 +5551E +AED0B +E030D +A267A +72739 +31245 +A7747 +69409 +C0541 +F0FBE +A8020 +94ECB +7BC9B +56114 +70A2A +C4A29 +3CF3D +11EC4 +F7316 +361B2 +C6C22 +2A185 +E9D72 +8B024 +73587 +12F81 +54E46 +5931B +046B8 +6CB4B +26D5C +B7108 +81768 +B860C +884C6 +38FAC +04D84 +0DDA9 +CE209 +F5017 +6A247 +53E6E +74CB1 +1E0D1 +72443 +F4C5E +08BAC +F4258 +87E39 +00B5E +09032 +455BF +F71C5 +B40A6 +820A5 +EB5DA +AC111 +C7131 +5BB9D +2990B +E5227 +20DFE +03434 +037ED +DA154 +38A7B +75219 +F4864 +A2323 +72887 +B3CCD +797F8 +31C2C +36D19 +C44EC +63DFE +21B44 +C59C0 +135DF +CD84C +43090 +C6ADC +55200 +A0F57 +9E2C5 +D9189 +094E2 +31BE1 +8BADC +5291A +D9689 +29113 +F46B8 +D0DD6 +942BB +069E1 +554E2 +BC61D +17180 +53E97 +2067A +600BE +1016C +99EC7 +37189 +474AC +4E165 +87AA3 +FAA6A +27D9C +78453 +4C46C +47D5D +14B65 +084C2 +9EA36 +88B0B +AA469 +25688 +E2C01 +A0812 +263A0 +23824 +A3425 +3312B +4A4BE +48CC9 +CFCC2 +98AC2 +61201 +07FB1 +21ADD +788D1 +B8E0F +8C2C0 +B0711 +87BD2 +E8CC5 +6C6CA +F91A6 +A07D3 +914CF +447BC +EC983 +41331 +1EA81 +C4B53 +7AC01 +85B0B +386C1 +760E0 +7C7C6 +70495 +03FCE +E838D +CF485 +43C7D +9723C +429C7 +729B6 +00E2A +6F36B +30353 +296DC +010AB +0787F +1C2D3 +08FA0 +A62D0 +F415F +1FA10 +E5695 +96D66 +D09A1 +0116D +03905 +FAEE4 +A0990 +76C66 +4A9FB +A9560 +4CC98 +F8690 +1EFE1 +44E40 +0DEB6 +9F32A +240C2 +D11B1 +B6D96 +528D2 +2C674 +66C3D +1092F +F3B31 +86C44 +10053 +C78E7 +66A5A +8BA95 +63842 +BD68E +2979E +57814 +2AD99 +2E521 +DC085 +91C7C +C9EEA +2E4FA +52AB4 +C9881 +9D274 +0A40B +4A2E2 +5AAAA +FF31D +415A2 +A20B7 +3308A +81CC1 +0595B +8A1BB +30B19 +8621F +0F126 +1F631 +56E2E +29ECA +CE2D0 +02A40 +FD143 +F48A3 +8A402 +D9E61 +E68E3 +25A16 +749F8 +83E25 +60E70 +03B60 +F96C8 +CA441 +1DD18 +463F4 +3E77D +8B08C +01B63 +C1B18 +87745 +AB7C8 +A0956 +091D9 +4680A +ECDC6 +5332A +4FAC9 +AFEC1 +8A067 +47BF4 +C554A +44C14 +ACD03 +BA4FE +700D6 +4846E +B3404 +8325C +836BA +D0E13 +0E130 +E130E +128AC +A684F +29079 +3AA16 +A3B28 +9B8CC +6DA19 +54486 +77CA2 +3A521 +76282 +4720D +7F5A8 +94105 +B47A1 +6F514 +92657 +0C5E0 +E6AE4 +8F9AC +18568 +71678 +460BE +722D3 +35541 +6ADC1 +DDA80 +B73F2 +444E5 +929F9 +812AA +26CFD +42913 +1D859 +6A2C2 +4C102 +72EB6 +FA303 +F14A8 +8D6C2 +44F78 +B886F +F2B15 +0C870 +9552B +416CC +A7214 +05BB2 +715A0 +16489 +55D30 +A0B0C +336E8 +7A3EF +5D40A +1B06B +CB734 +3CD9B +2AEA0 +D42B6 +61E92 +B7CA2 +18069 +25D73 +238A8 +49304 +EBBAE +BA690 +3C488 +D1624 +2FC69 +43257 +08707 +0CA6C +5977B +4E794 +10459 +D1A73 +B02C4 +ECB63 +502E5 +16803 +0C9BF +C67AB +0DB21 +BE270 +83842 +959BE +038F6 +84EC4 +33442 +C1B4C +5B315 +44747 +C429C +085F4 +EF6F4 +4C288 +DC663 +4E761 +41E55 +33F30 +2AF57 +8E207 +8260A +A9195 +6A56E +F4974 +9338C +354B8 +2BBC4 +C6BBD +30C16 +ED0CA +D1170 +4D98B +3EC09 +C0EB6 +C19A4 +8B167 +6D2D3 +50682 +10B61 +96C03 +44DF8 +82DE9 +C8914 +3441C +0015C +0FBBA +C9A54 +24C25 +97E9C +005A2 +FA648 +5955A +C5EA6 +4118B +19573 +2584A +41E83 +D08C6 +0BAAD +0E432 +8637B +61192 +30274 +97567 +3691A +E7181 +DB024 +4DCD1 +48944 +2FEE6 +58D62 +72F09 +94510 +78603 +01CF6 +284E7 +A424E +37CD0 +589F5 +5641E +856B3 +75FE4 +52388 +3818D +E67A0 +4A6F9 +D1E62 +03570 +10A8B +C6633 +58C49 +D4762 +99FD2 +742D0 +882B3 +20BAA +EB1CA +85034 +364CE +811D6 +A02A0 +E2706 +DAD40 +3AA1B +62F80 +61BA9 +847D1 +CE824 +E141E +1F0B8 +67016 +398CC +B6790 +1CAB7 +280E6 +B82C3 +08743 +D0472 +33E7E +A8992 +2E10A +66603 +E1168 +0EBB3 +D2FA8 +E0A54 +6B51B +47A0B +649DC +059BA +F22D6 +CD159 +EC156 +EA309 +88D41 +F1296 +48043 +14978 +99E43 +831B5 +4BB53 +0B365 +82904 +E0DFF +74328 +B3B2E +F6712 +300A7 +4C724 +438A3 +A73E5 +DECE2 +5006D +1728D +12725 +C0158 +BAD6F +1566D +A0189 +D7941 +94606 +A54FC +92C2D +82631 +B9A26 +23BAA +0339D +900BA +1F136 +3CB47 +1E107 +43795 +C54C3 +5F801 +82A4E +47AAF +22306 +06653 +FD853 +10201 +7406A +BA00D +3C1F3 +E40E3 +5248A +74A68 +22B46 +EDBAD +66D41 +2012E +58329 +D718A +41346 +6050F +D22AB +D4753 +48CE4 +45897 +1DC60 +33562 +8CC58 +A94C6 +DF937 +AC118 +98D0D +4200B +1D3CA +5FDC3 +A1B1B +8CD76 +4B015 +E5CDC +70900 +E7A48 +88487 +D4685 +E6A92 +918ED +00B80 +922CD +FD051 +936C3 +66F04 +4D57E +14172 +25CC0 +32935 +8E300 +E090C +6F335 +AA95A +D1629 +B027B +8278D +2A634 +4EBB4 +59D39 +960F1 +6A7A4 +2866A +227C3 +72EC2 +DCF46 +A6494 +08AE4 +B9AE3 +CE798 +9025A +D0E13 +0E130 +E130E +13B58 +415B7 +5B4F9 +60874 +D05D4 +A2A8C +40B37 +1C091 +92AC6 +A7628 +392B5 +F9E34 +00801 +2CEF6 +310D2 +030E3 +4A67D +0CA32 +1407A +56DEF +86D0C +D57CE +6B438 +C308A +E5453 +9D701 +D1E8A +4685E +30B9C +708E1 +8E88F +1A081 +36E70 +0E4DD +C9888 +403EC +A2862 +FA345 +7A2E7 +6C112 +CE778 +BF412 +3B9E8 +C8E82 +930B4 +B7694 +26D2A +45D25 +0270D +05C26 +2B6B8 +83B91 +C2A8B +E28C7 +60238 +23E5C +34649 +E4BD0 +F3704 +A0A61 +C5854 +93564 +96421 +86F50 +346C3 +0F35D +B16EC +28531 +2E80E +6F348 +978C8 +38320 +2946D +E06B5 +1F701 +11D75 +C5674 +71294 +B2C74 +0C9C2 +37DD6 +06419 +CB59A +8F7B2 +0A030 +DE200 +4921E +E3D38 +61287 +BEA14 +5CE0B +5D228 +308C1 +9E868 +444BE +238F3 +50B94 +BB886 +42A0D +51469 +0B1E1 +49F86 +32D34 +A6D04 +DA0B6 +4FBF1 +D35C0 +1224A +3E562 +368E7 +3554C +F314C +23D47 +5A6FC +090C2 +3C161 +334DC +23CBA +23F60 +1564C +22A10 +4FC61 +8ECD9 +089BE +14CD0 +6489A +4940A +D9618 +BE2B0 +F654B +0E27A +A10E5 +267D9 +30C28 +E2461 +91A02 +1D29D +66B28 +4B035 +6110C +20FAD +1A884 +3A33E +EC258 +61BB7 +323F9 +82D21 +22914 +B1A22 +7E9D5 +77690 +2D068 +8A03C +F159D +66901 +8AE62 +77808 +E5BEB +6E49A +81A7B +07A00 +C4388 +2CC6E +50FC7 +B6ED0 +AA1FE +AA4D9 +64A45 +EC452 +389E8 +7E18E +23802 +92D2A +0BA00 +3BF5A +134F4 +CC191 +91C2A +99244 +54387 +F63D6 +61114 +8131D +5DA21 +E6E3C +0C2BA +84B58 +BF53C +52DB3 +9E358 +1210E +9E817 +82349 +68041 +FE197 +33198 +A0FE2 +90E98 +2CBD5 +C1281 +5EB9E +C540C +A559B +DAC2A +F232B +C0715 +D26BE +C186C +97990 +B924D +FB658 +91ADD +A8E21 +09428 +CDDE7 +488C5 +CB0AB +1085F +C9159 +C8648 +3E15E +6018A +290BA +AA570 +4BABB +A6AC0 +92336 +85A3E +A51B4 +4D8BD +36E46 +E10E0 +A2B9C +C8491 +687D9 +8C6A0 +65920 +3F025 +AA592 +DD203 +73C8B +AC750 +12308 +6F916 +26862 +DAAC1 +5DE92 +B0513 +55920 +1217A +4A281 +DBF2C +2C4CF +B0AEB +0E0B7 +6840E +DE629 +68538 +7ED93 +2D173 +91D80 +1FCD3 +10E1A +340B3 +90CF0 +27E4A +94C33 +25277 +4F675 +7035C +10EE8 +706A9 +C0444 +30AC4 +A4502 +13D77 +47CE1 +14123 +E5345 +3DC2C +15DC0 +44907 +AF7D1 +1586D +38084 +6E63C +CAC13 +55AC3 +45923 +5383A +72A37 +5460B +1E645 +66C40 +7B227 +16972 +89630 +49F99 +4A4EC +6CA12 +62476 +3625D +2E5C0 +1DD2B +DC1B4 +C227F +465B0 +98C00 +C0E7A +69640 +C7199 +4A4B4 +9C691 +AC93B +296AC +C9E20 +55385 +40CDB +82936 +E1029 +2F29F +265CA +44F35 +E3E01 +99051 +8A8E2 +097CD +0AEBB +8EC25 +88280 +9BA72 +5416C +D0E13 +0E130 +E130E +13B5E +9B1D4 +01A27 +71010 +4281A +F021C +B78E6 +5E9EE +55C25 +46A1C +C12FB +0A70D +62F62 +A68A4 +8B663 +35945 +92121 +EA7D9 +BB044 +14093 +61171 +505EB +30CBB +6D423 +5DB2A +84A8A +D6847 +C41B8 +CA47E +52BD5 +3949B +04CCB +04561 +0A8ED +09645 +36DC2 +27F26 +7B8F8 +22019 +6AC13 +6305D +AF6C1 +06471 +8E936 +DE41E +B07B2 +36912 +61438 +1E336 +F34B3 +0FCA3 +62C1E +BE4F1 +72A08 +478FB +8CC1A +9B932 +169C9 +396E0 +7E9AD +20195 +6C2BA +861A5 +58CF7 +31654 +D8112 +0062C +03575 +BDA1F +60446 +E00CC +61B8C +5AFC0 +B017D +1D34D +A9854 +2DA58 +01A47 +12A95 +4F4EA +44E44 +173A7 +CE7DD +04B45 +2887B +86944 +72938 +2D9CA +CA50A +A5354 +1FB72 +D5089 +34A53 +D0342 +C531F +6161F +EC541 +8A2A0 +78B51 +8B795 +66751 +29906 +C7765 +A90E2 +0B128 +EE604 +97D39 +16C63 +4ED81 +7083A +8E304 +C9B21 +3879A +CA8F4 +C9620 +18460 +F4B64 +8B634 +60A9C +E0F3C +D6C34 +60B20 +C246C +F32EC +C0BEA +92F49 +51A24 +38611 +E3B54 +F5628 +F4343 +BD22A +469A0 +722A9 +87650 +09EB2 +C781F +C2128 +81110 +364F2 +D8085 +16A8A +00D82 +7C817 +85368 +D02F8 +1AF79 +0D425 +B262C +F2C71 +37C01 +88673 +06DAD +64138 +A61A4 +E6B4E +70E21 +67682 +AC9F3 +54440 +0E942 +E4011 +928E9 +8D938 +EFED2 +E53D1 +66856 +D285D +3B429 +71D05 +6E0A8 +92807 +54741 +FB94B +A6359 +81838 +3E11C +1FC6E +D1FC0 +A1F05 +47135 +E9218 +CC441 +E4DBA +4A0A4 +5FAC8 +72843 +D41C5 +4124C +99BA4 +0D668 +34CDA +E0C70 +468CB +A751A +AA6A4 +B1F40 +49B9C +A0642 +938F3 +BB0AF +A9523 +E4340 +B042D +8906B +A218A +98CA5 +F496B +D667A +B9140 +364CE +D2AD4 +65028 +2A332 +CDD06 +28AB5 +96C28 +83121 +6F560 +6D8AC +65A67 +0290C +181C9 +9B13E +38C5B +E3C71 +CE360 +21317 +2482B +D49E7 +48884 +2FC98 +62D0F +E842B +AC791 +2D7D4 +88D44 +BC622 +A3D2D +06995 +328B4 +E0069 +343E1 +5A82A +16408 +F1ECA +85223 +50283 +DD65A +A2085 +AE4D7 +058B8 +318F0 +90491 +AF48E +50FE2 +448E4 +089D6 +58D43 +98C5D +485B9 +AB81D +B1B28 +0DF4E +5D2A8 +ADE08 +8EA99 +039BE +6C42B +9045A +07147 +1E1A9 +2B332 +8D3B4 +C0C48 +D7469 +0469A +7283B +3BA30 +A7BB0 +3407D +E45F4 +66936 +E4099 +02B58 +4F38A +C7950 +0D88F +2897C +12524 +3A266 +2355A +79362 +24A20 +E6CCD +37E18 +8BC56 +14FB6 +512BE +E2BCC +0E28B +0356D +538D1 +43206 +F79F3 +42C97 +FA30A +4EC1F +02778 +3E6B4 +8A4D3 +04086 +A8220 +9D300 +7645B +AD8A5 +B3188 +80B16 +6F136 +035C0 +03D11 +5810D +446A6 +2F197 +06EB1 +CA986 +55DC4 +40D97 +C1B64 +0D88C +B7DA0 +53C84 +D0E13 +0E130 +E130E +13B5A +724D2 +91A0A +79D92 +36299 +B820A +33E29 +18455 +8A733 +8AD14 +8022B +8AD8D +4BC09 +03C47 +10967 +C7269 +D8698 +2A687 +A41D1 +69034 +28997 +B5B46 +71C00 +32BF2 +7CC75 +091FC +B807C +97262 +F80BF +40256 +1F4A0 +7251F +54127 +929DD +6CE50 +48669 +4A7C1 +FB653 +84B5C +4FCB6 +1856C +8248A +ACE57 +2B1B6 +BA17C +28F6C +A0A46 +C9B07 +0A0D5 +C11EA +9A5B8 +FA3BC +D0613 +2B04D +44303 +26C0D +1E49E +1AAC9 +92B06 +C5C9C +85992 +8B9DD +6810C +0DDDE +BCE24 +115EA +EB9D2 +10427 +109DF +1B8A2 +1DC0F +A19C5 +C60AB +E45C7 +12464 +A97D1 +C89A5 +26260 +F1469 +9A1F2 +61E45 +73CE1 +D0192 +72A8E +CEF06 +32FDC +DCC02 +03574 +8E162 +D2811 +B0052 +02CA0 +F67A3 +5A60C +A66BC +F0600 +57321 +14CAB +B482B +28B5B +AC33D +21DE1 +07AEE +CA13A +ABC38 +8213D +F99C2 +2B755 +6C784 +045D0 +1A774 +C1D81 +10DA8 +1F68E +B14B8 +6581F +C2C08 +646B1 +83B2A +74416 +3344C +67229 +09586 +7CF25 +C4BC2 +70077 +30B70 +CE616 +10253 +19B79 +9F53B +29121 +19F1E +4B30F +66539 +D8D83 +57402 +9276E +30B6D +A31A2 +19214 +D41C2 +5F088 +746C0 +A4211 +47E6A +5F998 +45864 +C746E +B19A0 +16913 +480CB +0D963 +D62A3 +C2132 +44967 +15513 +2496A +CD36F +504A9 +11371 +B60E8 +6877D +F90A6 +148B2 +012C3 +D46B2 +AD6F1 +806A0 +2BC69 +23098 +EB459 +9A8F4 +46B24 +82C6A +3C43D +70B5A +49268 +B4DC9 +C5C29 +364F2 +CD930 +83E66 +3C81A +A4CB1 +56C0F +235DE +134C9 +70579 +18689 +082F5 +3EB82 +C8749 +FD212 +2631C +3CFD0 +182F5 +CB1A0 +2B238 +1E2A5 +649F6 +F37A7 +D664A +ACD19 +2210A +CC372 +AC157 +E804E +99963 +28223 +0B05D +A4390 +C0835 +32C4C +B1C37 +68D53 +87011 +1314F +CE192 +1AF92 +26DB9 +13143 +62715 +9957E +E8C83 +E84A8 +6036B +F50C1 +3426E +4B26C +2F311 +450FE +36097 +DDA24 +C0AA9 +11BF4 +600F9 +FDDB4 +02ADF +2025D +D4CA9 +6CC50 +7DA96 +838C6 +8E924 +83480 +1F5B4 +5FA5F +5D065 +6CB1D +9E006 +B6C28 +855AD +8C9BB +1880C +4C316 +CB9C4 +A4EC9 +DCA3C +3E9BC +1D086 +6E0D9 +3E362 +0F04F +0C013 +3B66F +A3C8F +22808 +6D73C +51202 +D6E97 +E494F +09A33 +C3E59 +11EC4 +12622 +5465E +120AE +91A58 +8E136 +AFC14 +F3987 +A7225 +37B81 +35C42 +AD1DA +0908A +537FB +9894A +AB30C +587F5 +D01BB +4D4F2 +90A15 +2968B +66053 +B5A32 +D1864 +A8EB2 +4ABE0 +0494B +B8D79 +91131 +47B09 +6520B +F2AAD +600EA +367F2 +EC451 +03D2B +27D63 +1A5F0 +B11B4 +EBC3D +990A3 +71CBB +BD109 +70D0E +09824 +59794 +E50D8 +A5AB6 +76736 +01464 +D00E5 +0E8C6 +6469F +81595 +E44A7 +26D32 +5B510 +C6B60 +D0E13 +0E130 +E130E +128A2 +DA254 +649A0 +C6CD1 +9DE25 +3D420 +9397E +D2E2A +8720F +FD2E8 +643C5 +63DE9 +940AE +16354 +90A48 +BF096 +D988E +4A038 +E77DD +78689 +10980 +569BA +D35AC +55CDA +0D046 +34B6B +6C18F +479C2 +DE90C +18861 +75CDE +10559 +D2415 +40AC9 +0C202 +D20C5 +04ACB +2271F +43D04 +985AE +79D4D +A460E +A0330 +FCD98 +BA98F +130EA +2EC39 +291D0 +4E852 +C3A86 +16636 +9A765 +CA218 +330BF +7AD12 +EAC02 +26C34 +EA99D +741AE +40DA6 +46851 +7C3D2 +A6879 +9E4A1 +21E0F +CF1D4 +0034D +F27B0 +77412 +5037B +68E08 +D043E +47D46 +19007 +91576 +7ED08 +8F751 +5131A +58714 +824F1 +8E386 +44409 +F5400 +BF6E4 +8A1C1 +6923C +0741F +84594 +A01A7 +0A232 +379BA +9817D +81573 +059B9 +8624C +325E0 +80BA7 +8BC85 +07CFA +B1827 +38455 +5C455 +0215C +47C59 +83E1B +2D475 +86C42 +3E961 +44491 +09B39 +8FAD6 +E005C +77420 +E4D30 +D51D0 +F390D +D6461 +E59A1 +81F8C +B75D3 +512B9 +64B03 +C9195 +32977 +8AA0F +7E0DD +384A1 +D2CD1 +89622 +A6D3F +EE801 +3981B +D574B +6F4AB +0169B +88488 +75BAA +7B04A +54E1A +39429 +F89B4 +50E1F +21AC9 +7E439 +11B2D +D94B0 +10845 +06FC7 +0B4CA +8408C +E9A80 +8EC1D +0D1BF +A259B +071E9 +B0C5B +8E09C +5AADA +4B033 +7C853 +90216 +B78BF +78CC8 +C2529 +0D2A7 +6692A +D3863 +F03C1 +D9813 +6DE62 +28341 +53C0C +E14A1 +386FE +F345C +92227 +543EA +2743C +8A2B2 +FA34B +5D038 +59020 +C15D6 +043F7 +49029 +003CE +2E33A +5695D +62D35 +B4A09 +F520B +C8B1B +23CDD +50321 +B88DF +8D022 +662E9 +513AE +30B4D +8455B +5AB57 +8D441 +EE5A4 +50D8E +C952B +F8724 +00533 +9C2E8 +9338E +89D4A +84E26 +F9A45 +CEC1C +E496A +B64B4 +084F0 +9988E +07F87 +E8979 +7D0C6 +48873 +33D8C +61A47 +C92DB +3C2A2 +A8F81 +AD89E +56C42 +04904 +BC012 +05FF8 +15E81 +649CE +23AAD +C9195 +AC2A9 +BA4E8 +CF90A +8B643 +56637 +85B1B +91252 +213F0 +C457D +936D0 +BF880 +53D02 +0D2CD +F1857 +01CD9 +C960E +75B3A +E169E +E5449 +F6030 +5E084 +F9530 +5E6E6 +18EBA +0ADD2 +F032C +03790 +B3517 +95219 +4FCD7 +B8980 +03BE3 +42BBB +59648 +D31CB +F4903 +12D8A +D52ED +49298 +A39CD +52184 +E17AE +22D15 +8AA0F +B4D18 +44003 +37B75 +429D2 +5EC89 +04E36 +ECAC0 +ADEEB +490FA +80127 +20960 +E976A +59542 +664B0 +D4122 +0E587 +65EFC +84C54 +36006 +270A2 +16116 +7463C +21C4D +07584 +822DA +BFAC0 +FB864 +0F43C +F08AB +82E74 +A8AEF +3350C +B7A04 +DEC72 +42B13 +119F2 +3DACE +62238 +6F3CC +828A8 +4387E +030D3 +ECE39 +43A5C +A9BC0 +7E668 +80D28 +BA08D +DE386 +2DE64 +70A96 +11D47 +FB742 +D0E13 +0E130 +E130E +128AE +0355A +142DF +75397 +F15A2 +0519F +4A6C9 +5FA93 +B434E +80467 +55B88 +1090F +290B1 +17E96 +3D44E +F04FE +84550 +C9355 +826A8 +925A1 +D7584 +7D50D +04EEE +8EACA +64D9D +C06C9 +91C43 +84A04 +9861E +F49C8 +6D6A7 +5B509 +4415F +B4ACD +A82F3 +87C65 +56921 +7A5E5 +19914 +17020 +43247 +262C9 +4862B +F5842 +EE09B +25D81 +6D4AB +89144 +B719C +2A494 +C4D37 +20511 +F9DF3 +47521 +5889D +38BAA +E897F +02C1C +2C10B +75C48 +0A11B +202A7 +1C567 +7194D +A3032 +B24A5 +53B1D +15434 +30164 +25FF3 +8D88E +E23F6 +20ACA +D920C +95847 +34249 +B262F +02B37 +FA2E1 +89419 +2A5D7 +18488 +4B615 +1F9C6 +2CAE4 +20F33 +A4FAA +98D82 +3E267 +07563 +17A32 +3879D +89F06 +C0164 +562ED +280D2 +16981 +2FA8B +260EF +103DA +55661 +6DD42 +8E6E5 +2047E +23B24 +70CA4 +40A4A +70643 +CA402 +FFF49 +374A2 +998B1 +91408 +DE0A5 +6D953 +CD441 +65901 +980A8 +DB89A +21403 +EBC16 +7D39A +4BB22 +9C02C +9AD95 +90074 +C8DC8 +59A9C +E4566 +A3B3C +24F4C +A2664 +C471F +F1E16 +1A767 +D48F3 +91568 +83349 +E5678 +121AE +CE5A8 +982F8 +C269D +A40F2 +04AFC +BB51B +71856 +0A214 +74045 +F202C +B5595 +6CB98 +486FD +68950 +97534 +75586 +192D9 +88682 +A60D8 +2BEB0 +B455B +54208 +70956 +CC615 +A94BA +4C4C9 +7B051 +AD2A9 +4411C +7DEF0 +43806 +FB59D +D4650 +950D4 +84E60 +D3577 +B17CB +74084 +D2C92 +3DDB2 +200D3 +C9812 +B3415 +117C3 +8672A +6B43A +88163 +C3B26 +788AF +19226 +C7364 +76C9C +994CC +39CBA +136DD +9F164 +E6891 +30269 +BF4EC +B9977 +80141 +4D41A +C4F40 +774B0 +8CCEF +03638 +1252A +4684F +5863A +ED0AF +E2116 +A82E8 +C74A5 +08D82 +F4444 +CF30D +95C21 +13DB9 +4E231 +D48FB +F42E9 +485B6 +B7500 +A83CA +A15DA +0D253 +12B1F +03EE5 +3597E +2C00F +BCAF8 +128E7 +9CACD +4B233 +6033D +5F5DA +18BA2 +76120 +42B3E +56590 +FCD91 +58941 +C6886 +3D6F1 +CDE06 +A7819 +56C5A +312A1 +0DFE2 +ED2CC +D90AC +840A2 +03A5F +AA011 +6CC03 +4C762 +3343A +AA0A1 +20AD6 +65C29 +84E8A +D0297 +D5C55 +891A0 +1C818 +2F985 +D3CC4 +0C85B +4645A +0F89E +33742 +09CEA +A31D6 +08FF9 +30D83 +18961 +95CB4 +05096 +30363 +0ECC2 +81BBA +66B76 +2240F +4F873 +8CA00 +6803C +48030 +A4176 +B45DF +C8081 +1BB92 +0F642 +46236 +58795 +0DA2B +76036 +CB060 +71332 +098FC +09187 +7D699 +72E45 +C4C58 +79395 +DA123 +E2EF1 +1B893 +AA949 +0147C +C9468 +8B251 +B788A +F3498 +7226E +87A42 +65780 +B0532 +0FE29 +B29A2 +B5351 +28F93 +BC891 +486ED +BC529 +8A0EC +52A12 +A4E35 +7783C +770E4 +ACC2B +19F4C +885AB +D0E13 +0E130 +E130E +128A8 +0FC73 +D6124 +B1F94 +F7136 +E4062 +A80CC +9B318 +22D7F +1C1E5 +4B3B8 +068D5 +8A2E2 +538D4 +748B3 +77C8A +712C5 +4B4B2 +9E823 +A66C4 +085C1 +6A901 +29C7A +679F2 +04409 +586A0 +320EF +F660E +B5963 +D000B +15A92 +A8252 +4E97B +62B31 +EE03B +D42E3 +466A2 +8AC84 +B04C4 +5E786 +F6B41 +5C5E6 +32C23 +A3DDA +E1F58 +923A6 +5A896 +85A74 +D20AC +C96A4 +27FB8 +0BD51 +6FD02 +74170 +5F78D +D0391 +5209E +6CEB9 +C661E +F52D2 +20315 +AA605 +02121 +C96E6 +21761 +8F807 +52174 +52783 +C869D +19756 +444EC +6477A +9E80F +B10C3 +509D8 +82C08 +A8E8B +69300 +42310 +217D7 +18474 +271B2 +E7771 +212C9 +EB6C2 +FDA08 +AA5D0 +D8186 +A9A37 +0BE27 +2614A +23100 +019F9 +BEF1B +A665D +21C4A +D086C +21A92 +6F0CE +802A0 +983A5 +844AE +A1676 +2B98A +4B5C8 +6A801 +2FAF4 +D2278 +C0140 +10390 +FD560 +BE5D7 +91A51 +408C4 +813E8 +6EDAC +6843B +26528 +4AA83 +06FC9 +51A1A +4D42D +108E9 +86388 +9A86A +87E10 +C2CBA +0BF1A +BC642 +712A9 +F903A +A340D +03F73 +449F5 +254A2 +54A50 +CA989 +F842C +580C3 +F7856 +0491C +92115 +B86A4 +B4784 +D1B03 +0BA5F +096D8 +1AD97 +12208 +40D21 +0B05A +8F919 +2412D +7407F +46905 +43804 +8641C +CBC32 +C769F +8017A +36E90 +0746F +C28C2 +3730B +0F49C +347F7 +D9288 +52C61 +8EC07 +7F2C7 +8253B +13D25 +0ACDB +C894A +15269 +D0A72 +A86C8 +974A0 +BA7F2 +EC4BC +07160 +819D1 +AC2BC +89C04 +AFD3A +B94B0 +39EC9 +4B487 +65F82 +7954E +0E065 +A694C +0D720 +CF9C3 +9111C +ACB13 +227B2 +68FA2 +2C20A +6BCE3 +51729 +186D0 +0515F +0C7C1 +3D04A +18F28 +5B2D9 +7B9B1 +14397 +08D8F +1E90A +FCA9B +B7055 +193D3 +58326 +2F005 +8D6AC +32117 +4F85E +6AEE4 +4242B +06513 +7C0DC +F9D42 +D942B +36957 +EC249 +DA01B +053D0 +4F9D1 +CE330 +35CC4 +038EA +1B812 +68BE1 +96500 +DCB45 +6249D +5A9F1 +442FC +6927B +3C054 +CE421 +36E86 +62160 +9BA9E +ECD20 +40462 +8153D +304E5 +10278 +7F03B +007F6 +E268D +192A3 +4CF2A +69069 +6AA97 +A8C00 +0FE60 +9B330 +B3B12 +C7C16 +18267 +6F751 +38477 +2230A +5C061 +F87FB +D4D00 +671CD +41B0E +A864C +D2B84 +B3D4E +D4783 +84FB1 +CB582 +D9A6F +021B1 +90DBD +343D7 +95184 +F4218 +89042 +0E51A +21638 +52419 +65D28 +0DE28 +594EB +5DC92 +41E11 +27E0C +233D2 +A5D7E +63042 +23038 +2BEA5 +D90DE +F0E13 +C8821 +79513 +A1D9C +2E929 +8A0C4 +7600A +623BA +C5659 +16241 +B594A +3BC1A +A9699 +CF833 +20271 +B9D6A +16052 +707DD +E1835 +90A2A +39B1C +87CE6 +41708 +FA817 +CA1CF +1C87A +9A68C +1A4C7 +0F4E2 +58A12 +F7538 +28F9E +D0E13 +0E130 +E130E +13B57 +34683 +D7532 +49054 +953B1 +1B596 +2B8C2 +DA78A +63639 +0A867 +3C2B5 +1E2F7 +24213 +AC175 +5A21B +4A07C +E38AA +8A24A +A33F1 +0F287 +53579 +07C31 +D60CC +55563 +FA247 +40179 +861E8 +74D63 +341EA +28516 +E18AE +84D47 +314D1 +2EB45 +8751B +57F21 +0E130 +22AA2 +8758B +AAB6D +49D75 +F8352 +C4474 +3A2F2 +FB00E +388AD +6BB0D +8520D +D90F3 +54D31 +8723C +2C253 +151E3 +15DAD +58701 +0D00A +862F4 +66EA6 +0DC4D +7A082 +5ACD9 +85D75 +6283D +30149 +25A0A +B33CB +73815 +66415 +47C06 +97CD0 +2F2E9 +D9673 +35886 +0E8C5 +D0955 +1AF01 +AA1A9 +B0B09 +83B1B +48B87 +4C244 +627F5 +082C5 +47234 +CC012 +076F4 +F716F +E4159 +D8909 +512D8 +7A05A +65A19 +24E47 +31BF0 +A55E1 +25138 +3BBC9 +CB6B6 +B4AEE +C3320 +59269 +1C768 +587F4 +87581 +FA87E +E4801 +2B18D +6454E +1DA79 +CEE88 +0C8D4 +B0B13 +3DA27 +66093 +AD712 +52C6F +1600F +9EA24 +109DA +08DEE +67A4E +5037C +6E4FD +B7110 +02A98 +02ADB +4A6CD +BBA5A +BEB80 +CAC16 +A8D26 +2C0AA +6095F +774A1 +1C5A9 +4165F +8C330 +2FD8D +B2F14 +0CB37 +94339 +997D1 +49C0E +37A8B +41200 +E4A70 +9A3AE +BD984 +A95A3 +41595 +7700E +4B875 +ACA2F +90FF2 +005A3 +6DACB +14449 +11FC3 +97FD1 +18601 +58A31 +040A7 +02B5B +4D870 +28EA2 +931AB +86740 +81C41 +446F6 +8AB22 +4599F +8A803 +35CD7 +58A75 +A076D +1E9C8 +CD431 +B821C +81B17 +5BE24 +F5331 +2951A +F28BB +C2190 +4842A +98331 +A0745 +43D6D +6EC9A +D94BA +94046 +B4814 +7D1D7 +3FCC0 +E1698 +968E0 +DE968 +650DE +313E7 +0051E +746EA +306CA +52918 +E8842 +4758C +F086F +E7583 +37094 +54298 +6D22D +86299 +7A23C +F1CCD +759B7 +40076 +3912A +3CB69 +2B83F +29481 +B9021 +1EF64 +41D8D +9B9CC +1336A +1188B +200D5 +67F5B +0B591 +26515 +75715 +9C270 +DD094 +A8681 +1A170 +A21A5 +55807 +87F5E +89526 +CA398 +121D2 +F0ED2 +6AB48 +3366C +88ADD +C8488 +A16EE +0150A +C4687 +4D2E3 +5CA78 +2609B +21F23 +326F9 +03088 +7AD9E +7DCE0 +268D9 +58A41 +148D1 +F2045 +94378 +08F10 +A6EBC +7C95A +04778 +A3081 +965A3 +287D4 +8F735 +900B5 +878C9 +6EB69 +43EF8 +34C74 +404BD +DE229 +16799 +80300 +9A398 +3945B +78D86 +E50B6 +A3986 +C75E5 +400F5 +28439 +5803F +E8720 +729E1 +592AA +96941 +52A13 +64E58 +780E5 +E582C +74F5D +30E38 +19C8B +6C0E7 +8748B +834A9 +3D321 +14031 +E3836 +3BEA5 +32B72 +93037 +498AC +6707E +E003A +3371E +1007C +8BAB7 +9C56D +012E7 +458D8 +504C6 +1E66B +03930 +C0D87 +0ABD6 +F1979 +70908 +30364 +32741 +C0C3A +777F9 +268B0 +6322C +ABFC4 +5B65A +142E1 +D0E13 +0E130 +E130E +13B5E +72067 +C7681 +5E810 +360C3 +1344F +A04F4 +127A5 +B62A6 +2600B +0539A +67F87 +DDC48 +38405 +01606 +1A683 +C04D7 +6E4E4 +C1C90 +E32B3 +CC9D7 +10A1C +C02BC +FA4A3 +9DF38 +3BB48 +A1818 +25555 +881FE +84ABE +A08A0 +5E1A9 +31B8A +25B81 +1E0D8 +7A009 +C1E3A +DB0C2 +40FA4 +C01EC +F5F5B +509A1 +3A9D9 +7B906 +81478 +B930B +F7173 +959FE +8394D +0E0A0 +DDA3D +05538 +45217 +2C456 +4F890 +6113D +24827 +C4C96 +AE538 +B04DF +584D0 +5C72C +C1656 +8921B +3EFD6 +8AD83 +64034 +04561 +47C20 +0C37B +813CC +552A9 +C0B86 +E950D +5E237 +39959 +9730C +82255 +82CB9 +9F814 +60226 +2A522 +AE70C +505EA +E8834 +F4476 +726AC +649F8 +8214F +3ED61 +2A1F3 +EF9E2 +20210 +9EC11 +85691 +8A1B9 +E6594 +0A8CA +754CB +F4207 +D5A55 +BAD48 +46311 +E0192 +CAC90 +B7970 +C81C2 +4C542 +9E9CC +727B2 +089F4 +C4B87 +0405F +EBDDC +85130 +D199D +973A9 +A9A29 +71F5D +081BE +536C2 +57012 +F4747 +F80C2 +08A1F +14408 +95B58 +4D319 +64238 +B2A88 +F2F58 +B05FC +26450 +69925 +4A4F4 +318C4 +CC8D3 +DAF4E +825EC +63901 +BDAD8 +1F69D +61C2A +E8CDE +203F8 +590D2 +A82A6 +0D784 +7537B +BF444 +0420B +0B1F5 +E7A49 +71A1B +03144 +C4C11 +8E331 +E063A +CB7ED +4F490 +13909 +340EE +67A09 +64543 +7C2A7 +E9438 +55526 +0AAB9 +0185C +0368C +841D3 +AAB1C +F0272 +83BFE +C004D +A4200 +E9542 +AB3B8 +8185A +9A18D +424CF +21F87 +30D3E +41486 +C8532 +22363 +7F9C1 +D64C2 +6B068 +13A1E +E361F +C6D1F +A5804 +22857 +D0994 +81C88 +768D6 +439AA +22914 +535BD +645CF +50731 +B22A1 +4D649 +71E68 +69CC3 +EC81B +11666 +90CEF +0AC23 +9CB07 +28A52 +7EF63 +BF03E +04105 +EAF30 +E1525 +B4E19 +4AE07 +D82D1 +0ACCF +F498B +AA4F1 +39407 +BA49B +0E7D0 +50BBC +390F3 +140DF +77D24 +14024 +8CAF6 +9A288 +35C32 +73015 +C9174 +A7B50 +F132B +4247A +092B9 +662CF +EC064 +53525 +0CA87 +8AB5C +9B7C8 +4196C +05BF1 +F153C +28AA5 +D017E +0F83D +15228 +8632B +72DDA +702B8 +D1173 +74155 +B0BEC +A1A71 +63CB8 +60A5E +A9591 +CD83A +D108B +A7871 +E801B +8CA16 +D27A6 +AC6E5 +8A265 +06DCD +A9331 +3039C +369A6 +DE433 +8DF95 +08A88 +80ADE +14C08 +7EA44 +6B8B4 +57D56 +5982A +3A139 +0CB87 +F930C +027DC +61D73 +8B263 +042E6 +A4172 +3D179 +ED401 +82F04 +26091 +DBC16 +5630B +0CE88 +3286F +ECD74 +A5341 +3CA88 +41DE9 +77A81 +0AC06 +1F270 +462F3 +FD262 +ACB4D +1428E +F47BA +ACB99 +932C9 +92141 +2D188 +F987B +01785 +327AA +2F83A +EB20F +EA305 +4E60A +932A7 +2A3D4 +2CA03 +809EB +C5F91 +045A4 +DD505 +B6729 +47D00 +D0E13 +0E130 +E130E +128A1 +86B49 +0D46A +5D6D0 +33AAB +89AC5 +DE465 +12032 +6D922 +11664 +64307 +49766 +2157A +6B486 +A3A0D +D85A6 +6243C +2E2CE +C2285 +9434C +FAD98 +0AB68 +E43FE +A044B +0E849 +6B3EC +C426C +743C2 +E4329 +1F787 +3CAC6 +98E5E +43F45 +0AD85 +8B8EA +B3741 +8B8B1 +2A18C +62B3B +13529 +C7160 +F2917 +F0DF0 +02854 +DA073 +B9080 +F7E02 +B5000 +8999D +4BD48 +83AA1 +88147 +0F033 +5AE0E +48F49 +E7144 +6F4D5 +88D04 +087E2 +070E9 +6D191 +DEAB1 +EE2AC +241CC +2CB82 +C363C +C2C53 +54583 +2FD95 +D34A6 +6224B +C08A5 +6FDF1 +2D2D4 +52941 +A06EC +ADD0B +F8A91 +BCCED +05204 +3C966 +18A08 +549EE +17456 +A9944 +4829A +25F94 +3CD82 +013EE +CD5DC +91183 +4D11B +709D3 +AC39D +94831 +CC1E5 +A2B73 +0A2AF +2BA92 +28FC0 +F0395 +4DE9C +95A45 +C2965 +9B01C +00527 +1770B +D5049 +79197 +AB5A6 +487C2 +9BEC8 +27702 +799C0 +3D08D +995FA +3408E +CE824 +7442F +4DB96 +8AC0E +5246B +36B72 +5B22C +A2902 +962A2 +95A5C +01FDD +984FE +3B603 +A5360 +AA1E9 +616C8 +85830 +40773 +447E2 +9D086 +5D12A +BC740 +32C8A +437DB +500F3 +4AAA2 +C45C9 +10B57 +0628A +97B8F +16986 +8CFAD +94A49 +90CCC +4C17C +E33A2 +53A41 +AB507 +61ECE +72351 +20F83 +0A9F8 +2E3AD +8B5C1 +95F05 +F229B +34947 +330FA +69647 +DD507 +4182D +4BB2A +1E006 +B1874 +B3BD0 +D911C +E5594 +91E42 +65719 +1D87C +48740 +8C09C +165D9 +47621 +A123E +3388E +BD89F +00039 +C4D74 +641A0 +DECD6 +40A40 +93542 +0AD48 +F0BAD +8CEAA +47210 +51115 +C0564 +07700 +A2EEC +2C1CD +EC1C4 +F323A +36591 +3104E +FA152 +93F6C +740B8 +9ED92 +B0C99 +5920C +12333 +5A2F4 +D83B2 +A6611 +2A711 +BE714 +812BC +0461B +4D2A8 +09282 +0BC72 +1C676 +8D135 +41C2D +46F9F +88D0A +EE465 +48789 +20202 +75356 +0E68B +021FE +3E8B8 +717BF +17086 +F1289 +70453 +F45D3 +09308 +13E51 +041D5 +4A098 +3A253 +A86EC +69CD0 +A652A +C4877 +7FD14 +A1511 +814D1 +61717 +A2631 +F49A4 +E9443 +56E36 +45A99 +413D9 +1245C +F9BC7 +3090E +D8A0D +A15F7 +22351 +80E62 +C90C3 +EEE8B +AB88B +87A0A +72BD8 +276F1 +32CA0 +36902 +DA0EE +8DD58 +09CE4 +40165 +5488B +4465B +B616C +32BED +68040 +BE946 +E0F27 +1CAD2 +C5411 +CE7B2 +0B131 +5F5D8 +108F0 +E7D84 +EC864 +9D204 +4C042 +0411D +F0D47 +AF1DB +A40FD +241AD +982F7 +30EA4 +603E5 +18A45 +88149 +8C0AC +82FC2 +51FD0 +5A264 +7AFB2 +16447 +36D4C +3D0F5 +53B68 +01ED6 +E8C2C +8D678 +58B34 +F558E +98454 +17E5F +391A0 +50529 +FAA83 +9504D +76139 +0D471 +078D4 +96934 +85E4C +A0A70 +8F62E +72AED +80926 +CA011 +D0E13 +0E130 +E130E +128AC +A3E21 +A2DFA +232B1 +5EFE0 +219F2 +1E9A8 +545BD +A70D3 +C9250 +A42B9 +484AA +5FE0C +AB684 +4813E +797E7 +7E498 +2C141 +2F995 +14BF1 +CD1BC +F970E +5EC10 +0D35A +4D298 +47655 +A3EE3 +56678 +5E897 +31361 +92EE4 +D0499 +AA5D4 +8E439 +B1DCC +0886B +26B4C +63114 +FA307 +99094 +AF75D +E1CA0 +BD619 +0CA58 +99E60 +45ED3 +571E8 +15D08 +CB015 +FDA52 +15B34 +E9D22 +03B62 +72034 +69C1B +4B8E2 +C7382 +FA829 +ADEF2 +6DC54 +54415 +CA81F +7C459 +9918B +A911D +EF760 +59133 +6343C +93B67 +233C8 +DE048 +957DC +C8033 +2E8EC +9288A +CE41D +441CE +2729A +45E0C +2DCF2 +473A7 +962A4 +D3C38 +4412C +8C721 +07531 +C6703 +7F168 +40415 +E4DD4 +0473A +5416C +5A30A +5B230 +35944 +EF500 +49CC1 +808B1 +3603F +75B95 +885A5 +4EA26 +880ED +EC00C +722B6 +73950 +8E662 +BD072 +95645 +52AA9 +4A0E2 +B1180 +0952F +BE308 +95F0A +1A18C +49B01 +2EBBE +0CA7C +89998 +AA2AD +602AD +73CA9 +09339 +C0B28 +566E0 +AE988 +1D211 +6A39F +256E4 +6915A +BBE90 +66B00 +86652 +D94F9 +9E608 +85E9E +19828 +FE642 +400E4 +9C676 +53A56 +12612 +F0313 +EAB95 +2113C +6E031 +28474 +861C6 +1C362 +5455E +2A48B +AD48B +8683E +90568 +A7C8E +C6B41 +62C22 +82B20 +ACBFC +A90C0 +B620A +DE09C +770A9 +8ACB3 +C03F5 +47A0C +1EB5D +CA21D +17A93 +09CDA +4B566 +682C3 +0B153 +D4359 +C4C0B +1521F +01F72 +C56B4 +E98E9 +CB658 +096D2 +C8EC6 +432DF +91525 +E2123 +943F2 +5302B +52784 +C4DA1 +4158C +FEA30 +56BE3 +91D26 +C2959 +8E206 +39437 +0178E +B9EBD +087CA +E2A06 +4C63E +0E4D6 +21CC8 +2EE88 +726C7 +05209 +9FF73 +DC8B1 +834C4 +16A30 +6F34B +2A278 +A6284 +F1CB6 +88978 +A0575 +13297 +29CF2 +D327A +4846F +10D7A +61807 +589B0 +8947A +7E53C +1C51A +01903 +87A60 +2D026 +909F2 +C0CAE +E312C +F765B +14189 +BDBC3 +208C6 +C1E4E +4A5E2 +228FD +AB191 +3D54A +D8C0E +98914 +B4370 +EA1E7 +F2E38 +B09A1 +91DCA +42A16 +881C3 +C78A9 +4BCF9 +11E87 +F00D4 +56347 +EA30F +2025C +52980 +7B7F2 +02FA3 +742D0 +E931C +471A4 +A9F38 +3FC0B +704B1 +8279C +EC78B +5F407 +9193B +005EA +0A842 +8132B +F0907 +D442B +22803 +27BF4 +B5246 +87AAB +AD307 +B6214 +0DCCE +AAD29 +1454F +CB646 +453AC +D84C1 +181A9 +D602E +A7DED +09C0B +B84E0 +74915 +8DA1C +4783A +27AFE +0D029 +0DCAC +0BCFA +11F5A +A4C9D +4107F +00B05 +4ADDB +F2549 +45BA8 +ACF61 +17A51 +44472 +5E584 +BD668 +89CDB +79E82 +BD106 +012A6 +146BC +64778 +3FCA8 +119AD +2D603 +82BE7 +5DE24 +1E28F +71841 +94BCD +F238D +BC1A0 +46229 +33C58 +5941D +6CA8A +D0E13 +0E130 +E130E +13B58 +24606 +8A98E +09F74 +E2C0C +4A437 +F40A6 +51E3B +F4021 +10ED5 +3E2F8 +90553 +CB04B +94F85 +46065 +4A589 +6F854 +0EA0D +68BA8 +9FAED +84580 +84FC7 +6BA89 +791BA +9CA7E +A742B +105CC +54BE1 +44924 +19238 +AB6AF +EE099 +D44C4 +325B5 +A6928 +7814E +C286F +B3883 +1D3D1 +1BB1C +5B175 +E064C +9415B +8629E +9690A +28B1A +0FC82 +A1C02 +80DB9 +1A2EC +05DAD +8F460 +A218A +0FB78 +5CB65 +B3207 +96A51 +E0DD0 +2F169 +41D3C +CEB1C +2CC5E +F029F +34E38 +A82BA +8FC99 +11A1B +2443F +B9010 +59D02 +E29E9 +5A841 +7741F +85C30 +1E689 +353A4 +54F5E +01240 +5545A +F5DF6 +193D7 +D1282 +2FC27 +D8C45 +AD402 +A2906 +6CB2C +53D24 +84204 +379DD +7A542 +324A1 +91ADE +EA1C1 +D46E0 +70628 +161CC +63CCE +A0E80 +80DCD +D6301 +CC97C +562FA +1C804 +D2325 +B8081 +F97A6 +0694E +90509 +01547 +B0C0C +FD08C +97941 +9BCA6 +2D40B +4C3F4 +01F89 +F66A1 +0B4B2 +A9EA7 +84FF1 +4D594 +109F3 +7A687 +4494D +D8C1E +288D1 +1C9D0 +61967 +30490 +AEADE +216D7 +C87B8 +74AAA +714B2 +72325 +23631 +6D18B +A83AF +90033 +9DD2A +D4E59 +6C306 +60940 +B8235 +D0500 +EC1D0 +B6DC5 +1ACC8 +40754 +B9768 +EE515 +A9A62 +75CCA +8D169 +164A5 +0ECE3 +E83D3 +2B9AE +11D63 +E48C0 +A49AC +10C27 +08797 +576CA +50145 +5988F +F32D9 +109E8 +23740 +41C3E +AB814 +195A2 +20C46 +2CF46 +C2CD3 +D7198 +457AA +A496F +40864 +40100 +7D696 +91D88 +585B9 +B2EA2 +2710C +D9B4C +94DF0 +5A918 +E650A +6F0F4 +86BF7 +CCC14 +E3266 +910DB +07748 +A6996 +09790 +B2BC0 +91A37 +59A45 +CC540 +7C7A1 +39F25 +11B4F +25611 +631E9 +6FB23 +5EE66 +A7605 +06264 +543C3 +8EA20 +981AC +77D84 +17263 +C8841 +847A4 +EFA2D +2371D +16140 +7651B +C624F +41A52 +9489B +A9A69 +2E621 +2531C +F5268 +5DF98 +B0A43 +3C89A +7502F +E82D6 +22769 +527CC +ABA4B +4AAE1 +8B0FE +B090B +5FA16 +12A5C +C17AD +CE815 +D928A +D0AF1 +E32C8 +1B34A +59BE1 +1AB10 +3CA86 +857B1 +4B711 +AE926 +8A2FA +63465 +9F311 +65403 +7EE34 +8F90C +8C083 +D4E96 +2B58E +12C1C +59CFC +B0A21 +8F432 +D0271 +BA6C7 +D804A +DEC42 +A09A8 +52BDE +CF7A4 +31D00 +DD9CB +029C4 +87A1D +39B05 +B4940 +271E0 +E0C26 +7F700 +5B1C9 +5205E +EFCFA +47A10 +0021C +F1DE8 +31873 +1C31D +12C1A +44D42 +93816 +2A376 +4727A +0C95C +530AC +E30B1 +4AB68 +F4963 +D952D +6B6D4 +8089A +A901A +F2652 +A51AB +35D21 +77B60 +46AB8 +F90BF +182A2 +DA556 +BA23C +7C958 +0DAEC +A5A94 +A71A8 +8F223 +5203F +7815B +8438A +53B1A +71A28 +D9976 +68984 +79002 +6D230 +F2DAC +5788B +11C09 +31F48 +D0E13 +0E130 +E130E +13B58 +3B3A1 +5B505 +A48D3 +12920 +5D58D +DD49E +5C4C5 +649A3 +A47C2 +8D2F0 +869F6 +14C21 +FD487 +2C017 +8F1E3 +4805C +0850D +2B7D6 +8868B +0D5BC +6C6BC +B3B52 +01A42 +05230 +B4CE4 +76167 +6582F +713C1 +78582 +CE2A0 +C846E +D43DB +921D5 +BCE91 +849C0 +731F9 +92089 +B19FF +0152B +9487A +22325 +20524 +A3476 +9BA93 +1A90E +52904 +61EE1 +9508B +E91B9 +D0524 +FF713 +4452A +AA458 +4DA34 +9276A +16BF3 +52E26 +9C045 +51DDD +46991 +895C4 +D7EA4 +C816C +2EB82 +02134 +FE475 +2F6B1 +82D93 +508F0 +77AC9 +49D8E +C3152 +8062E +99B00 +6FD29 +B8763 +643CF +53821 +6A2D1 +D01D7 +AD57D +D280B +48165 +A8D52 +9BD56 +0F630 +F81D2 +1F859 +06C71 +860CB +D2E62 +3A48E +82036 +852EB +52919 +3C505 +4A0E8 +1C204 +89AAD +ED8D5 +AB776 +3334A +40C47 +5A100 +AB09D +757E5 +5037A +C0930 +B6F18 +78E06 +D1CD0 +2E0A7 +2AC9B +452ED +5516C +6C6C0 +223BF +0B087 +02C30 +69EB0 +72BE8 +2511C +C9429 +B8854 +57B54 +1456D +94CDC +7A17C +8DD05 +20E37 +A40D6 +0751C +F1298 +814B9 +1ADC8 +C852B +3386A +78E27 +68ACA +6B621 +DC0A6 +2309D +B4A90 +FCA4B +62643 +CCADA +3C514 +10236 +4147B +644F9 +CBAFC +41732 +D1A82 +9D961 +159B1 +37FAA +D12CA +41E97 +492CA +D6A3C +457AD +0A830 +22857 +D96EE +87241 +E134A +77259 +B1A24 +83388 +8BC69 +8AAB8 +CF606 +09DEA +2E862 +49788 +78D4F +1C160 +E0112 +DAEA9 +179EE +C951B +D74FC +52834 +D03B3 +11FFD +54468 +89534 +47B22 +01FF0 +171FA +32DE6 +6B106 +D7E08 +2F41D +1AA8A +1890B +2493E +2CF0E +10248 +254AA +785C0 +EB9B4 +60225 +4848C +A930F +05255 +35C75 +102A4 +B27F1 +FCE8E +00BBC +C1818 +57F74 +45C86 +37B1C +41F02 +384CE +EABAD +C85C4 +2C488 +D5144 +1058A +FC6DF +8C033 +A7560 +80C3C +57790 +A6D55 +B1021 +B3DDD +86894 +D8BFA +CA49D +2B001 +034F2 +7C525 +EA612 +D3D8D +4BA21 +21F74 +2D871 +84A47 +60E70 +B668C +E97C9 +1056A +72544 +51585 +0FE8D +17267 +10F33 +94410 +3604A +67654 +5C0C5 +513FA +23D5B +56E18 +18053 +A0C22 +9D11A +80F97 +9DCE8 +46987 +369E0 +62FD0 +F3806 +6F688 +EC59A +B83B8 +B90EA +82747 +37520 +12C5D +5447E +4BD8E +A23A1 +712E1 +31312 +FD2AA +1CF46 +1F218 +42C01 +C7D17 +C8969 +09AD5 +FB701 +04181 +D661D +0F5E1 +9B0B3 +49D20 +37625 +27548 +84DC0 +915F1 +4EC82 +852DA +C99E0 +6A18C +E62C9 +CBA94 +052C2 +ABC68 +EA150 +39B72 +2E1D9 +2A25E +48202 +9B9A7 +23DB6 +ECE0B +70806 +8A381 +0D6D0 +2C1C8 +33106 +CD42E +24E05 +77372 +366D3 +69E7E +6026D +86271 +36CC7 +FD8E2 +02291 +F8AA9 +B244F +8CA8B +424C2 +D0E13 +0E130 +E130E +13B52 +F75BA +128A8 +52371 +8B5D2 +064A4 +D9EAF +1941E +AE884 +02ABA +C3A80 +5574C +C1F91 +C0046 +E010E +39F18 +6D11C +0C8B0 +C0425 +A4C18 +32510 +06668 +ACB56 +B3E3A +AB330 +3111D +B9713 +F50E3 +2DA5E +24259 +9F8F8 +630D5 +2E37B +A1E85 +5BC8B +CE347 +76124 +4AFDD +6A378 +82688 +85640 +5BA64 +83528 +7C108 +B4A85 +ED418 +666A0 +E1E03 +87F99 +EC46D +2A42A +0AF91 +D769E +5C811 +41EE0 +67A10 +E6345 +94AFB +15A6B +40424 +7E399 +6240C +2F41A +1D617 +AA258 +38229 +C755E +535A4 +215D8 +6371A +46E68 +51614 +1D639 +25849 +19C31 +AFA87 +65A03 +06A1D +0A0B8 +DB486 +DA215 +8BB09 +90BE0 +0A526 +48205 +12112 +D3438 +9D922 +AF6B9 +3508D +E6232 +A02A9 +1D939 +E2077 +64F71 +28749 +1432C +1B09C +C210A +3B8DA +1616D +D2984 +EA516 +87D45 +42A94 +4C2C6 +746A0 +90455 +8621F +C3F02 +F1CC0 +F5564 +0CD2E +22ABD +A9174 +63211 +94F9C +2BD24 +A5696 +1D533 +5884B +65223 +0DBE8 +614B9 +B6F40 +60110 +1F2D8 +F1A1A +B0CA4 +854E1 +3D719 +2CF9C +C3E2B +48AD8 +0A609 +7AB1D +9A09D +315ED +B98A6 +46E10 +5E39B +16B6D +9F8F9 +48703 +0C367 +CB202 +09242 +56D24 +AF565 +7174A +4BD52 +0E501 +74067 +0F13F +3E16A +D8369 +4EEBC +B840B +A0936 +8BD4D +E3703 +4612C +DFA93 +99F89 +2C0C5 +1BF86 +53087 +55BB1 +6296D +17C53 +12163 +FA772 +663EF +C1840 +A4259 +14869 +F6399 +5E108 +D7125 +28D92 +6C91A +3D552 +16201 +E480B +7A2A0 +54AE0 +F7425 +00470 +5888A +DD06C +10E10 +64C90 +9B5B0 +64B09 +8E2DA +E0250 +D49BF +BC709 +282C1 +F46CA +A8563 +78513 +9D5D0 +156E7 +0F6AD +DB295 +708C7 +047B0 +D87E9 +16043 +13E13 +50B01 +C5BDD +847D7 +81826 +22463 +DD872 +CC754 +0F8DC +99C68 +EE5C4 +1A19E +0E27F +C8928 +FC1A3 +00C98 +407D9 +231F2 +06B27 +5F99A +0006D +6B8CA +9E979 +192EE +50205 +C8527 +3D22A +066A4 +CBD10 +DC31E +6C070 +5E37C +845A2 +18A64 +96FBA +C2C93 +438D0 +EF7A3 +C544F +B30C8 +8C323 +F2EB5 +D940D +B4B84 +52F1D +464A8 +A82D7 +60A8C +4AE10 +67A73 +32068 +5A49C +D4DBF +481F1 +52067 +2ADD2 +19E47 +9205A +3542D +22590 +3148A +D94CE +F9385 +C1E38 +1D3C1 +9529B +69822 +8A176 +3AB4E +9056A +622C2 +07ED4 +E8F5A +44082 +C11F0 +48D79 +AA0E8 +CB5CA +4913C +49AB0 +87271 +C8EFA +C5C55 +85088 +8B0C9 +F5E3B +F2C08 +03D41 +41CA1 +27C81 +0A1A0 +BF6D1 +B8152 +1D096 +5E1AC +E3832 +DD787 +169A2 +3C672 +C62A1 +F6181 +A0A2E +AE152 +6351C +BB007 +39E95 +19018 +79DB1 +A2123 +B7847 +40932 +62D77 +7F22C +55955 +E8CAC +0AD17 +72401 +50464 +F10AB +A2F04 +4419C +46F07 +D0E13 +0E130 +E130E +128A0 +323EA +79216 +AE837 +16105 +CC604 +584FA +DA022 +D702A +D47EB +1537D +00213 +DE90B +D3CB6 +354DB +58671 +6013F +2E704 +D4FC3 +0E058 +DD9FE +1F347 +59415 +50083 +97B21 +67972 +91912 +8482A +6020D +FA674 +862D2 +3B2C9 +889C5 +408B1 +83D99 +A7E50 +E0C71 +9A5A9 +1A574 +842D9 +7676F +2CA62 +3A442 +6424A +B58C5 +1751D +3C03E +350F2 +E8FA2 +D4D2C +24D25 +AC459 +A4A0E +20A46 +44048 +D459A +BC60C +02DC2 +69018 +74415 +65E10 +063F6 +0F80C +7B96C +A9963 +0F612 +BE406 +904D0 +D5AA5 +815BF +72A6B +2C894 +1D298 +F5B74 +549B4 +7DE0C +6109A +F5B48 +BD381 +04249 +2D295 +13A34 +120B6 +D0DE6 +AD1D6 +2C7A8 +820DC +671A0 +C85F9 +AF422 +53E03 +A03E9 +C790B +04588 +76F91 +AD82A +44A50 +E56CA +794BA +02C84 +66911 +16827 +978B2 +D6C65 +1AF15 +D0255 +06D7E +C046F +0A856 +48014 +F1318 +C68C8 +A43D2 +82F71 +5174F +516C3 +DF201 +2AD31 +89434 +A57EE +E88D1 +4AAC9 +B6A1A +4CB3A +4CA07 +9D0FE +0660A +8161F +26E6E +D1334 +82599 +39324 +2F640 +3D5B1 +D66C3 +EE162 +C1A9A +DAE0E +142AC +03D2E +DEA83 +18D78 +4460A +86EF9 +A4BC9 +2F1DC +821CB +25A07 +11720 +601A1 +0E701 +0C063 +ABA24 +7067C +F8983 +B0E34 +3EA44 +73403 +A1816 +E716A +0F9D9 +26A7C +AE860 +B04AA +D8EAA +8662E +E4498 +32A89 +56907 +8B70C +C476B +7343D +1C921 +5DAC8 +9F448 +70A74 +70A28 +C6742 +C9C2A +406BC +B9A23 +A1A40 +7D9EA +4DCB6 +6222C +B84EB +02142 +DCDAD +67006 +9B158 +FEC00 +FAE3D +6E832 +22170 +99016 +E2435 +44151 +1022D +2C685 +1DCAD +01467 +47558 +A4C6B +CAA0E +3D92E +6820F +08A55 +F8E30 +94D31 +920B8 +F5DE0 +1A355 +2C018 +0D43B +74895 +D3107 +BA288 +B22FA +48698 +8CE01 +A0F7A +0F573 +65006 +E18D9 +48982 +DC11A +CEB65 +3361D +8763A +E4282 +09E73 +AA4D2 +A33E0 +6158B +FB935 +55C1E +12184 +E7FF0 +12139 +AEBF7 +51408 +5AA54 +3E80A +CBB01 +AD6A2 +C6035 +06700 +E6CAA +91AA7 +C4846 +F182E +510A0 +C6314 +96647 +BF3E9 +7305A +51E01 +77887 +58B18 +21BFD +17025 +AA152 +C78A2 +938E1 +F8ACE +B4E13 +D1027 +71AEC +D16F5 +45662 +90F90 +A63A8 +F89D7 +80760 +084AA +1D805 +73C32 +821CB +6A370 +DC0D6 +95B31 +60264 +3D989 +B94ED +57118 +AC99B +39554 +E06C6 +4651F +AB217 +25143 +FA20A +8E4AB +246AA +48984 +E7405 +9A4EA +B0C4A +65CFB +70A48 +5003A +13139 +23D68 +37891 +F2B61 +AA60A +AB488 +2E78F +8D365 +04086 +CA157 +CBEBE +F0E32 +A1532 +3EF2E +0D3C1 +92242 +465B0 +76BBD +DA6D8 +362C3 +A6241 +403BB +1B051 +81D6B +58C4C +886C5 +B96CF +3C22A +4BE1E +21F8B +E4A23 +D0E13 +0E130 +E130E +128A6 +D58C3 +5371B +BA2B4 +65C91 +59482 +D21BE +191A0 +83EA3 +B6677 +1BB31 +A6DF1 +55D8A +23485 +0E8B9 +0F8CC +C9048 +8B5C0 +66195 +A5F72 +44D02 +15210 +21D81 +0CD72 +4AC46 +B14F4 +86E9A +9560D +39F80 +15570 +97AFE +40BA0 +53214 +EE6AD +5708C +B22BB +50570 +1B3C1 +06538 +8A8D1 +99055 +D8322 +17E8B +8D5D8 +A1746 +F3E03 +75B9A +45103 +45A21 +37748 +A1FAB +E53E2 +98CA6 +100B1 +56E92 +FC73F +011E4 +12C0B +44240 +419F7 +6A996 +04C24 +850A3 +1DB29 +AFAA6 +0C6B1 +AE390 +9C604 +17215 +1C5A7 +2484A +F3998 +DE111 +E8FC0 +D724D +563A1 +731C9 +282B7 +5E365 +680A8 +B8285 +11BB0 +E5B70 +C2819 +2E360 +56746 +BC1EA +7098B +6F02F +DE090 +91793 +FC50E +3B0DE +89922 +44215 +15471 +37596 +47ED3 +CBE17 +A1CD6 +013C1 +32E22 +78612 +447BA +AB49A +06A21 +DBB2E +C2541 +E24D3 +4A456 +E8E96 +E546A +55684 +01103 +99827 +DCDB7 +0E038 +20D28 +225AC +FA683 +383E0 +54A8A +6945D +69D8F +6283E +56A85 +0A314 +1F258 +3D4C8 +0092E +2043E +31E90 +5C8B6 +7963B +984A3 +A890C +A62D5 +D30EF +4640E +CCF02 +70E36 +1D50C +D3DE3 +8730C +02323 +650D4 +3530D +E4876 +99C98 +420CD +8FF9A +68EF3 +E0918 +D0F87 +C055F +A8619 +3032B +B1992 +60C7A +B4CC0 +1BD62 +F43FE +8840A +F0EB4 +8C483 +11AF7 +2167C +E2DA1 +78553 +02643 +3FAE0 +FA08A +F5B7F +32504 +46E54 +09980 +1465A +F1DE4 +C4693 +33398 +1F235 +51105 +DF436 +4F04A +41639 +A116C +4F08E +05883 +52853 +6E784 +3B199 +B0E24 +5AE96 +8F458 +263DB +AF311 +14B0D +94750 +34090 +22803 +7A8CF +E757D +74A65 +6171A +9510C +C760C +E3E04 +27F72 +92468 +D6620 +10E3B +4E299 +82D30 +84BD0 +7B335 +51003 +8E413 +4CEFD +28C67 +5E582 +E3115 +345D4 +73A60 +70870 +7F22B +9F708 +0CA03 +9D43C +47D69 +19FD2 +1618F +00EE5 +421A0 +D353F +4D0B5 +8D9AD +0A771 +9F214 +AA46A +38181 +E5214 +31C69 +E689A +A161D +239A0 +8069D +41AD6 +7058E +C1816 +DE5E7 +0B963 +12C23 +6B986 +14500 +40C6A +7D054 +81430 +79349 +5EB80 +5A1D4 +D2AE2 +EDD58 +78A2F +8E413 +00B3D +221C8 +47779 +BC01C +127F3 +84CF6 +76843 +00D0F +041F4 +4A2C0 +CBD39 +D4105 +95A1A +472EC +A321D +83E50 +D8994 +4D785 +141D3 +43094 +C051A +C9481 +CAC8F +3F5D3 +A5112 +51ECD +D07BA +DA20A +45F47 +6526A +02BF2 +45B6B +11DD9 +331E0 +5A48A +AE4CD +C3E9C +A143D +884D1 +5F288 +F05D6 +12384 +5C647 +0DF6E +3964C +B6F62 +E4115 +B8760 +BF308 +83508 +1F8E6 +0D016 +7E6A4 +765C8 +10724 +36241 +6657E +70754 +61788 +62991 +AAA41 +82A1E +62C1A +191B1 +F7B2E +BA27A +2261D +805A9 +D0E13 +0E130 +E130E +128AA +D9464 +1772C +DED32 +63AC2 +FB499 +60661 +5D171 +188E6 +2763C +74A5A +8F9E8 +05A2C +A3265 +F08A1 +06334 +2800A +EE117 +24EEC +E0871 +F4DB5 +E342E +DD4C4 +002FB +847C0 +58E92 +17F6A +B5224 +316E5 +C9B7B +D40ED +74299 +8CF29 +4B605 +85E27 +B5309 +234E3 +4A308 +3F91E +F8E92 +1C743 +87263 +C323F +87616 +D4EC6 +82C52 +60AB3 +35931 +09D97 +7076B +80C26 +6A84D +D9610 +A40B8 +63BB6 +281F8 +4AABA +0AD19 +3D875 +8569A +105D4 +424DD +67FB0 +08572 +A2FDE +21D05 +9868F +51597 +5CA90 +48C5F +7C80A +23581 +91395 +E1E5C +D162E +B6328 +2DD92 +B932C +B4716 +424AE +13E55 +078E5 +E0EC0 +81E43 +28452 +40E40 +03926 +B117E +AEA40 +C7304 +42E3B +F30F0 +3005C +92756 +F7D1A +0CB83 +03542 +AE6FD +2D812 +C0D6A +9805D +E5E42 +E3960 +8209A +C382F +1F0AC +9C9D1 +F0148 +4D831 +303D5 +1917E +D86A7 +A2494 +9EF7C +01C06 +385EA +799B4 +B8932 +DD57C +E30B2 +00959 +518AF +6485C +BC300 +98B1B +16941 +8DB02 +22B1A +37111 +C8563 +205A8 +33ED2 +BD22B +32C18 +B0511 +D9721 +7F254 +24268 +C60CD +322F2 +23895 +D90CF +98750 +2BB01 +B82A7 +3C0B4 +AC968 +85F64 +23CA7 +93CCE +D0815 +AD1C6 +FC072 +C254B +8C94A +FE328 +88D7C +AAA82 +9A334 +D4AC8 +BFB1C +140F5 +B4731 +4D5E0 +08AFD +CE40C +4A5B2 +3C449 +FB249 +05C94 +B0A8A +23134 +1FA19 +B32DE +10C53 +62211 +CDE14 +090BA +E72A7 +AC102 +B4E55 +21A9A +90BDA +5F067 +48EAF +D6AF0 +3A820 +B6969 +47ABF +38819 +42BB8 +26C23 +2ABEB +A4571 +D05D9 +40264 +C8EDF +A11B2 +B1A84 +BA942 +B1A5C +0A532 +3360D +B190A +35688 +E888D +2EE4F +5C726 +1930C +D3C0A +37138 +F733F +E12EC +4B011 +47566 +35F6C +52B92 +24BCB +09B80 +B12CD +241B7 +3C195 +3F410 +23206 +D29D3 +700BF +08100 +F0BDF +444B3 +41C84 +FB106 +15EB0 +3A25B +CE4B3 +81C85 +BE071 +D85B0 +94F50 +7359D +5FCD9 +81481 +42B64 +127C8 +17573 +6501C +C2884 +A37F5 +9D255 +896F4 +6581A +4A9A8 +A6632 +0D231 +72148 +18E3A +6DA32 +188CA +7FD0A +DACA5 +8B3AE +A6621 +AB416 +00AED +4BFD0 +401E8 +22ADA +630F5 +C902B +1C492 +291DC +3CFC3 +36A6A +3F767 +5A17C +51430 +1E1B6 +41A95 +A46E4 +48315 +892E0 +96C39 +CD604 +0B8A2 +66EE3 +58019 +09257 +1CCFB +DF510 +445C9 +55CD2 +89A9E +A023A +720A3 +49BAA +18C7E +D6138 +8908E +9DE7C +6988C +A808B +E7645 +27A7D +C1A8C +934A5 +ABAEB +2BB04 +6BE28 +C6994 +11862 +3BFBA +96D88 +01A9A +F8EE9 +31D85 +A7505 +015EB +C9C7E +41814 +1AE3D +AA92A +57CDE +0C909 +50DF8 +91B4A +2ED47 +46989 +48016 +460B3 +F47A0 +6C0F8 +2C2E5 +8ECEF +D0E13 +0E130 +E130E +13B51 +74405 +44901 +BF230 +4AD0D +AD470 +2F617 +11540 +14B94 +7C454 +75A06 +92BBD +88205 +F1A15 +027FE +EA3D1 +C821C +0DA97 +484A9 +92D33 +5E5ED +60D80 +38D21 +0B49A +894A6 +26F87 +0F855 +E4AD6 +F8501 +4EFC0 +514B6 +418B9 +27A1B +FA0E9 +08CE5 +9B19A +1D77C +83665 +4CC33 +F32B9 +21460 +0E419 +FA1A7 +D0958 +86103 +C5B52 +C430E +01118 +8BFEF +D9501 +804A4 +1AE98 +8273E +C2922 +46975 +61178 +2431E +44D6B +88F13 +58447 +8B2DD +42AD6 +047EA +EB014 +B324B +20CF8 +36A8F +8A56E +27122 +C7CC6 +F3848 +AF01C +A600B +997EB +90C2A +F9E91 +8389F +51234 +05074 +CE474 +7D876 +2C9E9 +64314 +3D540 +CA84B +E7D61 +8B6A3 +109F0 +E45BA +B27D1 +8247A +30F0D +036D7 +A5856 +B402F +1813A +33B85 +E14C7 +7B829 +F21BD +630C5 +D4386 +9886A +26946 +CA128 +294F6 +3C6BB +5A642 +05649 +FB1B9 +95AE4 +B5CD8 +4F21C +9D341 +311D0 +5EDAE +9816B +221AB +E1C24 +99849 +AFC4B +74952 +2F015 +A0BF3 +E4F3D +61336 +8D000 +EEE57 +A00D8 +10123 +85F0F +B5898 +F42A0 +08C67 +AE625 +E27B3 +7247B +019A8 +8ECFD +B80DB +A88B0 +9796C +939E8 +1DA96 +0CBC4 +E0A78 +2DA39 +0B4A6 +1EC1F +182A7 +856BA +8A109 +9C882 +880FB +86D1A +2D488 +D9C92 +E34E3 +0BAAD +FA034 +5D446 +458E9 +29F43 +56426 +9C2C5 +A0E9A +9F824 +578A5 +11B58 +6592F +53408 +03971 +50B60 +C4A6F +00A7A +A9BC9 +D89F1 +40518 +9AC02 +41E80 +5B99B +C5180 +8E855 +1E1A7 +C4CA6 +9289D +C7A9C +8451A +5BE02 +B2244 +2E5CD +86B2C +A2651 +3B1D0 +08991 +81CD4 +AF39A +02CC0 +A9981 +8F870 +8C8B9 +A2537 +19520 +4A774 +1E3A9 +F26DC +1C080 +309DC +D63D3 +4834F +42706 +8CAB8 +D082D +79870 +A5985 +A8E73 +1E11A +2372D +6D99D +81F34 +11C30 +F41F1 +A01BD +0B9B8 +113DA +14AE2 +A883D +D393E +C78C6 +4B7B2 +91121 +FD280 +0815C +AD8E5 +9B2B9 +4EABF +F8803 +71140 +32708 +339DE +A80E2 +ABA56 +DDF05 +2A301 +9780A +8ECD6 +A4568 +49EA6 +9D006 +3243C +54E58 +FD4A0 +6916B +9300E +E7753 +513BA +54880 +B2B56 +CA98D +AD17C +21296 +3AA12 +8046B +048BA +E5FA0 +A9AB8 +320AB +3C25E +50708 +9BC54 +B4308 +0388A +19732 +AAC24 +E6975 +5E2D3 +29907 +D8A43 +3EA05 +72483 +62437 +88294 +0983B +58341 +0AD28 +4B817 +8FF0E +0B321 +45156 +B6950 +41D53 +39283 +245F0 +3C5DF +38D43 +84DC5 +34F96 +88692 +04491 +4FF4A +E8F24 +54395 +57429 +1163A +78E46 +EA0D6 +C6A19 +1ADF7 +1717E +01CF1 +39687 +03348 +B91D4 +ABFD5 +10328 +61D7E +4A149 +E014E +8533C +CBD61 +4C619 +8AD8E +5F3C6 +37604 +19CA9 +D2EE1 +2CCC9 +854C3 +91525 +0068C +F1263 +789A1 +D0E13 +0E130 +E130E +128AE +2F018 +0ACD4 +9F530 +188FC +F5965 +40A74 +D3A02 +03C49 +CA6E5 +84A14 +5D005 +681D4 +1B604 +1546B +653B4 +2EB3E +08C26 +4B0A1 +F7320 +CCD61 +C4DBE +3D666 +0236B +57D1E +3A464 +34653 +07F4C +08429 +27B65 +132A5 +AEC2E +696B1 +A3C41 +22D1C +C97E2 +F948B +C3D29 +8500A +3C263 +25544 +9EEB1 +5EB19 +E1A22 +DB99A +3D60F +84507 +65D02 +EA844 +40552 +74524 +B1A15 +71605 +906FF +46BBC +C89AE +A81A2 +9EDAD +A2909 +55B48 +13154 +18174 +3F56C +89CAF +A81E3 +0178D +75670 +3264D +5E553 +25286 +F196C +62916 +974AD +4B197 +D59DD +5E000 +C9ABC +03A44 +49E4E +0D447 +866A6 +FD095 +BA621 +6A258 +CE084 +C3D70 +784D2 +3ED42 +1CD0B +AFA03 +CB6E3 +9E742 +BBD2C +42448 +A5146 +37D84 +BAA76 +F428C +904E3 +9B779 +02BE1 +12405 +6AA22 +56CC1 +6A1A6 +2D73A +3AA32 +A275E +7C220 +9DB46 +7021C +C142C +37071 +FDD73 +95753 +0C730 +99F28 +CAABE +33049 +C60A7 +D5B0A +490AB +C563E +2387E +80C96 +9DCD0 +49F91 +0D0D9 +D01C3 +FED65 +81DA0 +30D4A +C83AB +91167 +9F75C +CC1BA +F98E0 +29528 +623A7 +5D13A +B8C0E +18398 +99C75 +80E6D +E3388 +4A04A +44F39 +9E4C7 +1B83B +2A568 +D8C2C +CC814 +A9C4C +1EEEE +10DC2 +2010E +C4461 +72912 +1E578 +B9184 +210EE +14509 +4D47E +9835F +3D2EC +44DB2 +D1035 +B3A71 +24D12 +885BF +F136D +6B1A2 +4991D +E1C3D +93371 +3A389 +FA0A0 +4D6B2 +C5B38 +0ACCB +03B79 +918C0 +ED581 +39051 +C5925 +EC804 +2E21C +D75C4 +41B35 +C549D +64ECA +30DCE +C4CC0 +971A4 +075D6 +6F70C +4AC93 +44750 +2D1CB +90906 +37ACA +E2709 +A05E9 +0E4D7 +D310B +1E65E +251C0 +F456F +54606 +77D5A +3006A +F6A6D +A670F +04072 +A2D76 +07358 +4C6D0 +6F618 +4E263 +23805 +51BCF +E3118 +6451F +4AEAF +CB2A3 +8F3D8 +4A3B0 +6283B +F5430 +1A323 +01E4A +790C4 +3AA16 +DA531 +712AD +C2911 +95139 +88800 +83C1C +7DF86 +0EE82 +23077 +CDE51 +ACC99 +003C0 +CC912 +70D7B +074B2 +BD22B +D9E52 +252A5 +CB922 +C36E3 +B572D +1183A +43330 +49784 +280A7 +5E86B +34943 +01BBD +A1A92 +A94D1 +7BC41 +D23DA +25498 +170A0 +DF83C +0F741 +026CF +BD031 +C6189 +9125F +14D2F +D1220 +DA3B8 +E42C2 +01DE0 +D7B8C +05CD0 +52B6E +BA2F6 +C512B +C4C72 +ED8C8 +CC0C2 +C2D88 +759EB +2E97C +4772C +48587 +64D42 +FCD92 +60FDB +00AD4 +C41C5 +DD0B6 +1394D +654F1 +99624 +9B61F +182AD +253A1 +42B04 +25EEA +3A683 +2A344 +1C39D +B5359 +B3422 +CA518 +1F856 +A5D8C +F8803 +3CC5D +49333 +4902A +F102D +1273C +0ED41 +0FA29 +140A6 +6D86F +1E810 +3C567 +52E91 +E849A +54654 +8D0A3 +83120 +1E333 +B025E +D0E13 +0E130 +E130E +128A3 +092F2 +E0F0C +E5036 +8B989 +80889 +7D8E4 +19132 +04FB0 +F7090 +1024D +FB743 +C303E +E6805 +97B47 +00FAA +1EA45 +0C8BA +7692D +90A4A +E6578 +8E3CD +08237 +903CB +A10A9 +18DD0 +65959 +450CB +0EF17 +8262F +AD6A4 +EEA68 +026E8 +67321 +D9885 +53DC8 +A2F4D +9BBA2 +11836 +0F65F +E507A +67760 +0445B +AA9A2 +923AC +22462 +022FF +793B0 +AEDBC +E46DA +0603A +49211 +177CB +C7384 +4E7A9 +A2833 +A4C4E +AE9EE +8280A +F6881 +9515A +F060D +01128 +B17F8 +2529A +D2152 +3C827 +8C661 +41940 +532A2 +5B889 +20B5D +F5AB4 +C9064 +D591A +E1C14 +78F6D +81A09 +52A7F +32DE6 +26617 +24111 +083D4 +9C1EA +E8952 +421BE +E76CB +409B4 +85E06 +BD373 +78063 +1BC33 +D6273 +6AB05 +15565 +A16ED +B6190 +0B680 +7D559 +DAB8E +2D889 +BA7C0 +60CD6 +2823D +22383 +6C61F +2514D +26167 +5770A +81282 +E29B8 +B3314 +E31D6 +F9397 +01177 +37827 +0870E +26728 +C0B83 +30422 +AC605 +480EC +90183 +DF884 +56C5A +B9CA3 +90794 +F495C +A96F0 +2609E +9D317 +B1387 +9ADDA +26086 +6B6BB +4C8B2 +10730 +8784C +03DC3 +706F5 +44991 +5DD70 +7D015 +020D8 +FAB33 +37097 +82E89 +3E426 +F2B60 +ADC95 +A988E +2C015 +6CAAF +4D211 +005D5 +E2526 +E0E82 +876AB +63A4E +00B3D +10699 +27252 +A8FC9 +628D8 +1AEF8 +94043 +8B8A4 +8AB2D +2A831 +3EC9A +0BD61 +EB77D +341A0 +46207 +76764 +0F035 +8B47A +EDCE0 +FC18D +0BCB1 +41D8A +199A0 +0A8D6 +1E3BD +987A8 +60C27 +49281 +27CC7 +79697 +A7530 +21100 +30A9A +A9E82 +451EC +59615 +96051 +4DA8B +2BE5C +A8842 +F0A4A +91450 +F55C6 +D8867 +2389D +AC38C +291E5 +01538 +AC422 +5072A +6EFA4 +108D7 +50A4C +B5086 +E42B7 +E0937 +CF95B +5DB10 +16208 +68C91 +CF44A +E21CB +64513 +4A31B +A1EFE +5510B +0F208 +87BC7 +BA0F2 +24479 +08F75 +04949 +DDA85 +80521 +BF8E9 +54CFD +7B0A3 +69239 +2B529 +239E2 +0E111 +E4C5E +B5D71 +3AAE0 +57042 +120D0 +D1AF0 +46B4D +A0E76 +ADEE3 +076A6 +3047A +533B1 +26549 +0A675 +55A66 +52888 +2C804 +94E15 +78982 +A0BFF +23D92 +52199 +9102D +B5161 +C0A16 +B4147 +EA887 +A68D2 +016B0 +25000 +7593E +3A1CC +05A08 +765A5 +4FA5E +D9946 +5C123 +1BC02 +1024B +35143 +EF208 +50955 +37643 +D294A +E8CCE +0CFC4 +2024F +95182 +E1534 +95386 +067D3 +F23CB +51047 +BC8EF +96143 +749CE +C816A +20714 +25685 +ED014 +B9EDE +E8241 +50C30 +51558 +3FD5B +72D7A +6B1B3 +DB280 +0CA75 +4DF84 +90265 +92938 +D4300 +E932B +ADC6D +61504 +35A9F +F9575 +8142A +B25C5 +F9022 +BC7D0 +40C09 +6AA93 +43A37 +A8913 +895F5 +790D3 +E54E3 +203A0 +6C893 +0F1F2 +D0E13 +0E130 +E130E +13B5A +6C0B5 +29408 +FF412 +390AA +6450B +70AEE +D713C +DD22C +0183F +C9353 +052A5 +2EC5C +64B84 +2DEE1 +C5E43 +C807B +C8752 +460B0 +75268 +A9D4A +515C7 +09E4A +8F06A +420D0 +05627 +C9983 +67385 +1B471 +EF8A9 +4D2E0 +4B236 +00B2B +819B9 +4DC58 +5B241 +9A14E +53638 +1CCCE +16821 +28C64 +3FAB0 +CEA94 +6583E +8B5A9 +C81E4 +5D468 +D1193 +8893C +ED521 +53A30 +01824 +7300F +76E56 +423D9 +D8E67 +C7328 +20810 +AAE8C +742BB +51B13 +C5936 +C7962 +0877E +B4220 +7B044 +3EC8E +3142A +10187 +D9346 +F2128 +50E72 +1F008 +437F5 +DDAED +0F22C +9CD08 +99384 +7D7E0 +6F987 +30716 +F06F2 +83624 +BF4C1 +2E73A +2C026 +F911F +065F1 +E10AC +99229 +10AD3 +18D41 +875CC +79279 +37292 +1E864 +4B7D4 +430A0 +25D12 +80F6B +4B971 +19A44 +27603 +FFF4A +9A0E3 +7D820 +E12DD +F0A4D +66D85 +32A81 +A7236 +DE70B +A93A6 +A0090 +D9879 +7A701 +F1C3E +6092E +3C063 +9EB2C +6D359 +64CF0 +90711 +343F1 +DED6E +2E342 +E6170 +91898 +45B01 +2A8F7 +65CDD +3EDDC +04559 +59308 +4D7C9 +946E5 +9A9AA +082AD +D72FB +DD054 +65180 +1A419 +55A68 +713BB +8928B +92E85 +31384 +990B4 +9C73E +9F0D1 +CA057 +081A9 +4855D +A170C +AA136 +B6C03 +41117 +504EA +05E6C +92AD4 +130A0 +B4D91 +2D0CC +565F2 +8F8C0 +C50F5 +BD555 +83981 +9D71C +E092D +19A28 +13242 +1073E +D7DFD +20456 +42172 +943A9 +1A732 +BFE28 +74030 +C509A +21A22 +B26C8 +074D8 +25AB1 +3C28A +A9B18 +FCCC7 +7CE76 +80F98 +A608C +84972 +2A3A2 +AA084 +1D451 +9A22D +1374B +509D2 +35099 +FEF2D +43441 +5C449 +697DC +B8041 +B57A8 +F0DF2 +10C96 +8AE56 +D5494 +A1DB3 +9A003 +08278 +47898 +E9CA1 +35546 +80849 +830A9 +66249 +AB1B5 +089C8 +6A58F +9EDCB +A6A9B +04005 +E866B +04C15 +E95AA +AF1B1 +961C5 +2A5BB +4B40E +410E3 +28E91 +49F6F +40C11 +4835D +9A113 +0DF11 +D3733 +583A9 +CA738 +60F6C +2A648 +EE01C +C9C7E +E9009 +0F0D0 +97407 +31386 +D2985 +F9198 +38E2C +5C555 +1644A +A93FD +793C6 +1CF88 +0AF84 +D282B +A8B67 +61739 +8D259 +44271 +5D893 +877CA +AEF44 +B2755 +512C0 +C9682 +00C50 +57F3D +E1188 +1A14F +64C45 +82E66 +92521 +38ADC +96608 +13300 +AD341 +93073 +0A764 +A0CD2 +ACFAF +66749 +29E02 +29619 +EFCA2 +06506 +258D1 +CA90E +048BA +205A5 +642F2 +6AD05 +9422D +F5524 +C738F +50AFF +00203 +5B5D4 +11BB5 +62362 +75906 +6CE91 +5206F +94431 +90E7D +8BA4C +21194 +000A2 +DA248 +CA549 +633B6 +20C54 +A927A +B0476 +F4602 +B31B1 +71328 +D232F +F7510 +75085 +A43AA +42AE9 +12C3B +3850A +6C235 +EC3AC +DC65E +10029 +16293 +D0E13 +0E130 +E130E +128A5 +05212 +241E6 +33192 +72606 +1D003 +E97D7 +13FD0 +200B9 +45573 +E7AFA +79513 +310AE +D9115 +81351 +F5055 +28A20 +8E114 +65635 +00E7E +0FD07 +E1AE3 +C6970 +7010A +17362 +50171 +3F694 +F5EBF +E2300 +355A4 +838C9 +029C8 +2FBD0 +E8589 +3B472 +C0D3D +E1552 +43E28 +6E0A0 +B11AE +65D5A +C010B +A0F57 +8F470 +E6825 +8C0CB +61083 +755C5 +16348 +9D0B6 +E8E2D +28910 +996A3 +BD456 +42B12 +809F3 +D1BB0 +00A31 +521D2 +F1D0F +F01D8 +65CE0 +4C649 +5EFB4 +30508 +A5DAE +5C1B1 +927CC +BE931 +3114B +3AA4C +AF7D4 +21551 +C2467 +9C248 +AA896 +38B94 +14B2B +24CDC +89DE2 +86C45 +11D30 +48F25 +69C9A +68593 +3272C +B7AF0 +15991 +C6885 +D19DC +885B3 +F0489 +C4CB4 +C9DC3 +F41B8 +85310 +89A6A +6FADC +4AB02 +BB4B1 +4CFA1 +79A90 +368F9 +2554F +121EE +0580A +FF01E +B0A49 +557C7 +92120 +57674 +9609F +63836 +383DF +55304 +F896E +5898D +E8D24 +0EF9F +23443 +6B744 +5147C +B1B4F +884C3 +30826 +4F60F +5A3A8 +63516 +04C0E +3A345 +0E96B +BB254 +40500 +AA6E8 +5E43C +F3166 +2A57A +A410A +4546A +F532E +2278F +1C2C2 +0BAAC +7EEF3 +08B06 +59E95 +9FC3D +15026 +19D28 +D40CE +E8106 +CAAC6 +4C4D3 +07229 +1E127 +94B18 +A3CC7 +C40F2 +19633 +71624 +1DA6A +369B4 +240FB +AC524 +E50A2 +647D5 +26F61 +D2C3D +EC1D3 +27C25 +9CAA4 +CA723 +7A325 +2091E +A7B5D +94964 +68176 +3E588 +AD314 +DD510 +6D916 +A97E9 +3814F +190EF +924B7 +49E08 +4718A +2CD45 +4562E +A1D63 +4CE7A +30972 +0CE38 +42799 +E0214 +35409 +E8812 +093BF +3ECC9 +5369A +0E9E4 +B0731 +5FA99 +D6174 +45189 +2D5C6 +46348 +018A3 +992DD +05347 +9C300 +D1A83 +1F70D +1E53A +05E17 +3D310 +C04DC +4D378 +0A263 +09319 +96876 +91DA2 +93B38 +32641 +0E722 +5C517 +816B8 +1F612 +04010 +24E2B +34041 +46A80 +3EF4C +61C19 +D02D1 +B6E08 +462D3 +01170 +7390D +ED400 +F2CAC +53557 +9E739 +0E7C2 +0A80A +BC908 +68B60 +FAA2C +590AF +31ADB +1A21C +D87B1 +16360 +12103 +2BAAE +55C2E +6E156 +9DCEC +06A92 +FE986 +70117 +8249A +78044 +6408D +82608 +A9219 +EE811 +1EADA +A9429 +8E8E4 +20318 +4A069 +F9E82 +3858B +5E181 +DFD33 +10F01 +86ACA +C9233 +1E82D +A7704 +5DC46 +F0F88 +69855 +5FD10 +0F866 +CCC72 +DF0AC +3253F +6FE02 +94610 +1D8A2 +5EC32 +807C0 +08788 +E54C4 +F0E38 +764F2 +432C0 +04038 +9973C +95D09 +8BC4E +8A0D8 +483A6 +2A70C +3E410 +A5916 +1CE7D +2C741 +378A0 +9AF40 +B7E0B +2785B +1C755 +858B3 +75671 +9D112 +402AE +8E658 +8038D +7E9B9 +60604 +0B359 +4CD6F +D2D30 +EA5F9 +5280C +AD866 +2B68A +967F3 +62823 +D0E13 +0E130 +E130E +128A8 +0AD36 +CC249 +31F54 +F5994 +691D4 +680A9 +D3B93 +03AB2 +87E52 +D83BA +F4DE7 +80886 +97885 +51A6D +BE907 +A630E +0AD2E +0CAAC +42946 +A7945 +6992C +D6251 +1B45B +08C28 +6D88A +D95A0 +D6660 +9314A +1CD83 +48899 +57A12 +41CD6 +40795 +78AE5 +062C2 +36DCD +52305 +950CF +2318D +5FD66 +8207D +84637 +28240 +CBEB4 +690AD +A74E0 +A1887 +417E2 +72693 +324B9 +338DB +33D4A +38681 +40696 +0BDD7 +AEC44 +70A8B +BA340 +7ED93 +49C56 +159B3 +CC3C7 +112C2 +2DB11 +8D494 +B1D66 +EC45A +0222E +514CE +BA24F +20CD1 +C639A +47500 +D83C4 +6547B +58BB8 +E8A7A +6E2E3 +054CE +8F425 +2C3A6 +FDE01 +03E37 +6919B +582DD +A0460 +50D35 +46442 +914D4 +2065A +2C5C5 +4A2FA +5196D +04285 +4DFA9 +21611 +C8A95 +05809 +0886B +853E5 +1680E +A0EC3 +400FD +82551 +76793 +EC86D +1A57D +61A83 +01053 +15C94 +AD032 +3CD5E +F252C +515C5 +F544C +93232 +0422D +1ED98 +35F25 +2B264 +693C8 +ED4F3 +24154 +32878 +3A50C +1941B +AB998 +B1195 +582F4 +5226A +B5E91 +2AF7E +90862 +83616 +52557 +29154 +3730B +66132 +76583 +0F15B +1F88E +EC5CC +0F306 +8DBAE +72CC0 +3C3E2 +D2906 +01084 +B66CC +4D516 +4E0C3 +B6900 +0ED12 +A656D +8260D +880F1 +6208B +91094 +C421C +1E8C2 +05365 +BFAB9 +0528C +A0CE4 +68830 +B6E96 +C908D +486BC +B15C4 +5FC10 +D3B81 +10018 +C2DC7 +C3369 +6A64B +BD2D1 +94120 +EFC5A +4087A +1E41F +E1728 +14397 +0A61D +F252A +1C503 +9A69F +1BBC0 +504FA +C3303 +412C0 +60F68 +56403 +F122F +A0B99 +980B6 +CDD0F +9F072 +A59A4 +83151 +6BA94 +70459 +44E36 +A0335 +9578E +53866 +D4012 +2B47B +9E5D8 +6269E +25603 +0FB99 +12B87 +30159 +CC026 +DE03F +48573 +0D55A +29E5D +DEC56 +03902 +521B9 +CC1A6 +EDA31 +A0372 +1D1E0 +59429 +7F436 +97548 +20F4C +E1B91 +DDA97 +66B12 +BBC54 +87001 +60157 +D6C50 +1B59C +E3459 +3E469 +D3923 +17C68 +D7DC0 +E2EB8 +38748 +880CD +928D3 +599B4 +DD053 +4648C +CFBA3 +D463A +54A65 +76820 +CCAB2 +5412A +2EB8C +61546 +5EBD0 +BC011 +88288 +D4793 +5579D +416D4 +41941 +3500D +42848 +3B840 +8D671 +BCEF0 +08255 +D5C2F +BCC48 +3349D +9900F +5A91A +85825 +0D0FD +FA2E5 +58E40 +13D8C +AC8A5 +E52B8 +F2A16 +5E328 +6F19B +42293 +3261A +7690B +49004 +683EA +C4B83 +4030C +62B6E +1166C +B6A8E +611B4 +A512B +ADCF8 +11728 +1C540 +B6A05 +1BE14 +1AF1D +540C0 +22D04 +E375E +46E60 +E2072 +F89A0 +EC648 +2337E +CBF20 +AA1B2 +4E2E6 +29922 +A8AED +9710A +28338 +14472 +91449 +437CC +450EC +A89AF +49AFD +06A9F +0AA62 +262DD +CA828 +C5380 +BBE88 +2F1B5 +D0E13 +0E130 +E130E +128A6 +29A1C +62309 +09AD3 +E4201 +3E174 +7427F +10C95 +4D999 +08B11 +4B370 +6589E +982D3 +6BC47 +79625 +C93F5 +90878 +4A237 +5D211 +B3E80 +83921 +1CCC2 +820E4 +56813 +41226 +B2E01 +4E676 +C58DD +A5D54 +8662C +D44E5 +99090 +90C6A +03D11 +119D8 +D7790 +8DAB6 +C30EE +B22A4 +2C84F +96B5B +17043 +8B1B2 +C766C +86502 +0841E +DE8F0 +790AF +D92A6 +C9A6C +DD030 +E2265 +068B9 +078DE +7F69B +C8949 +4AA20 +CA99C +5C01D +03A59 +3AED8 +4B15A +CAAAC +98099 +A69C3 +D1CB2 +AEC6C +115DE +41210 +D6BB7 +1F129 +692C4 +0E315 +79174 +56227 +903D1 +78150 +3FBFC +9804E +AA7C5 +10E87 +8C47A +0E6B0 +85A96 +699C1 +2C949 +9CB77 +9953C +88BB0 +88C1E +F187B +088D0 +82C87 +135F0 +94584 +B02BB +98672 +8AEA4 +3AB87 +2CFBD +84135 +5FE20 +BF146 +11B80 +22E9D +0C81A +DCB91 +45F50 +2A6B4 +15302 +C981E +91B8A +772B5 +0714C +D1B2B +709BA +D84F1 +4F024 +B4336 +E1646 +11F6F +53898 +E1A82 +40723 +B6800 +0E130 +69A36 +4D4D0 +4D6B5 +FF407 +8C178 +B7F55 +82051 +2DD90 +AC414 +1A311 +78BC9 +488CB +E46A3 +90495 +75567 +D2545 +2756B +B9366 +0E303 +45220 +E0B82 +9F9D6 +92DA3 +C11C1 +DC38A +EF7A8 +9B0E9 +C6C05 +A8D23 +52E60 +403DA +6D84A +8FD87 +79070 +119D5 +3411B +AC80D +16F76 +DC6B8 +1774F +5444B +09549 +4D051 +633C4 +95823 +D347E +F9918 +428BA +A3358 +B579B +9A8C7 +4403A +D2BF5 +168C1 +20739 +EDD2E +429A6 +26590 +B6928 +05FC2 +476EB +3D1C2 +6ACA8 +BF28A +7C40A +08D51 +09C17 +43FAA +6D15D +8B129 +12A60 +E2C97 +A8026 +98606 +66CE0 +7F516 +52DBF +33941 +16108 +941C4 +89043 +9B6B1 +9B387 +448E7 +13123 +0F3AC +18403 +1BED8 +0AD66 +6D657 +27A43 +81998 +C82CD +A3AA8 +2172C +42D81 +546B3 +94F7E +09A52 +99FE1 +A4442 +18302 +6734C +4B413 +78C87 +73ADB +7252E +2B83C +E441D +34C40 +5C288 +D7E2D +9A76E +B2C50 +0B9A3 +06D40 +FAAC0 +92272 +63188 +A0670 +E0CE9 +77AC5 +D9816 +A5741 +E5603 +8F229 +717B6 +4244D +515AB +43C8C +50D7E +43257 +0EDB5 +F4A48 +A14E3 +0D8D0 +FB105 +D7161 +7D512 +3F1E5 +930E2 +901EC +26601 +9C773 +C0A90 +D1B8D +BB305 +82638 +6442C +5A00E +F4B56 +323B5 +0E3C2 +87629 +0E58B +0AE66 +C6AEE +CCB6A +D3025 +0CEB0 +AD341 +19B6D +B55DA +6470F +1499B +CC54B +0134A +0488E +32D79 +57AD4 +CBE2D +406DA +088AE +698D2 +4CDF6 +111E1 +118CD +640E6 +D672D +EB227 +44B20 +A7BB5 +C8073 +46CCA +BA560 +D8D28 +916A9 +E26AB +FA8C8 +9D2F7 +BAB69 +C9215 +02AB8 +42F11 +071B8 +B461A +4A5AD +75206 +0FC55 +7089B +A4136 +01A8E +56EE3 +C1D90 +6DEC4 +4D4AA +D0E13 +0E130 +E130E +128AD +CF709 +7AF00 +703B1 +E8B74 +4D88F +37122 +5179C +C3289 +5DB3A +49B43 +38303 +F4299 +F0B47 +F1988 +31906 +7A536 +2A212 +A252E +F0FA3 +2A50C +A7813 +E6CC5 +416CA +32430 +C65C1 +42753 +7596A +F2069 +0213B +C6493 +40803 +CE2B4 +EBD79 +1F7C2 +7353B +2AD10 +5B84B +D7275 +A1648 +94249 +60462 +AF16C +1B530 +C17D1 +FE691 +DA151 +55B11 +C5B82 +84E43 +AFAAC +79271 +53235 +40931 +589F1 +B0CA6 +10077 +24984 +CFF40 +5A942 +A78DF +48174 +44354 +9D6DA +26502 +65BAB +6ABCA +A5608 +243C7 +2E38B +57CE8 +F1A87 +81688 +CED49 +96891 +69B7D +125C5 +733C1 +12BA4 +289B2 +73D24 +74B62 +E931C +474DC +E9749 +3EF3A +C0026 +66D29 +42527 +B4C20 +BBB02 +AEB96 +2A5FC +9035A +346B1 +5342B +D5285 +5C097 +625C3 +88405 +E2CFD +097B6 +BA52A +56EA8 +2A2B8 +68E3B +10D68 +11540 +43928 +B1767 +ABAD2 +83ACD +A334D +DAD01 +45142 +DB5C2 +3819B +B2FA3 +3263C +CB065 +D499D +57AB0 +04A7D +D32A1 +5880A +1AC2A +E73C7 +A971C +74E0E +3DD2C +4C318 +23AB9 +60326 +7EC2F +49688 +AAB54 +81C67 +30F8B +8898D +42EC8 +595C6 +DC607 +75381 +4E38E +C9B3D +50861 +56587 +20496 +72CE2 +388A2 +07E6A +085A6 +2FEA4 +03D9A +4F1F2 +2793A +68D46 +82E2A +D1541 +35F0C +062C9 +379AA +00672 +B10E3 +FC6D8 +92CD7 +83383 +9BE25 +E3BF3 +51206 +4E4E0 +026CC +BF546 +994C2 +7124E +724D5 +0F600 +10878 +83267 +28D83 +50761 +79378 +7E0A5 +22A23 +8D138 +A1533 +80474 +BBF47 +64998 +D91D9 +E1311 +6EE77 +8E8A2 +21363 +5B654 +787A8 +E7958 +5A907 +A9BF2 +86F40 +D49B2 +245FB +A2811 +9AB8B +024AD +E3400 +6D810 +53C0C +D8D25 +F420C +CD82E +A330D +B0AD0 +7A188 +3B616 +E2059 +50ACD +037CC +49289 +DD34C +67050 +8AD18 +92126 +E91A5 +DBF33 +0581B +9FFE1 +743A1 +44F63 +2CCD1 +0830D +368DF +2452F +1C060 +ED2C5 +107C7 +5D021 +BC07A +7BD7B +80112 +B2159 +7EC71 +986DA +24851 +7A2A0 +A449D +DA6E5 +6149C +B91E8 +A063B +680EC +67BA9 +A730D +BEE82 +12995 +673F0 +51962 +16066 +7CE85 +809E7 +5DD82 +6B411 +98D21 +C841C +645DC +AE502 +63800 +FB18C +5F601 +7EC63 +E1694 +90F4D +B0BD0 +C8E45 +03CFD +91810 +5523B +C0AD2 +DA310 +C79EE +091F7 +AC007 +126E1 +8D51F +30629 +0C929 +08C50 +F19FD +09935 +0D7FC +54126 +625A2 +15CA4 +65867 +81096 +8649C +3F1E0 +159EA +486BB +DE514 +881DB +4A225 +5F409 +5A277 +028D4 +E35C2 +EA96B +9A5C4 +3555C +14DC6 +AD326 +481E4 +D0E6B +4019D +AD0A4 +910C7 +F1F75 +0470A +847BE +AB834 +FB870 +03A89 +49E8F +88C2B +37241 +368A7 +59146 +64A1C +0E0E6 +73D11 +CC0BF +34314 +D0E13 +0E130 +E130E +13B5A +94509 +19161 +652F5 +BAD8B +83840 +4266C +9110B +04C06 +6CCE7 +AA2E7 +D50E0 +EA07B +91414 +C437D +03F60 +AA3CA +6E3D0 +0D780 +D03C1 +C39D5 +5C04F +5769D +07C22 +B886F +F4059 +9D431 +150D7 +F1804 +DF178 +B088B +8941A +B5540 +42E09 +D1691 +32F11 +1508C +7A86D +B563A +80447 +8265B +27FA4 +0C432 +01914 +D4828 +770AB +689C7 +59546 +026CA +8F46C +762A9 +C3C14 +C1326 +1A413 +54F3C +A85B6 +94E05 +289B0 +75C01 +58870 +643DD +74884 +465E5 +2865D +A6420 +23384 +68571 +FF5C0 +23F7D +92C1D +8694A +909EC +1078E +E2DA6 +D4D41 +32866 +20A72 +6CAA2 +A9320 +5DA9C +93E14 +6F067 +D1662 +44A56 +4C47B +81327 +C2968 +48944 +56AB7 +54043 +B27E3 +A973A +09307 +46AE7 +25495 +FC5D0 +178A2 +40C83 +2FB35 +0C169 +8C049 +4DA2B +B6002 +6DEB9 +6A701 +43F4F +69B33 +4C243 +142A9 +47356 +AF1C4 +D1072 +43D0B +C4923 +A1C17 +6025F +3134A +E93A4 +043F1 +863C2 +6AF52 +45E70 +A9B0F +684F2 +9895E +23606 +49798 +9D757 +F0222 +62A6F +4A23C +B1493 +A3488 +CBF02 +37763 +8D7D8 +18923 +304AB +24093 +6E3E7 +40FE4 +9158A +BB199 +B4236 +50273 +F343E +A28A7 +2D426 +B68D4 +9631D +45028 +09991 +B38D8 +36041 +D8104 +2B2B1 +F4386 +FAE33 +0C722 +F4F47 +13436 +F5067 +EC2F0 +29C38 +CD490 +AA99B +06A9D +A3241 +33574 +8970F +00DF6 +AA6C1 +25066 +BE65E +4BC45 +A43EE +025B4 +A7512 +B318E +A2B9B +490D1 +7D230 +6617E +F7304 +F22A4 +6CBCD +21828 +02F0A +664E6 +A25E1 +05910 +628E1 +2B528 +A2CDE +D2313 +E6957 +50DC0 +05A37 +322C1 +43D28 +8165A +CA418 +A2CB5 +784A2 +2A1EA +1634A +D72A2 +5467C +91731 +08465 +300BE +A3A1D +E2DE7 +42692 +EA816 +09AB4 +C2C68 +581F1 +49B17 +4AD70 +17F16 +48148 +33E58 +367E9 +3B29B +35F5F +1604F +C3123 +068D3 +556C2 +E681F +2A4ED +A8C3D +5646C +07AD1 +E4027 +97F02 +835DC +FA51A +0C870 +A4A43 +02949 +6C7D0 +A84D7 +1BE26 +F80A1 +599E8 +B9257 +75E47 +C4414 +03CB5 +A7523 +7F36E +23470 +20400 +5A145 +BA494 +9B17C +849AB +3014F +F4EC9 +A721D +53C4A +70301 +A92CA +28066 +2FB23 +4381F +8A463 +91C0C +1F241 +6E0A9 +5560C +2CA63 +CA3ED +1BEA2 +D8250 +75834 +6F29A +42CE2 +A18FB +FA184 +55562 +C31F7 +8C0E8 +4D8F8 +E3105 +28DE2 +D69E5 +4BCCF +DAB46 +6082A +674F5 +0454F +8512A +17D8E +47F50 +3610D +06E9D +971C2 +448DB +1A37D +063AE +050B3 +1A3C0 +FA783 +07846 +2F304 +7CC4B +F2907 +4600E +99096 +EE4A8 +01DB1 +59C68 +4518C +8E2E0 +C1A81 +75731 +58915 +56592 +E710C +57114 +A51E3 +55054 +6EE38 +45BE8 +592D7 +02166 +BA4E7 +8822B +D8547 +D0E13 +0E130 +E130E +13B50 +6B49C +0BC9C +DDA30 +C5541 +0AEBE +9E20C +5216B +05D1E +5669A +C6B74 +0C444 +33195 +FD824 +D2170 +3D41B +76F49 +0B541 +B3CA4 +3A420 +715A1 +C8667 +9A5E5 +42B03 +7C672 +CC122 +1BD5D +46891 +4EDB5 +46C00 +920F0 +BC01D +2247A +8BEC9 +C03B8 +E48E4 +EEBE0 +DB44B +270C8 +0185B +D4B40 +8B2D3 +32E68 +B89D8 +97D22 +50E27 +D52C6 +11E73 +C3922 +43333 +3F4A2 +D8472 +F080A +F926B +40D2E +801B9 +C1F26 +028B2 +33153 +B26CF +0A3DD +0C1D6 +51A65 +6B711 +24689 +0B7A3 +ACB93 +B8505 +CB4DF +E700F +8CC0C +07495 +01593 +C2B7D +D44F8 +3B3C2 +A0E9A +53B20 +77BCC +05452 +E4A36 +99AE1 +5DD04 +172B8 +ED872 +C114F +9C8F1 +78D2E +54B96 +25C61 +75553 +7247C +3AA98 +C4222 +04119 +4A03E +36F0A +984D5 +710A7 +427E8 +50C85 +FC0A2 +511DB +58489 +12B73 +3C2B3 +38569 +46C5C +732D8 +E1A28 +CB83E +D1D20 +5C298 +10A05 +C153C +D3A13 +450CA +104A7 +698ED +B2004 +8DE6F +4B079 +A68A8 +08AD8 +A4878 +12EDB +827D0 +6521C +CFB64 +3C019 +6E21D +A1501 +7CAC6 +21D67 +D9455 +43E8B +AE102 +5E7C9 +3F6B1 +70663 +55330 +9CB2C +549C5 +10F50 +34B44 +4E586 +50E77 +A3737 +F921F +808B8 +BA4B4 +A9484 +48128 +6C5EF +B7163 +46619 +F0A26 +D0002 +BC6E2 +99EE9 +58990 +14C9D +4CC28 +CD646 +F88B5 +6EA14 +0F06E +845B5 +71D21 +7F237 +126E3 +02883 +BC919 +96B77 +9C372 +6C655 +55101 +2A5A2 +8762A +18804 +424CC +85858 +1530C +735A4 +7A62A +2BBEA +560E1 +64719 +5A098 +DB074 +2B660 +F4B67 +D20E4 +92951 +793D3 +2E755 +60243 +02EB6 +A0982 +B6F82 +3827C +4A5A1 +229D1 +336A9 +5698C +3421A +3953D +A0559 +584DF +3EAB0 +691A4 +53BC7 +0399B +10E6D +C8E44 +B92F1 +1E969 +0230C +6F0A5 +07A15 +C00F4 +61D9E +BC513 +CC213 +C6C13 +12F40 +3955A +C291A +16C81 +8A8DC +9396E +43CB0 +23B78 +7F276 +D0095 +3AC47 +9B540 +ECDC2 +C25A1 +106B0 +080A1 +F775C +BB16B +2868B +12CE2 +9B331 +C8010 +12238 +F90A2 +6BDFD +9E04C +785BE +11519 +1C14C +B89FC +4386D +6D90E +DC5E4 +22B11 +A15A1 +46355 +1249D +368A3 +F2369 +8CD51 +2B8C2 +83439 +F0A09 +DC692 +43151 +803C6 +DEA0E +8400E +BB59F +87772 +16971 +CB658 +15C20 +3A2F9 +853A9 +1C192 +2A3A5 +BCAC6 +189EF +129C5 +AB6D6 +05E96 +3C208 +C7128 +3E59F +1913B +43CC2 +02A5D +0DDD8 +1CDFC +44613 +29032 +99227 +AA8D3 +CA3F1 +69270 +5962D +AF07D +A3934 +21157 +2B751 +882C5 +DB46C +4814D +DD154 +C98E5 +01774 +C15C8 +EF61E +A7097 +19ED8 +C5C14 +39A20 +461A1 +48A30 +BDCAE +77939 +59243 +E0368 +4A922 +8CAB2 +37585 +B4A9F +4926C +5264C +AA8EF +A883A +D0E13 +0E130 +E130E +13B5E +25035 +4AFE3 +D0C37 +E2715 +AA701 +18919 +96494 +08C6F +4D377 +BC2A3 +866D5 +95BA2 +23177 +65788 +45DC7 +4248F +6B63F +92331 +9C783 +1910D +87E80 +23EB9 +BB1D2 +AE23B +2D858 +68A3A +05A72 +39408 +82CA3 +FEEDB +730C7 +25688 +76655 +60A14 +491F8 +8BB30 +03CC0 +01DC4 +3C53E +AAD72 +77488 +8CCDB +B0E8A +DAF76 +400C4 +AA283 +A1C8D +D1478 +13013 +FD6BA +9C7AA +36012 +8D1B1 +40DCD +86056 +55B3D +32DD9 +1C125 +47C06 +05212 +6A079 +4BDE2 +9EBC8 +29C48 +448BD +18AD3 +AC529 +5A7E0 +0A431 +90FCA +09F68 +AE671 +D4191 +56721 +4AFD8 +4081D +D928A +4B284 +735C2 +91896 +7D263 +A9081 +201EF +C82D8 +68D90 +B2EC7 +8850B +9ACE6 +1D00A +44913 +02464 +09C9E +66FCD +E4A61 +47A01 +4CC98 +5FED3 +62A0C +7EC35 +DE121 +0C829 +002E5 +C2FD7 +1BC0D +E4A36 +A286F +74069 +84DC2 +3226C +C3430 +BBB5A +A27B0 +4C106 +7D0D0 +06E9A +2ED10 +BBF39 +91092 +8974A +EBE2F +4A415 +882AE +186E6 +F6CB1 +886C3 +FFE83 +1111B +65127 +D93DB +1091B +22947 +75FC6 +415C4 +9E6C0 +9D6E9 +090ED +55ACE +8B898 +E6198 +7759E +156DC +83A84 +1E81A +CBB17 +E50AD +D42CB +14AB5 +6C9C1 +0E9CD +26452 +4E2DE +1602B +F5E94 +26947 +48A5A +99275 +64342 +A174C +6B78F +8514C +774E0 +65A98 +ED842 +556DA +28204 +AD804 +32521 +CB891 +D04B4 +906BB +02324 +2AC5B +49891 +1CD56 +8245A +E1895 +29C30 +962F9 +75C87 +1B0F2 +8D141 +AAB2A +44175 +5992C +AAE05 +D938D +A85ED +560E3 +FE7E1 +5A04A +22F39 +2A54C +14714 +CB791 +7EEC3 +051D4 +A8F49 +B780F +6D211 +C62BA +236D1 +D4181 +394A0 +8412A +EE229 +9C03F +12694 +DF208 +8A52C +B1BD6 +CDA41 +72482 +198F1 +163F6 +02D2F +1AA8D +83AF3 +0C489 +424A9 +4D041 +4B19D +83E26 +C20E9 +81B0B +8C1C7 +0E118 +B1658 +47C10 +999BC +3301A +906B0 +88893 +FBDB4 +6A6A1 +50C43 +14C0A +40D13 +CA571 +397C8 +7518F +00E64 +261C8 +5862E +D98F8 +DC68C +7562B +18A90 +315C6 +BF91D +33830 +98635 +EC924 +6805B +A2CFF +71B98 +4EA44 +377B6 +02B2F +C6622 +227CD +2B816 +4801D +04786 +39F1A +30AF9 +FD210 +4E9C2 +C1614 +B8583 +3549A +C61EE +BB36D +0DA2C +AC843 +17185 +63BDB +008A7 +C4358 +8AC48 +3DD19 +3F337 +851E4 +0232E +1813D +E8E5C +8E110 +96545 +6E153 +500CB +FA893 +892E2 +A86D4 +A1328 +E78AA +E4B84 +52907 +751C3 +830D6 +3D744 +31D30 +C4CB5 +34A2B +322FE +B9540 +93DFA +902F9 +8A146 +C1879 +34B43 +B381E +A2D94 +A4AB3 +87839 +4BE22 +AD645 +75B88 +060B8 +122A9 +720C7 +8D0AF +5E671 +49429 +1DB09 +CD7E0 +58969 +5A4E8 +A092A +6BBE6 +835A1 +6D883 +89ABD +D0E13 +0E130 +E130E +13B52 +95322 +D3936 +7C616 +45961 +99394 +313E8 +99086 +1407D +5C78F +2A2C5 +30C80 +0348E +71B84 +BBCD4 +17B18 +45A4D +CE36B +03FAA +0A12C +851EA +340C0 +493E8 +A7D7A +23E02 +1EAD1 +0D3A0 +96DC0 +C8075 +D2A9B +C729C +9820E +E6876 +32421 +3F03D +DE004 +2933F +42592 +8A2B3 +01407 +BB243 +28E99 +989AB +27DD0 +C1D41 +6643A +5F8F0 +59201 +ABE59 +C9E88 +4012A +A99BB +9991E +C4AA9 +678A0 +6F620 +371CA +10A37 +A1FA1 +8E85A +24651 +74B71 +3E20D +6011A +2241E +6E825 +38AA9 +167C3 +38D05 +7C60C +3BCAB +83DC5 +70A0A +D3012 +DA9E2 +9D895 +918DC +8AA60 +A0670 +68A3A +0D787 +B0D09 +A8765 +8E872 +2823A +1A7D4 +D29FA +845F4 +499B6 +01105 +6F4A2 +4599D +4035C +8F424 +549BA +14AD0 +3CA4B +B60EE +4C62D +092D5 +22205 +62284 +846A4 +FAD96 +9B894 +1E280 +076FE +1B247 +50624 +2BB31 +48958 +BE92B +818E8 +35578 +61754 +AA4B6 +6024A +BB029 +1CAB2 +53B43 +C8B9A +7E905 +0C43E +A1DF3 +08B6C +E7C2B +05D3B +0855D +729C0 +12F39 +A28E9 +2CF4F +40053 +7AAC1 +406FD +D0E24 +56550 +EA86B +64D70 +3954B +197E8 +11E68 +5FCE9 +271A4 +1AA9A +492F1 +1CE90 +E25C6 +953D4 +19540 +3E35E +2C052 +94037 +78A93 +3AD28 +DA616 +1EA5B +2413B +3001E +CE335 +E18C5 +0636F +E244F +11D3D +040F3 +892F8 +B0592 +6035D +3132C +5170A +6D1EB +B3DC5 +A8243 +89171 +20255 +07B45 +46DB8 +3A13C +A8365 +16460 +26300 +E5003 +DFE30 +B4DAF +04B36 +19020 +44450 +3C3B7 +41E08 +69652 +12A23 +D8D26 +E5898 +F1916 +5709D +4C662 +96347 +98158 +B252A +3F805 +9F38D +14579 +E43DA +95010 +C3689 +C5895 +0366C +69DF4 +99431 +EB5E0 +6D6E4 +0F215 +B8166 +5E88D +A5465 +E65EC +9A745 +93892 +0CACE +E97C2 +3CBC0 +1A155 +4703C +54663 +1DE12 +F57AC +E0959 +4A6A4 +14FDA +27B23 +A0238 +098EC +3EEF4 +A5466 +10925 +ED7C7 +80817 +3A048 +FA306 +2761E +A5517 +7AC5B +17A88 +1CDE9 +411C0 +A3122 +21C6D +535DE +C1465 +4CB79 +C258F +992A4 +E41C3 +BC5CA +95387 +50256 +CC744 +C2C0D +D6EE2 +6419B +571B8 +A0B9A +040A7 +69076 +B0C72 +B10DB +12205 +4C277 +CE7E0 +38631 +66033 +09FE8 +20B30 +B04FA +D6B60 +920D0 +61B7B +3B84A +28B92 +20836 +558CC +90064 +6E526 +4263D +D1D57 +2B412 +55091 +B1E79 +24531 +31320 +60F0F +607D2 +72026 +9A39D +71203 +A472F +27300 +E9772 +B3292 +38FB7 +0E528 +94D25 +42A30 +DA6B8 +816BC +AB6F6 +06149 +E8299 +72845 +008B9 +EE6C1 +BA6AC +E6A71 +1407F +8D252 +25C91 +BD8B3 +0DD45 +0803F +B0667 +2D187 +0F0CD +54E10 +4978C +E3B19 +BCD8A +2E35B +6BE3E +86064 +5E920 +58F6D +154D1 +D0E13 +0E130 +E130E +128AC +A0B43 +F004D +4E4B1 +5F551 +08F29 +A82AA +1429D +20A52 +F88E6 +D32AB +17FE1 +0EC08 +137D4 +D4620 +319DA +3A206 +4A3DC +23535 +7D9A5 +C812B +90111 +52307 +67B42 +3398E +ED288 +94902 +558A7 +1EE32 +E9282 +B86D5 +8AD25 +7EA8A +0734D +8D4C6 +6A0D9 +9B41E +B379A +3F372 +B8522 +0874A +92E65 +C201A +1DEA0 +A029B +65FE8 +EA01B +A1D7C +22C83 +E7F0B +305AF +E2087 +26F2A +98504 +44306 +7B686 +18561 +40984 +AE452 +367E0 +B0199 +E0A20 +6756C +F0390 +3F886 +2071C +88EB2 +CD6D5 +83D42 +53168 +8D52A +D3F56 +286F2 +81F82 +145BF +4AFB6 +106A6 +41200 +DC0D4 +8CF33 +C1FD7 +0FBA1 +AA060 +B225B +4F517 +81CE7 +4E940 +0DD58 +52D4B +41E72 +87CC3 +94858 +D347C +6BADB +159C3 +B2DC1 +230C6 +C7EA2 +814CE +F8D8B +96355 +15DA1 +31B58 +F4E3A +0BAE1 +3D0E0 +774E9 +38454 +9F834 +AC445 +444AC +9A228 +B3517 +6539A +25598 +A6131 +F1C22 +30931 +88228 +06A1F +D2251 +605EB +87DBD +02D38 +C2BAA +60E77 +0694A +2DA59 +A9BC5 +5C4E6 +D4821 +3C925 +C2B49 +59525 +9E489 +6478D +6A76D +AA36B +EC4B8 +D4755 +D001C +5F2DB +1C610 +22499 +5DA59 +1A914 +63A6E +A3A45 +5D4AD +75577 +B0520 +8C639 +1FE6C +CD088 +15544 +9E200 +E3634 +1E4F3 +8A0B8 +993C2 +FAA0D +F7368 +045AF +2096C +34690 +D4B17 +24507 +584E9 +21F43 +88436 +22A65 +33C1B +521E8 +303B8 +E1E5D +065CF +88CA9 +A43E2 +D8A74 +A4647 +9490C +CD299 +300A3 +E9091 +F893B +25D98 +1FC4F +0BA31 +4698A +3244E +D62F1 +0AF86 +10D29 +8D408 +B6592 +C1DED +8C3B0 +E09E6 +3013C +1A8FE +CE27A +954E2 +8FE66 +21A92 +8FC8B +227CA +E69EB +261CC +1E0E8 +11B59 +B80B0 +38269 +829FA +A221A +062D5 +30EB4 +8040C +31EC8 +4C920 +9CA3E +8D5A1 +A0928 +5D61E +502F6 +15B23 +48EC0 +DC34B +46CC3 +E7C89 +76803 +B6897 +E809E +EB363 +D4D08 +1C989 +85364 +061D7 +A0104 +D27AA +00F6D +FB06C +0574A +512A9 +C102C +9636E +F420D +C9B8A +8D942 +9D0D0 +D4F64 +8D150 +EFDBA +51460 +71D92 +458B7 +60CCC +2E81A +260AC +66827 +C4903 +48A51 +19453 +09129 +2563A +ED9EC +A9205 +3096F +54C3B +7F531 +4515B +29377 +5056C +BC239 +77204 +80FCC +D20EF +5E8CD +08B56 +24AFD +C2570 +8D566 +328C5 +72999 +28044 +4034C +08328 +B8A6C +7CE8C +07582 +4669C +0DDD1 +210CA +B6D8B +2AE23 +08BE6 +04312 +8FF3F +A220F +036E4 +CFD8C +031DF +41781 +C18CA +CFB21 +0DED4 +A2C4B +63FC2 +CC151 +B3A7A +3E627 +402A5 +4A2F4 +B425C +19EB4 +3720B +A5363 +10D88 +750E3 +01E29 +69324 +B664A +7033A +56D87 +CD0C9 +A21EA +66A72 +643AF +D4860 +6FA2C +28757 +72047 +781F8 +D0E13 +0E130 +E130E +128A4 +52A88 +30641 +FA4F5 +265B4 +E8C2F +F2290 +10A0B +1327E +4D188 +02BC8 +B030C +74B03 +1FAE4 +4F064 +CA046 +499A8 +081ED +9CA38 +C3879 +E9145 +048CD +77204 +8F95A +4D125 +378F2 +0B183 +46E03 +631DB +BD694 +82CA9 +0383B +2539E +3052D +81EFC +D6520 +E304B +DB7C4 +4A616 +B8641 +D004B +94469 +C2994 +61A80 +CFB1A +447D4 +560F9 +851B1 +97608 +66B0B +65AB5 +A8D50 +90B43 +4619F +45DFC +A8A45 +83572 +608D6 +8904D +12BB8 +6FC54 +4841C +FED93 +718F1 +B30CC +82B9E +96721 +10523 +312ED +30CE2 +E4E0D +E4882 +9E053 +3E40A +D0F19 +1032A +E63CA +8F391 +EF150 +0EC29 +17F85 +1EA27 +2D053 +70312 +8D341 +11EB5 +66E16 +08DAD +898E3 +013FD +9C30A +9A282 +23AB8 +0C62D +45BB2 +D7E01 +87521 +43C80 +7410E +8A9DF +15A69 +D5777 +8712B +96401 +9A442 +665A2 +BEB03 +BE865 +75D9C +06B9C +0671A +B7CC2 +94E99 +7039C +850D2 +DF035 +84062 +4B1A5 +CCF8E +68283 +519AA +57ED8 +4997F +920D1 +169A7 +A860B +C55EB +4625A +D1D32 +B8047 +D718A +B4785 +A8FE8 +018C0 +C9501 +C7190 +B270C +9F74A +3A258 +A616C +B2822 +1AA80 +4D911 +F70A4 +D8A8E +DD112 +A0028 +19064 +9550C +611B0 +060E4 +EC545 +31813 +B0681 +0A191 +A33A7 +58DD0 +8A7AB +2E2B4 +495E7 +08D60 +05E58 +06349 +F341C +774B5 +2A80E +23D3E +F5161 +FB622 +047B3 +E6644 +126C5 +AA359 +4A56C +60254 +2F684 +E3191 +557EE +80936 +0ACB7 +CEC08 +7D19A +6234C +86809 +B04A6 +03130 +004E4 +772E6 +5EF53 +F7555 +20418 +24905 +07465 +202D1 +DB5D3 +BB516 +2A8B1 +9C047 +BE238 +76C21 +98D4E +1D494 +0B0E1 +5E587 +2A00E +630A3 +9CBDE +30E1A +D1ADD +942DA +70E24 +8524E +0513A +6D393 +17E95 +BC64B +E0808 +AC943 +6A145 +980F7 +E1CEB +D0D86 +70A70 +09623 +4C4FB +E2259 +87280 +60F6C +F6D0A +A5301 +08F10 +E7EA2 +B9020 +388C3 +39ED9 +81C9E +26269 +9012D +C2DBA +23D54 +C56CC +9096F +566D4 +6247B +40100 +CC089 +D8C63 +BB300 +D94D7 +08568 +353EE +33325 +0B4A1 +BF38B +A05BA +50879 +C629B +BF054 +2CAE8 +62160 +ED707 +61795 +CC714 +5302F +409C2 +2E2E6 +B360A +8E06A +354B9 +9E443 +7B236 +C2908 +989FD +B905A +B072B +18D19 +9CA79 +B1196 +0AA32 +0135B +EAE08 +503C4 +21973 +5FC0E +27A92 +CA49A +7C530 +01ABC +D313A +553A0 +C239F +2B822 +2D700 +CC29C +34695 +67490 +C2A75 +833E0 +8C2F1 +0F984 +8CB6B +81E9D +3224C +96F88 +C9F14 +6A2A1 +18387 +398E1 +01A4F +0857C +272FA +B1B0E +F5841 +FF037 +A0F60 +61E83 +A44A2 +0AD80 +0AD32 +95AEE +02AB6 +B6C0B +7927F +F94C8 +1402E +34FA2 +CF13A +C1B06 +2A962 +D9941 +DD088 +B888E +D0E13 +0E130 +E130E +128AD +CAF85 +2BA20 +82B56 +158CD +9ADE0 +B1AB1 +DF723 +8505F +2611A +61259 +0E41A +918DC +AA485 +95C35 +1CDBE +A5880 +CE47B +BC570 +3A4A1 +345E5 +10244 +F15EA +20F82 +D4BEF +67C4B +B0304 +041FA +9249A +95EE1 +9AEDD +2FD89 +AA210 +62E1D +982D4 +358CC +447EE +EA7CD +096B0 +8A6FD +22A56 +C7A6A +9A524 +15088 +E1000 +6926A +A9DA1 +C5E29 +D383C +2889C +527A9 +C2CE8 +9046E +81A5D +6716C +FA515 +15E21 +60A84 +0D894 +A5CC2 +7861E +D6892 +79846 +1ECAD +B555C +88844 +8A185 +DB5D0 +5B890 +179E2 +2BA4B +C6B85 +76864 +90D81 +9D700 +E5D33 +696A8 +4F388 +6CFA2 +319D2 +E3324 +51E72 +49CD1 +21B1E +A924E +CB22C +0C60C +CF146 +C2982 +44AC1 +A81BB +624F8 +E8223 +96E38 +76338 +FD201 +E9245 +D0CA2 +CAE82 +AF46A +B91ED +0CBCF +3C4D2 +28663 +8AD91 +571CB +100B7 +15876 +CBC72 +C0C51 +9A4C0 +E7842 +89904 +F3EA5 +0936E +82D44 +D1E1A +BBCAB +D4301 +DC085 +181E0 +79F18 +AAD16 +1D248 +B88E0 +097A5 +9F3F1 +E18D1 +15360 +F33D0 +0571E +ADFC6 +3A704 +90830 +E169B +1968C +4363A +734EC +C8DAA +1CE48 +73781 +52D04 +39A58 +4E359 +B0A02 +02F11 +8B394 +7CEC6 +AB8A0 +2F5FB +26049 +EC5A4 +544EB +15A2A +02136 +31661 +F263E +00C62 +784ED +AC52C +8117C +1411F +7026C +129DD +B5481 +2304F +70757 +0C269 +6470A +510AD +997C2 +FA58C +05222 +78767 +AD862 +000BC +0D9F2 +89242 +C9204 +A06CC +22EAD +4DC25 +A0A8E +07A05 +AFD30 +CC338 +051AE +DE386 +5963E +56C2D +11368 +48B90 +BA994 +A4370 +848D5 +9C0B6 +66AE4 +00E78 +29C01 +3F280 +92486 +7E4A5 +99380 +DCDDB +07A8E +6D0D3 +3B4B6 +C4C07 +1D52A +DA029 +C3C30 +96AB4 +0EF00 +54927 +71A74 +1818F +A7147 +CB8F9 +881D9 +E89D8 +21DE4 +8E128 +0044B +5333B +39362 +B5A6D +72422 +29694 +447C1 +47A1B +B94A7 +12ADD +AE100 +32CE2 +0A5C1 +AA19E +B9B8D +18293 +3246B +453DD +0EE18 +8C469 +83F8A +66DB9 +36542 +A5C01 +A18C8 +5AF0F +85066 +573EA +CEE38 +A21A5 +B0C18 +2BBA6 +C11E7 +40EEC +2BECC +61D45 +72B5B +1D491 +41CF0 +113DC +12766 +BDB57 +0021E +21703 +BC8F8 +50034 +3A642 +58F0D +5DC79 +2252C +00710 +CEBFB +C2007 +992E4 +6132B +230CB +8A20B +DF06F +65916 +92B57 +C30C3 +33441 +32C8B +EDEB2 +67370 +44B88 +38921 +000B7 +C60DE +52783 +2C25A +8BE63 +1502F +D5D27 +2502F +72EC0 +860C1 +BDE41 +3F402 +A98F5 +D9290 +58B63 +18742 +D248E +ABA0E +9495E +42B68 +22B04 +89E27 +14966 +88B02 +8F642 +A588C +21469 +0DF94 +F1230 +79AB8 +E3A52 +54ABC +AA353 +418D5 +02A05 +8ADDF +8481A +AC9B4 +755C3 +D9423 +D7F20 +1EB25 +78438 +D0E13 +0E130 +E130E +128A6 +285ED +D1118 +A1E74 +1B206 +18783 +5FFD1 +16278 +070A8 +1E054 +20283 +60E02 +A1C4F +04E05 +A9ACC +5E02E +06882 +287C9 +09625 +96684 +315F5 +1238B +CBFC8 +5041B +31ED6 +410FD +6A629 +07348 +5CB2E +35514 +EB2C5 +E44F7 +20C35 +06C59 +47E41 +C9B2E +96A70 +B239B +68614 +6F738 +10667 +8B597 +1F034 +A2636 +853BA +2F523 +C09EC +85214 +BF16A +048B2 +78621 +91044 +51280 +7C246 +75A68 +49D2B +F801D +E4D8B +4D0AC +76B34 +A285A +17DC0 +DCAA5 +480C9 +BF4A9 +380F2 +5884A +0E5AA +2AC5D +71216 +FB189 +02A7A +3744D +1D755 +9C6BC +66006 +0C1EE +012AC +EB117 +904F1 +E5354 +9E772 +E7914 +12265 +2C8BE +D3CB1 +28184 +ABDB4 +42A4A +A5D8D +5F282 +37489 +B6AA1 +F5017 +342B0 +56930 +04433 +E92A3 +843C4 +68AD0 +45929 +040C5 +0C20D +76464 +12C9E +37144 +5D689 +5967C +00F43 +C6527 +57C92 +A7C4B +5E93E +5D108 +25D58 +5EC8C +98680 +767AB +46D13 +D8806 +668B0 +78329 +978C3 +5210E +78849 +3E57D +58520 +7D315 +ABD27 +04864 +1A1E2 +B5416 +11E92 +30139 +C141A +B4917 +A2C8E +85ACB +C7452 +0C9BA +3C55B +D58C0 +84A87 +684CC +0C28D +012AD +EA6FD +38727 +C82DE +0CA16 +B268D +2C276 +922C3 +542E1 +77580 +D6D51 +56558 +4378B +2BD44 +23E72 +0CF22 +15976 +E74B0 +70264 +02EAF +8E249 +AA58C +1FC35 +A4B13 +0A029 +8FCDD +DA279 +9462A +32934 +82A6A +60382 +F815E +50716 +CC551 +708AA +2BDD4 +B17A7 +9D3D2 +4015C +6CAAE +1D6A0 +F1B24 +0337F +638CB +42065 +C3E73 +28927 +3E616 +E8393 +39194 +1806E +F2D59 +EE1B9 +28E18 +2745B +C3FD1 +0A49D +261C2 +1EC8E +17DCD +20037 +B1AC4 +9020E +15520 +81B0F +A906A +F9BE5 +1E131 +6742E +2A564 +7523F +862BF +98858 +AF115 +42997 +9705F +711B0 +A7023 +CAFDA +E629A +23209 +A4103 +C019E +95921 +81990 +34450 +97091 +4A94D +E815A +F2D39 +E3B6A +9009A +0EAB3 +3B9AB +B4CCB +7C420 +1284A +68287 +61297 +99F84 +8DC22 +A32CD +F1944 +F1597 +D694A +581AB +8B9BA +0C628 +4ADB7 +A9A00 +4FE3B +43D20 +CD503 +8EE7C +8C8B6 +32F0D +C4315 +D4C67 +0CD8D +AAAA0 +35240 +8DE73 +0AD0E +9B4A6 +35161 +61C7E +EC12B +4663C +3DCF4 +00953 +D4F01 +63B82 +A0E2D +943A5 +72345 +260C0 +A7FD6 +B8904 +4DA96 +58444 +6D52B +58528 +76670 +E31E5 +42013 +55637 +B6892 +BD7D2 +3BE47 +08529 +14CDC +8DE45 +D9105 +E888F +E70A4 +06DF9 +2E471 +1E148 +8390A +4035F +626B7 +40DEF +449FC +C044E +56796 +AA947 +66742 +A21C0 +DA936 +04695 +95D85 +450EA +A71A3 +96429 +109A1 +E5C0C +419DF +7B214 +EEC35 +3E831 +26C1F +716B0 +10330 +3BA29 +50AD4 +01BF0 +9C611 +D0E13 +0E130 +E130E +128A4 +1E02F +140D6 +C1552 +FFF18 +8509B +905A8 +17050 +7145E +7D119 +CBB10 +B92C0 +65A56 +EE966 +FC12C +4A4C0 +8C4B4 +8801F +2B48A +F5E1C +2111E +9D3C5 +7B185 +9A82A +96D29 +4AFC1 +5F30A +17F71 +D2310 +11911 +8D085 +FC950 +61C3B +025FD +AD072 +C6A48 +10081 +2B320 +A685B +6072C +C0D55 +6D046 +D62DC +8B4C0 +96C08 +1A2E9 +E4857 +0DFB3 +C1924 +50272 +8C6A0 +7E20C +110C9 +F94ED +62F31 +5DE89 +4A959 +00B40 +034D5 +1B348 +27311 +5C848 +0C6EB +1D3B3 +2FEE2 +139AD +1D054 +807C1 +62B67 +20455 +FB008 +98ADA +38270 +095E1 +D43D0 +DDBF1 +26940 +2333D +4C107 +CD4F2 +13975 +09A8E +BA138 +23AD0 +AE2CF +05C64 +2E938 +9E183 +6D314 +F3183 +D9272 +4C858 +9BA36 +AE554 +469EF +514AA +8853F +200E9 +3A405 +7701B +1C6CD +06FC3 +006D1 +6C607 +12B1A +F15BE +55414 +3E25C +52269 +43659 +2B770 +A0F04 +077B2 +49EEB +45267 +45674 +72200 +321B6 +947BE +822C5 +15D15 +7CA56 +6326C +61A56 +16FDE +01984 +90621 +22D91 +029D8 +B3616 +A6E43 +2A0D3 +2D665 +184D6 +B060B +561D9 +1CE41 +2BE6B +14A90 +1D2F1 +EBF8C +54FC9 +071E9 +EE182 +0D29B +8930C +85A6C +C6124 +B3120 +5E1A2 +D2B67 +ECA41 +DEEA6 +39E80 +B1905 +E9D1E +D215B +F601B +863D7 +ACE8B +2C3A1 +07CC6 +20C87 +1FB24 +7309A +22C8B +F041B +E3A69 +589C4 +09621 +F0966 +BA9C0 +48078 +B0ABC +2B566 +130A5 +C69D1 +527EE +B0444 +E72D4 +7B135 +7194C +A6F8C +10249 +F5028 +79802 +8EB5A +39462 +4611F +46455 +C2A31 +848D7 +759F8 +C9210 +7041C +67BD9 +89D16 +18315 +A8A36 +7034C +D3918 +4A42C +A2B02 +E4691 +0584F +8F3B7 +61883 +602A4 +94A80 +C0303 +B682E +3438B +BF45C +F0648 +05B04 +2E06B +81BDB +A998D +48163 +F5C0A +C526C +F6915 +5E178 +C82BE +A54E3 +846CA +AC940 +2F808 +B4705 +AD6BB +710D1 +28CFB +C6198 +12915 +B5A51 +90BC2 +3F765 +4D415 +1B84A +AB739 +20D86 +16A5D +01930 +6B199 +9099E +D70EE +8DE12 +78A26 +215A5 +EE010 +162F9 +EF0B5 +5CD3C +375B0 +1C00E +4B653 +9B224 +3E1E8 +DC8C1 +0D0AC +305F1 +09552 +8BCAC +F62E4 +BC501 +A9CE5 +55256 +C03E9 +72435 +D34E6 +5C474 +0D3C8 +B54DF +77988 +A8D84 +D30CC +C3F80 +D05E5 +2A2CB +1A885 +E7538 +30647 +28582 +435CC +9D266 +AEE34 +CB515 +8C684 +B8D56 +C5902 +FC9E4 +D1A22 +9E124 +7FC89 +4F144 +67A48 +5728C +8A188 +2A098 +B9F30 +2BC9A +09F4D +4676A +E1AA6 +0625A +4204E +4642C +FA8A0 +E8566 +48DF4 +6D016 +2A40A +A1964 +05199 +BD04F +65D12 +5F4AE +C4312 +28225 +21EEA +0586A +E677A +5C3A8 +115E0 +D1901 +ACFB9 +93888 +4E646 +7316B +9C0EC +5030C +8CB69 +D0E13 +0E130 +E130E +128AD +00337 +A6242 +BDD96 +70E94 +DC1D1 +AE1C2 +DC05A +29A2B +1E2F7 +232CA +EC7C6 +0CAE0 +523B4 +CB568 +005E0 +7DDA1 +483F2 +C104D +3AE77 +6D100 +474C1 +F1EC8 +499E2 +1916C +AEEBB +14738 +C5212 +FF599 +4A30C +A8E84 +1DA6D +7F526 +58481 +C6304 +2FD24 +B5CD0 +B29A4 +1FE81 +6328D +B965C +9C05F +4D591 +43580 +E90E0 +2B3D7 +2ACE2 +19AE5 +86BAD +A70B0 +40CB3 +C30DB +838CE +59C20 +5B9E3 +514D3 +462CA +A0A10 +49804 +3FEC8 +D0954 +9C0F9 +1F224 +73FA0 +BDC44 +14E9A +C83B1 +514B9 +F9E29 +40F47 +0E869 +D88B0 +C6FE4 +1C1CC +D92A2 +F344D +4A4E4 +B32D9 +9BD11 +E2352 +6AAC5 +3CF23 +12AC1 +7C45B +68B0C +980E5 +1A5AE +7B959 +E81CA +BE1A2 +20BE2 +06CE0 +DB1EE +E30A4 +06670 +DB350 +55897 +840BB +4F0DE +015E8 +956E1 +CAA3A +8A021 +0663C +D3503 +92D76 +0E949 +EF846 +94914 +F67D3 +C0C5C +AF0A7 +7E600 +6C675 +B53C6 +31AD3 +4265B +A32B4 +49564 +C3E0C +8A6B5 +6503D +75070 +5C341 +70AA5 +1C686 +C5EAA +D9B11 +B5517 +35361 +895A7 +311FC +3915D +EF046 +62705 +9ACCF +CC40B +7F208 +710A4 +65A63 +61F50 +5779F +80E95 +155A0 +56A58 +D788C +CE74A +2D875 +20AC8 +3193B +D0C20 +C827B +22929 +A636E +B3528 +3AC13 +4F52D +9E452 +F4D94 +FD680 +81B95 +45CB2 +F3A04 +D1202 +EC699 +6C3A8 +5FA80 +283AD +213E2 +23127 +F3660 +12AA6 +E0B6C +94D8C +2095A +068DC +4F5D8 +C10C2 +C09B4 +A3AF6 +A0B6C +35DFA +305AD +81C85 +90BB8 +7725B +32B1A +4BB00 +45F4D +707A5 +2D9A4 +4EE04 +B519C +49464 +1FF92 +BB6AE +08CF0 +21038 +2351D +55F92 +82953 +98725 +A88C3 +4D118 +5622E +17CDA +80405 +AD0E0 +98FC0 +980CF +06B81 +6EB8A +A5890 +10F34 +EC7E6 +3B12C +B6098 +5A239 +0B1A2 +0BD74 +33511 +86D8C +A9672 +44368 +3C192 +34DF6 +DE26F +F0440 +3489B +3138A +DFE48 +D22A5 +09E4B +E290D +CA639 +B21BB +04D7B +214F3 +1300F +0687A +9E4B6 +06A60 +88385 +433FE +3BE5E +9DDF5 +C4F0A +4850D +95BD5 +65A51 +DF4EC +080B4 +84C34 +619D1 +F46E5 +5E128 +0D830 +6D073 +D6ED0 +89E86 +43101 +CB013 +303B7 +E13D4 +A2226 +B8C07 +D5170 +762CC +46431 +31EC4 +8190D +2F5CC +4E672 +58C71 +3A17D +1A7C6 +95D44 +66C82 +37260 +2621C +F23A4 +30156 +23325 +05D0B +E4B10 +68DBB +D4C49 +116BC +0C1C0 +99EF5 +85706 +8698B +07823 +70D98 +5559E +D7702 +2925E +81C05 +23EF0 +00485 +5A267 +34089 +234B6 +0AB9D +56076 +23750 +688CA +30540 +01E61 +58FBB +6B081 +3E348 +806FC +15D8C +E4A09 +BDCC3 +1F50A +09480 +24D2C +6A160 +66429 +2AE9C +4084C +8B718 +0AA4B +24E83 +BEA51 +053B0 +392E5 +C0AEB +68F32 +8B123 +D0E13 +0E130 +E130E +128AD +25F29 +95702 +A7856 +6209B +C5B71 +A333C +9D146 +11D8A +D816D +E72F4 +D3891 +A242A +024D4 +13D47 +34103 +8B79D +0F620 +69649 +15998 +6F9CA +FE500 +0A79B +77C02 +D4203 +EB12B +5042E +96909 +7BABF +349A0 +48490 +4F6EC +410C6 +80271 +5912C +32410 +D693A +A3260 +5192C +36542 +21F7B +C5DA1 +7AC89 +36092 +91B88 +6A828 +E87BB +11813 +39E15 +F17EB +0D4B4 +043FA +883AA +43F39 +77760 +B92C9 +02298 +1A92A +191EE +E6D00 +0CE59 +FD4F8 +20371 +E0D2C +B4017 +B53EE +B122C +3062B +D81C3 +4E9B2 +B5CAF +06254 +19F84 +CC530 +DD4A8 +A272E +7D040 +423E4 +031F3 +826CC +F9815 +C95CC +B80A9 +0509F +CB384 +8A168 +38048 +E7503 +19047 +19BC1 +C987A +94CD9 +CCBD6 +C0003 +47024 +ED020 +5A06C +B04D3 +B720E +A48AB +58B45 +08764 +CC004 +DE034 +C3CA0 +27B79 +2231A +64C46 +F4E00 +92B6C +A22A0 +B6064 +7E687 +20605 +A92C5 +6487E +080C1 +368BE +38259 +5A207 +46DDA +77A49 +DE20A +8BB3C +80A36 +34421 +95E93 +1A698 +11F5F +330E5 +86156 +A01D5 +54228 +D4238 +58658 +55430 +D94B0 +ABC6E +58A38 +D2FE0 +8F0E2 +1A5DB +2B7E0 +044E6 +61AB9 +B4562 +162AF +44047 +E4172 +D56AF +66088 +8AC2B +BDAC0 +19D53 +261CE +A39C2 +EE2DC +A4912 +87259 +E9A5E +00F55 +25C80 +6B220 +35A76 +D7CB4 +41C4A +2C5CA +32945 +24903 +0E736 +ECD0F +CAC9C +A80F3 +AAEB4 +2364E +62DCC +503C5 +A30EC +E5C53 +3CFCD +C06C4 +0DB08 +5D281 +83A48 +A072E +43A3F +C7A31 +24568 +6AA4A +5629C +8D86E +2E996 +80BF7 +A4863 +33C9D +00870 +F6043 +DD9AB +2B9D0 +77A8B +8AD88 +2A688 +49A0C +E3669 +F96EC +9C18A +45E07 +C9701 +58083 +F4296 +2A0C6 +DEBF8 +CBAC1 +CCD00 +91C55 +A1F60 +EA0F0 +04026 +CF149 +C85F4 +CF190 +12D22 +C2B20 +8BB99 +39A6A +49254 +82153 +20E00 +C66D0 +BAA1E +C2942 +3B2CB +2CC43 +035C9 +B63E5 +25772 +78E46 +0D632 +9A3B1 +FD69D +98222 +16471 +D4182 +F30D7 +4494E +D682E +38D31 +13BE6 +91452 +567CF +4340F +8CD2F +89B09 +98707 +8AE74 +54A0B +97833 +C0AFE +08F1D +12313 +DE0BE +340DB +06EC2 +2C43A +FD02A +A31D5 +2B23C +D3B23 +69721 +185D1 +B18E4 +9042E +9219A +9E767 +00C99 +DA50C +CC225 +68F77 +E0A9F +2A215 +A7A87 +98C47 +1F328 +F810D +8E484 +2C073 +95101 +C4244 +63D0E +9AA0C +9BF42 +43E13 +E4B10 +8FD70 +3C1C8 +14866 +6A6AE +BDA8B +846D2 +703F1 +4E258 +99DA5 +4A40F +86B18 +BB449 +32F5C +303DE +68424 +9D754 +D16D1 +98597 +96C0C +98956 +F0679 +5F416 +01C9E +44E44 +9289D +6D5AD +18B22 +13807 +75A6A +5E073 +1D4A5 +62EE2 +94A13 +52CB1 +4C0BA +0AC60 +24D9C +A4B74 +250B5 +D0E13 +0E130 +E130E +13B52 +51D56 +C571B +48296 +27E5B +92482 +AB1BD +55F2C +4986C +7D4BE +10A89 +228D6 +81279 +56815 +80F58 +C92D0 +F3878 +CFD51 +3212F +05618 +2BDED +F7C01 +1EDE0 +4A223 +93836 +5200E +0FBF0 +94978 +0EA9E +32433 +99CC9 +568BF +6012E +23D1D +720C4 +00DC9 +F0691 +DBA49 +970DE +29E49 +D486B +A200A +2C7F7 +81D42 +DDA46 +CF6E9 +28A80 +E160E +37BB6 +89E68 +8E4B4 +941CB +66229 +889C3 +52126 +032B7 +B4735 +C8DDE +CBDC4 +A0C60 +29615 +1A74C +B1895 +E6D4E +B9B41 +AF12F +3B0C4 +A0495 +C0BC1 +AD721 +D9CEE +C09C9 +AC6C0 +46C41 +5DA08 +0D951 +E9F42 +682E8 +0ED2A +4B0C8 +06DC5 +1A57E +0F4D1 +87260 +8AB93 +2E18D +959E3 +2A506 +A606E +9178E +23CCB +D1119 +4A78A +255E4 +A560E +8D251 +9274F +674C9 +D633F +CD6E9 +80835 +1A198 +52858 +52D50 +2B9E0 +183E8 +1A471 +9EB49 +191C2 +319FA +3E0CC +C9B00 +AAAA4 +0887E +991C2 +283B4 +8ADA4 +F21A6 +264A8 +987A4 +C986F +43570 +5A08C +D24AF +4E900 +A09FD +510D5 +0D416 +C8745 +D9412 +40EE8 +B3033 +ED8F0 +1CA46 +F27F0 +59426 +6AA36 +0658F +40F8A +43060 +9E3A2 +185E1 +E8E02 +DC7E8 +CC29D +D60B5 +1E8A2 +A9E56 +53E97 +040C0 +79F72 +AC8C9 +38DC0 +87F89 +8B590 +25633 +1922D +EF0E2 +304CD +E91DC +883F5 +77496 +35586 +384C9 +B74F7 +C107A +AB081 +92E91 +E13C2 +06263 +28690 +A3503 +B9204 +A19CA +1194F +A42C4 +787B0 +27284 +9CF04 +B6802 +9E108 +99BEB +82635 +174E4 +D882A +F5AD9 +604B0 +E2847 +54129 +9D052 +A34A5 +789C7 +93C7E +31912 +49354 +512B4 +D14AB +4DFA8 +373B7 +A4205 +DA09A +1745E +994D5 +A1D1A +A124F +D7994 +A990C +243AD +52E78 +3003D +42281 +E834A +BBD0F +B414B +23405 +C81D4 +28736 +4446A +6C402 +C78C9 +1734E +959F0 +1E95C +A21F5 +5067A +3E8DA +355A2 +11E34 +D67E7 +C2254 +593C3 +4A8D0 +3F0C3 +5154D +55EAD +0EF0E +91063 +99B7C +6A05C +2EFD8 +70CC4 +1535F +16BE0 +816C8 +43EEA +E8520 +F325A +7815C +691F0 +2A0E9 +898BA +D74B3 +132A0 +849D6 +22D8D +4FE0D +94E51 +1D3CE +109FD +601CA +55317 +037D8 +C4DF1 +C40E4 +3770D +34326 +8CB1C +6B74D +AC6C6 +164A4 +B1D79 +22D4C +CC257 +EA22C +4C2E3 +9280F +9EE8E +306B9 +17B83 +F0080 +7F58D +154C6 +EDE01 +4D48C +09279 +8866D +C72A9 +F0EB2 +05124 +6B1AB +0E6F7 +0216A +1303A +FD2A1 +64893 +C4E82 +903D3 +FA61B +D4EA1 +8E677 +8099E +E8441 +1BE30 +D17AC +A12D6 +D27A3 +457B5 +121C1 +B5A46 +FA1C0 +3EA72 +41C70 +A59A7 +B7453 +544C3 +018F0 +2B1E1 +9940E +D2F26 +05633 +9929D +B5B04 +4BD54 +0C3D1 +1A883 +92A1C +E4ACE +2EC49 +1B0A3 +CC986 +98CA8 +F9222 +D0E13 +0E130 +E130E +128A0 +359BB +7635A +C9590 +EA3D2 +B415D +945D4 +5B08E +5B118 +DC3E9 +0CA97 +6001B +886B3 +EAE55 +63622 +9568F +16152 +89681 +C4C40 +95DC8 +90D84 +AB60A +47D0B +A5B3A +E5F2C +82288 +C112B +D4621 +B103A +9E450 +FD0D2 +C04A0 +F546A diff --git a/Advanced Synthesis Cookbook/interlaken_lane/lane_bits_err.txt b/Advanced Synthesis Cookbook/interlaken_lane/lane_bits_err.txt new file mode 100644 index 0000000..8da3bdd --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/lane_bits_err.txt @@ -0,0 +1,17456 @@ +D0E13 +0E130 +E130E +128A0 +00000 +00000 +48D10 +F0F0F +0F0F0 +D498F +14D61 +7B632 +14B21 +76228 +C240D +80B98 +E1C36 +681B8 +E329A +7538D +8B323 +92E41 +632B2 +B61B4 +A11CF +16C83 +764B2 +52238 +EC9BA +C1E1F +45F50 +C8037 +5982B +58491 +0AB02 +6BF71 +E2219 +1137E +E2861 +74432 +4A36D +62C32 +B7130 +D974A +E2600 +026AF +92A00 +AB17E +52C0E +1EB44 +11035 +45418 +4684F +85BA8 +BA31B +0517A +09023 +7E01C +08AE6 +EE25B +A0E32 +A6713 +6C5A3 +0AB90 +4BBD3 +E5ADB +24A07 +20CA1 +F2A52 +6E433 +A06E4 +A081E +34E6F +385CF +4025D +AF122 +8BB39 +D2E40 +19344 +CB5AE +B2BB5 +C4B91 +5B8B1 +D1257 +9CE05 +009F6 +C2570 +C9BAD +21851 +A894E +1D124 +D4360 +2D319 +94DF3 +86340 +DE886 +BFE33 +350D2 +C6AC3 +3E333 +21E91 +08DF5 +61D14 +81D59 +73630 +B06EA +8E33E +6A194 +92990 +F555A +2AE4D +562E5 +88B33 +A671C +87582 +5C515 +88C27 +65B30 +E0909 +05D18 +D8CAB +6386B +35E5D +05014 +4B0DA +7C0CB +43832 +88C2E +0991F +D35AA +87CD0 +634A8 +C5BC6 +EE6C5 +30CFD +0FB35 +C500C +8C571 +9C435 +DEC17 +94629 +9D566 +83B81 +4461D +19609 +E8360 +6008D +862B7 +2CF80 +07448 +61A45 +7D00E +451C9 +63764 +AD634 +7C14E +5A668 +4B595 +84ED6 +48E64 +FA342 +D5360 +40676 +7BB45 +A4051 +EAC51 +8F8CC +E9AF0 +228B7 +B1A1B +8D40D +91585 +12917 +A0C3D +62413 +D62BB +ACCD0 +4A24D +3F8A2 +3518B +DB614 +ADD9A +69758 +E05A5 +19EE5 +34538 +E49A6 +130B4 +82E1A +78C55 +58833 +4F242 +53341 +44D50 +BCBA9 +0C3E9 +F24F8 +8171D +35167 +5202D +206E3 +AA33F +17305 +067E1 +D1477 +E0128 +B222B +2664F +C5451 +CCEF7 +88844 +D9535 +4DD4C +D0C2D +3C201 +7FC2A +9B88C +D4C34 +50BE1 +4A701 +5097F +6CEA1 +127B4 +07153 +DCD23 +94F87 +418E0 +D47EA +22F02 +783A8 +8CFF6 +D56C0 +0A39D +D0ED8 +308F8 +C74B4 +79242 +33719 +09312 +981DC +60A3E +E25A8 +E9290 +BF879 +C4E5B +241C6 +A403D +03E7E +9C022 +9B8A5 +480C3 +2D268 +DB228 +F88E4 +120AD +3E1B5 +4840D +140D9 +45B9C +C10F1 +34A2D +92900 +A9975 +06156 +DB913 +D72A3 +8305D +8F969 +ACFA0 +4C250 +9B02B +505A6 +73CC1 +156FC +1AA69 +44E7A +E85B3 +B229A +10B24 +F28CC +B1939 +ABB4F +17612 +F0107 +BC564 +698C7 +ACA6A +723B0 +4E082 +2F84A +B5E47 +3F9B9 +3A972 +22B6E +88753 +C5071 +E5900 +354DB +855E6 +26585 +49E6C +2E2A4 +07871 +97142 +602F1 +55B36 +54886 +D23EE +2282D +CBA5A +16A51 +C8762 +755B1 +4B70D +86580 +91605 +58242 +59D15 +B90DE +11AF6 +96384 +6682C +D9678 +F2605 +536AD +43CD8 +8DBCB +B1E0A +0EE3D +C90A6 +13BB4 +B3028 +94E7E +BF46C +00643 +D0E13 +0E130 +E130E +128A2 +C429A +B7AAF +10491 +92E42 +54DA7 +78D50 +5F460 +D53C5 +3205B +9D236 +77E22 +21F45 +12C36 +29892 +E79C6 +18C1E +69A68 +FBC64 +8E494 +D9593 +1F7E0 +0D048 +D701A +587D0 +E289B +E0CC6 +D5B83 +B52CB +5714C +1AA92 +EED04 +3E8C0 +774C1 +E1151 +C2DBA +A262B +72150 +FF5A2 +E0CC2 +19765 +08AFC +73218 +8E9F0 +A0A62 +E784E +37CD0 +65B03 +46A60 +C1605 +862AA +0146F +B8AD4 +82BCC +4DC69 +8C1D5 +81670 +A0D02 +A9489 +5A452 +8CB10 +9C0D8 +3E9E6 +84A5D +3CEE7 +19316 +6553A +257A2 +1780C +20B60 +3FC4F +904B0 +DEE89 +1BD03 +18698 +9C683 +D4801 +22B6E +1F10A +D97A1 +12494 +D8EEB +5C648 +18897 +ED005 +2E373 +A2F23 +0992C +1B35A +2D78A +99962 +FF7E2 +66275 +40663 +05CD4 +08D41 +AB954 +634CA +189C7 +A8A4B +75159 +87A59 +C19AC +6BE83 +6B4EF +16506 +0F0CD +4B253 +66D62 +81962 +EE7D0 +C6482 +50050 +641E3 +AD562 +43171 +0F4CD +7ABA1 +07568 +5F286 +F80D1 +55119 +B5424 +355D0 +1880A +83F70 +29EDB +0EF92 +1507E +4DFE2 +AAA88 +B1564 +8564D +2A773 +5D6A0 +BA193 +03ACE +C6A4F +E47A0 +C0473 +81EC6 +5A08F +C50C0 +A3523 +772A5 +25047 +322C7 +02675 +363E5 +52904 +CF710 +A9096 +0435D +2CF3E +27102 +BA87E +0AA0B +6FD82 +B08B1 +0C5B0 +3A047 +A6B7E +6C4E0 +05D66 +AC891 +40C0C +841DE +1E319 +341CB +4A69B +9B5C4 +2B60F +93CA9 +5A27B +20641 +44BB5 +EB33F +926C0 +AF318 +E686B +8B38A +A5608 +35941 +E2FF3 +1B125 +F4ACA +2A9CA +C4C3C +593E7 +57981 +32C32 +08AEF +298D2 +19492 +77294 +63DF8 +2414A +92913 +B8980 +CF20D +AF614 +98722 +CD854 +1C346 +594A8 +B1DF6 +5CF13 +A02E8 +5A7A3 +541C6 +08420 +98265 +326B0 +A5340 +F5124 +3DD90 +17215 +938CC +ABBAE +61487 +9A34D +44D66 +C3AFA +59706 +2C143 +127E3 +4A261 +EA552 +C5645 +42B12 +4DF6D +3A2A5 +726B8 +38CC0 +34191 +0C386 +CEBA1 +DF3D4 +4B000 +19516 +5C3DC +ED347 +A8D68 +246F3 +828D8 +8309B +D6016 +CB743 +01F2B +940B5 +13AB9 +395B3 +4ECC8 +668E4 +40D4D +74C21 +6C14D +9BAB0 +5A847 +210B3 +604DF +60B5E +4F429 +0B334 +32082 +303F2 +F8F2C +206B0 +FD697 +21A98 +8A6DA +C08EC +59D41 +6585B +636CD +504D5 +42682 +C03DE +5AA4E +1ABD7 +D03E8 +03AC6 +2396B +48FF6 +14E81 +EF1ED +2A820 +51FC2 +88142 +3B907 +3C996 +208F2 +BFD14 +78243 +9E01D +06201 +177F1 +0A82A +0BEDB +083E8 +2D11B +A83BD +9ACDA +79CE0 +ED08D +0AAC6 +461EB +6F21C +E0878 +F2AA1 +85424 +DFD8C +8CCAE +68589 +DE4ED +51E46 +38B99 +2D05D +BD122 +3838C +DED25 +0325D +7A9C3 +6CCD3 +1A0D6 +80890 +DB388 +52B22 +EBBA4 +9F3F0 +8EE46 +271C3 +D0E13 +0E130 +E130E +128A6 +0332A +DEB5E +88CF4 +0E965 +9FAA0 +4B696 +1DDC4 +03D78 +46006 +C0B1A +894A9 +90929 +890A6 +8F3CE +C5B7C +05E01 +6AD94 +96832 +ECCF8 +A8D1D +833B4 +1352A +9731A +85F88 +D405C +E480B +56C07 +4AAAB +A82CE +68ADE +0B2BC +1C699 +868A5 +4F8C1 +1C5A3 +3D1A0 +1BB4C +B09A0 +D9779 +23969 +08906 +4A7B2 +706CC +CF044 +A24A2 +085BF +1509B +041FB +E207A +AAFA9 +511CC +6786F +E4299 +72EB2 +D2B8C +64195 +2C8C9 +0F09F +D03FB +E1952 +5B5B4 +10E94 +DF470 +21816 +5B4CD +E30E1 +46495 +B0986 +0D6FA +207A9 +2931D +27A82 +634BE +10988 +ACB70 +CD860 +2CA20 +74A18 +CC713 +95E75 +1C40B +E59A6 +C2133 +49E17 +5C274 +C88B2 +83541 +C2307 +219A2 +37C03 +46D17 +00B9C +F0D0E +5715C +9BE78 +24609 +A3CA4 +B32AB +BE2D1 +8F451 +20B48 +E7488 +33FCE +1BBA3 +55F52 +885E4 +C505D +784E2 +56100 +E790C +E8F2D +F4504 +BC5AB +21CD4 +0A9D4 +617D6 +A12A3 +44383 +B20A8 +55882 +41461 +1BC68 +F11A6 +F2938 +AB8F5 +0619A +49C96 +E7283 +820DA +BAC6E +3C097 +B1D00 +597F9 +265D1 +0F411 +4BAF3 +4818E +2A180 +CC04E +1FA61 +9E6F0 +8419D +4E350 +B9200 +19D2C +110D1 +4A8C4 +240C5 +E6CDF +281F0 +CC01E +A2B90 +98B30 +0E194 +4C096 +F6F3A +E2592 +56CE0 +5E0B0 +97C5A +15559 +D855F +92B32 +052BA +047B0 +7C148 +6DD51 +2D941 +138AE +71B29 +438E6 +6841E +16249 +71A42 +6F005 +0183A +3AB88 +C6F28 +6BD9A +17F04 +19707 +4A630 +31E16 +41BBF +40A3D +44DF2 +2102D +679D8 +09820 +CD1B3 +A8DB9 +A0648 +ED591 +9E612 +0FB98 +61479 +2B245 +2C016 +B1911 +43417 +A962A +3972A +6F01D +2A448 +E84E3 +3B8C6 +5B89C +93D6E +A84C2 +1456C +7B2DC +32EBD +2881E +39415 +1213D +1F710 +76295 +0962C +2B4FA +8D481 +FA986 +F6416 +1F590 +93662 +8A200 +04994 +3623D +26589 +610BF +E0565 +53CB4 +27C33 +99529 +F103D +BDA93 +3C35F +70620 +2AF46 +EA63C +87034 +44043 +61CCB +A1F38 +B394A +DBF11 +0C141 +39941 +19F2B +B5110 +36F6C +D28B3 +75AD2 +13A01 +1302A +49B4E +F31A4 +42269 +F885A +DC502 +83624 +ED09A +909DE +D07F0 +011FC +A442F +129E9 +D3D1C +1942C +08CAB +25F11 +11D8B +A018F +2B907 +81769 +9DC9B +43247 +132AE +1A22A +1B9DE +B21E2 +0F5C4 +60BF8 +F4738 +2B4D3 +4A20D +EBA54 +5A75F +03D9E +64404 +E1126 +A928A +C0F62 +2A8B4 +5FD16 +8734B +F82BA +50D3C +304B3 +AB309 +0BEE8 +A78D5 +20139 +1170A +D15D0 +7A038 +A6233 +6C158 +A1A4B +35B13 +40A5C +61BA2 +8E318 +9C0AC +E57B3 +158B6 +E3169 +A7701 +E8532 +EA2A5 +C35AF +C0565 +45231 +76517 +35C04 +C6A83 +0CC2C +E3E04 +98A25 +75B8F +98629 +4B823 +D0E13 +0E130 +E130E +13B51 +8CB56 +64B1C +5A257 +C955B +C2A81 +221DA +19ED7 +6148A +80E69 +ED238 +A668B +F9839 +68BC4 +24DC2 +85C37 +D1DC1 +0AC93 +19452 +4C9BA +6F1D6 +6734D +440AF +C5D8A +482F0 +826E2 +19D88 +8657A +2BFE2 +8CB01 +C92E6 +2E0A0 +08675 +17491 +6880B +67BE2 +412CB +A3D41 +F5E09 +0DE54 +28F50 +3D0F5 +F9044 +D96B2 +8671D +403C5 +AF582 +99535 +14D6E +4916C +1BEAC +FA24F +025A0 +D3C84 +59394 +0014F +9BB26 +00D04 +94E34 +1443C +C4917 +1CD7E +427A6 +70B88 +AE19E +219A9 +31D03 +4D5D0 +583C2 +1DC19 +714A9 +D92E9 +56D41 +229B2 +9274D +D9703 +0C12F +88A56 +A9EAB +5C580 +4AD06 +5007F +94E78 +FC258 +4AAA6 +6E915 +6C273 +D19A0 +86AA5 +B47BA +69342 +93F79 +7D809 +624C8 +44A32 +43F9C +F683D +8829A +59508 +53E6C +CC0D5 +EC916 +F9270 +C8054 +5BAD5 +41395 +B508E +94B4B +89496 +77BA0 +9A8D4 +80733 +F4CBD +471F2 +89601 +18540 +B4A7C +7C826 +770C4 +3C067 +1F35F +48435 +DAEBC +7BC0D +00E72 +60425 +4A480 +10197 +6B184 +115F5 +1597E +A00B6 +0DE17 +E4285 +9E413 +39E22 +4C953 +CC8CD +05902 +177DE +4B72E +51C5D +ADB42 +A908D +9225E +A1750 +D6C64 +B9537 +332D1 +74160 +94BD3 +68878 +13862 +03D74 +2819E +16A52 +80B02 +6E532 +CE866 +670C7 +98D81 +4642B +D99B1 +55540 +E2EC1 +B88EC +32983 +DF0A5 +A7264 +A6DB1 +4B882 +B2C6A +4DCB1 +12DB3 +59542 +13136 +DE592 +85B7C +E8DA4 +0BC41 +A07F8 +55673 +38430 +4DE51 +01BA5 +267A4 +FC990 +2BB52 +4BB55 +4D96A +E1531 +C8E43 +F27C8 +309F0 +22D9B +36C7E +A35D8 +4A381 +27D22 +98ED0 +3B1F0 +1763E +770A0 +4F125 +62EAF +2C9B0 +783A2 +D8A07 +D4B5F +9A649 +8463A +4AA95 +76388 +0103D +C8727 +DD120 +E2B04 +68319 +EDCEC +091E0 +747E5 +A01E6 +610B2 +498FF +4012B +155CE +D0E84 +C5D32 +C62F2 +34F81 +0D80C +4D8C1 +83A8A +404FC +13C81 +E2201 +E99A9 +F1796 +B2194 +17B60 +1773F +42F73 +CD7BC +FBA00 +008D2 +81F16 +D5554 +4CBAE +31253 +94668 +446A4 +4592B +2AEF8 +1E056 +C00B5 +4044B +9764C +4A2F8 +04CE7 +80C28 +C5328 +BA4DB +EC4CE +3157A +48C42 +A211B +AE255 +E0F90 +46732 +66E49 +AC494 +BB04A +3B3BC +6754B +84B68 +1DE3A +62FA4 +1236E +8923A +2C286 +4D8FC +3A3B6 +116BF +498AB +83044 +4DD4D +095F1 +56399 +98971 +A05AB +33946 +FB42B +18D15 +E8213 +87E7C +36D11 +B8357 +2108F +A46FC +A2CD1 +DF28E +118A5 +28032 +7B607 +908CD +CA09A +68A31 +31588 +BCF5C +18A3E +D2848 +AB060 +EA2D9 +BF1C8 +249A1 +912F5 +F96F1 +90162 +AD830 +3EA68 +02307 +4662E +673CA +27CD2 +96985 +2AEAA +0879A +43CA6 +29524 +25834 +B064F +E550A +D0E13 +0E130 +E130E +128AF +7CA38 +00236 +88C70 +B15EC +F0E14 +4B6D6 +57B52 +5C96C +95118 +53A10 +D0850 +4A41C +0F094 +639B2 +07313 +812AD +C9E1F +96802 +3711C +701BA +3C328 +BCB06 +28883 +40082 +CDB3A +24B4E +E6162 +7093F +27E1C +E06BA +48508 +618A8 +5126D +53162 +85AD7 +93CDC +1AA7C +7F881 +6E104 +06466 +9B993 +015CB +C5932 +B67C0 +09481 +B9C4A +F93FA +D3FA4 +8E100 +88F39 +D14B5 +39B65 +70A64 +51A89 +D41F1 +455DC +64897 +F89C2 +63618 +36714 +BED45 +82F2F +0CEA8 +23134 +A12C1 +FEB59 +3B41B +16113 +00F69 +7848D +DEAA0 +00037 +4F699 +D6415 +D8DD0 +A1299 +B6220 +35C0F +DBD5C +8ED07 +7527D +74E44 +50A07 +8BCEB +C1352 +80B15 +115C2 +78C70 +D28A4 +ECB9B +1E548 +43286 +185F2 +A635F +2D4C2 +20B1B +D04F0 +719E8 +9853C +C56AD +6F18A +6C56A +817E1 +53746 +C03A9 +2FC54 +E8E69 +20F6C +41220 +98EDE +C7814 +4CD66 +1D293 +098E5 +D1EFB +B3414 +20D22 +4F789 +35650 +837FC +479D1 +7807A +E29AA +1EEDC +A0D15 +A663F +04511 +8E2F6 +63A21 +57D58 +A47AB +994A8 +939A8 +345F5 +1A08B +76467 +AAA2A +A0E0B +990F2 +2D2DA +5FA51 +52457 +85949 +4E31A +5CBD4 +4D819 +B8624 +AFA82 +EF3CA +21B49 +895CC +A68D4 +9D53F +449AD +C122F +DC968 +9341A +578C3 +CADEA +31661 +078A7 +4B38E +35B28 +6D0EF +1C480 +12858 +48509 +418BF +38F91 +F8988 +B2824 +D7EBC +20298 +06F75 +13014 +44C22 +78B50 +C26A9 +69FB0 +9E0E6 +9988B +1A959 +265B8 +FC0A2 +50D51 +A8E94 +9312E +7B4D7 +C0478 +6C052 +E0819 +7E3B2 +78F2C +981DC +731D4 +D711C +4000F +2076A +85418 +612F5 +796C2 +85D6A +AAA46 +084AF +DD20E +E1C44 +2A0C0 +56E85 +5C0D7 +03221 +EEA61 +2A34C +A28FB +AE706 +376E2 +A4FD0 +80F72 +0FE7B +A0521 +3941D +0C9C4 +7857A +C4E65 +81EC2 +F8F52 +34A51 +44659 +C4C19 +E7B20 +20E22 +A34AA +4CBA7 +1F6D1 +98211 +00290 +669E3 +FB956 +C26B4 +043C9 +98CA4 +85F67 +38F11 +69996 +48F48 +9A50B +1A800 +A4718 +F5CF5 +10F0E +E440B +33828 +9FC51 +E8BC7 +61E19 +6805D +AB7E1 +80539 +78B6A +C44C9 +E86B7 +25914 +D7503 +8F233 +EF025 +AACF2 +9B780 +05F32 +88438 +8EAA1 +0C9E1 +54B2E +88564 +AAEAE +43403 +58594 +60A27 +29626 +F0224 +91C94 +3BDC8 +C4E05 +B4F92 +F088A +742B9 +6A1C1 +A448F +121CE +4DDCE +CA8F3 +F100A +C83B2 +F082C +D8D42 +ECB49 +B6451 +54ECD +590A3 +B2CC3 +CF7F1 +13816 +25D21 +88CC5 +A762C +E5CAB +A260D +7E749 +A5032 +3BC4A +3C452 +97812 +1CE9A +88827 +9A802 +07267 +75719 +4D4E8 +DD5C8 +625A1 +59D18 +99B42 +12207 +7015A +926B7 +8E4DB +28CB6 +F2D24 +10305 +73B6A +05834 +7482E +0F1EC +D0E13 +0E130 +E130E +13B50 +00194 +205D2 +3C557 +0F03A +E0DE6 +1125B +59F6D +D1106 +1509C +152C4 +D1B24 +92B70 +74BA7 +1EA23 +0AD8D +A9D25 +695EC +8CA85 +B026D +4F927 +59022 +EC31B +88682 +EC459 +45857 +D1D85 +9531D +CD639 +A5380 +E94C6 +1291D +215FB +3923D +D6811 +9EEE8 +9C621 +5288E +9969D +39007 +AD05A +9CA01 +D988B +549A2 +BAB26 +A0D1B +08099 +F520D +204D6 +8281F +F07A3 +232F8 +92525 +37AF5 +4557D +0DAD8 +C1305 +68935 +39ABA +529A8 +26A17 +2BDC4 +9150C +D7429 +B0097 +A7E0F +3C292 +1C73B +6940E +E4324 +81CAE +055E6 +11EDE +33E60 +18DA8 +A243C +79175 +98318 +CA7D2 +41737 +0B635 +007FC +2C7D1 +4F149 +0F8D6 +3FA13 +5CC04 +6A5BA +BA6A1 +E9A48 +20072 +3E4D9 +27168 +4C626 +C4903 +631CE +2CA7D +AB08F +24DCE +29019 +92A15 +563B6 +8D11D +1E191 +9ACDE +F0E20 +78085 +62976 +32123 +076EA +355B8 +971B0 +9C367 +B2584 +E1EF2 +99D32 +9068C +2B13A +5F64F +1411D +C0BA3 +4F141 +A82E5 +2214A +1ECC9 +F2736 +29C8D +680D4 +17F85 +0D8BC +28B8C +3B40A +CF097 +10FC1 +5C6FE +6221E +25BC5 +9612C +8EDC8 +4D9B0 +A1840 +96C67 +2A093 +CB482 +2737D +90876 +BA142 +C91C7 +70A34 +42015 +150AE +6AB57 +344C2 +90010 +E858B +80675 +856D8 +50693 +ED43B +1B82B +49885 +0673A +ED60E +A8C88 +84CCD +429C2 +B831B +7B131 +A0DBA +611C4 +169AF +5B3B1 +5C61C +D4568 +65860 +81158 +91324 +FC804 +88FE6 +ED448 +5172C +EDB41 +43466 +61ECA +989B2 +6A4A5 +48698 +DA9B1 +6063F +861D1 +9ED1C +CA98F +184A1 +FD14A +C485E +CF01E +3864E +0D456 +24648 +6DC47 +C3CA9 +91601 +D212D +4C419 +D55A9 +E0755 +42484 +FC627 +173F4 +FC895 +2839E +142A9 +49112 +A58D8 +E5B46 +97753 +12202 +1AEF4 +888B0 +12D21 +A1CAE +FAD53 +6C80D +BA916 +18BEA +CB627 +094E2 +8C967 +D69AB +6016A +AF499 +1869B +1450D +DC2DE +2E0D1 +C242D +4D5D3 +B2A2F +0A56D +E2EA4 +6AE0A +11B4A +B15CC +9C322 +48ED6 +EB085 +4876E +18065 +C95B1 +EAB70 +A1630 +34230 +AE99B +41C24 +9A934 +4842C +759ED +F150A +BCDD8 +C9CF4 +4126F +15158 +A00F6 +6AB2D +A190C +3D3C5 +01CB9 +34D2B +095E9 +4C635 +316A4 +D5A29 +96ED5 +88D2D +3C209 +DDBA3 +C8241 +AD8C7 +C6295 +5D9E4 +48D50 +44954 +CE925 +4244F +BA4C5 +AC06A +6A2FC +AE423 +9E55C +7F8B3 +50406 +A108A +3A451 +F34F3 +0F1D3 +051CA +70843 +6BBD9 +2CCA8 +74DAE +DBC61 +30161 +64298 +9B696 +E2A47 +73029 +46327 +C5365 +B6749 +81708 +0F742 +26A84 +F692E +83E78 +45926 +01CA3 +31CCB +CA2CF +469B8 +04044 +5D505 +EC1E9 +7D400 +5AA39 +18126 +5CD0B +179BA +0555D +AB52E +5B2FF +B01AA +430AA +D0E13 +0E130 +E130E +128A0 +DEE04 +66031 +25BD0 +9F80D +3C0E8 +622EA +D6814 +A3A5C +E2674 +093F8 +919AB +14609 +A9D74 +454B4 +036DA +878B1 +C96DE +5EA62 +8A805 +4B944 +28906 +CEA29 +C11C2 +6A258 +B04DC +46A02 +F644C +6C696 +E8240 +854FB +7A214 +49031 +016B9 +013F8 +C34E5 +29582 +EA694 +8B513 +09CDA +15361 +55089 +2D230 +FE384 +D4703 +520A3 +DC3FF +290B2 +EDE03 +D9AD8 +64022 +544F8 +961AD +40A97 +48A34 +2C330 +5D7F6 +22BFC +192A8 +10663 +E0B56 +B810F +D28E8 +00C83 +2DBFE +14B61 +0BFA6 +007F8 +17262 +0C111 +F518B +D16C5 +9A08B +1EA2B +1CAAF +88146 +9A7C4 +90BD4 +C841A +88521 +B6634 +A2910 +75133 +C9402 +4F025 +8E9DF +83AA1 +36D18 +7BC75 +0B75C +821A2 +3F452 +E2A28 +551C3 +74811 +37931 +0B3D9 +0C0DB +685C1 +851E8 +F216D +572AE +AB12B +B0525 +3A504 +95905 +8FC3B +53C5D +15230 +DDC20 +6077E +9946C +64E6D +570EC +89C53 +C127A +228F1 +0E4B8 +53A35 +496F2 +504E4 +586B6 +96770 +C82AB +1EB21 +CA394 +8C89C +98355 +50B25 +2FD12 +6C256 +B3B5F +01491 +1FC90 +6F4EE +D41A8 +A2AFC +8A8EE +221CE +31DFA +0B165 +55580 +604CC +5B97D +0E392 +7FA54 +85BA2 +98547 +53139 +34A7C +282D1 +2D841 +3CAD8 +5C552 +21D0B +5230C +3504E +D966A +A519E +B4A38 +2A241 +75B92 +84C14 +C96FB +7A09E +7880C +1F964 +0EBD1 +D0170 +CAE84 +9FEE1 +532D0 +F981A +FF127 +90176 +2462C +93E62 +23C88 +C5913 +EA1A5 +AD685 +6D5C1 +0F801 +48D03 +3AA32 +F0206 +BA027 +FD00F +42132 +ED597 +18AA0 +6CA81 +C3F96 +AB531 +13C55 +DA00A +14585 +F07AF +A221A +E0A98 +58318 +BD4B9 +3442A +245D6 +68B6D +31442 +E8AA1 +440DF +99657 +83F1C +51511 +F3383 +1242C +13069 +BE0E7 +290A3 +5A998 +C7DC3 +E83A2 +185A5 +88EFE +35941 +46B72 +A71AD +108CB +EB0D7 +144C1 +12C58 +A73E1 +014F1 +AAD33 +99CA3 +FEE48 +26D90 +52E69 +C452A +AE8EB +55631 +3A9EC +A7353 +0166C +9686D +4BB38 +97324 +94560 +F7D40 +726A1 +629D6 +499D2 +624DF +1E823 +49C30 +B520A +3EE60 +CB281 +7419F +58915 +91814 +468F6 +DA32D +711C3 +51C51 +0952F +0C92B +6015D +39C2C +BE924 +8ACC8 +FB5CA +58C40 +EB71A +61C0E +CC413 +D9732 +0C725 +17643 +4CC0F +6ACC7 +85B4C +9C24C +9D57F +83C15 +C594C +3618D +32F34 +ABC41 +E3D49 +C23AD +82104 +FE5F8 +133B3 +48032 +A62C7 +BE00A +A1122 +B517A +72967 +EC05A +1209A +FCB95 +5E458 +550C5 +72029 +8EA8D +91609 +DAAF2 +318E5 +C16C1 +6744E +5E428 +2136C +6A8AE +89A21 +D1A39 +43860 +E9831 +4DCA3 +A7062 +8B421 +20328 +2E608 +C245C +61286 +627D6 +0E1F7 +AAAFE +10D17 +2631E +30125 +0A46E +E7C1B +69E68 +D0E13 +0E130 +E130E +13B51 +8F031 +60D0D +A5457 +C88E8 +40989 +DDADD +52226 +7C431 +80163 +392E4 +66E0C +B1D4E +43E05 +E89F9 +214A9 +468DD +0DB20 +32777 +81F40 +EED80 +9797E +322C0 +BB8F3 +0E1B1 +0A72E +791E0 +27D28 +F0E50 +9ACF5 +146B6 +DA481 +60568 +88CED +59601 +66AC3 +7D850 +7BEE8 +FEA78 +A0250 +8014B +8E491 +6316B +DC062 +F141B +4C401 +2B8C9 +D1616 +92FC5 +D2C19 +0B62C +90E91 +D25D9 +36377 +7AF32 +08841 +D9A65 +DADD1 +44916 +9AE62 +E0692 +24024 +22884 +05A8C +2C7E6 +C650D +22792 +AD634 +ACEE6 +25542 +7CB28 +51497 +27333 +A490A +121F2 +9C6B1 +E15EA +9A303 +48A1A +079E2 +61BF4 +7DF6A +CCB82 +950A4 +8AA23 +13007 +B1D90 +E99A2 +17EB4 +89866 +DB122 +FF624 +1E511 +43AC8 +86FFA +40AC8 +6E90E +134C9 +4DCDE +076DC +2B04D +20300 +798ED +66579 +829A8 +33848 +2A984 +08165 +B24C5 +41154 +8A416 +8F244 +4CFE2 +5D863 +C5D31 +C6192 +092E1 +BB4B1 +C4218 +C6872 +DE26B +78554 +F1691 +8C5F9 +A085D +A85AB +124E4 +E2F13 +C650A +0AB7B +0F93E +36D64 +E16E4 +85107 +645C0 +A004A +1AD67 +08ACB +53964 +9919E +59855 +97728 +09864 +B63C4 +3E29D +58FAC +004F3 +D2017 +9518D +827B4 +181D9 +0E836 +B8951 +77160 +77D1D +75084 +53713 +0FD4A +5872D +979C8 +E19B3 +04349 +49443 +46F84 +2C684 +D684D +395F3 +8298D +6C97C +45D01 +1A785 +A2279 +D8A06 +AC4A3 +2BC55 +8A39C +075D9 +CF21C +D852C +F913A +E3059 +8D6D1 +71685 +01610 +1C1B0 +150E6 +2496A +94C55 +56B9D +93303 +26513 +3283D +6281A +FA89F +04190 +27B11 +D73A7 +C4B96 +3B190 +2DD01 +33BB0 +CC518 +D0FDB +03577 +0024B +DE26B +B0595 +AD881 +D7E1A +258BE +96210 +39245 +78341 +DBA04 +A9A44 +1521F +8D915 +85174 +8A333 +A0169 +59EAB +1B98F +309B0 +D9F2A +2E592 +BF8C9 +2252F +6D44B +244CC +C6DDF +D46D2 +21AB4 +F230B +AB155 +B2095 +0609C +B0EB3 +A6603 +6B7D8 +73E23 +B10D4 +5C06B +EE092 +4F73C +82D50 +81815 +97E51 +1A9D7 +29158 +3AE62 +25D05 +66927 +C2D10 +B035A +63249 +6B592 +8ED0D +40C4C +86CA2 +2F356 +063E4 +7F11A +911C8 +AA6BF +39841 +A8856 +9214D +40E62 +AF670 +2B0A0 +7C9B9 +36F8E +96A9A +3431F +0476F +1D918 +0FCE6 +C0F74 +08257 +17070 +B097B +4AD65 +F80FB +1530B +B1DA5 +0D181 +D19D9 +4BBA6 +A5113 +00BFC +32140 +2853A +8E40E +635DD +039AE +C523C +58447 +86B8B +F3E81 +F58D0 +5B031 +B23AD +E3718 +33476 +46143 +FA440 +43950 +69648 +58B48 +60E2E +201A2 +DD670 +C4A13 +FC915 +4FC2E +1948C +E3125 +3007F +80539 +92DE0 +AF713 +688A0 +6C94E +6EA32 +9B70D +A0CD6 +22614 +14105 +7AD66 +05CE6 +3A891 +177F1 +D0E13 +0E130 +E130E +128A6 +211FB +4C6B8 +6F0B4 +1F80D +56C53 +388A7 +970C5 +B18CD +8E158 +52A8F +02D72 +90877 +36864 +55AE8 +21A9E +42409 +4DA2C +32122 +F5040 +23529 +6B270 +7741D +2D822 +0F14D +2E1DF +92E2D +96418 +E8A22 +5720B +8A8EA +20DBA +12692 +C4BC9 +211FE +31A0A +9B5F2 +3AE36 +C5AFB +81240 +6105C +092AB +0759A +11ACE +C3641 +5371C +D0677 +75033 +5C250 +9F3C1 +32620 +5F231 +F154B +E7520 +781A9 +C9420 +76640 +C2B11 +6370A +81CF9 +A9CDD +26B9A +0B427 +9E407 +2214B +FE540 +E1A40 +84627 +139ED +28234 +CF80B +6896E +38412 +A2895 +97B6D +9D6D4 +1410D +3331F +B26F4 +F2EC2 +09616 +C1599 +98568 +5CC4D +6D47F +11581 +7007D +9C12F +4E1B4 +D8C23 +17532 +67F33 +343A3 +2970D +94C18 +11824 +EEFAD +232A0 +3CB82 +15F31 +29D99 +218BA +573A0 +C26D3 +5BA25 +5A978 +4634F +A2044 +4826A +E9A31 +B4D16 +80DA2 +70BC7 +4F138 +851C0 +0163C +8ED90 +C6423 +F1FAE +9A017 +10085 +45604 +8346C +AC0F8 +528C3 +B88B8 +74141 +0D015 +4EABE +8536B +84731 +B6310 +3D300 +7BCDE +02437 +8E548 +C9C50 +5FA2B +FD20B +90984 +00A0D +9150D +B9C67 +5F46E +C0209 +1616F +4049A +02574 +57005 +BD90F +6D953 +ADEA0 +F8279 +826AC +52584 +560C3 +44E13 +86AEA +83B09 +6B3D3 +A0791 +35258 +4FE04 +1401E +8BEA0 +E649B +B83E8 +A4355 +0F442 +39B32 +558FB +63BB6 +0E9C2 +D013A +3EC73 +CBB52 +75086 +F308C +895C9 +02C17 +BC0AB +7598B +AB24C +0BD95 +C07B6 +C500F +AD510 +8355F +6B1CA +0396E +B2B21 +AAA54 +FDDBC +8134B +0929F +817A8 +A06E8 +93F48 +3E9A2 +29690 +88B0E +4C59E +F85E6 +8D250 +2512A +040C1 +43F7A +BD20B +50D2F +46B8F +F2405 +0FA48 +FEC27 +04F03 +2A286 +0EC40 +65489 +DB9E0 +88244 +002CA +3CC8F +81928 +13E3E +1D281 +4D98B +00AD6 +04E35 +6A3BA +A75BB +02758 +E1D24 +0AAB0 +29DEB +C2C33 +0720D +CC2E5 +11B88 +440ED +4200E +4089B +95C33 +0F25B +653F0 +A4C60 +95458 +EF964 +A287E +AB501 +2D3B5 +B218C +0DA18 +9AD2B +B42F5 +D182B +C4C1A +629A7 +98335 +8AF83 +4EE04 +8403D +54137 +FD31A +6DB2E +A6348 +B8351 +21102 +DA159 +0FCA5 +62604 +240A1 +6A176 +5FEEA +2A70A +75388 +CFAF8 +5C8FE +61622 +E6C44 +763A0 +AE14F +C3351 +EE984 +1B55A +F49B6 +8E458 +CB514 +A685A +926F6 +22905 +896C3 +CBD40 +AF2AB +17032 +4020D +DF44A +D570A +141CB +41D10 +1BA82 +FAB15 +D4E43 +151BD +41555 +39E8A +08872 +F2A8F +81E02 +AC1CF +94861 +1C782 +A080C +F6E5C +AC46F +C62CA +56266 +D9C09 +45162 +245C5 +38AAD +DA080 +CF00A +A4082 +61BD3 +14B2A +972D6 +38C38 +43171 +5FE74 +AC366 +2C16E +2D72B +208D6 +D0E13 +0E130 +E130E +128AE +AE2ED +01E8E +0E2B0 +58186 +70048 +081A0 +9C809 +E9D01 +6225D +42A6B +90597 +F2CA2 +620E4 +D3101 +6DC2C +D661A +6A548 +D5533 +982EA +25112 +73CEE +DC700 +4A6DA +15ED3 +330C2 +783A6 +E7C81 +AAF29 +661C3 +B4095 +DA009 +7F195 +01575 +EA880 +62CB8 +E2587 +EA6D6 +A87A1 +1CDB6 +09340 +75D08 +3C44B +25F36 +D4682 +5D1ED +B9425 +198D4 +5A287 +684B6 +BB1A8 +157DD +7C716 +2A11A +4DD21 +069FA +46D97 +86F04 +29E04 +FA957 +24A9A +71DA3 +01577 +D0739 +2DE96 +9E630 +D4072 +0F70A +A6B4C +9C192 +40D8A +92E05 +C04D4 +9753F +16C0B +3338E +A1094 +CBA7A +1B218 +10E48 +2D665 +DE082 +25862 +94AAC +0BB0E +8A5A2 +2A574 +81170 +5CCA9 +9AEA8 +81F92 +96B88 +51050 +58E0C +E6EBF +10106 +D44AF +A12D5 +7930C +92045 +E1FAD +0214A +F3BB6 +43A46 +5A481 +8E9CC +6F146 +AED6B +9F9CF +A6810 +2A092 +C249F +12826 +55571 +09FD4 +A52C5 +15C00 +5A1A1 +75FD4 +28ED1 +34882 +5D9B0 +FAAE4 +E1928 +1A9B2 +7139D +11AD1 +6A815 +4A025 +31F82 +038F4 +AB68E +9A012 +D00D1 +93624 +50058 +AF556 +1EEC8 +6661C +57585 +EBB16 +9C953 +6DB9D +94455 +19311 +E071C +0CDDC +04046 +2F5D4 +6A310 +8716C +CAC1A +75417 +83CE5 +A71D0 +AA207 +535AE +1B963 +4A3D4 +A1995 +E27CA +14128 +0CC85 +05CCE +6F8C4 +018A7 +F8C89 +83B2D +A2608 +7D48E +E1B5A +93BA0 +BAB81 +B570E +3646B +CA9E6 +19834 +33AD4 +DA529 +FB4C3 +64A4A +994EF +03C2E +964A8 +FC0AC +F4607 +E0082 +85B21 +53026 +1961F +938D8 +F88A1 +D5DCA +E045D +968D2 +DE237 +19CF0 +21920 +A103C +B2599 +91C6F +366A0 +CE28C +A8B5A +1C58E +42CE0 +04F06 +1BC82 +9D251 +68AC7 +7CC09 +4EA18 +571CF +83389 +B5137 +8AB54 +7416A +08D6C +8D0BA +6444B +88387 +8F999 +7AA13 +714DA +0DC53 +E41AE +200C6 +9D083 +84801 +82A7B +5C3F9 +AF480 +EB424 +739A4 +382A5 +A009A +603A9 +377D6 +42CF1 +C0CC0 +3CB21 +55067 +C1E11 +A681C +12540 +921F3 +4C473 +516D5 +11EE3 +81439 +0D1A4 +22529 +8C50C +FB4EF +26E00 +42618 +6AB28 +EB6F6 +C48DD +20138 +2D6CB +66698 +D9C9A +0D91D +2DE9C +B54C8 +550E8 +56603 +6C402 +E1DED +55B52 +5342C +01B48 +08302 +C1BEC +99648 +5B530 +16406 +803B9 +42CEC +B4C14 +3D084 +14415 +0A8FE +F4966 +6A193 +70326 +0206B +3E594 +2826D +DE66A +084CA +69391 +25128 +CAEF0 +454A1 +8D804 +4A54A +71E81 +F834E +A1670 +0D2A1 +E4EC2 +CE1AC +34580 +4A2DB +77722 +9C820 +14C49 +A383A +4795B +1CA6A +99377 +98867 +67036 +49D84 +4A5DA +5742F +91B22 +C4520 +14EC6 +26C10 +5EAAB +B72D0 +20795 +50894 +C8CBD +B5D18 +1CDAE +50A49 +57645 +544F1 +D0E13 +0E130 +E130E +13B51 +3041F +6AAC8 +D04B0 +68D00 +BA594 +98D4D +DCBD6 +5734B +401BC +8C265 +24485 +A94BC +D4E57 +B242C +A1B82 +68B93 +CB4AC +93C83 +2122D +B75E2 +072C3 +29F3A +AD442 +AA9C8 +EA174 +B421C +B74EF +0E105 +14364 +232B8 +C6635 +AABE4 +B803D +CCDD3 +22E90 +89A3D +4A82C +8B782 +37446 +D427C +E012B +2817B +69498 +A1E74 +49388 +D1313 +4156B +E6CC2 +34BD3 +81220 +43B90 +8B2F1 +B6245 +59421 +8102B +44DBC +4C92C +A4E3C +0B029 +C8750 +B3667 +00BD8 +6069C +B637D +5E145 +24396 +C1490 +EE137 +E043F +8A36A +99533 +18134 +6B18E +195B6 +2327E +B16E2 +132BF +C4BD2 +130C0 +990D5 +65B81 +34E63 +EC154 +8C220 +56EF9 +7EB2D +50118 +C4A6C +20F0C +50303 +D5BB8 +2A813 +78899 +359AF +EDE18 +803F3 +10496 +14EBD +22174 +17785 +76265 +84105 +16A0F +CA05E +9EA9E +498B8 +6C768 +EC17A +6E690 +8EB12 +E133F +8C1E1 +281DD +990D9 +075FC +5B148 +114A3 +D6558 +E4DB5 +02189 +61C8C +00A90 +C581C +8ED86 +91B10 +61F78 +DA39A +E70F0 +13CB0 +CBE69 +3B31D +2271B +7242A +DC7B5 +33348 +01699 +BEAAC +A3BAA +5C0E4 +7806C +9C9FD +47122 +41949 +8A361 +5614E +5A017 +54004 +04BD4 +E41C6 +DDA36 +A8455 +0867E +1DEF7 +50DAC +4F12B +13E4C +6A843 +38BDA +C2F42 +03B4F +26A76 +494D3 +4B1B4 +D12A6 +F169A +A1253 +920D5 +C0AC0 +B549A +E40AC +B2E9B +4DF93 +A2F04 +0A242 +DE6DA +1A230 +D96AA +8BF45 +0F4FC +21A9C +0D4D9 +6B818 +404D9 +6FEA2 +81F88 +CB42A +8E246 +5FD41 +1AE0A +3BA98 +9E994 +29825 +F7AF1 +83A18 +A4658 +A7E20 +9D9A7 +BB10A +C895A +4D037 +E66EF +28558 +E42A3 +6EC8E +FA79B +19468 +27D02 +D9701 +952A0 +C8C45 +FEAB2 +2A0EC +53150 +3EA14 +29A68 +737E2 +664A5 +6E083 +BE943 +D63C5 +2E501 +57996 +92191 +FB232 +D40A8 +8883F +47EE4 +05EDC +D8161 +0A2C0 +AD48B +B1315 +0104F +799B1 +28A42 +954E5 +96E2D +4B268 +36339 +43D8D +90179 +2A2C1 +86DA6 +1BB5A +C6043 +39924 +2378F +191DD +6AB18 +A1D35 +7A2B9 +52178 +3A7AC +634E8 +75B69 +15481 +159AB +B69CD +6971A +E1240 +83E96 +E398A +67D94 +10465 +B90A1 +BEF09 +C8465 +1C629 +37856 +98D0D +8868D +C169C +74CF0 +01136 +9240F +DC26C +33E31 +C13D4 +83B2C +0460E +208E6 +B78CF +71C3C +849C6 +298FA +DB6A0 +9CA22 +17977 +28B90 +00CCB +ABFDA +1E6EE +E1815 +90D07 +F6853 +C720A +ADE54 +8AAA1 +E51E4 +330CC +AA365 +B7585 +E3328 +076CF +02111 +39F97 +208D1 +FD74D +CC389 +8710A +7B6E8 +992B3 +C078E +46D68 +FD41B +50180 +6ED2F +1D5B4 +1E629 +4910C +71E82 +445E0 +7F428 +B755E +2EC82 +90F8B +DA060 +AA765 +B88BC +3E489 +37170 +D0E13 +0E130 +E130E +128A9 +F5AB7 +6427D +81894 +0A254 +BD1CE +3034B +9778C +1A4CC +44F8E +F03D2 +40993 +0808A +42434 +095CE +35348 +B94F9 +8A278 +EC143 +C278C +2294E +384A8 +83EEB +87E8B +604ED +2114F +768EE +06B7F +0386F +F0452 +512A8 +5C47B +22717 +27411 +1246A +D2F70 +A97D6 +6B857 +5A21D +F26C1 +4C241 +6B312 +57BED +2CA00 +CF183 +2F8B7 +542E1 +59E0F +6BA49 +686E1 +33933 +01751 +55847 +358BA +5F144 +E0AAE +06DAF +C6CB9 +72092 +C3919 +77259 +18C50 +FE042 +BA8B0 +BA523 +14324 +AB4E1 +E740A +B3C8D +7EA3B +A906C +4C309 +74B2F +C0C6F +D405C +CAA1A +0EA03 +E0359 +02093 +F2A2B +60056 +40391 +72B0F +C29AE +E8C49 +19F40 +E486F +11907 +C45D9 +80635 +DC692 +80A70 +47AEC +86FFD +140C9 +CF5D0 +80A85 +6D2C7 +0F002 +5D5EB +64259 +FAA1C +0411A +933D3 +42AE5 +7A10F +C11C7 +C2360 +15546 +4D2FD +2180A +BF600 +7E381 +DD40E +510EA +0B46F +C82D9 +3C8A0 +F7ED8 +83145 +4642D +46C21 +820FF +D4353 +44DA2 +271B9 +7D0A4 +4B2D9 +909F5 +0C172 +C764A +3EFB0 +C6B3E +12807 +0E504 +4D69A +97259 +CB468 +A9351 +1FC2E +F1D03 +557E4 +02275 +CAEC5 +31203 +88907 +E5B61 +BECC6 +0DD22 +31811 +49748 +686F0 +43912 +500D9 +D75FA +78A52 +4810F +84E8B +AF600 +84F03 +108C9 +464E4 +BDB0A +648CC +768E5 +0E801 +447D1 +59EF1 +0FCCE +104E0 +011A9 +CB0F0 +50F33 +7C6D8 +1484E +E9441 +7DFC1 +8C44C +F9CC5 +2B1A0 +66622 +85F18 +68944 +9BE02 +3E22B +241F0 +B7036 +E4469 +6CC94 +EE3C3 +24868 +28B05 +19F6F +C8236 +D4551 +0E2CA +992C7 +78B08 +28CB9 +476C6 +7E08C +345CE +474CA +49DD0 +7698B +8DA08 +721DB +8DCA4 +91045 +AF9A9 +8E454 +6E29C +E222D +E4E0A +E2DF5 +8F710 +55908 +1CD3A +CB3BD +5B2CB +58306 +41D59 +3617A +10F80 +A6462 +47E32 +4601E +48CA3 +841B3 +1D2D1 +36B9C +64EA1 +BAB13 +842C2 +8EAC9 +66AB6 +46322 +1ACCE +4AE62 +49030 +00514 +21257 +F8CB0 +77CC0 +43192 +A090C +58EEA +D2540 +D1A04 +8C6AA +D9BCD +C273F +6200F +8A12B +FB4A0 +6FD0C +80018 +6FE88 +24A3F +08491 +A30F3 +5E85C +B4CE5 +1F632 +082E3 +B6F74 +CD623 +00A90 +EE5C8 +6D6AA +C2131 +375EC +D04B4 +CB774 +A042A +94C08 +B0786 +58ABA +4D204 +DE676 +D0418 +B1335 +811AA +231AD +6877F +6FC08 +A910C +697CF +0CD41 +1CA3D +83635 +D9363 +9680E +E37E0 +D04C5 +36B5E +17E90 +16BC4 +8A2E8 +BEF80 +A4420 +FF2C1 +29DAF +B42C3 +B621D +8AF49 +4FDD4 +21B30 +3634A +78B0E +5534B +B6C22 +B8F63 +AC5C5 +04C6B +4D700 +9EAAA +5E908 +F95A4 +211C9 +31BFE +3C20E +480B5 +5C0C7 +AD479 +64B0D +89CAA +AF982 +32F25 +F52F1 +8C946 +23CA9 +D0E13 +0E130 +E130E +128AC +C529F +EE040 +6DE56 +92640 +F80D0 +C6029 +51770 +48AE0 +BF947 +49B94 +24BCD +04702 +6F386 +A5D2E +B6419 +1958B +88486 +75AD1 +73850 +37104 +D782A +27A9D +46E03 +C74CE +B5F64 +C1901 +C7613 +97A16 +B48D8 +7AAA4 +25752 +C2ACF +87CA9 +845D1 +EE0A6 +0BC15 +EB4AF +91D15 +D0AA5 +86675 +8D21B +4396C +83E02 +93D00 +C563A +0D054 +51C58 +43437 +7334D +9913A +26A9B +00D86 +F2471 +4F120 +78B48 +E8790 +C2A0B +AC286 +B5195 +487D3 +866B2 +8C524 +7C4BC +2E325 +345B2 +AA29E +8C513 +AC945 +E9FB2 +6120B +3EE32 +15898 +CE2F1 +5EB20 +B62A4 +B1744 +5524C +21AAC +26311 +44A94 +4B511 +61AC8 +B9610 +8CDD3 +5E2A4 +06D45 +D5182 +1232E +3A88F +50363 +C459E +C4971 +0EC7C +956C9 +24981 +A1572 +49AB2 +C0D88 +CA07E +CCF5D +89B7F +80384 +625F9 +63841 +0ED44 +92141 +E086E +0EA30 +A1ED7 +C1D66 +FF24E +20924 +AB554 +4DF7E +CDE00 +62C02 +22BB7 +4C91C +02F54 +E6176 +54361 +70148 +7371A +40805 +69BA7 +15E02 +8FBD9 +6D9A8 +3C452 +8B99E +B0955 +B656C +6D463 +006FD +22000 +C5103 +5A7EA +C5464 +75267 +349C2 +91ADD +7B89D +4DD01 +12A6D +30097 +A0197 +2C6D4 +648DF +A84C0 +1A5FB +2CA51 +D2FC4 +54157 +D45C5 +8BB41 +2E171 +6D4CB +83076 +41F99 +95B2A +26006 +73F48 +F824B +23694 +96CDD +62EB9 +009ED +8E961 +89243 +D3279 +3BA60 +7B205 +249D7 +43C5D +0D3CC +04656 +B9A26 +E5011 +DE0E9 +0B8D6 +45984 +79259 +57DD3 +4C12F +C4B37 +911AC +C4AAD +418B2 +E5901 +2CB6C +B0D78 +0B800 +CF7E0 +4B55F +E128F +544AD +7901C +AD6AA +84868 +0B7F1 +E87AC +92485 +A2CC5 +75D0B +4A3C6 +998DF +20846 +1BF0A +5ACE0 +FF894 +06312 +0EFC8 +A3D2E +65494 +23AE2 +9BD87 +01226 +08750 +9B911 +D67FC +8C104 +48CDC +A338B +6B202 +505E0 +6AC9D +EB412 +64D0B +D90A9 +A5E22 +9AAC9 +A0F20 +0F7D9 +54975 +90E33 +1A735 +2B985 +12021 +A861D +313BA +4C66B +108AE +0EA28 +E6254 +D18C8 +DA4EB +B59F6 +0559B +60AA7 +CA8A4 +B0B32 +4E255 +1D846 +DC810 +6A975 +1017C +82C0E +38B9C +8D960 +80DA1 +42611 +DC726 +A2094 +C7B4F +3594B +0A88D +0EE68 +A54C4 +468C0 +AE9F1 +70688 +05164 +4F491 +9633B +90028 +2B59C +0D3E9 +1A21E +80FE6 +07437 +33B46 +315C0 +A42E2 +19A02 +6A948 +35829 +3CD99 +E51BA +E0425 +4C4AD +7F16B +0D4A1 +15C81 +3984A +E616C +1368B +D161A +37CEA +0FD77 +04A2A +5D869 +A5AE8 +1F03B +88788 +820DD +B618D +8105A +F8657 +A335F +830A9 +46250 +ADA99 +D1888 +8F968 +6DA36 +A1E4A +307B7 +A1936 +83011 +437FC +E1211 +423DB +10024 +BA313 +30A1F +B3222 +ADE94 +8D1EB +60ED3 +40296 +D3783 +D0E13 +0E130 +E130E +128A0 +32AE4 +4F1D7 +23150 +E9A7D +2881B +617AB +130F1 +92CBE +C4934 +8FBE7 +E4728 +6443A +488D6 +DC3D9 +B3902 +C7288 +EA961 +DEA43 +94A12 +5391C +D714E +21E36 +508F2 +1E31F +6BCC3 +0A4BC +5597B +81183 +B5A13 +E44ED +CCA21 +65342 +8068D +C25B6 +21072 +F6142 +12470 +B3903 +92903 +4CE52 +1E314 +B2DEA +4266A +9A149 +C0F84 +E2DB3 +09A88 +A0292 +5D2ED +392A0 +7F3E0 +0A478 +214D2 +51744 +0DC12 +137E3 +D4909 +C1BBC +A7C61 +84D5F +9C342 +AA241 +3DF32 +A89E8 +0124C +EE747 +3F624 +0A270 +5B27E +AEFAA +01C05 +2C6AF +71FC8 +9D6DE +E137A +AAC20 +54A85 +C1930 +CF98A +B6715 +8A035 +9A8B2 +E3ED8 +A8AB0 +5AB9A +2C288 +43989 +16306 +785DF +8D79A +18A79 +51C0D +2A3EA +576FB +D4B95 +16419 +810C2 +1218B +1EF09 +25B2D +34D6F +D2B80 +5F442 +43A3A +15717 +A0E10 +99063 +32EF4 +34140 +1F88E +B8878 +115C4 +AFFA6 +19986 +4FE05 +4308F +98536 +90B58 +B4C9B +8250A +4E8ED +C200E +669CE +FA832 +22F51 +CF134 +65892 +A4326 +C674B +09B8A +A775E +B814E +05840 +D7594 +D5055 +6B801 +A45CA +1B79C +14862 +B44C3 +16B5B +9D8C2 +04647 +8FB24 +60E33 +61FA2 +5D925 +58E89 +C595E +2359D +69919 +A94AA +EE186 +9D9D4 +95DC2 +1DF06 +34CDA +5B091 +E784E +A6020 +25804 +82EF8 +FE1C0 +0FA9E +1E59C +6E3CD +64049 +B2046 +707DA +F8428 +12F33 +C1700 +1AADE +AE676 +DA8A5 +EC07B +0D1C2 +92A55 +21CE9 +59B82 +B180F +2893B +78D00 +045AC +499FA +0E18E +4C4EC +68919 +9E2DE +3F4C2 +38B47 +55011 +F209E +22652 +80A68 +7AD79 +67203 +BBCC0 +81B6E +FE901 +D144E +32A3F +43344 +CCA2A +2A85A +AA53E +BBC92 +1C098 +C72D7 +712F5 +63B6B +23F79 +1A37A +00346 +5DA18 +11304 +9E676 +2886F +87C3B +06592 +2DDF0 +621B1 +D202E +DF4D3 +A5535 +286E3 +3BCAA +158C2 +CA14E +4B38E +4A2B8 +3C806 +130B1 +1B321 +B9E70 +6D743 +80E06 +C2A6D +E01A5 +08182 +3BD6A +2A483 +72C41 +52C88 +820EC +6EE49 +A7BD1 +29853 +CC243 +12A99 +E032E +47259 +B8127 +2DA2D +4844F +063D8 +AAE79 +24DE1 +856CD +46A85 +46219 +582A8 +AD3E8 +EC9A7 +2A270 +EEC43 +B3043 +C343D +FC0A1 +4CD9C +906E8 +76A93 +180F5 +CB4C7 +98AD3 +C2E84 +91DB0 +DF3A9 +9A315 +B8982 +8EC16 +191D0 +AD6E8 +9F81C +0A42C +4536A +804F5 +04181 +5AF8F +34CCE +4A4D3 +A484B +B47BD +74545 +366DC +200C6 +4C7D7 +AD2D3 +0DA00 +E46AE +AB63D +6E962 +A10C5 +8806D +DB142 +4A441 +5DB5F +9AC5A +E9B5E +AD20F +0540C +A92BB +403B2 +BC3ED +51DBA +44356 +D62AA +70BBA +07400 +CDADC +39CA0 +5CA5B +92139 +33592 +ACB96 +B0E20 +C1C92 +BA9E2 +0ECD9 +68364 +20495 +D0E13 +0E130 +E130E +128AE +B7872 +23FD2 +C4817 +AB336 +1EF19 +92B00 +1F782 +F00C1 +E44AF +74384 +78F07 +BD438 +84F84 +80F02 +C8C47 +9C4F9 +CB0C0 +7C010 +C3F85 +13DAC +26E18 +188D6 +72FD3 +C32D5 +A8A86 +51667 +871B0 +A0A38 +BD9B1 +01AE2 +D5822 +26F47 +B0799 +05AAA +57B31 +46BD7 +4A05E +D4183 +32E93 +3226F +0E516 +0BC67 +41F26 +AA382 +FB87E +91D05 +31E0D +B2A94 +84FA0 +B5624 +143C9 +BFC4B +241E3 +457FB +03A3C +41334 +5CE8B +2561A +8E9A4 +5CF14 +BE10B +63C45 +5551E +AED0B +E030D +A267A +72739 +31245 +A7747 +69409 +C0541 +F0FBE +A8020 +94ECB +7BC9B +56114 +70A2A +C4A29 +3CF3D +11EC4 +F7316 +361B2 +C6C22 +2A185 +E9D72 +8B024 +73587 +12F81 +54E46 +5931B +046B8 +6CB4B +26D5C +B7108 +81768 +B860C +884C6 +38FAC +04D84 +0DDA9 +CE209 +F5017 +6A247 +53E6E +74CB1 +1E0D1 +72443 +F4C5E +08BAC +F4258 +87E39 +00B5E +09032 +455BF +F71C5 +B40A6 +820A5 +EB5DA +AC111 +C7131 +5BB9D +2990B +E5227 +20DFE +03434 +037ED +DA154 +38A7B +75219 +F4864 +A2323 +72887 +B3CCD +797F8 +31C2C +36D19 +C44EC +63DFE +21B44 +C59C0 +135DF +CD84C +43090 +C6ADC +55200 +A0F57 +9E2C5 +D9189 +094E2 +31BE1 +8BADC +5291A +D9689 +29113 +F46B8 +D0DD6 +942BB +069E1 +554E2 +BC61D +17180 +53E97 +2067A +600BE +1016C +99EC7 +37189 +474AC +4E165 +87AA3 +FAA6A +27D9C +78453 +4C46C +47D5D +14B65 +084C2 +9EA36 +88B0B +AA469 +25688 +E2C01 +A0812 +263A0 +23824 +A3425 +3312B +4A4BE +48CC9 +CFCC2 +98AC2 +61201 +07FB1 +21ADD +788D1 +B8E0F +8C2C0 +B0711 +87BD2 +E8CC5 +6C6CA +F91A6 +A07D3 +914CF +447BC +EC983 +41331 +1EA81 +C4B53 +7AC01 +85B0B +386C1 +760E0 +7C7C6 +70495 +03FCE +E838D +CF485 +43C7D +9723C +429C7 +729B6 +00E2A +6F36B +30353 +296DC +010AB +0787F +1C2D3 +08FA0 +A62D0 +F415F +1FA10 +E5695 +96D66 +D09A1 +0116D +03905 +FAEE4 +A0990 +76C66 +4A9FB +A9560 +4CC98 +F8690 +1EFE1 +44E40 +0DEB6 +9F32A +240C2 +D11B1 +B6D96 +528D2 +2C674 +66C3D +1092F +F3B31 +86C44 +10053 +C78E7 +66A5A +8BA95 +63842 +BD68E +2979E +57814 +2AD99 +2E521 +DC085 +91C7C +C9EEA +2E4FA +52AB4 +C9881 +9D274 +0A40B +4A2E2 +5AAAA +FF31D +415A2 +A20B7 +3308A +81CC1 +0595B +8A1BB +30B19 +8621F +0F126 +1F631 +56E2E +29ECA +CE2D0 +02A40 +FD143 +F48A3 +8A402 +D9E61 +E68E3 +25A16 +749F8 +83E25 +60E70 +03B60 +F96C8 +CA441 +1DD18 +463F4 +3E77D +8B08C +01B63 +C1B18 +87745 +AB7C8 +A0956 +091D9 +4680A +ECDC6 +5332A +4FAC9 +AFEC1 +8A067 +47BF4 +C554A +44C14 +ACD03 +BA4FE +700D6 +4846E +B3404 +8325C +836BA +D0E13 +0E130 +E130E +128AC +A684F +29079 +3AA16 +A3B28 +9B8CC +6DA19 +54486 +77CA2 +3A521 +76282 +4720D +7F5A8 +94105 +B47A1 +6F514 +92657 +0C5E0 +E6AE4 +8F9AC +18568 +71678 +460BE +722D3 +35541 +6ADC1 +DDA80 +B73F2 +444E5 +929F9 +812AA +26CFD +42913 +1D859 +6A2C2 +4C102 +72EB6 +FA303 +F14A8 +8D6C2 +44F78 +B886F +F2B15 +0C870 +9552B +416CC +A7214 +05BB2 +715A0 +16489 +55D30 +A0B0C +336E8 +7A3EF +5D40A +1B06B +CB734 +3CD9B +2AEA0 +D42B6 +61E92 +B7CA2 +18069 +25D73 +238A8 +49304 +EBBAE +BA690 +3C488 +D1624 +2FC69 +43257 +08707 +0CA6C +5977B +4E794 +10459 +D1A73 +B02C4 +ECB63 +502E5 +16803 +0C9BF +C67AB +0DB21 +BE270 +83842 +959BE +038F6 +84EC4 +33442 +C1B4C +5B315 +44747 +C429C +085F4 +EF6F4 +4C288 +DC663 +4E761 +41E55 +33F30 +2AF57 +8E207 +8260A +A9195 +6A56E +F4974 +9338C +354B8 +2BBC4 +C6BBD +30C16 +ED0CA +D1170 +4D98B +3EC09 +C0EB6 +C19A4 +8B167 +6D2D3 +50682 +10B61 +96C03 +44DF8 +82DE9 +C8914 +3441C +0015C +0FBBA +C9A54 +24C25 +97E9C +005A2 +FA648 +5955A +C5EA6 +4118B +19573 +2584A +41E83 +D08C6 +0BAAD +0E432 +8637B +61192 +30274 +97567 +3691A +E7181 +DB024 +4DCD1 +48944 +2FEE6 +58D62 +72F09 +94510 +78603 +01CF6 +284E7 +A424E +37CD0 +589F5 +5641E +856B3 +75FE4 +52388 +3818D +E67A0 +4A6F9 +D1E62 +03570 +10A8B +C6633 +58C49 +D4762 +99FD2 +742D0 +882B3 +20BAA +EB1CA +85034 +364CE +811D6 +A02A0 +E2706 +DAD40 +3AA1B +62F80 +61BA9 +847D1 +CE824 +E141E +1F0B8 +67016 +398CC +B6790 +1CAB7 +280E6 +B82C3 +08743 +D0472 +33E7E +A8992 +2E10A +66603 +E1168 +0EBB3 +D2FA8 +E0A54 +6B51B +47A0B +649DC +059BA +F22D6 +CD159 +EC156 +EA309 +88D41 +F1296 +48043 +14978 +99E43 +831B5 +4BB53 +0B365 +82904 +E0DFF +74328 +B3B2E +F6712 +300A7 +4C724 +438A3 +A73E5 +DECE2 +5006D +1728D +12725 +C0158 +BAD6F +1566D +A0189 +D7941 +94606 +A54FC +92C2D +82631 +B9A26 +23BAA +0339D +900BA +1F136 +3CB47 +1E107 +43795 +C54C3 +5F801 +82A4E +47AAF +22306 +06653 +FD853 +10201 +7406A +BA00D +3C1F3 +E40E3 +5248A +74A68 +22B46 +EDBAD +66D41 +2012E +58329 +D718A +41346 +6050F +D22AB +D4753 +48CE4 +45897 +1DC60 +33562 +8CC58 +A94C6 +DF937 +AC118 +98D0D +4200B +1D3CA +5FDC3 +A1B1B +8CD76 +4B015 +E5CDC +70900 +E7A48 +88487 +D4685 +E6A92 +918ED +00B80 +922CD +FD051 +936C3 +66F04 +4D57E +14172 +25CC0 +32935 +8E300 +E090C +6F335 +AA95A +D1629 +B027B +8278D +2A634 +4EBB4 +59D39 +960F1 +6A7A4 +2866A +227C3 +72EC2 +DCF46 +A6494 +08AE4 +B9AE3 +CE798 +9025A +D0E13 +0E130 +E130E +13B58 +415B7 +5B4F9 +60874 +D05D4 +A2A8C +40B37 +1C091 +92AC6 +A7628 +392B5 +F9E34 +00801 +2CEF6 +310D2 +030E3 +4A67D +0CA32 +1407A +56DEF +86D0C +D57CE +6B438 +C308A +E5453 +9D701 +D1E8A +4685E +30B9C +708E1 +8E88F +1A081 +36E70 +0E4DD +C9888 +403EC +A2862 +FA345 +7A2E7 +6C112 +CE778 +BF412 +3B9E8 +C8E82 +930B4 +B7694 +26D2A +45D25 +0270D +05C26 +2B6B8 +83B91 +C2A8B +E28C7 +60238 +23E5C +34649 +E4BD0 +F3704 +A0A61 +C5854 +93564 +96421 +86F50 +346C3 +0F35D +B16EC +28531 +2E80E +6F348 +978C8 +38320 +2946D +E06B5 +1F701 +11D75 +C5674 +71294 +B2C74 +0C9C2 +37DD6 +06419 +CB59A +8F7B2 +0A030 +DE200 +4921E +E3D38 +61287 +BEA14 +5CE0B +5D228 +308C1 +9E868 +444BE +238F3 +50B94 +BB886 +42A0D +51469 +0B1E1 +49F86 +32D34 +A6D04 +DA0B6 +4FBF1 +D35C0 +1224A +3E562 +368E7 +3554C +F314C +23D47 +5A6FC +090C2 +3C161 +334DC +23CBA +23F60 +1564C +22A10 +4FC61 +8ECD9 +089BE +14CD0 +6489A +4940A +D9618 +BE2B0 +F654B +0E27A +A10E5 +267D9 +30C28 +E2461 +91A02 +1D29D +66B28 +4B035 +6110C +20FAD +1A884 +3A33E +EC258 +61BB7 +323F9 +82D21 +22914 +B1A22 +7E9D5 +77690 +2D068 +8A03C +F159D +66901 +8AE62 +77808 +E5BEB +6E49A +81A7B +07A00 +C4388 +2CC6E +50FC7 +B6ED0 +AA1FE +AA4D9 +64A45 +EC452 +389E8 +7E18E +23802 +92D2A +0BA00 +3BF5A +134F4 +CC191 +91C2A +99244 +54387 +F63D6 +61114 +8131D +5DA21 +E6E3C +0C2BA +84B58 +BF53C +52DB3 +9E358 +1210E +9E817 +82349 +68041 +FE197 +33198 +A0FE2 +90E98 +2CBD5 +C1281 +5EB9E +C540C +A559B +DAC2A +F232B +C0715 +D26BE +C186C +97990 +B924D +FB658 +91ADD +A8E21 +09428 +CDDE7 +488C5 +CB0AB +1085F +C9159 +C8648 +3E15E +6018A +290BA +AA570 +4BABB +A6AC0 +92336 +85A3E +A51B4 +4D8BD +36E46 +E10E0 +A2B9C +C8491 +687D9 +8C6A0 +65920 +3F025 +AA592 +DD203 +73C8B +AC750 +12308 +6F916 +26862 +DAAC1 +5DE92 +B0513 +55920 +1217A +4A281 +DBF2C +2C4CF +B0AEB +0E0B7 +6840E +DE629 +68538 +7ED93 +2D173 +91D80 +1FCD3 +10E1A +340B3 +90CF0 +27E4A +94C33 +25277 +4F675 +7035C +10EE8 +706A9 +C0444 +30AC4 +A4502 +13D77 +47CE1 +14123 +E5345 +3DC2C +15DC0 +44907 +AF7D1 +1586D +38084 +6E63C +CAC13 +55AC3 +45923 +5383A +72A37 +5460B +1E645 +66C40 +7B227 +16972 +89630 +49F99 +4A4EC +6CA12 +62476 +3625D +2E5C0 +1DD2B +DC1B4 +C227F +465B0 +98C00 +C0E7A +69640 +C7199 +4A4B4 +9C691 +AC93B +296AC +C9E20 +55385 +40CDB +82936 +E1029 +2F29F +265CA +44F35 +E3E01 +99051 +8A8E2 +097CD +0AEBB +8EC25 +88280 +9BA72 +5416C +D0E13 +0E130 +E130E +13B5E +9B1D4 +01A27 +71010 +4281A +F021C +B78E6 +5E9EE +55C25 +46A1C +C12FB +0A70D +62F62 +A68A4 +8B663 +35945 +92121 +EA7D9 +BB044 +14093 +61171 +505EB +30CBB +6D423 +5DB2A +84A8A +D6847 +C41B8 +CA47E +52BD5 +3949B +04CCB +04561 +0A8ED +09645 +36DC2 +27F26 +7B8F8 +22019 +6AC13 +6305D +AF6C1 +06471 +8E936 +DE41E +B07B2 +36912 +61438 +1E336 +F34B3 +0FCA3 +62C1E +BE4F1 +72A08 +478FB +8CC1A +9B932 +169C9 +396E0 +7E9AD +20195 +6C2BA +861A5 +58CF7 +31654 +D8112 +0062C +03575 +BDA1F +60446 +E00CC +61B8C +5AFC0 +B017D +1D34D +A9854 +2DA58 +01A47 +12A95 +4F4EA +44E44 +173A7 +CE7DD +04B45 +2887B +86944 +72938 +2D9CA +CA50A +A5354 +1FB72 +D5089 +34A53 +D0342 +C531F +6161F +EC541 +8A2A0 +78B51 +8B795 +66751 +29906 +C7765 +A90E2 +0B128 +EE604 +97D39 +16C63 +4ED81 +7083A +8E304 +C9B21 +3879A +CA8F4 +C9620 +18460 +F4B64 +8B634 +60A9C +E0F3C +D6C34 +60B20 +C246C +F32EC +C0BEA +92F49 +51A24 +38611 +E3B54 +F5628 +F4343 +BD22A +469A0 +722A9 +87650 +09EB2 +C781F +C2128 +81110 +364F2 +D8085 +16A8A +00D82 +7C817 +85368 +D02F8 +1AF79 +0D425 +B262C +F2C71 +37C01 +88673 +06DAD +64138 +A61A4 +E6B4E +70E21 +67682 +AC9F3 +54440 +0E942 +E4011 +928E9 +8D938 +EFED2 +E53D1 +66856 +D285D +3B429 +71D05 +6E0A8 +92807 +54741 +FB94B +A6359 +81838 +3E11C +1FC6E +D1FC0 +A1F05 +47135 +E9218 +CC441 +E4DBA +4A0A4 +5FAC8 +72843 +D41C5 +4124C +99BA4 +0D668 +34CDA +E0C70 +468CB +A751A +AA6A4 +B1F40 +49B9C +A0642 +938F3 +BB0AF +A9523 +E4340 +B042D +8906B +A218A +98CA5 +F496B +D667A +B9140 +364CE +D2AD4 +65028 +2A332 +CDD06 +28AB5 +96C28 +83121 +6F560 +6D8AC +65A67 +0290C +181C9 +9B13E +38C5B +E3C71 +CE360 +21317 +2482B +D49E7 +48884 +2FC98 +62D0F +E842B +AC791 +2D7D4 +88D44 +BC622 +A3D2D +06995 +328B4 +E0069 +343E1 +5A82A +16408 +F1ECA +85223 +50283 +DD65A +A2085 +AE4D7 +058B8 +318F0 +90491 +AF48E +50FE2 +448E4 +089D6 +58D43 +98C5D +485B9 +AB81D +B1B28 +0DF4E +5D2A8 +ADE08 +8EA99 +039BE +6C42B +9045A +07147 +1E1A9 +2B332 +8D3B4 +C0C48 +D7469 +0469A +7283B +3BA30 +A7BB0 +3407D +E45F4 +66936 +E4099 +02B58 +4F38A +C7950 +0D88F +2897C +12524 +3A266 +2355A +79362 +24A20 +E6CCD +37E18 +8BC56 +14FB6 +512BE +E2BCC +0E28B +0356D +538D1 +43206 +F79F3 +42C97 +FA30A +4EC1F +02778 +3E6B4 +8A4D3 +04086 +A8220 +9D300 +7645B +AD8A5 +B3188 +80B16 +6F136 +035C0 +03D11 +5810D +446A6 +2F197 +06EB1 +CA986 +55DC4 +40D97 +C1B64 +0D88C +B7DA0 +53C84 +D0E13 +0E130 +E130E +13B5A +724D2 +91A0A +79D92 +36299 +B820A +33E29 +18455 +8A733 +8AD14 +8022B +8AD8D +4BC09 +03C47 +10967 +C7269 +D8698 +2A687 +A41D1 +69034 +28997 +B5B46 +71C00 +32BF2 +7CC75 +091FC +B807C +97262 +F80BF +40256 +1F4A0 +7251F +54127 +929DD +6CE50 +48669 +4A7C1 +FB653 +84B5C +4FCB6 +1856C +8248A +ACE57 +2B1B6 +BA17C +28F6C +A0A46 +C9B07 +0A0D5 +C11EA +9A5B8 +FA3BC +D0613 +2B04D +44303 +26C0D +1E49E +1AAC9 +92B06 +C5C9C +85992 +8B9DD +6810C +0DDDE +BCE24 +115EA +EB9D2 +10427 +109DF +1B8A2 +1DC0F +A19C5 +C60AB +E45C7 +12464 +A97D1 +C89A5 +26260 +F1469 +9A1F2 +61E45 +73CE1 +D0192 +72A8E +CEF06 +32FDC +DCC02 +03574 +8E162 +D2811 +B0052 +02CA0 +F67A3 +5A60C +A66BC +F0600 +57321 +14CAB +B482B +28B5B +AC33D +21DE1 +07AEE +CA13A +ABC38 +8213D +F99C2 +2B755 +6C784 +045D0 +1A774 +C1D81 +10DA8 +1F68E +B14B8 +6581F +C2C08 +646B1 +83B2A +74416 +3344C +67229 +09586 +7CF25 +C4BC2 +70077 +30B70 +CE616 +10253 +19B79 +9F53B +29121 +19F1E +4B30F +66539 +D8D83 +57402 +9276E +30B6D +A31A2 +19214 +D41C2 +5F088 +746C0 +A4211 +47E6A +5F998 +45864 +C746E +B19A0 +16913 +480CB +0D963 +D62A3 +C2132 +44967 +15513 +2496A +CD36F +504A9 +11371 +B60E8 +6877D +F90A6 +148B2 +012C3 +D46B2 +AD6F1 +806A0 +2BC69 +23098 +EB459 +9A8F4 +46B24 +82C6A +3C43D +70B5A +49268 +B4DC9 +C5C29 +364F2 +CD930 +83E66 +3C81A +A4CB1 +56C0F +235DE +134C9 +70579 +18689 +082F5 +3EB82 +C8749 +FD212 +2631C +3CFD0 +182F5 +CB1A0 +2B238 +1E2A5 +649F6 +F37A7 +D664A +ACD19 +2210A +CC372 +AC157 +E804E +99963 +28223 +0B05D +A4390 +C0835 +32C4C +B1C37 +68D53 +87011 +1314F +CE192 +1AF92 +26DB9 +13143 +62715 +9957E +E8C83 +E84A8 +6036B +F50C1 +3426E +4B26C +2F311 +450FE +36097 +DDA24 +C0AA9 +11BF4 +600F9 +FDDB4 +02ADF +2025D +D4CA9 +6CC50 +7DA96 +838C6 +8E924 +83480 +1F5B4 +5FA5F +5D065 +6CB1D +9E006 +B6C28 +855AD +8C9BB +1880C +4C316 +CB9C4 +A4EC9 +DCA3C +3E9BC +1D086 +6E0D9 +3E362 +0F04F +0C013 +3B66F +A3C8F +22808 +6D73C +51202 +D6E97 +E494F +09A33 +C3E59 +11EC4 +12622 +5465E +120AE +91A58 +8E136 +AFC14 +F3987 +A7225 +37B81 +35C42 +AD1DA +0908A +537FB +9894A +AB30C +587F5 +D01BB +4D4F2 +90A15 +2968B +66053 +B5A32 +D1864 +A8EB2 +4ABE0 +0494B +B8D79 +91131 +47B09 +6520B +F2AAD +600EA +367F2 +EC451 +03D2B +27D63 +1A5F0 +B11B4 +EBC3D +990A3 +71CBB +BD109 +70D0E +09824 +59794 +E50D8 +A5AB6 +76736 +01464 +D00E5 +0E8C6 +6469F +81595 +E44A7 +26D32 +5B510 +C6B60 +D0E13 +0E130 +E130E +128A2 +DA254 +649A0 +C6CD1 +9DE25 +3D420 +9397E +D2E2A +8720F +FD2E8 +643C5 +63DE9 +940AE +16354 +90A48 +BF096 +D988E +4A038 +E77DD +78689 +10980 +569BA +D35AC +55CDA +0D046 +34B6B +6C18F +479C2 +DE90C +18861 +75CDE +10559 +D2415 +40AC9 +0C202 +D20C5 +04ACB +2271F +43D04 +985AE +79D4D +A460E +A0330 +FCD98 +BA98F +130EA +2EC39 +291D0 +4E852 +C3A86 +16636 +9A765 +CA218 +330BF +7AD12 +EAC02 +26C34 +EA99D +741AE +40DA6 +46851 +7C3D2 +A6879 +9E4A1 +21E0F +CF1D4 +0034D +F27B0 +77412 +5037B +68E08 +D043E +47D46 +19007 +91576 +7ED08 +8F751 +5131A +58714 +824F1 +8E386 +44409 +F5400 +BF6E4 +8A1C1 +6923C +0741F +84594 +A01A7 +0A232 +379BA +9817D +81573 +059B9 +8624C +325E0 +80BA7 +8BC85 +07CFA +B1827 +38455 +5C455 +0215C +47C59 +83E1B +2D475 +86C42 +3E961 +44491 +09B39 +8FAD6 +E005C +77420 +E4D30 +D51D0 +F390D +D6461 +E59A1 +81F8C +B75D3 +512B9 +64B03 +C9195 +32977 +8AA0F +7E0DD +384A1 +D2CD1 +89622 +A6D3F +EE801 +3981B +D574B +6F4AB +0169B +88488 +75BAA +7B04A +54E1A +39429 +F89B4 +50E1F +21AC9 +7E439 +11B2D +D94B0 +10845 +06FC7 +0B4CA +8408C +E9A80 +8EC1D +0D1BF +A259B +071E9 +B0C5B +8E09C +5AADA +4B033 +7C853 +90216 +B78BF +78CC8 +C2529 +0D2A7 +6692A +D3863 +F03C1 +D9813 +6DE62 +28341 +53C0C +E14A1 +386FE +F345C +92227 +543EA +2743C +8A2B2 +FA34B +5D038 +59020 +C15D6 +043F7 +49029 +003CE +2E33A +5695D +62D35 +B4A09 +F520B +C8B1B +23CDD +50321 +B88DF +8D022 +662E9 +513AE +30B4D +8455B +5AB57 +8D441 +EE5A4 +50D8E +C952B +F8724 +00533 +9C2E8 +9338E +89D4A +84E26 +F9A45 +CEC1C +E496A +B64B4 +084F0 +9988E +07F87 +E8979 +7D0C6 +48873 +33D8C +61A47 +C92DB +3C2A2 +A8F81 +AD89E +56C42 +04904 +BC012 +05FF8 +15E81 +649CE +23AAD +C9195 +AC2A9 +BA4E8 +CF90A +8B643 +56637 +85B1B +91252 +213F0 +C457D +936D0 +BF880 +53D02 +0D2CD +F1857 +01CD9 +C960E +75B3A +E169E +E5449 +F6030 +5E084 +F9530 +5E6E6 +18EBA +0ADD2 +F032C +03790 +B3517 +95219 +4FCD7 +B8980 +03BE3 +42BBB +59648 +D31CB +F4903 +12D8A +D52ED +49298 +A39CD +52184 +E17AE +22D15 +8AA0F +B4D18 +44003 +37B75 +429D2 +5EC89 +04E36 +ECAC0 +ADEEB +490FA +80127 +20960 +E976A +59542 +664B0 +D4122 +0E587 +65EFC +84C54 +36006 +270A2 +16116 +7463C +21C4D +07584 +822DA +BFAC0 +FB864 +0F43C +F08AB +82E74 +A8AEF +3350C +B7A04 +DEC72 +42B13 +119F2 +3DACE +62238 +6F3CC +828A8 +4387E +030D3 +ECE39 +43A5C +A9BC0 +7E668 +80D28 +BA08D +DE386 +2DE64 +70A96 +11D47 +FB742 +D0E13 +0E130 +E130E +128AE +0355A +142DF +75397 +F15A2 +0519F +4A6C9 +5FA93 +B434E +80467 +55B88 +1090F +290B1 +17E96 +3D44E +F04FE +84550 +C9355 +826A8 +925A1 +D7584 +7D50D +04EEE +8EACA +64D9D +C06C9 +91C43 +84A04 +9861E +F49C8 +6D6A7 +5B509 +4415F +B4ACD +A82F3 +87C65 +56921 +7A5E5 +19914 +17020 +43247 +262C9 +4862B +F5842 +EE09B +25D81 +6D4AB +89144 +B719C +2A494 +C4D37 +20511 +F9DF3 +47521 +5889D +38BAA +E897F +02C1C +2C10B +75C48 +0A11B +202A7 +1C567 +7194D +A3032 +B24A5 +53B1D +15434 +30164 +25FF3 +8D88E +E23F6 +20ACA +D920C +95847 +34249 +B262F +02B37 +FA2E1 +89419 +2A5D7 +18488 +4B615 +1F9C6 +2CAE4 +20F33 +A4FAA +98D82 +3E267 +07563 +17A32 +3879D +89F06 +C0164 +562ED +280D2 +16981 +2FA8B +260EF +103DA +55661 +6DD42 +8E6E5 +2047E +23B24 +70CA4 +40A4A +70643 +CA402 +FFF49 +374A2 +998B1 +91408 +DE0A5 +6D953 +CD441 +65901 +980A8 +DB89A +21403 +EBC16 +7D39A +4BB22 +9C02C +9AD95 +90074 +C8DC8 +59A9C +E4566 +A3B3C +24F4C +A2664 +C471F +F1E16 +1A767 +D48F3 +91568 +83349 +E5678 +121AE +CE5A8 +982F8 +C269D +A40F2 +04AFC +BB51B +71856 +0A214 +74045 +F202C +B5595 +6CB98 +486FD +68950 +97534 +75586 +192D9 +88682 +A60D8 +2BEB0 +B455B +54208 +70956 +CC615 +A94BA +4C4C9 +7B051 +AD2A9 +4411C +7DEF0 +43806 +FB59D +D4650 +950D4 +84E60 +D3577 +B17CB +74084 +D2C92 +3DDB2 +200D3 +C9812 +B3415 +117C3 +8672A +6B43A +88163 +C3B26 +788AF +19226 +C7364 +76C9C +994CC +39CBA +136DD +9F164 +E6891 +30269 +BF4EC +B9977 +80141 +4D41A +C4F40 +774B0 +8CCEF +03638 +1252A +4684F +5863A +ED0AF +E2116 +A82E8 +C74A5 +08D82 +F4444 +CF30D +95C21 +13DB9 +4E231 +D48FB +F42E9 +485B6 +B7500 +A83CA +A15DA +0D253 +12B1F +03EE5 +3597E +2C00F +BCAF8 +128E7 +9CACD +4B233 +6033D +5F5DA +18BA2 +76120 +42B3E +56590 +FCD91 +58941 +C6886 +3D6F1 +CDE06 +A7819 +56C5A +312A1 +0DFE2 +ED2CC +D90AC +840A2 +03A5F +AA011 +6CC03 +4C762 +3343A +AA0A1 +20AD6 +65C29 +84E8A +D0297 +D5C55 +891A0 +1C818 +2F985 +D3CC4 +0C85B +4645A +0F89E +33742 +09CEA +A31D6 +08FF9 +30D83 +18961 +95CB4 +05096 +30363 +0ECC2 +81BBA +66B76 +2240F +4F873 +8CA00 +6803C +48030 +A4176 +B45DF +C8081 +1BB92 +0F642 +46236 +58795 +0DA2B +76036 +CB060 +71332 +098FC +09187 +7D699 +72E45 +C4C58 +79395 +DA123 +E2EF1 +1B893 +AA949 +0147C +C9468 +8B251 +B788A +F3498 +7226E +87A42 +65780 +B0532 +0FE29 +B29A2 +B5351 +28F93 +BC891 +486ED +BC529 +8A0EC +52A12 +A4E35 +7783C +770E4 +ACC2B +19F4C +885AB +D0E13 +0E130 +E1302 +DE8A8 +0FC73 +D6124 +B1F94 +F7136 +E4062 +A80CC +9B318 +22D7F +1C1E5 +4B3B8 +068D5 +8A2E2 +538D4 +748B3 +77C8A +712C5 +4B4B2 +9E823 +A66C4 +085C1 +6A901 +29C7A +679F2 +04409 +586A0 +320EF +F660E +B5963 +D000B +15A92 +A8252 +4E97B +62B31 +EE03B +D42E3 +466A2 +8AC84 +B04C4 +5E786 +F6B41 +5C5E6 +32C23 +A3DDA +E1F58 +923A6 +5A896 +85A74 +D20AC +C96A4 +27FB8 +0BD51 +6FD02 +74170 +5F78D +D0391 +5209E +6CEB9 +C661E +F52D2 +20315 +AA605 +02121 +C96E6 +21761 +8F807 +52174 +52783 +C869D +19756 +444EC +6477A +9E80F +B10C3 +509D8 +82C08 +A8E8B +69300 +42310 +217D7 +18474 +271B2 +E7771 +212C9 +EB6C2 +FDA08 +AA5D0 +D8186 +A9A37 +0BE27 +2614A +23100 +019F9 +BEF1B +A665D +21C4A +D086C +21A92 +6F0CE +802A0 +983A5 +844AE +A1676 +2B98A +4B5C8 +6A801 +2FAF4 +D2278 +C0140 +10390 +FD560 +BE5D7 +91A51 +408C4 +813E8 +6EDAC +6843B +26528 +4AA83 +06FC9 +51A1A +4D42D +108E9 +86388 +9A86A +87E10 +C2CBA +0BF1A +BC642 +712A9 +F903A +A340D +03F73 +449F5 +254A2 +54A50 +CA989 +F842C +580C3 +F7856 +0491C +92115 +B86A4 +B4784 +D1B03 +0BA5F +096D8 +1AD97 +12208 +40D21 +0B05A +8F919 +2412D +7407F +46905 +43804 +8641C +CBC32 +C769F +8017A +36E90 +0746F +C28C2 +3730B +0F49C +347F7 +D9288 +52C61 +8EC07 +7F2C7 +8253B +13D25 +0ACDB +C894A +15269 +D0A72 +A86C8 +974A0 +BA7F2 +EC4BC +07160 +819D1 +AC2BC +89C04 +AFD3A +B94B0 +39EC9 +4B487 +65F82 +7954E +0E065 +A694C +0D720 +CF9C3 +9111C +ACB13 +227B2 +68FA2 +2C20A +6BCE3 +51729 +186D0 +0515F +0C7C1 +3D04A +18F28 +5B2D9 +7B9B1 +14397 +08D8F +1E90A +FCA9B +B7055 +193D3 +58326 +2F005 +8D6AC +32117 +4F85E +6AEE4 +4242B +06513 +7C0DC +F9D42 +D942B +36957 +EC249 +DA01B +053D0 +4F9D1 +CE330 +35CC4 +038EA +1B812 +68BE1 +96500 +DCB45 +6249D +5A9F1 +442FC +6927B +3C054 +CE421 +36E86 +62160 +9BA9E +ECD20 +40462 +8153D +304E5 +10278 +7F03B +007F6 +E268D +192A3 +4CF2A +69069 +6AA97 +A8C00 +0FE60 +9B330 +B3B12 +C7C16 +18267 +6F751 +38477 +2230A +5C061 +F87FB +D4D00 +671CD +41B0E +A864C +D2B84 +B3D4E +D4783 +84FB1 +CB582 +D9A6F +021B1 +90DBD +343D7 +95184 +F4218 +89042 +0E51A +21638 +52419 +65D28 +0DE28 +594EB +5DC92 +41E11 +27E0C +233D2 +A5D7E +63042 +23038 +2BEA5 +D90DE +F0E13 +C8821 +79513 +A1D9C +2E929 +8A0C4 +7600A +623BA +C5659 +16241 +B594A +3BC1A +A9699 +CF833 +20271 +B9D6A +16052 +707DD +E1835 +90A2A +39B1C +87CE6 +41708 +FA817 +CA1CF +1C87A +9A68C +1A4C7 +0F4AD +A75ED +084D8 +15297 +D0E13 +0E130 +E1302 +DFB57 +34683 +D7532 +49054 +953B1 +1B596 +2B8C2 +DA78A +63639 +0A867 +3C2B5 +1E2F7 +24213 +AC175 +5A21B +4A07C +E38AA +8A24A +A33F1 +0F287 +53579 +07C31 +D60CC +55563 +FA247 +40179 +861E8 +74D63 +341EA +28516 +E18AE +84D47 +314D1 +2EB45 +8751B +57F21 +0E130 +22AA2 +8758B +AAB6D +49D75 +F8352 +C4474 +3A2F2 +FB00E +388AD +6BB0D +8520D +D90F3 +54D31 +8723C +2C253 +151E3 +15DAD +58701 +0D00A +862F4 +66EA6 +0DC4D +7A082 +5ACD9 +85D75 +6283D +30149 +25A0A +B33CB +73815 +66415 +47C06 +97CD0 +2F2E9 +D9673 +35886 +0E8C5 +D0955 +1AF01 +AA1A9 +B0B09 +83B1B +48B87 +4C244 +627F5 +082C5 +47234 +CC012 +076F4 +F716F +E4159 +D8909 +512D8 +7A05A +65A19 +24E47 +31BF0 +A55E1 +25138 +3BBC9 +CB6B6 +B4AEE +C3320 +59269 +1C768 +587F4 +87581 +FA87E +E4801 +2B18D +6454E +1DA79 +CEE88 +0C8D4 +B0B13 +3DA27 +66093 +AD712 +52C6F +1600F +9EA24 +109DA +08DEE +67A4E +5037C +6E4FD +B7110 +02A98 +02ADB +4A6CD +BBA5A +BEB80 +CAC16 +A8D26 +2C0AA +6095F +774A1 +1C5A9 +4165F +8C330 +2FD8D +B2F14 +0CB37 +94339 +997D1 +49C0E +37A8B +41200 +E4A70 +9A3AE +BD984 +A95A3 +41595 +7700E +4B875 +ACA2F +90FF2 +005A3 +6DACB +14449 +11FC3 +97FD1 +18601 +58A31 +040A7 +02B5B +4D870 +28EA2 +931AB +86740 +81C41 +446F6 +8AB22 +4599F +8A803 +35CD7 +58A75 +A076D +1E9C8 +CD431 +B821C +81B17 +5BE24 +F5331 +2951A +F28BB +C2190 +4842A +98331 +A0745 +43D6D +6EC9A +D94BA +94046 +B4814 +7D1D7 +3FCC0 +E1698 +968E0 +DE968 +650DE +313E7 +0051E +746EA +306CA +52918 +E8842 +4758C +F086F +E7583 +37094 +54298 +6D22D +86299 +7A23C +F1CCD +759B7 +40076 +3912A +3CB69 +2B83F +29481 +B9021 +1EF64 +41D8D +9B9CC +1336A +1188B +200D5 +67F5B +0B591 +26515 +75715 +9C270 +DD094 +A8681 +1A170 +A21A5 +55807 +87F5E +89526 +CA398 +121D2 +F0ED2 +6AB48 +3366C +88ADD +C8488 +A16EE +0150A +C4687 +4D2E3 +5CA78 +2609B +21F23 +326F9 +03088 +7AD9E +7DCE0 +268D9 +58A41 +148D1 +F2045 +94378 +08F10 +A6EBC +7C95A +04778 +A3081 +965A3 +287D4 +8F735 +900B5 +878C9 +6EB69 +43EF8 +34C74 +404BD +DE229 +16799 +80300 +9A398 +3945B +78D86 +E50B6 +A3986 +C75E5 +400F5 +28439 +5803F +E8720 +729E1 +592AA +96941 +52A13 +64E58 +780E5 +E582C +74F5D +30E38 +19C8B +6C0E7 +8748B +834A9 +3D321 +14031 +E3836 +3BEA5 +32B72 +93037 +498AC +6707E +E003A +3371E +1007C +8BAB7 +9C56D +012E7 +458D8 +504C6 +1E66B +03930 +C0D87 +0ABD6 +F1979 +70908 +30364 +32741 +C0C3A +777F9 +268B0 +6322C +ABFC4 +5B845 +D6017 +D0E13 +0E130 +E1302 +DFB5E +72067 +C7681 +5E810 +360C3 +1344F +A04F4 +127A5 +B62A6 +2600B +0539A +67F87 +DDC48 +38405 +01606 +1A683 +C04D7 +6E4E4 +C1C90 +E32B3 +CC9D7 +10A1C +C02BC +FA4A3 +9DF38 +3BB48 +A1818 +25555 +881FE +84ABE +A08A0 +5E1A9 +31B8A +25B81 +1E0D8 +7A009 +C1E3A +DB0C2 +40FA4 +C01EC +F5F5B +509A1 +3A9D9 +7B906 +81478 +B930B +F7173 +959FE +8394D +0E0A0 +DDA3D +05538 +45217 +2C456 +4F890 +6113D +24827 +C4C96 +AE538 +B04DF +584D0 +5C72C +C1656 +8921B +3EFD6 +8AD83 +64034 +04561 +47C20 +0C37B +813CC +552A9 +C0B86 +E950D +5E237 +39959 +9730C +82255 +82CB9 +9F814 +60226 +2A522 +AE70C +505EA +E8834 +F4476 +726AC +649F8 +8214F +3ED61 +2A1F3 +EF9E2 +20210 +9EC11 +85691 +8A1B9 +E6594 +0A8CA +754CB +F4207 +D5A55 +BAD48 +46311 +E0192 +CAC90 +B7970 +C81C2 +4C542 +9E9CC +727B2 +089F4 +C4B87 +0405F +EBDDC +85130 +D199D +973A9 +A9A29 +71F5D +081BE +536C2 +57012 +F4747 +F80C2 +08A1F +14408 +95B58 +4D319 +64238 +B2A88 +F2F58 +B05FC +26450 +69925 +4A4F4 +318C4 +CC8D3 +DAF4E +825EC +63901 +BDAD8 +1F69D +61C2A +E8CDE +203F8 +590D2 +A82A6 +0D784 +7537B +BF444 +0420B +0B1F5 +E7A49 +71A1B +03144 +C4C11 +8E331 +E063A +CB7ED +4F490 +13909 +340EE +67A09 +64543 +7C2A7 +E9438 +55526 +0AAB9 +0185C +0368C +841D3 +AAB1C +F0272 +83BFE +C004D +A4200 +E9542 +AB3B8 +8185A +9A18D +424CF +21F87 +30D3E +41486 +C8532 +22363 +7F9C1 +D64C2 +6B068 +13A1E +E361F +C6D1F +A5804 +22857 +D0994 +81C88 +768D6 +439AA +22914 +535BD +645CF +50731 +B22A1 +4D649 +71E68 +69CC3 +EC81B +11666 +90CEF +0AC23 +9CB07 +28A52 +7EF63 +BF03E +04105 +EAF30 +E1525 +B4E19 +4AE07 +D82D1 +0ACCF +F498B +AA4F1 +39407 +BA49B +0E7D0 +50BBC +390F3 +140DF +77D24 +14024 +8CAF6 +9A288 +35C32 +73015 +C9174 +A7B50 +F132B +4247A +092B9 +662CF +EC064 +53525 +0CA87 +8AB5C +9B7C8 +4196C +05BF1 +F153C +28AA5 +D017E +0F83D +15228 +8632B +72DDA +702B8 +D1173 +74155 +B0BEC +A1A71 +63CB8 +60A5E +A9591 +CD83A +D108B +A7871 +E801B +8CA16 +D27A6 +AC6E5 +8A265 +06DCD +A9331 +3039C +369A6 +DE433 +8DF95 +08A88 +80ADE +14C08 +7EA44 +6B8B4 +57D56 +5982A +3A139 +0CB87 +F930C +027DC +61D73 +8B263 +042E6 +A4172 +3D179 +ED401 +82F04 +26091 +DBC16 +5630B +0CE88 +3286F +ECD74 +A5341 +3CA88 +41DE9 +77A81 +0AC06 +1F270 +462F3 +FD262 +ACB4D +1428E +F47BA +ACB99 +932C9 +92141 +2D188 +F987B +01785 +327AA +2F83A +EB20F +EA305 +4E60A +932A7 +2A3D4 +2CA03 +809EB +C5F91 +045A4 +DD505 +B6936 +85990 +D0E13 +0E130 +E130E +128A1 +86B49 +0D46A +5D6F7 +CC554 +7653A +218A9 +92032 +6D922 +11664 +64307 +49766 +2157A +6B486 +A3A0D +D85A6 +6243C +2E2CE +C2285 +9434C +FAD98 +0AB68 +E43FE +A044B +0E849 +6B3EC +C426C +743C2 +E4329 +1F787 +3CAC6 +98E5E +43F45 +0AD85 +8B8EA +B3741 +8B8B1 +2A18C +62B3B +13529 +C7160 +F2917 +F0DF0 +02854 +DA073 +B9080 +F7E02 +B5000 +8999D +4BD48 +83AA1 +88147 +0F033 +5AE0E +48F49 +E7144 +6F4D5 +88D04 +087E2 +070E9 +6D191 +DEAB1 +EE2AC +241CC +2CB82 +C363C +C2C53 +54583 +2FD95 +D34A6 +6224B +C08A5 +6FDF1 +2D2D4 +52941 +A06EC +ADD0B +F8A91 +BCCED +05204 +3C966 +18A08 +549EE +17456 +A9944 +4829A +25F94 +3CD82 +013EE +CD5DC +91183 +4D11B +709D3 +AC39D +94831 +CC1E5 +A2B73 +0A2AF +2BA92 +28FC0 +F0395 +4DE9C +95A45 +C2965 +9B01C +00527 +1770B +D5049 +79197 +AB5A6 +487C2 +9BEC8 +27702 +799C0 +3D08D +995FA +3408E +CE824 +7442F +4DB96 +8AC0E +5246B +36B72 +5B22C +A2902 +962A2 +95A5C +01FDD +984FE +3B603 +A5360 +AA1E9 +616C8 +85830 +40773 +447E2 +9D086 +5D12A +BC740 +32C8A +437DB +500F3 +4AAA2 +C45C9 +10B57 +0628A +97B8F +16986 +8CFAD +94A49 +90CCC +4C17C +E33A2 +53A41 +AB507 +61ECE +72351 +20F83 +0A9F8 +2E3AD +8B5C1 +95F05 +F229B +34947 +330FA +69647 +DD507 +4182D +4BB2A +1E006 +B1874 +B3BD0 +D911C +E5594 +91E42 +65719 +1D87C +48740 +8C09C +165D9 +47621 +A123E +3388E +BD89F +00039 +C4D74 +641A0 +DECD6 +40A40 +93542 +0AD48 +F0BAD +8CEAA +47210 +51115 +C0564 +07700 +A2EEC +2C1CD +EC1C4 +F323A +36591 +3104E +FA152 +93F6C +740B8 +9ED92 +B0C99 +5920C +12333 +5A2F4 +D83B2 +A6611 +2A711 +BE714 +812BC +0461B +4D2A8 +09282 +0BC72 +1C676 +8D135 +41C2D +46F9F +88D0A +EE465 +48789 +20202 +75356 +0E68B +021FE +3E8B8 +717BF +17086 +F1289 +70453 +F45D3 +09308 +13E51 +041D5 +4A098 +3A253 +A86EC +69CD0 +A652A +C4877 +7FD14 +A1511 +814D1 +61717 +A2631 +F49A4 +E9443 +56E36 +45A99 +413D9 +1245C +F9BC7 +3090E +D8A0D +A15F7 +22351 +80E62 +C90C3 +EEE8B +AB88B +87A0A +72BD8 +276F1 +32CA0 +36902 +DA0EE +8DD58 +09CE4 +40165 +5488B +4465B +B616C +32BED +68040 +BE946 +E0F27 +1CAD2 +C5411 +CE7B2 +0B131 +5F5D8 +108F0 +E7D84 +EC864 +9D204 +4C042 +0411D +F0D47 +AF1DB +A40FD +241AD +982F7 +30EA4 +603E5 +18A45 +88149 +8C0AC +82FC2 +51FD0 +5A264 +7AFB2 +16447 +36D4C +3D0F5 +53B68 +01ED6 +E8C2C +8D678 +58B34 +F558E +98454 +17E5F +391A0 +50529 +FAA83 +9504D +76139 +0D471 +078D4 +96934 +85E4C +A0A70 +8F62E +72AED +80926 +CA011 +D0E13 +0E130 +E130E +128AC +A3E21 +A2DFA +3AB31 +5EFE0 +219F2 +1E9A8 +545BD +A70D3 +C9250 +A42B9 +484AA +5FE0C +AB684 +4813E +797E7 +7E498 +2C141 +2F995 +14BF1 +CD1BC +F970E +5EC10 +0D35A +4D298 +47655 +A3EE3 +56678 +5E897 +31361 +92EE4 +D0499 +AA5D4 +8E439 +B1DCC +0886B +26B4C +63114 +FA307 +99094 +AF75D +E1CA0 +BD619 +0CA58 +99E60 +45ED3 +571E8 +15D08 +CB015 +FDA52 +15B34 +E9D22 +03B62 +72034 +69C1B +4B8E2 +C7382 +FA829 +ADEF2 +6DC54 +54415 +CA81F +7C459 +9918B +A911D +EF760 +59133 +6343C +93B67 +233C8 +DE048 +957DC +C8033 +2E8EC +9288A +CE41D +441CE +2729A +45E0C +2DCF2 +473A7 +962A4 +D3C38 +4412C +8C721 +07531 +C6703 +7F168 +40415 +E4DD4 +0473A +5416C +5A30A +5B230 +35944 +EF500 +49CC1 +808B1 +3603F +75B95 +885A5 +4EA26 +880ED +EC00C +722B6 +73950 +8E662 +BD072 +95645 +52AA9 +4A0E2 +B1180 +0952F +BE308 +95F0A +1A18C +49B01 +2EBBE +0CA7C +89998 +AA2AD +602AD +73CA9 +09339 +C0B28 +566E0 +AE988 +1D211 +6A39F +256E4 +6915A +BBE90 +66B00 +86652 +D94F9 +9E608 +85E9E +19828 +FE642 +400E4 +9C676 +53A56 +12612 +F0313 +EAB95 +2113C +6E031 +28474 +861C6 +1C362 +5455E +2A48B +AD48B +8683E +90568 +A7C8E +C6B41 +62C22 +82B20 +ACBFC +A90C0 +B620A +DE09C +770A9 +8ACB3 +C03F5 +47A0C +1EB5D +CA21D +17A93 +09CDA +4B566 +682C3 +0B153 +D4359 +C4C0B +1521F +01F72 +C56B4 +E98E9 +CB658 +096D2 +C8EC6 +432DF +91525 +E2123 +943F2 +5302B +52784 +C4DA1 +4158C +FEA30 +56BE3 +91D26 +C2959 +8E206 +39437 +0178E +B9EBD +087CA +E2A06 +4C63E +0E4D6 +21CC8 +2EE88 +726C7 +05209 +9FF73 +DC8B1 +834C4 +16A30 +6F34B +2A278 +A6284 +F1CB6 +88978 +A0575 +13297 +29CF2 +D327A +4846F +10D7A +61807 +589B0 +8947A +7E53C +1C51A +01903 +87A60 +2D026 +909F2 +C0CAE +E312C +F765B +14189 +BDBC3 +208C6 +C1E4E +4A5E2 +228FD +AB191 +3D54A +D8C0E +98914 +B4370 +EA1E7 +F2E38 +B09A1 +91DCA +42A16 +881C3 +C78A9 +4BCF9 +11E87 +F00D4 +56347 +EA30F +2025C +52980 +7B7F2 +02FA3 +742D0 +E931C +471A4 +A9F38 +3FC0B +704B1 +8279C +EC78B +5F407 +9193B +005EA +0A842 +8132B +F0907 +D442B +22803 +27BF4 +B5246 +87AAB +AD307 +B6214 +0DCCE +AAD29 +1454F +CB646 +453AC +D84C1 +181A9 +D602E +A7DED +09C0B +B84E0 +74915 +8DA1C +4783A +27AFE +0D029 +0DCAC +0BCFA +11F5A +A4C9D +4107F +00B05 +4ADDB +F2549 +45BA8 +ACF61 +17A51 +44472 +5E584 +BD668 +89CDB +79E82 +BD106 +012A6 +146BC +64778 +3FCA8 +119AD +2D603 +82BE7 +5DE24 +1E28F +71841 +94BCD +F238D +BC1A0 +46229 +33C58 +5941D +6CA8A +D0E13 +0E130 +E1302 +DFB58 +24606 +8A98E +09F74 +E2C0C +4A437 +F40A6 +51E3B +F4021 +10ED5 +3E2F8 +90553 +CB04B +94F85 +46065 +4A589 +6F854 +0EA0D +68BA8 +9FAED +84580 +84FC7 +6BA89 +791BA +9CA7E +A742B +105CC +54BE1 +44924 +19238 +AB6AF +EE099 +D44C4 +325B5 +A6928 +7814E +C286F +B3883 +1D3D1 +1BB1C +5B175 +E064C +9415B +8629E +9690A +28B1A +0FC82 +A1C02 +80DB9 +1A2EC +05DAD +8F460 +A218A +0FB78 +5CB65 +B3207 +96A51 +E0DD0 +2F169 +41D3C +CEB1C +2CC5E +F029F +34E38 +A82BA +8FC99 +11A1B +2443F +B9010 +59D02 +E29E9 +5A841 +7741F +85C30 +1E689 +353A4 +54F5E +01240 +5545A +F5DF6 +193D7 +D1282 +2FC27 +D8C45 +AD402 +A2906 +6CB2C +53D24 +84204 +379DD +7A542 +324A1 +91ADE +EA1C1 +D46E0 +70628 +161CC +63CCE +A0E80 +80DCD +D6301 +CC97C +562FA +1C804 +D2325 +B8081 +F97A6 +0694E +90509 +01547 +B0C0C +FD08C +97941 +9BCA6 +2D40B +4C3F4 +01F89 +F66A1 +0B4B2 +A9EA7 +84FF1 +4D594 +109F3 +7A687 +4494D +D8C1E +288D1 +1C9D0 +61967 +30490 +AEADE +216D7 +C87B8 +74AAA +714B2 +72325 +23631 +6D18B +A83AF +90033 +9DD2A +D4E59 +6C306 +60940 +B8235 +D0500 +EC1D0 +B6DC5 +1ACC8 +40754 +B9768 +EE515 +A9A62 +75CCA +8D169 +164A5 +0ECE3 +E83D3 +2B9AE +11D63 +E48C0 +A49AC +10C27 +08797 +576CA +50145 +5988F +F32D9 +109E8 +23740 +41C3E +AB814 +195A2 +20C46 +2CF46 +C2CD3 +D7198 +457AA +A496F +40864 +40100 +7D696 +91D88 +585B9 +B2EA2 +2710C +D9B4C +94DF0 +5A918 +E650A +6F0F4 +86BF7 +CCC14 +E3266 +910DB +07748 +A6996 +09790 +B2BC0 +91A37 +59A45 +CC540 +7C7A1 +39F25 +11B4F +25611 +631E9 +6FB23 +5EE66 +A7605 +06264 +543C3 +8EA20 +981AC +77D84 +17263 +C8841 +847A4 +EFA2D +2371D +16140 +7651B +C624F +41A52 +9489B +A9A69 +2E621 +2531C +F5268 +5DF98 +B0A43 +3C89A +7502F +E82D6 +22769 +527CC +ABA4B +4AAE1 +8B0FE +B090B +5FA16 +12A5C +C17AD +CE815 +D928A +D0AF1 +E32C8 +1B34A +59BE1 +1AB10 +3CA86 +857B1 +4B711 +AE926 +8A2FA +63465 +9F311 +65403 +7EE34 +8F90C +8C083 +D4E96 +2B58E +12C1C +59CFC +B0A21 +8F432 +D0271 +BA6C7 +D804A +DEC42 +A09A8 +52BDE +CF7A4 +31D00 +DD9CB +029C4 +87A1D +39B05 +B4940 +271E0 +E0C26 +7F700 +5B1C9 +5205E +EFCFA +47A10 +0021C +F1DE8 +31873 +1C31D +12C1A +44D42 +93816 +2A376 +4727A +0C95C +530AC +E30B1 +4AB68 +F4963 +D952D +6B6D4 +8089A +A901A +F2652 +A51AB +35D21 +77B60 +46AB8 +F90BF +182A2 +DA556 +BA23C +7C958 +0DAEC +A5A94 +A71A8 +8F223 +5203F +7815B +8438A +53B1A +71A28 +D9976 +68984 +79002 +6D230 +F2DE3 +A8774 +EEDE9 +0C241 +D0E13 +0E130 +E1302 +DE8A0 +00000 +00000 +199B7 +0F0F0 +F0F0F +03C30 +96172 +7A136 +80704 +2620E +40D8D +2C240 +DBF86 +811B2 +5AC10 +81691 +2C55C +CC363 +D4D4E +4C146 +371E8 +B24BB +E80FB +D719F +31A40 +D9498 +D63AE +67A13 +3F780 +C20EB +2D3F8 +8B5B2 +A1029 +2673F +18174 +205A5 +32673 +EB0B2 +03D0E +8816B +B0275 +19C95 +0926C +A33A8 +933AF +09780 +69CD4 +0727A +4756F +0C822 +5DF5E +2440C +81258 +44E5B +A8A79 +1182D +008AA +3F5C4 +B992B +28758 +5D1C6 +47893 +A81F1 +2080E +92208 +2DCDD +36447 +01DD8 +C0949 +7DE48 +EC5C5 +498A2 +B1B1F +19D59 +A6E9D +C0548 +3720E +A9171 +82C47 +8CC77 +6A270 +31C29 +E89AD +4C423 +3DA8A +960B7 +D919D +EAE16 +82252 +03962 +36932 +E6A08 +88247 +57D1D +41939 +B342E +782E4 +C99A1 +FD5DE +20051 +63687 +85D2D +C9C18 +E259E +6DC46 +A2908 +FB67C +A9F19 +C829D +807B0 +C535A +10BF7 +008AA +95FFC +40DC8 +0D105 +1EE21 +664DB +82B6E +2D08E +64011 +F413C +39B3A +409A0 +83080 +9210D +C1C9C +CE271 +244D4 +62011 +B4724 +8327D +CF591 +49698 +177BA +252ED +CC409 +BA910 +5E1CE +9067F +1F603 +796F7 +9000A +FAA83 +467D0 +5F5F8 +88D07 +192C8 +DA614 +2C107 +2E1E7 +21085 +311B4 +D4101 +5D8A7 +55D0E +9A162 +0294A +9508C +75C51 +07CD2 +4C488 +21A60 +0E080 +DB76F +EA88D +24241 +1D642 +5226D +84356 +9370D +1BEC4 +B591E +0F048 +0C2D4 +2E91E +79870 +D72A8 +A8AC5 +CB212 +F15DD +D9435 +32C46 +D01A2 +4EE85 +646F3 +37680 +64338 +F6650 +8F015 +52F83 +36DAD +43A35 +24495 +515D0 +90725 +36FEA +B4CD7 +2F315 +DC072 +1847B +0A42C +84CC1 +4494F +60E7A +74650 +A0070 +D76E0 +9050D +B8804 +A6B21 +C18C4 +DC6F4 +E9747 +95512 +2F131 +2BD34 +A8726 +3BCA3 +30162 +19158 +2E843 +C1412 +97BDA +088C8 +A745D +85131 +A4D99 +D65B9 +190EA +30094 +C1A7B +72806 +C2705 +9E0EB +A5957 +245A0 +E309D +14CF4 +C8B72 +7004C +D142C +03209 +336AC +9B7E2 +C0F5E +54D02 +3D8E2 +11E79 +61C8A +184A2 +F902E +B0A21 +C6412 +4B040 +FA25E +22A8C +5CDCC +3228B +9D061 +4C459 +65614 +88871 +9AFF1 +21007 +9F401 +8D70D +4B48F +4D3B0 +CE032 +6948C +30B4F +47A08 +1F668 +D11B0 +70C97 +D1AD8 +12240 +705C0 +1C354 +2F875 +F82F1 +416C9 +10755 +8BFAF +BD921 +09852 +A191F +B0C33 +9F574 +84A93 +DE812 +388E6 +8623E +D7716 +810B2 +F1295 +84EE1 +2E4C4 +7590F +5686D +C05D9 +193D5 +647A1 +0AEB3 +4450B +B3C04 +DA071 +91A49 +29796 +68C9C +9365D +04CAB +D0BB9 +E5BD0 +40990 +A40E5 +9F038 +37651 +E82AF +B8422 +781DC +B3DC0 +E2C4C +28B02 +32B44 +085FB +16D22 +D7446 +32EC8 +C2A0A +D0E13 +0E130 +E130E +128A3 +9C3A2 +1C79A +340F6 +C1121 +FECC2 +15095 +DDB81 +1E0C6 +2D298 +CE2A0 +F929A +AA83E +61C94 +D31F1 +1BC04 +24175 +4D808 +431B1 +DDAA2 +70D29 +5990C +00A79 +2D412 +D0336 +7F4FE +80209 +64E67 +3B216 +E4A23 +0AED7 +A7910 +14DE6 +9D849 +08C31 +E3A44 +B1CCA +AA1C0 +8D082 +DBBBB +C0870 +3E4D5 +7EC51 +153A8 +F9383 +49C0C +49DFC +99085 +DE544 +E44CF +A6BA1 +9BD28 +0FAD8 +38AB2 +40B52 +ED364 +A968E +78A7F +433A3 +9C036 +DB256 +81E13 +17D8C +88AE9 +B5D32 +011DD +0DA0A +DE513 +F0808 +DA9DF +A38C8 +680B2 +C7304 +5A1BB +DC1D2 +6299A +8E2C2 +34A2B +96706 +62374 +845A6 +942D5 +A460F +1A54D +AD26F +33800 +5EB26 +D55AC +E3208 +1E3E1 +A529A +333D5 +67359 +1A522 +27D57 +2B32C +39A35 +412E9 +77281 +043BD +D1651 +38167 +7BA95 +4E8E2 +33905 +7686E +4D4AE +A1E77 +153C8 +530C0 +CF2E6 +A7180 +B4EB9 +99466 +693E3 +D1C6C +B8A21 +52B30 +370C7 +D5244 +C0FBA +61F29 +F0650 +24D54 +A0954 +F0648 +87DF8 +A5316 +DECC0 +DC136 +34CA0 +B2524 +D2DD1 +71274 +AD454 +03B34 +50F8E +6F329 +77B76 +7402F +928A8 +52EF5 +8A2D5 +869AA +89320 +F24BE +0F267 +19144 +32632 +21841 +D65CE +EB097 +C4E3A +0B65C +35136 +C159F +0E04A +F0C9A +3C1F0 +39811 +FE2C0 +44C18 +81D4D +52F00 +1B8A8 +8604B +7B618 +F599D +198D3 +94068 +34308 +EB0A3 +EE568 +97929 +C1050 +05370 +047BF +CFE1C +A35A0 +75844 +CCF9B +55265 +1094A +EE525 +C28A9 +1540E +DC020 +DFC88 +72AFC +BF450 +AB680 +08CA4 +8498A +22729 +87A11 +818BA +0A679 +7BE8E +2F445 +2AF48 +3AB56 +D8431 +56A9C +343B8 +48C88 +4979B +4E313 +830A5 +5EF9B +2886C +22A82 +37B33 +16AEE +451FA +94294 +4468E +87A30 +8AFD8 +EDEC5 +5A895 +0624E +0AD52 +6A61C +4768A +C31A3 +D1141 +0840A +60DBB +D7AC0 +D489E +2BD86 +96287 +AE17E +0C57E +300C1 +6AB3F +506AC +8C409 +620C2 +B7E09 +BD57D +A1150 +45E6A +8FC44 +15E98 +F8300 +D7077 +DB015 +F9E50 +4BA89 +66B38 +E2D35 +A04D4 +7A0B6 +D70D0 +769F7 +11F42 +BC02A +04825 +339EF +B06AE +2A491 +5E5C0 +95296 +80F6E +38D34 +03C36 +1F612 +C94C2 +4C13A +C8E70 +7BE2C +0F891 +EA600 +A109D +9491F +534E9 +C882F +89241 +A26A6 +50470 +BA287 +828EF +0DB32 +B4F48 +8F84F +13A9E +C0F04 +A75C5 +686C7 +C68E4 +FC602 +7AB34 +97000 +9058E +C5256 +82EE2 +380C7 +CD4AD +11295 +B4D84 +6B0CD +732AE +888FD +A2559 +1A829 +97570 +69411 +ABC42 +BCCB6 +AC1C7 +614C2 +C5E48 +7E284 +0C144 +ADB01 +C587B +38E39 +D18AE +F676B +03153 +27065 +4F3A2 +25221 +D5EC6 +2AF0D +45521 +C6965 +8FAE1 +6332B +AF269 +7A24C +D0E13 +0E130 +E130E +13B52 +DA948 +D39C3 +B5056 +62454 +993EE +D58C2 +1A80F +2122E +4C653 +44A15 +22C80 +790F8 +413C5 +32211 +90EA9 +584E9 +481A8 +41525 +71015 +30911 +CA227 +1D813 +F35F2 +6C090 +ED22E +374CE +67F25 +8CCD4 +97E85 +2209C +69C66 +A8A21 +37529 +62A37 +2A0C4 +E621F +02624 +A2E5A +DF2F5 +48162 +663FE +185B1 +11D44 +E7B88 +24DD8 +22922 +E109A +C9678 +9068D +F00B8 +D25A3 +0C35B +C90B8 +512E0 +FA78F +9D1DC +08BEC +C9B19 +193A0 +20F58 +F2C64 +17878 +CF114 +2A148 +D1BC9 +1CF92 +DD44C +2D952 +BCEB3 +5B00F +60053 +76679 +87834 +17F10 +6B353 +2138D +2A2B2 +99A14 +AC3C9 +741A6 +94161 +2FB46 +0CD2D +29A80 +85A62 +0A9EB +06179 +3E951 +3350A +0EC3A +83A08 +612ED +6DF50 +45667 +1B490 +80B2E +694E0 +6CD47 +3C390 +F45BD +AC0E3 +D3BEC +15444 +8ABA0 +42DF2 +007FA +D485C +02524 +CE630 +EAF32 +9C807 +1E035 +3B0FA +B1FD1 +620D2 +FB307 +423A5 +26082 +52B04 +82690 +5F057 +A8000 +AD6D9 +DAA31 +D5D74 +6C768 +25216 +980F3 +4D289 +52544 +21405 +956A9 +A5B9C +43696 +AAD62 +97526 +998AB +5C0BC +C78A5 +90A08 +522ED +0A312 +0BE69 +6C2BA +5488B +0949A +49294 +B1920 +016D1 +060B0 +ABD40 +66F8F +E401C +42D87 +4CA86 +25E82 +2928A +A6881 +83D54 +D344C +04138 +ABD1A +94B17 +B88A9 +F2083 +51D40 +6A421 +81C27 +4784A +B9581 +BA283 +1A5C7 +2DEC1 +F0951 +102FA +EC8E1 +4F7C4 +A1720 +93C06 +53B8A +5DE94 +51322 +B9A35 +39B34 +9302F +AEC4C +D8744 +666D8 +B3A9A +7E4C8 +5085D +2501E +B762B +A4652 +1D20A +3E08A +8FABF +2D5EC +47264 +73103 +764A1 +AADA9 +8034E +392AA +7D8BE +2CC12 +2E162 +D8E07 +0A7B0 +66C24 +2530C +44FED +4B394 +45607 +C90E9 +2A903 +1EE60 +EEB72 +D071D +24D1C +12DC1 +6A411 +24F71 +A736B +D5104 +E81F7 +56B52 +06491 +6E098 +DEA7C +8A881 +D3FEE +C2C2A +8A665 +45471 +1FA80 +7E086 +4AC30 +F1E57 +741A1 +C8B47 +6784F +4260C +2999A +88F54 +A3A48 +46A0A +E55BA +C18B5 +42544 +632B2 +A8437 +C3069 +85367 +51640 +75D35 +AE4F4 +988D8 +05D7B +8B99C +0231C +8D670 +48341 +B966D +A7792 +ACADF +89840 +64774 +3A44A +3E5C4 +02E2A +6CA56 +FE120 +8DA82 +98055 +ED898 +EBE7E +00B8D +F6A91 +04111 +802E7 +4CA22 +499DC +3A9F2 +A8302 +9A5F6 +DFF80 +12984 +0ABE8 +500D7 +9346A +83C31 +84BFB +98C79 +85B1C +BE33D +05086 +96C82 +0FE90 +B62AE +9416D +5A05E +9AA31 +42926 +E23C6 +2E2B6 +592CA +D974C +DB6C8 +A4AD9 +20F84 +FB009 +D3344 +5CBC5 +3D541 +B3843 +BA53A +50522 +4A405 +BE6F9 +950FA +55C24 +AC11D +CC4F6 +9CFD0 +40804 +EE2C7 +B11A4 +7A47C +A9173 +C171E +D0E13 +0E130 +E130E +128AB +A1F65 +D2EA8 +262B2 +DFF42 +1985B +1C1A2 +1437F +500C8 +4B3C6 +8823B +4F857 +30547 +38416 +1CBA6 +84773 +9F1C4 +08BA0 +76629 +26C8B +CBDDD +3DD30 +039C3 +9A843 +D62C6 +05F9A +F4105 +85C69 +00E2F +15677 +68CD8 +63C49 +D3124 +A8C19 +D944B +9C671 +9065B +8B890 +21797 +0C019 +E5969 +55FB8 +34716 +A88E2 +90893 +54A7C +7B552 +31C09 +AA453 +525C6 +BA8B2 +0B878 +2C82D +3F3E3 +4CA7D +6D9AE +22192 +5A9D8 +C4115 +42A6B +4F81A +84169 +0DD14 +0E940 +ADF6D +0CCF0 +63132 +14671 +E439D +58C1D +86AAD +E4CCD +AB282 +97409 +9E3FC +CCB65 +610B1 +46BA1 +680A6 +855C7 +1CEB5 +0E0F5 +05D30 +D077D +4E0AD +5D040 +5AE3D +B9553 +ACFA6 +A0F35 +0D813 +C2123 +E34EF +C4941 +0510F +AA271 +43B92 +9DCA1 +76969 +52C31 +16D09 +D09A4 +C41D3 +F482C +52956 +CB5DB +4077C +21266 +DDBC5 +4084E +194D8 +87F18 +939E4 +4353E +61646 +AF308 +5111B +C49A8 +B3944 +530D3 +E984C +7616A +0999E +3B866 +28941 +4DAE1 +0E31E +8C891 +4E307 +59866 +7093A +3E125 +E4353 +7102D +56580 +36D7B +91B99 +88CAB +44866 +41CBC +588D7 +50828 +E0A7B +28A34 +EC257 +57556 +59686 +08EA7 +E40C4 +70C42 +82448 +0D401 +C5654 +32875 +4290A +7DFBC +1E586 +A4073 +C1903 +669EE +2C49F +D4009 +EC8E2 +A35A4 +D52BD +48DB8 +E1188 +7C839 +A4F09 +C5CDF +36366 +0BB00 +94050 +89ED3 +FB765 +2851D +D9253 +6C8E6 +D8220 +4D323 +16E2C +2548D +5DC92 +68AA8 +02EAB +16479 +33C25 +B511C +69E0C +C3C49 +EA616 +FAC07 +0796C +4A426 +C7B54 +B0CF3 +CE513 +24B29 +2D4E6 +E3C12 +5061E +805BD +A1426 +521F3 +8D14C +61497 +3C56E +18587 +D9400 +49AD7 +B9390 +E2390 +27421 +BB4E9 +19D35 +CC345 +4900E +C7F72 +C873C +A0C10 +27F65 +341E2 +C22CA +ED0DA +E3C5A +60D77 +E55CA +D3804 +667A4 +BEB38 +BA208 +58EB9 +3AB34 +53444 +104ED +91CB9 +9D2DA +09211 +F2475 +69CAA +86228 +3D86C +96A00 +13F76 +3A182 +EB275 +21794 +2A04E +51132 +78A36 +02635 +E97BA +85644 +D1B98 +E0E77 +44FEC +34404 +A52C9 +2E851 +379AE +A027F +F0291 +AD3CA +0F84E +2572E +28A2D +CEE53 +C4621 +2A44B +DE1EC +04C2D +299EA +4A19D +06A3D +43781 +D030E +51CCA +94C58 +FBB53 +AAD6A +58852 +33324 +A083F +9C95B +69B65 +AB1FB +B0808 +CF018 +E718E +90DFC +F8D99 +06A13 +90BA8 +F9A80 +46625 +D7CD9 +B1526 +0A791 +2D4EC +3052E +02996 +D9065 +371E2 +2181A +B2D9E +123B9 +7391C +2CB30 +66844 +E95D8 +E34AA +28D34 +9133A +64D42 +8BDA9 +791E2 +5742D +1E487 +F9A3C +78B56 +28296 +E641A +55AF9 +E02B6 +08105 +54990 +B4CA7 +0230E +30BE9 +68174 +A1C92 +38414 +D0E13 +0E130 +E130E +128AE +20633 +FE374 +D5917 +E0C16 +F014A +9A380 +91890 +1C488 +5C8F1 +7024E +6CF93 +86A9C +18136 +9E04F +16845 +57784 +8A843 +8C948 +39EE0 +69909 +7EDA7 +2950A +0A4E2 +48488 +DF80D +7550B +651D8 +558CD +F764C +02C99 +49EC0 +662A5 +24761 +1E497 +A4668 +23932 +FBB08 +770DA +14785 +AB572 +984C4 +58A56 +6229C +C2470 +C9ABB +BF59A +051D0 +5B929 +D36C2 +426A6 +94987 +07B85 +B9650 +6B036 +00288 +AA931 +7A805 +1192F +29D67 +F1313 +00970 +B6000 +7D54B +2811B +2B92C +08CE6 +10400 +425E1 +2F5BA +1EF4D +F5C40 +7A6B7 +02180 +1F079 +049B1 +80ED3 +9A2A1 +0668A +DC1EA +19B47 +06E85 +52ADE +86005 +8B99A +67C21 +5826A +4F902 +EBDA3 +555A5 +48802 +CAD56 +4457E +64F01 +16281 +8B307 +841A1 +A6A91 +CF968 +414C2 +D851D +34895 +B34E5 +00F97 +23025 +D7DAD +24E42 +93A72 +B80AA +CB169 +43D5E +DC645 +496C2 +44757 +C5F1D +04934 +1AB97 +223B7 +24064 +CBCD7 +C0981 +6713A +00E58 +4EFB9 +88E50 +9816D +B07C9 +B6E5B +00DCA +CC5A4 +85EA5 +2908E +D648B +AA2D9 +0D468 +3503E +A3FC7 +2264C +38FD9 +E22CD +A2B50 +5274A +103BE +82217 +8525E +52486 +77011 +47CE4 +220E0 +8E892 +3215B +8D50D +B5FF0 +C387A +01D30 +2545A +148EE +25273 +509E9 +3963D +92D20 +F62A6 +09CC4 +E7A59 +0B4D6 +85DE7 +20238 +2A8C9 +8475E +35470 +BA919 +B2986 +8568F +51272 +EB750 +6838E +7DF44 +60E74 +F1DC3 +919E6 +52004 +FD4EE +483C3 +A4459 +E982C +3BC0C +90847 +C3060 +64627 +4F197 +401F3 +B8BF3 +6E241 +BD00B +69614 +1BC10 +95F67 +B5B50 +AB1D0 +91326 +3167A +B6601 +06836 +5E97F +0060F +89B8D +9425B +0497A +97189 +98997 +2731C +1931A +008AB +F8948 +F3165 +29C19 +E6638 +C6F2C +0A950 +D3D21 +93664 +75106 +23E12 +AACC9 +4F79B +A3DF2 +5460A +99E61 +55428 +8A591 +B2511 +790C7 +7242B +4E2A9 +58841 +C5D30 +D1C72 +F004C +7B2B2 +BDC00 +4C2AF +78945 +9022F +7F6D8 +83556 +A6562 +1108D +A7B3C +85626 +CDE03 +EA346 +8D63D +31386 +2881E +8AAE9 +5AF43 +EEAAA +58580 +1497A +86343 +F9E25 +29D12 +D1C9A +C4984 +5C654 +29C74 +A3A30 +D1EA8 +B168B +F08E1 +A44B1 +53F8E +4A6DA +F0E42 +76245 +1E2A7 +1D097 +E8403 +B121D +B4758 +4EB5A +4B146 +233A6 +EB486 +CA5B8 +8E14C +3E8A1 +781F6 +DC1AD +92C39 +83E24 +6218A +D31F8 +7C119 +C40EA +240C6 +1B10D +B7BCB +3A2EC +D46D8 +595A1 +894A5 +1015C +67EE1 +A05D9 +83B82 +ECB39 +97700 +48F5A +D3D68 +D78CC +02B22 +9CAD1 +11B49 +91A56 +D1644 +D44CC +AE8E3 +C91B9 +606B1 +2B4AC +709D2 +557D6 +4F0BA +64688 +1099D +CA0E2 +49A82 +A59E8 +D11F1 +80793 +A3E21 +D0E13 +0E130 +E130E +128A4 +45754 +0B2BF +698D2 +D24A5 +0A9AF +44373 +DB11C +627DD +029A1 +0E2C5 +0991B +96687 +85BA6 +B7872 +87E00 +66225 +CC225 +1524F +393B4 +E2D0D +E4952 +821A3 +DDAB3 +9A210 +31DB0 +4222A +64D00 +20A85 +0F2AD +D14BC +3D820 +674B9 +C21F1 +66F01 +B568D +DD039 +63E52 +ABE69 +6A174 +1D152 +A4638 +066A4 +1AF2C +AC1D3 +C914E +8AE6A +B5C08 +61E47 +2D992 +0CCA6 +52D68 +1B34C +6B54A +44D91 +87C5B +744E3 +80C51 +2F87D +815CC +27894 +58AFC +7C25D +0955B +A0333 +E4770 +1E526 +4F4CF +B08F3 +5D051 +3E28C +027D1 +980F6 +DAAE3 +19FF3 +361AD +101A8 +AA217 +C61A7 +794A8 +06357 +20D37 +A15B0 +18169 +6A072 +73CC6 +08CC4 +E557A +3140F +71208 +2D6CA +2AAA1 +89094 +B95AF +C4496 +65E9A +1D40B +A468C +313E5 +8BD13 +2B189 +90EB4 +C9990 +74C78 +83C30 +6AABB +791EB +05162 +8A344 +B182F +5B480 +A0C3B +59D4E +2FA4B +49275 +79045 +5F2A4 +5143C +7C1DD +59301 +2DD18 +75A83 +1021A +9C307 +60A56 +3180C +32153 +F2DDC +A7911 +8897C +04D70 +B066B +D8210 +038BE +60570 +76ADD +040BC +CFE0E +26C84 +8F4A5 +1FB87 +9E44F +A5F1A +8A6B4 +07316 +219F6 +1B58D +8CF17 +0C1CA +DD468 +1CF3A +8A250 +98585 +4B576 +6F908 +A0097 +BDC71 +0D763 +9409A +B38A7 +6AE12 +B7C29 +BF260 +0908D +C7492 +C3ADE +19020 +C348D +81B7B +03E27 +C6DA4 +820A2 +C6E8F +AD51E +6A757 +4711D +C9292 +286FA +9604F +6CC36 +09F0E +5530B +8C8AB +52804 +F47BC +80A8A +2DCB9 +384DC +68680 +28127 +1742B +7EFB5 +21399 +19010 +7301F +48E40 +A0D07 +AD513 +31093 +1C6B5 +6A675 +195F0 +D6E0E +68662 +23929 +9D30E +826A4 +0F0D8 +560B3 +08E1C +7DD44 +4DA5C +3F014 +56098 +1A265 +94C55 +A6396 +04E90 +ED82D +D401D +6238E +9F9E3 +D61C6 +28843 +C8F62 +1895E +30810 +27018 +346EC +85A12 +2B618 +E0C82 +BE1BD +48383 +8628D +933E9 +72E42 +3B409 +ABDCC +DBC53 +95830 +4A568 +19408 +F376A +91E16 +9AC4A +896DA +1D36E +91B5B +4E168 +83E06 +00C24 +1D029 +B81D6 +8E639 +61F6D +5B436 +185B2 +168CB +8049E +43768 +0E4D6 +F181A +4760D +1C02C +3E4D2 +927EC +10EB2 +B05EC +00CCC +1A748 +BA229 +80991 +2C753 +89963 +9C8A0 +CEF46 +35950 +45353 +05498 +B5CED +24006 +381FF +E7077 +C0016 +2B7C7 +9D225 +005E1 +BCD0B +82D8A +05F76 +D29B3 +2F714 +64A02 +24AEB +07740 +5F992 +2104C +9C2AD +2FE00 +E5A33 +D8209 +1BE36 +0B328 +D854A +13362 +1C5AC +8B0E7 +21E76 +F7390 +03C61 +0A554 +8CCDD +B4F21 +80DCA +8D038 +1E574 +EDCB3 +59130 +A2800 +BD840 +499FD +6811F +40BCB +96322 +1CF01 +8B233 +4E738 +C70E4 +1EAF0 +BED03 +11C62 +D0E13 +0E130 +E130E +128A0 +60306 +DCCAD +A9C10 +C0E8C +616A6 +24109 +5AACA +90977 +15D35 +862C0 +5CB91 +F8994 +19594 +CE44E +D208A +66549 +C88FB +02AA3 +2C25E +5E970 +2931F +0B8FA +90D92 +13616 +7A76B +0B8C1 +65A0B +E7A0A +2315C +058F1 +67E4E +51604 +BAC29 +48401 +933A3 +5F178 +D20B7 +41B56 +28BA7 +23463 +06691 +AA834 +D3A02 +AAD41 +0BF91 +0035E +CDD08 +D0D1D +6866A +D4FA8 +19788 +32AC0 +91889 +42243 +29051 +DE299 +4C8D2 +702C7 +E502A +5EC97 +A29B4 +0B845 +25C1E +B86C9 +70135 +FC783 +90476 +44A73 +89609 +15FCE +3B05A +C78BE +0A059 +92980 +59D85 +18D1E +EF2F4 +41A9B +A1109 +1F227 +923AC +CA1A3 +0719F +8D8F8 +E0A40 +99DC9 +D65B0 +350D5 +2556A +6CA93 +28277 +97585 +FD018 +251F6 +CE4C4 +4513F +47284 +D3691 +886CD +5D721 +B7151 +74DC9 +3380B +C2D09 +04E02 +DD909 +A5878 +2ED8D +D02E8 +A9D22 +C4A6E +D8496 +65197 +A554B +9DE7A +58294 +0D0A0 +CBB83 +970C4 +471E4 +61156 +094B4 +3AEF2 +BAB35 +027C9 +B4B90 +2E791 +9F6B0 +B754A +71718 +250F8 +90D12 +7D0A0 +F85D4 +64968 +29D12 +CB14F +7D94D +72522 +09419 +D3C14 +5DF83 +D0280 +7B2B3 +BC28A +0A8C7 +41DA6 +F30A4 +BA8CC +661A4 +6B0CD +B1E03 +9E998 +611C2 +B3E0B +67E24 +924EA +C0B94 +EF024 +93432 +255E8 +B5266 +34F0D +260E5 +6C255 +FE5F1 +10261 +7B790 +37158 +E059D +62E25 +2D92D +9684B +5B241 +6A173 +8C504 +76C7A +F032C +3F0AB +8A9CB +2DC2D +2A0E2 +2D0D3 +15F2B +CA295 +103FE +0DC5E +5D605 +ECE0A +B0067 +10DF3 +25220 +35689 +5489F +48E48 +B0FB7 +A2B42 +205A0 +D4390 +E1BEA +DF466 +48715 +95A98 +1D68B +CC8B3 +9B709 +49497 +528C2 +C7A40 +E351F +8D336 +B5620 +CC87E +395B4 +7C9CC +8ECE5 +2A0A8 +2CA5B +EC74C +160E6 +491D6 +52471 +83B58 +8DF43 +26612 +FF232 +9355C +2754A +03344 +7520D +AC8E0 +EB134 +955A4 +C767D +398A5 +DF046 +A5903 +F2C99 +93B79 +46708 +43A4A +E8E4C +90AA6 +7A60C +91093 +30717 +01557 +4938F +87CE2 +A411F +8C5A3 +33CAA +9BE0C +2CD50 +70C5C +9164E +2EB34 +20850 +26CBD +22E02 +3AE10 +A8B41 +8CBA5 +E3973 +386A9 +60DF8 +BD10A +1550F +A122E +0A837 +8D169 +529D1 +A22ED +73D41 +19A70 +E8104 +C863F +FE266 +4E43B +03EAA +46366 +CB7D0 +AC90F +70A01 +EED1F +EE47B +014CA +031EE +0C09D +B95CC +9B063 +A5258 +678B4 +4CBD5 +B6C04 +F24BE +1024F +8F493 +0E2E0 +203A4 +BC4AD +404AD +F6059 +3D3F2 +C202D +C9A53 +5084C +0C54B +11A5D +0252A +790E2 +86ABF +85237 +49661 +3D1D8 +15440 +298E5 +91B33 +16D46 +4316C +9A115 +4D542 +24A21 +BAFE4 +58F74 +EB0DD +AAF20 +F006F +02EA1 +01C4F +72AD1 +D0E13 +0E130 +E130E +128A0 +89D2D +4C1C4 +B9390 +B4199 +A9012 +AC6C3 +1CB1C +E4474 +CB421 +87A54 +7BC72 +47255 +2C1E5 +36E45 +304E1 +46B43 +C80E9 +B8CC9 +85A6E +08D28 +6C536 +39084 +23B7B +1C778 +6CAA4 +C6AA1 +46AFE +9C053 +D4BB8 +86090 +CD950 +1C24B +B4E79 +CF831 +A80CE +98F74 +33B46 +1D144 +8678B +2BE54 +C37AC +73713 +7A080 +A20E7 +D2071 +0A0F9 +A1311 +94F34 +D7964 +E27B7 +27345 +4860E +692E7 +5148D +19776 +32549 +58852 +45216 +3F084 +1FC53 +A1D02 +07667 +28883 +B3931 +48AD4 +DD0CD +1C555 +34AB9 +87F40 +063AA +90E26 +77572 +87702 +DD056 +B322E +D11B9 +C62DE +2E528 +88322 +FC406 +8B2F4 +1C3CA +508D9 +8DF58 +84C02 +A41B8 +87521 +09AFB +9281E +7C832 +96096 +00DD1 +0C998 +85F20 +2C664 +1C455 +DC280 +D146C +7A492 +61EE1 +8449D +A3AC2 +727B2 +5A057 +64B89 +28422 +88B68 +D049A +6C2D5 +C44A6 +B4136 +A3D45 +6415C +654BD +0F523 +E1A00 +1952C +E6A42 +CB612 +00317 +65C80 +469DF +522D4 +4CF84 +0E1E6 +2FD01 +9631D +62908 +5E8A8 +4461F +AAE76 +10C83 +42E1E +91415 +D0BB8 +9CD21 +C24EE +1113D +98B29 +C3E3B +5E878 +28B11 +D8EE2 +9C289 +D4964 +89EE1 +CA6C7 +41B83 +1541D +4A7CF +ABA46 +8813B +53916 +17D19 +17A2D +2A498 +E7782 +140B2 +82186 +CF3F2 +04582 +1E7CE +44233 +1A0EE +F9105 +271FD +D0E01 +48D3B +C1E83 +9DA1E +62681 +D1E8C +90DBE +86151 +4844D +9C233 +648C4 +DA623 +6229C +C98C4 +51DFC +5C693 +489A2 +10AB7 +1E182 +004F0 +1E171 +545D9 +4D965 +67946 +50828 +8D2B9 +7E0AB +20492 +4AA1D +0E687 +2E39B +29D98 +2FCC2 +91106 +B0576 +48D89 +4D4A8 +51568 +057E0 +B6965 +F5CA6 +144C0 +1C854 +4BCBE +5B3BA +6519B +74891 +3AB24 +3C4A3 +DC97B +63709 +696F7 +5283F +14079 +391C2 +86AB2 +32584 +E2AEB +8D802 +E3880 +1B6EC +E41AD +D2B51 +649EF +08499 +0A8E5 +C5083 +2B8FD +20C5B +CBB0D +5C925 +02DD3 +42A88 +D5A3A +39B4F +D0AB5 +ECA8D +80382 +B5B96 +80101 +A1D13 +4D4C3 +64759 +48677 +9B821 +362CA +3BA53 +910DE +56C40 +054B9 +81D26 +3AB36 +0EE92 +86162 +AFE18 +AF5A7 +588E4 +3D107 +B05E3 +E3281 +10C12 +83663 +8C433 +0E2F9 +29AC9 +0FEE0 +0F68C +D2E1A +1D007 +F8259 +1C4A3 +35BCA +99129 +5A6A4 +65864 +B3CA2 +7A8A8 +04E64 +8CACC +4F214 +4C538 +9E5A6 +54E85 +750AB +4E38A +ED318 +2A340 +B07DA +B728A +CAD1B +C42D0 +CE0C4 +6A8A2 +8EEBF +929D5 +E138C +EB872 +1E817 +3B611 +41D8A +2710F +80171 +58684 +72ED5 +801D6 +99B53 +630B7 +C0742 +B91CA +EBD9A +38E80 +2C92C +AD0BA +72A94 +543B6 +6D81B +156D1 +ED020 +E2BF5 +E2EAE +03014 +97666 +CB63C +0D044 +9F45D +D0E13 +0E130 +E130E +13B5C +8BD5F +41401 +26411 +4AE5F +5050F +9C2E9 +1C1B8 +83FD0 +61D34 +A726E +32519 +C575C +42816 +73400 +464DF +6647E +4FC85 +AC4FF +4283A +43DB2 +69974 +28A93 +8BAE3 +69C40 +2B115 +1C5E0 +65401 +B90F0 +4666E +70682 +707C7 +1B18C +699D1 +16809 +2CF08 +D89D9 +DACBD +087B0 +A8960 +C0F46 +E58A0 +1450E +DA26A +D0F47 +0A8BC +4A360 +3D94D +13FAD +23F18 +10BA4 +C061D +3B685 +AB509 +4F298 +3440A +CC3FB +30A2B +1E78E +3515A +4E1D1 +706B0 +5F1D7 +EC506 +A8FCE +4E480 +CA991 +A97EC +37AA2 +45645 +7186A +242A0 +31CDD +6B2F8 +5C7B1 +52505 +6B536 +E42E0 +2E27B +0B350 +A81B6 +00E8A +D72E6 +92762 +4CDC9 +72950 +CAD28 +0E5D5 +C2B1A +42D85 +2C12B +4008E +1A71A +D6C18 +87F3F +84168 +8AC40 +5AACA +2708E +19B8A +BD01D +C164D +E99D7 +4AC11 +922ED +484E3 +84093 +E4545 +5A888 +FAFC9 +2A0F0 +CDB20 +91A4C +3EE5B +19416 +41846 +4EC7A +08628 +4E3D1 +5B0C2 +BD3A4 +5342D +B9526 +B3235 +ACA72 +A49D7 +C6854 +42E13 +48C02 +8E1CC +E9676 +31413 +3536E +405C3 +916A3 +CA84C +E101B +5BA4D +5943C +CBD4D +D0073 +98C3C +B3898 +514F6 +4F225 +69E2E +5097F +8C346 +C662A +156A8 +F4B88 +A8742 +BD369 +210CB +7ED31 +93917 +0BF05 +0F52A +85F62 +201AF +C35A7 +D47C8 +80430 +673C2 +67AAC +E00F8 +60195 +BD9D1 +F8A2A +01B58 +32F90 +43844 +2A36A +5732D +7E57F +80F13 +3C312 +B0BA8 +CB6C9 +B54B4 +068EB +8D48C +9DD69 +F2A43 +52831 +A9703 +7172B +92128 +7F841 +48D05 +685EB +E6D31 +4244A +6A54E +83515 +E1F54 +2B219 +17C4E +26F71 +F30E3 +78EA0 +8844E +E1683 +D0D3E +4F52C +EEE02 +5A281 +4B8E6 +58EE9 +74F14 +41FA0 +D4A10 +A41DC +0CFA1 +1C187 +C802B +FBC1C +1C718 +4E956 +95939 +5C6E0 +4D179 +DA5C7 +94129 +079E2 +E140F +65520 +EC1BC +E4215 +03602 +C9457 +5469A +A30A4 +3254F +22465 +2CD30 +C09E0 +0A80D +0A5C6 +CB5E8 +806A2 +D5679 +4EAF1 +19904 +A56A4 +D97EC +10115 +3E15E +31C05 +37431 +6DBCC +8CB20 +8B91A +71039 +5C9D1 +4B0FD +5233A +944E4 +84D65 +57819 +C4814 +59E51 +92C05 +7A40B +3F2C1 +AC758 +8F85B +C807D +386B0 +47887 +98E07 +9772B +35895 +E1281 +19160 +94E7D +5CA7F +490CC +01286 +68EA1 +7801C +88AB4 +071C6 +C6311 +E8B8C +8F458 +40488 +3A18B +F1170 +9B92C +A2488 +7CD6A +2563A +4E546 +A372B +D420F +52075 +33EDD +3E0C5 +D1400 +C5608 +842A1 +12F6B +60153 +385F5 +4307E +4BAC2 +3F413 +C0747 +DAF89 +BAD02 +080A0 +D912F +8ADDC +90A13 +3502C +9752D +B418D +32533 +4D599 +6DA13 +B0D84 +44D92 +6A111 +7D373 +4AA1E +10A31 +652B2 +90520 +8A5E2 +4B9D2 +0469A +D0E13 +0E130 +E130E +13B54 +07D99 +741E0 +A6CF2 +F31C3 +B5000 +A3961 +99432 +26B15 +4AA13 +4021F +860E2 +E0ED5 +74684 +F51C8 +09575 +E9B29 +4B110 +AB0E7 +1590C +875B1 +03C56 +6E1A4 +F0ABA +0C20D +A47F7 +49193 +757A9 +85982 +EF40B +8E8E2 +87CE2 +81F50 +64775 +AD838 +4B03C +8ACBA +F274D +7105A +2806F +FD956 +E9D06 +8A6AF +10E5A +C73A2 +C1542 +6DA98 +9129B +0C4F5 +1C4F8 +D23B2 +BA022 +28804 +7AF32 +67822 +29879 +07F9C +C6834 +4CFE3 +54B13 +AEA56 +83E7F +897A5 +420B0 +3203C +40699 +56C5A +51464 +F102F +BB0EE +44928 +D5CCD +C42A4 +77C1A +18239 +35573 +958B6 +3BA6A +806E6 +D4AF0 +D8534 +AB216 +0E10F +3366F +EC98A +87A84 +FC846 +4D1F7 +0EAFC +6224A +344D2 +F8B50 +B2125 +862C5 +262CF +925E6 +62307 +264A5 +841DD +6300B +8AA05 +9712E +94C32 +64F1E +13D03 +84CFB +1FB12 +CD17C +12083 +82DCC +E75D4 +B1806 +47EA8 +D0CC3 +81110 +2F619 +E6A2A +2C4AC +75732 +F283F +81D41 +670CA +9C00F +6E752 +4CCAD +6034B +76489 +7CDDD +6789B +94103 +47C12 +A108F +0517D +C561D +BD7B1 +F589A +1AA1A +5830B +CA34C +D2412 +BD725 +5DB61 +C02E7 +525E1 +A623A +88F8B +5DC32 +1F914 +3E25B +8857A +DD487 +29BED +10C96 +94540 +B49A3 +5E2E0 +00D85 +7B91B +AB160 +70F02 +2683D +17C54 +2A0FD +7C830 +9C4D3 +D7493 +50C08 +88175 +A80AA +4A6C3 +B9EF3 +02ED9 +BA4BC +3F0C0 +C2C79 +4CB20 +817AF +4356C +FB780 +F6A45 +807B3 +A1A76 +5694C +AC483 +C82B8 +13F12 +8B222 +B5C4D +5B649 +E18F5 +3C630 +C8824 +30EE1 +C4110 +E46D6 +B5238 +95593 +8BDA8 +218B1 +8D3DF +0E9E1 +7045C +7083A +267AC +FB94F +46D21 +91A18 +89D15 +990FA +CCE82 +58594 +42AE1 +8A84A +D8AB5 +67904 +798AF +04525 +75785 +497C2 +A52DA +53EB4 +84D02 +B4E69 +55860 +4A702 +16037 +639A0 +29C42 +E5946 +58333 +147C5 +C96ED +08821 +52001 +FB3B9 +612B8 +D5084 +BB0A6 +02BC0 +4A55E +B19DC +21C59 +22D35 +CC8E7 +4A8D6 +D27C7 +59CC5 +78823 +81221 +C998A +2D606 +C4BA2 +27FCE +0E828 +465BE +4A033 +BB207 +14B5F +D0FB7 +89A3D +BE084 +86896 +301FD +42343 +6FC8B +3B5C9 +390A9 +4C83A +B0610 +0E3FF +F09E4 +B816B +CD9B0 +EC8BE +B008C +D0DE7 +011D8 +2FBE4 +56A10 +83CF5 +2BFD4 +28A85 +1D7D0 +3298D +4193F +0F83C +E6D95 +1020F +E656B +89259 +86FE8 +3A142 +E9061 +00D45 +743DD +357B3 +90590 +40036 +6D691 +B9CDD +89C02 +06981 +110F3 +A6864 +3409A +13C82 +48F7E +68F94 +E8861 +A4756 +EC227 +0F31C +850A1 +2F20F +B342F +5928C +A507A +83CD0 +8D92C +5E1D2 +43C56 +474D8 +475C8 +5B413 +61BB8 +10AFB +B6C16 +4B30E +0AB68 +434BD +B36B1 +1EA34 +D0E13 +0E130 +E130E +128A6 +A08B0 +0D02B +6D193 +A0B57 +098E5 +467D2 +1EF41 +0780B +0A44B +1AA82 +6A5C2 +C9004 +B43C4 +4C85F +92B93 +7253E +28B6D +D0595 +DC6D9 +08555 +1FAA8 +0D441 +DF0EA +0506E +312AF +5F088 +57ACA +DCD12 +FC643 +900DA +486CE +07BB0 +13B21 +192AD +4E1A3 +DC307 +BB006 +34532 +93F10 +96558 +3E924 +2E77B +4A30A +80DB4 +5B7A6 +00244 +1573A +4E631 +73D44 +886B3 +746C7 +5D80A +95411 +780C8 +17BD3 +889B0 +A8825 +BD93F +5BA35 +2A211 +20E9A +3987A +4A3B2 +2A66A +21516 +2C0D6 +2B578 +8CA7C +D1853 +C49AD +38420 +260DF +36EF4 +93892 +36CDB +08F11 +9E206 +AC066 +8F19C +4FF35 +D044F +77196 +64B98 +6D98A +4487D +D516A +1BD95 +D5450 +39310 +23CF3 +303C2 +E104E +68831 +C4D2B +962A4 +0BE1D +ECE81 +22C8C +94D45 +D98BD +62307 +F9E82 +87342 +E24C5 +AB35C +D729B +10B44 +C1E59 +1E6DB +502C6 +85002 +DDE71 +80A64 +C9116 +59609 +0D3CE +ED535 +AF4C5 +C0A2A +3CB3C +4CA25 +D2022 +E4E22 +DA9BA +D5CB9 +43025 +00D94 +90440 +5FDF3 +453F0 +3B445 +93364 +46506 +B547A +BB003 +4FCC0 +01008 +0B0FD +48B8F +CE348 +D9415 +BC9B1 +62339 +C8303 +7E10E +A4158 +967C7 +216CD +6B424 +D0111 +6E8A2 +80A8A +717D3 +57940 +79687 +34435 +932D2 +3C61F +59B16 +C3304 +7719D +39F32 +08561 +6B285 +170F1 +BD55D +2C171 +4ABD1 +323A0 +571C8 +220AE +D385C +FA28B +C2E50 +7A645 +5ED85 +B4F24 +DF388 +39451 +90347 +D17CB +CB454 +C1476 +5492B +01278 +964A8 +F2333 +62035 +40BDB +D1726 +50B39 +B3916 +35780 +8C094 +1BF57 +E4D03 +0EA06 +31DA8 +CC035 +CBFB6 +C0606 +4B7CC +5C2E5 +2DD0F +6B4EF +84258 +0682D +9630E +410AE +A3584 +68281 +DD781 +552AB +E03A7 +20AC0 +F1C6E +19E92 +A8D65 +4722A +ABA97 +25545 +55182 +1B802 +29B33 +6C17E +C0321 +A66D6 +758C5 +5A070 +B09FB +5329E +CF816 +30F72 +92229 +9641C +16148 +FD61C +B25BA +E7A05 +B6B24 +88642 +22677 +3796E +1CD8C +878E3 +F1189 +54C8A +21DED +0B291 +88A40 +32322 +23D13 +2C99E +2E93F +46D01 +44C4F +B5CD6 +3CBCA +03E6B +8598A +644DF +7619F +14472 +A0B0A +AE05A +2FA76 +42E34 +4452A +6BD8D +F9027 +E084A +D4E95 +B9741 +AC07E +5C083 +4DDD7 +45409 +30355 +2ED84 +05496 +259F7 +246E3 +A4536 +9833A +2A07E +D8D07 +C4A27 +975E3 +4633E +94C24 +2A1A3 +F8962 +A7603 +E885B +D78E2 +7D88F +83AE8 +20480 +D6945 +6C650 +FFB39 +DBE19 +4F524 +F0846 +73B8C +20863 +018CD +B0479 +C8B95 +1B408 +EA53A +AE2AA +63D03 +E12D0 +290CB +A78B8 +C1AC3 +340A6 +39855 +82E91 +96E04 +57049 +142B1 +788AD +3680A +58B79 +32EDF +76024 +A900D +9EA91 +A929E +D0E13 +0E130 +E130E +128A9 +8FA65 +F4017 +C90F3 +C8DC2 +0AF04 +EB886 +1B8E8 +F001F +0E169 +5DAD0 +C513C +610AE +21E74 +68994 +18DBA +E99F8 +4EA7C +6B956 +09929 +1C9D5 +6908B +38ED8 +72B53 +1578D +669CF +F4C80 +26BFB +E140E +6551B +218A0 +038F0 +1C27A +CC2C5 +00193 +5D092 +C95CA +6AAF4 +19D05 +DA43B +68241 +C0B71 +B0242 +E8B9E +9CB98 +E1D65 +034C2 +391BC +5A917 +B69AD +063AA +83CB2 +3026D +29CE6 +42345 +5852D +58C7E +1CF95 +EF047 +40565 +369DA +026B8 +E84E9 +F3314 +3565C +6588C +BCA95 +C84E1 +E064E +5654B +4E2A9 +19B54 +5A084 +4F475 +149F4 +084A6 +46477 +BA237 +64543 +2BD3E +D5445 +12042 +3FD7F +A407C +4D2D1 +03DEB +75022 +CA963 +DA8CB +570F5 +03C03 +A99A8 +6E410 +57AC4 +240E2 +E8AC2 +ED4DB +95689 +4BB11 +D184C +162AD +58397 +A5258 +D7122 +03881 +3033D +352F8 +29068 +31A82 +453C6 +4876E +885A0 +7F50B +8BD5E +A15D1 +D7961 +03F0D +3AA2F +115A4 +11D2F +61F65 +7D10B +C5F34 +25400 +44A5B +FA8DC +58710 +5D199 +44AF5 +9C439 +684A3 +34285 +F56C7 +67B22 +334A6 +4CE09 +05E0B +55FEB +2FAA0 +D644A +1391D +99C8C +A21E5 +62937 +D5B3B +CE90C +04524 +9A895 +EE39C +322C3 +D88A8 +CA388 +1C45F +BE1D5 +AC9E1 +708B0 +82B49 +D4912 +51B34 +09F93 +8E3E7 +44E45 +0CE8F +BF32D +508C8 +12CE7 +1C679 +3BA21 +5DD09 +CB906 +52ADA +C3062 +1C0C7 +659FF +E1161 +0E725 +59896 +930A0 +C0041 +FD330 +EE81D +899F7 +BB068 +2644E +8B637 +79352 +C81C5 +2589F +488D4 +814B5 +B485C +00EE0 +E4C1D +2511D +4DF98 +1BD7E +16170 +53350 +A14C9 +3647F +D3523 +06400 +1750C +C8F8C +21BE8 +B7FD1 +C6A6A +B8804 +56DF8 +545A1 +06D78 +26A3D +EAA49 +24829 +84044 +1BCCE +5BA70 +545C3 +CC78D +E8DA7 +03381 +455E2 +B941F +443EF +50282 +5B593 +9A43F +31B8D +05E6A +1E20E +31A8C +622CF +0ABA2 +4B511 +9D555 +1084D +3204C +23E93 +D2226 +C72E2 +1D36C +CBA77 +BA0D5 +95D04 +FB180 +C1CA7 +C5350 +07090 +11293 +6BA08 +2E622 +F42A6 +1B2E5 +06F83 +3874F +67CD6 +68C20 +4046E +ECA30 +97896 +270F5 +3BC9A +14E90 +E6580 +467EC +24B17 +4562D +85289 +65534 +CDF32 +E5548 +D380F +5A8D3 +1013E +59DAA +DE424 +32EB4 +84723 +D3B50 +B6202 +1DEE4 +06B85 +1AE20 +867A5 +124B6 +C87E4 +78002 +0038D +ED5A0 +C8896 +8E51E +B9B93 +CE058 +6B685 +16431 +44320 +CAC85 +2AE69 +FB681 +8C455 +7A90F +DC9A9 +2EFB0 +8C489 +B1A0E +CA882 +6965F +DF06E +05141 +F1F7C +0AB68 +50270 +E6CF0 +98279 +AE429 +B1910 +6D5C7 +563AA +387A0 +0EC29 +86228 +4E90A +4C0D5 +0B00A +7E12D +94AA4 +8776E +0004C +62AEA +5000C +E02B6 +74DB5 +D0E13 +0E130 +E130E +128A8 +01E6D +39065 +89694 +F0039 +938C2 +34452 +155D6 +9300C +2BAE9 +06338 +B25CA +63178 +BC0C4 +51614 +A9216 +62031 +AC718 +E81E7 +10734 +67944 +057AD +3A01F +A276B +D40D9 +10FC4 +009AF +44E60 +B612B +51851 +546B9 +09907 +BC9AA +CF025 +D8A78 +3CC1C +48F34 +22720 +D7990 +F0673 +5577A +4BA61 +083E8 +53C06 +C2B8E +C0261 +0628A +DDC02 +6D90C +09E76 +C6339 +F18C4 +B0384 +E50F3 +640C7 +2820E +44104 +E4EB5 +96848 +80799 +F4A55 +D2146 +FD88C +00FD5 +253ED +1E004 +E2A93 +DE46B +841B9 +4B7E0 +AAE49 +4715D +B37E1 +A2C44 +91526 +2BB8A +F41D2 +A8327 +4A2DA +2D675 +2F457 +267D3 +C3159 +4C90C +2A980 +E916C +7DCA2 +46DD8 +06D2D +E2380 +228DB +66B84 +D8927 +4CA97 +07271 +22FCA +8A01C +DD88F +0D495 +47B86 +03C35 +8D0FD +25ED8 +AE859 +0201E +E6D81 +D8B5D +9A642 +49687 +48706 +8437A +F8902 +14517 +449B5 +C1ACC +5883D +A84DC +F45BB +97992 +D2848 +C3C23 +4336C +4DB04 +F1BC6 +988BA +76ECF +86614 +9101D +1AA08 +43607 +0C891 +2B270 +8F451 +8F878 +344CE +5EA05 +59AC1 +66BCC +8488C +3B48A +95A18 +54017 +7AD57 +98841 +B727F +1D206 +1D6E4 +042B6 +86D09 +5409D +8215F +2E8A3 +87289 +7C80B +9A17B +AC248 +A2362 +80EEA +A6371 +5002E +E9EC8 +3557A +896E3 +83742 +654EB +65288 +83B34 +B8BA9 +2790E +E64C1 +A8423 +83A03 +9D615 +8A2C2 +0A67D +278FC +98066 +86AE4 +CC1EA +46E17 +85134 +9DDA4 +03B05 +CFCB1 +862A1 +5B2B8 +8BC31 +B5648 +64BB3 +E0847 +19C3F +E0EFA +53859 +01A10 +58A13 +A1276 +48D11 +9635A +313F0 +5D80C +BC366 +8E429 +D5102 +842BA +471E8 +1F2C5 +B39D3 +09B30 +9E9C8 +324DD +8C26B +092CF +03F29 +B04D0 +772E6 +36C0C +596A0 +8CD1A +EEC47 +C5C30 +F0F58 +1B15F +446A5 +79751 +C02D2 +0AA67 +513E2 +98654 +F72A2 +4F452 +69A75 +212E0 +B20CF +56D5C +35A01 +36187 +B1B70 +63764 +C2370 +7E682 +0D93E +22450 +48B0B +AC65E +8A286 +D1E09 +1DE14 +721A7 +1929E +8770D +08362 +05420 +D348A +E9B57 +CB140 +772B9 +73A42 +25161 +86916 +E2BC9 +455D8 +AD1DC +501DF +8A6B5 +69128 +25428 +FE396 +9465C +B678E +543A3 +1319D +4E90A +195F2 +C6451 +40C67 +16D84 +42B17 +4F9B7 +A8391 +EF9A1 +12147 +45284 +40AEA +3A9E1 +E1773 +08013 +67679 +10324 +C4922 +42C5E +D7864 +1BAB3 +12F25 +BA300 +B3819 +35C7A +E398F +9BC60 +20AD3 +53841 +D5030 +9A12D +4EED9 +00A40 +C9CF0 +132A7 +35079 +4311D +F3B6A +4D479 +B02A6 +18540 +BB6D4 +DA874 +52388 +0D91D +BC8B5 +22D28 +530A3 +8495C +5D0A4 +C9C2D +77C0E +E91E8 +2E411 +0C968 +D05CC +192AB +01163 +28179 +31091 +FBCD0 +D0E13 +0E130 +E130E +13B53 +C5AEF +A6240 +39C31 +12278 +DC1D0 +EC118 +92896 +80913 +3CC66 +AC36C +4324A +03B2C +3E764 +4AB02 +631EA +60A89 +4EBB2 +F056A +8B85A +445A1 +4B823 +64A47 +14EDA +40490 +96D76 +9AB4B +C621C +7EEB2 +154AD +3D0C3 +FC634 +B31C5 +91971 +6A6A7 +4508C +8AB5E +7306E +168FF +309A9 +C4554 +65C42 +BA5A4 +59018 +D81BE +BA223 +A2192 +F152F +5659B +80094 +0A536 +D5F7D +A6764 +08143 +46398 +9F6C3 +C7A1A +0CB3F +3A8BC +38761 +680D5 +8B11E +B52BC +514CB +A553B +04C8D +52E0C +BC570 +015D9 +A08C3 +6212C +72A76 +4F803 +AB156 +1DC90 +B0F63 +49856 +F3B8B +3242B +CEE22 +154B6 +466CD +B9691 +5525A +2D0ED +8EAA2 +A8C42 +6D591 +F40C0 +97A82 +1A3A2 +88CB1 +82F76 +2E02C +B49B3 +9C6A5 +B878B +480CD +74545 +99D9E +40595 +BADD1 +F00F0 +653A1 +CA4C0 +E2C70 +465C3 +A6A6C +0F48A +EA670 +EC93C +B7E2A +059A6 +289EE +B1210 +3803A +82F22 +D2F21 +3B226 +AED3C +09296 +50524 +A5301 +0D347 +D4EF7 +BC7EC +28311 +04A16 +5800A +CB888 +D68D4 +AF13E +10F41 +06ED2 +A9747 +27E48 +D9982 +2A70A +BEF8C +B7CA4 +F8002 +D5A2A +4623E +1E57B +4634A +6743E +3228D +718C6 +58A5E +49531 +B734E +2EAA0 +1F4E8 +31791 +82D6F +036A2 +1ED47 +78C42 +62E9B +610BA +F0980 +77344 +5E263 +7C4A4 +E1ADA +5900A +58A46 +527B1 +1D18A +DA21F +5B10E +BB9FA +1A5D0 +94654 +3AA75 +B1137 +8B051 +B5B60 +A4264 +DD50A +EE03C +25461 +3020D +7382F +0FDB0 +268BE +1CA55 +6863C +4C1C0 +66692 +647C6 +42AAE +91324 +9A72A +B6617 +358AC +55973 +91B52 +3AF10 +24728 +1AD4A +A778F +C808E +D1604 +C982F +491FE +BB584 +64001 +15FA2 +B2669 +412A7 +05AD7 +23867 +1360B +BB037 +7D452 +BE22E +19028 +6B00A +1B1DA +821C0 +7ED13 +C1847 +0EDCA +3B43B +02ED8 +4A4F5 +D2E85 +75EC1 +80C89 +88BE9 +B3689 +E661A +B8EA0 +298F5 +C7078 +94D13 +2DB8D +82E4E +19513 +D143C +6C044 +44101 +36E0D +0384C +F4680 +EF2E0 +522DF +D186A +DA24D +A1754 +35EBC +67545 +69481 +26255 +7B593 +A1286 +A5405 +C8E44 +B2318 +B8C55 +FA91F +41DC6 +C148B +A3C5B +2D542 +F5B42 +0C51E +AB516 +50C83 +0F62A +7CB8F +8E0AE +9FD64 +0A4CC +9A832 +7181B +E897A +39340 +F1594 +FABE9 +B6404 +9A51D +7D91F +342D4 +2A502 +EDA01 +9B708 +EB526 +9F808 +F3E4B +2915A +473D5 +C2996 +9EC2B +05AD7 +9D983 +0713E +34285 +B66A7 +10B0D +3E5D5 +438E9 +260F2 +8361B +AA630 +F0510 +37A8E +3B178 +70A31 +2442C +BE8C8 +C83C4 +46E48 +F9161 +A913B +3A7A1 +94295 +160A5 +2824F +1BB8F +C8396 +7C33E +C824B +6B2B2 +18C38 +8357A +247A5 +B4BE2 +466F2 +1B224 +84BFF +D0E13 +0E130 +E130E +13B5D +03013 +56851 +37D36 +71706 +A44D8 +6B199 +D3AD1 +6FCC8 +4F824 +D4ADC +BF300 +BB59B +92016 +5642E +1D7E9 +499E0 +89712 +2C0F7 +4E42C +66163 +257B2 +DA666 +93053 +5AE78 +98634 +41F19 +772AE +0D950 +9895C +0DCD6 +6A338 +EA966 +9781D +26E92 +F8021 +2D2DC +82F10 +A651A +08D8D +07266 +362B0 +7D531 +54A78 +9ABC5 +8C363 +C6127 +99651 +29378 +29A86 +2C03A +C84CD +7EE20 +5090A +54B04 +12B8B +4213C +8A92B +DABCC +5150E +630D6 +00069 +FA753 +C7DC2 +B76E4 +8A473 +DCE21 +09638 +E83A4 +8CB53 +6D1EB +B3E00 +730BD +24F56 +1D706 +C47A0 +DE48D +B6238 +68487 +3C896 +D6734 +58275 +CD824 +72AEA +E9570 +905CB +27EDC +C958E +52C5F +14037 +A1443 +3D08D +174F7 +30B49 +64CFA +21D53 +15079 +FC8FF +8C1CD +0AB04 +188FD +10B2C +676B2 +A51AA +C376E +5844F +317C2 +6106D +C350C +2CC1E +38950 +C54B8 +79A27 +40182 +217DF +154AD +14F31 +912B9 +1E84C +7AA45 +A3455 +42AB9 +09706 +0CA44 +DED0C +3BED4 +61D76 +0B85A +DC235 +A9839 +81A59 +3205E +72738 +8F404 +7667A +90DD3 +ED613 +D062F +39D26 +CDA68 +60B18 +109E5 +471CA +AD929 +A3A23 +28C1F +EBB85 +A5996 +24BAF +DC9EA +02083 +68808 +C808C +C3EED +5014C +2D80B +1EBF9 +74493 +B219C +07F20 +96521 +14750 +707E0 +6B784 +030A1 +D9780 +E2EA6 +962CD +11459 +0D48D +DA7AE +62591 +37A17 +AB1F4 +39178 +A6972 +A28D5 +6D2C4 +E0033 +0D6C8 +AF34C +CD4E7 +A498A +178E4 +C21AB +95A06 +B5842 +44739 +7DE46 +62C2D +8B432 +1ACE1 +191A3 +06775 +9909E +51380 +6DA74 +73956 +23A1A +1399E +79142 +F25EA +60610 +BD85F +7072E +091B8 +88612 +C954B +1563A +438DC +B8398 +62A2E +89422 +7435F +3A286 +25761 +104C5 +43E46 +2A906 +10B91 +7BD17 +79D2E +192A9 +57D83 +001AA +19486 +BAE00 +B684C +D7FB4 +56524 +1C051 +6EAAB +11C34 +0C4DF +22599 +40DB4 +218A9 +1E35F +AA1DD +4E41C +560E8 +05C76 +7C7D0 +84385 +9ABC0 +EC6D0 +43CA0 +47595 +CDA81 +449B1 +06023 +43A23 +5F0DB +6117E +46272 +7BE80 +ECBF4 +2C207 +C0B26 +222DB +390CB +E5557 +97006 +8F92C +4BB0E +24201 +4C48C +F3B5E +7D421 +1BAA1 +1660B +BF8CB +0DC85 +A83CD +DB8F2 +16AA0 +D5E91 +3BC42 +07323 +41FD5 +04616 +BD434 +272E6 +CE836 +6E24D +AB2B0 +31C98 +8D0FF +4E198 +88025 +A3D21 +EBD7B +08C79 +05447 +5C16F +94899 +02826 +37685 +E1EEC +0B92C +532A1 +00695 +01527 +68D72 +2EC40 +03712 +9185C +E8A61 +ECA75 +E2C64 +C6AEC +5370A +A282D +438FA +3649C +35C6C +C819B +44AB2 +36FB8 +910D3 +9F76B +03419 +7D5C3 +2C5C2 +B80B3 +848D8 +EDCB4 +8FA82 +789AA +8E12C +849EC +88B1E +D0E13 +0E130 +E130E +128A3 +057A5 +3744E +635F6 +8DB22 +6B528 +3EA13 +91E05 +A1DB9 +517D1 +F039E +D5F17 +08B8B +A2606 +9409C +94FF0 +6011E +C86C7 +CDCB1 +94B44 +95191 +AA271 +C481D +D9642 +C11EA +C6AEE +87E50 +770C8 +88FB6 +903E3 +A8EE9 +AF6C8 +399B2 +1A481 +36522 +A392F +10437 +7BE91 +6D991 +D21E0 +35270 +4506A +23ECF +647BA +E8182 +22B82 +5A40D +A9126 +5C078 +E8803 +E0830 +9BC51 +B344E +8C911 +62527 +44C50 +6F947 +3CD52 +0C047 +26C2D +22D1F +7A4C2 +B2A32 +D22CB +3FBE1 +AB115 +D2101 +AA497 +9F1C0 +EEAA5 +1956A +AD822 +0186A +D8259 +5FB02 +DE027 +CAE41 +17A9B +9C313 +7A2CF +58C04 +AB094 +A01DD +971B5 +497F4 +C1928 +4434C +7F94C +0C227 +64640 +92A72 +97696 +00F63 +D8467 +A47E7 +2C915 +02241 +AAED5 +44830 +24F55 +68D41 +091EF +0084D +92A6B +0B244 +F0E0D +8F623 +57043 +C584C +AC11E +50784 +BC941 +49AE4 +0BCEB +B1977 +1D0B4 +800A8 +69ABE +48B14 +15726 +CE442 +7E632 +30BFC +9D060 +A8809 +0A834 +D534E +C419A +EED2F +39101 +1268C +A080A +7AAB1 +44095 +774C4 +246F9 +0E1B3 +2CD08 +D6CC7 +7BCC4 +0E938 +1CBA4 +53303 +B1CB8 +4DBCA +66946 +A2121 +50A15 +70DCE +24810 +3CC1C +6D751 +3A529 +0E1AD +6C9C0 +60715 +B6518 +6F7CB +013CF +41EE6 +4020B +46304 +7E502 +3A1D6 +08ACE +903AC +D1318 +93621 +88A82 +C65FA +46B1C +2BD81 +EB86A +15309 +0E148 +35CA4 +4B61B +B04B4 +E7525 +86DE1 +B5308 +A9909 +87498 +64018 +138BB +CBE78 +644AA +30A34 +762EB +3907B +29C48 +D0C24 +2AE7E +6E0D0 +4D213 +602AB +19B4D +29A91 +20362 +FCDD4 +E9E8D +20769 +A8E91 +91E34 +0362A +FA704 +E98BA +868AE +17940 +AA51F +2AA5B +D0BE9 +848F8 +93499 +8ADA7 +24FF7 +870D3 +81869 +48372 +8C120 +DB104 +06102 +014B3 +5A574 +2245B +2B5EA +AAC03 +2E1A9 +85540 +AC2CD +89942 +114BB +03082 +F920F +3DF55 +2A45A +BFA54 +E1E26 +8BCEA +4482A +754DC +A576E +E7092 +4ED12 +89698 +B94EE +6A02D +0569B +9DE89 +C8AE3 +280AB +A33B2 +2E102 +54EA6 +459D2 +603CB +D6F8E +18278 +8AE74 +819B4 +97863 +1F251 +B97C2 +2C53E +C1584 +BDE47 +A8E14 +57A0E +A174A +94F72 +2183F +5016D +E853C +E2458 +C14AD +DAACF +70C25 +6D044 +AB302 +1818F +D0E22 +529D7 +373C9 +2FC0A +CA815 +6A883 +2C4C8 +DBC6E +6314A +9246D +1F035 +8B3C3 +F02AE +53731 +560CA +77F40 +B82EC +7347A +14AB1 +76420 +28EDD +6681D +97762 +56222 +D0278 +DAC96 +C78A6 +50802 +4D767 +163C2 +820FF +5E410 +F98CB +60628 +F01EE +11F02 +9748C +0ADD1 +8A6AB +8041F +ED721 +8924B +687A6 +985C0 +64AE2 +D68E3 +0D333 +284BF +82DAA +B52D6 +2C42E +EE09C +D0E13 +0E130 +E130E +128A8 +CE4A1 +AA9A4 +DDEB3 +682A0 +25BDD +61FA0 +DF08B +618B4 +07E4E +26B0E +2316B +12407 +2F894 +099FC +37462 +71E60 +A8DDE +616E3 +B21AF +041E2 +EB444 +75647 +26052 +BCAD4 +1F0B3 +F3499 +06ECC +88B75 +320E0 +796DA +80510 +79438 +22705 +6B35E +EA115 +8946B +8AB98 +87C11 +1F446 +3C951 +56627 +098D0 +E6E1A +A52BF +2178A +89116 +B9912 +BDDF8 +1A3A2 +E2A2B +56721 +D8D48 +6A21B +482F8 +E4627 +BF122 +B8B1A +06798 +60A75 +3F296 +23019 +F01D4 +42DA9 +3A15C +412E5 +6698B +2942D +C7752 +23040 +DB84E +D4282 +18FE9 +F3316 +948C6 +1E55A +E24E8 +4AB81 +3D79A +E141C +A8BC5 +DC275 +45F62 +058A2 +4AC7A +7181C +F2D12 +67D26 +74768 +29D2A +9AA5B +2EA06 +56D09 +A3C68 +2741D +2B016 +4AADC +09C8C +4E36B +DDDA5 +06901 +29C04 +18C22 +7F515 +72A8A +0EE1C +4017C +31D50 +B6275 +7D4A0 +6E958 +980E7 +63720 +1491F +39840 +D344B +A7251 +E6DA3 +AE135 +98BDB +03240 +550E0 +DB204 +6CD2A +08A10 +BF300 +237AF +39E96 +68B0F +72365 +8E0A4 +A62C0 +04B91 +C6A06 +9D535 +2240B +00D5D +1ECEC +C8264 +EF06D +4547F +5DF54 +5C160 +31217 +92299 +F2B26 +8A0B1 +AE986 +AD4B7 +81147 +17449 +C9D1D +6D80E +E7B87 +21581 +4164F +D7C7D +E0322 +70244 +6475C +03D7A +A4B58 +CFF14 +0A073 +756C1 +26FF9 +5E150 +07269 +E2B63 +C06D9 +06610 +22596 +BA754 +D85D5 +32946 +A5318 +152CD +76434 +AD896 +ECA98 +7499D +019BB +C9C14 +1AB91 +D9623 +398BD +A401A +EC3D9 +69B54 +2D46C +AA0AC +F28F8 +964DB +987ED +240DD +50002 +01FC5 +E6A66 +B993A +EBC8B +081CC +135AD +D42F2 +60898 +20849 +E0E23 +41597 +AD018 +D486B +C4899 +748A6 +58A99 +167B5 +B854A +2DC14 +B720B +74C43 +0AC97 +68403 +478BF +D63A6 +0BD13 +15264 +DAB23 +4E84A +0022F +4CD93 +76977 +66BD6 +8154D +9D237 +028F1 +E2DC0 +790A5 +D293D +3476D +1E271 +69112 +A2E35 +03084 +E57DC +1EA64 +15977 +E5D0D +08AC2 +A3B1B +30D20 +76C2D +1952A +8D5A3 +A7416 +0AA2A +62537 +15CDB +5062A +46997 +16158 +F2EA7 +C4D7A +7ADC0 +34822 +6A2DF +2C713 +9590E +7A870 +25EA0 +A1046 +7F37E +0444D +2D352 +8D012 +39BAE +50D89 +09DF6 +AE42A +5BA30 +5CBD0 +54A2F +0B337 +1A305 +5534B +8B375 +CCDA1 +FB0C2 +E6A21 +0BA11 +BB9CC +5463A +05198 +40E61 +A5983 +EC80B +444DA +A44D2 +A43E8 +E4C82 +CD4DD +D5723 +352B3 +82C4D +F1D43 +23A55 +205D7 +48751 +9F2CA +0A97F +888A0 +CCFB1 +38544 +710F8 +914D7 +7C0D6 +FE52B +E5F15 +20024 +59319 +91C64 +3B491 +908B1 +94186 +6F88E +F44C9 +567A2 +96E09 +64D81 +08F32 +2023F +85002 +C082E +9DDE2 +C1D86 +B0940 +D0E13 +0E130 +E130E +128AF +56691 +0089C +2A4B0 +A43B8 +70B41 +1A2BF +178F3 +B0063 +E6C31 +7C310 +183CC +6A115 +DB165 +F548E +0E106 +9C401 +6AB43 +EAF25 +10466 +26D1F +90496 +60871 +6FB6A +00E6F +098C4 +0992E +A6E3B +340E0 +66853 +6FCD0 +C3B07 +B3617 +81CE5 +9A8F0 +6D57C +8845A +EAB17 +87A4E +DA41B +5187C +A9D4A +5A895 +388D8 +DB92F +60E31 +703B0 +414D0 +46C85 +D3D86 +0A327 +A2FD8 +8D83C +848E5 +71693 +D618A +83745 +32972 +A1CA2 +980E1 +68C51 +33067 +B9A62 +44E03 +21E66 +623FF +11A44 +3149D +44A0E +20F82 +7D2AD +ACB4A +AD869 +07466 +9A817 +E9A02 +EE805 +7C3B9 +B21A6 +3CF10 +833D7 +14B10 +22B71 +25538 +08F8B +204B7 +5A7C8 +F0502 +072C1 +74F82 +52EBB +CCC1E +037E4 +B1B68 +35B06 +EC461 +81A6A +1A4D7 +F954A +61137 +08C71 +705B3 +A5131 +8F671 +4A472 +29BC6 +1AA15 +4E854 +8EA18 +8703B +5D6F4 +98621 +20BBE +6D2A5 +0D836 +2DE2A +BE7E0 +B0120 +1E355 +015DD +BE4EC +5555A +60C0C +DEF05 +26F9E +E4620 +91FC2 +29A19 +F1DB3 +69042 +664F3 +A20C8 +0B5D0 +42F68 +887D9 +C6652 +A2315 +9ADAC +4F549 +3C6D6 +8E481 +1B5BC +C0009 +25818 +4B393 +4AAC7 +5A045 +77537 +44C31 +E3441 +96C5E +2ADC5 +22B57 +8CC30 +CA90B +AF04C +8B909 +EC2A3 +08A51 +D1DCA +F930D +7459E +A0CEB +07801 +30CC5 +70C11 +8901A +92E49 +58987 +AC411 +CAD40 +9B88F +45FCD +50902 +18E5E +55873 +86D8D +80F96 +F2CE3 +AA5C8 +D2218 +7D931 +66BA1 +6724B +0F12F +AD0AF +E530B +61C0C +43B3F +2A59C +69485 +D2EA8 +3305D +E4291 +63BD7 +3590E +32097 +E2F09 +25B84 +050D6 +9BB82 +FD7B4 +9540C +110C2 +8E50A +A306B +FEAA0 +24248 +57415 +A2DE2 +CB889 +44A46 +78356 +BB1A6 +B28C6 +340F2 +5E0AB +9D8B6 +2E8D5 +E760D +30A8B +80D21 +F0032 +F928F +A08AB +4BE37 +1A068 +65B13 +943C5 +A2B99 +C7219 +804DE +1D40D +76131 +3BA55 +A9422 +E14C3 +6B666 +5394C +4183F +3E9EE +3836D +C0F60 +2C9A2 +AB262 +C7A8A +B030E +F40CA +A5AB2 +76544 +BE951 +B40A3 +0CF70 +3EEE0 +53072 +62267 +7346D +A8998 +28F90 +7628A +63E5B +A4215 +65610 +E064C +94379 +AE584 +4C7F1 +1918C +9A6A9 +97E86 +0929D +07B6F +9D5AD +72D78 +16008 +53CFA +79C82 +6049E +2DBE4 +8BCA5 +3B4B0 +A5717 +442F9 +A90A3 +66A6A +0B2A1 +31856 +666F3 +F9137 +FBA61 +00E85 +A5812 +D48DF +72A42 +71AD8 +C70A0 +FD940 +AF20B +7B6A2 +35AB2 +9B950 +898E5 +E5689 +8E340 +A05E1 +42D72 +A853F +61147 +2CA59 +B0F5C +316E9 +1888C +AF3F2 +B5E0C +2846A +95B9A +4104C +37A21 +38624 +D1776 +19530 +1C7A8 +61370 +01850 +7BE4C +22F2F +F400A +A9D08 +6C864 +40C20 +33983 +A8E08 +D0E13 +0E130 +E130E +128A6 +4CFC2 +4896E +06DB4 +29711 +D4BB8 +0C63E +182BC +7289E +232B1 +E32D4 +E494D +C40A7 +465E6 +097AD +04257 +16642 +88A8D +2C4CA +CD14D +CA5B6 +20A06 +87578 +D64FB +2319F +D9C25 +041DB +35894 +28059 +DC396 +26882 +3E5BA +BC8B6 +E6281 +86909 +D0EA0 +86C76 +32921 +2FB26 +0EA6A +13E75 +0B1FB +69003 +4115E +D5049 +26617 +F33B0 +D5C68 +CF23B +E06E2 +8252A +1ABCC +D5D88 +D1326 +724FF +90271 +D81D7 +30E5A +0397E +6B30C +2D411 +2C957 +AD423 +9964D +B5805 +114E9 +D0E13 +AC69A +2971A +3CF09 +8AB0C +ABA83 +C38E4 +1728D +50034 +74AD0 +936D3 +85B86 +3FF14 +8928A +334B4 +28D52 +58237 +71793 +4B462 +AB2A7 +155EE +64D38 +C8014 +23F2B +290B2 +0DA33 +E07C2 +79E93 +668B4 +AB693 +3408D +560A7 +E682C +D6446 +3A6B1 +8B080 +E28D1 +BD582 +23E38 +A1AC0 +B8737 +E8040 +97920 +107B2 +165F6 +C3597 +1B835 +91040 +4962C +DF06B +38470 +2D532 +9C15A +5065B +3C9A0 +71884 +4EF11 +17B93 +82F12 +0ABEC +22521 +48359 +F97F3 +C8064 +81484 +23D12 +3A945 +C5F68 +CD5E1 +7F32C +512E6 +121AA +A1183 +8B1D8 +C24E9 +D38BF +38D86 +C2D40 +29AB4 +AAC20 +06951 +71AE5 +071CB +687AF +96C2C +88D5E +AEFD1 +910D2 +19593 +1B22D +73503 +8C343 +073BA +62F49 +262F3 +07874 +985FA +27073 +3208F +90A4C +18A7C +23F09 +28AD3 +AC789 +2A890 +0A74C +32F0E +D4115 +5E245 +049B1 +63BF0 +626C0 +8ABCB +78A33 +41165 +496BA +070AA +72700 +1C0AF +8CB01 +1124C +41B22 +5D7E1 +72470 +3C19F +20915 +02D96 +6F032 +52618 +95AA8 +90AD6 +056E9 +38281 +32746 +27894 +73611 +46824 +1A59F +79BAA +6B338 +D5ACA +094E3 +980AA +C1311 +C0849 +76307 +43844 +C9DC8 +9C945 +49E9D +AFD2C +82303 +EB067 +B40F5 +DB470 +2C9E8 +17FA8 +14CB2 +7CD03 +291EE +3A24A +91307 +540E3 +C7C7E +9A508 +09689 +ECD19 +CA799 +E5001 +579D4 +68673 +52CD6 +02619 +B4427 +55776 +5D562 +79A03 +193BC +7969A +FACEC +54D99 +4A006 +E129B +72154 +C290A +2622C +0B806 +C1AAB +AB450 +4F462 +7BA71 +05096 +28ADF +12525 +31708 +83FD0 +FCACA +BA5C2 +D0654 +B3E8D +5C0E6 +02089 +624C8 +B50F2 +1755A +C0E6B +A9D81 +F05C5 +2C380 +92240 +5F19C +9528F +A6298 +56190 +EC2D0 +28307 +CBB20 +C2A52 +301AA +48024 +B70D0 +2EE5D +0C91D +3B468 +A27A1 +18B23 +825EB +70C40 +73AC4 +A7E52 +0D20F +D184D +F18F1 +030AD +057BD +26131 +A9E12 +041F7 +52780 +D28EE +48562 +26FCA +04051 +E7BC4 +69FAD +13406 +91C48 +30D5E +B90F6 +6DC22 +FA20C +FA709 +B1529 +86B1B +141FB +B2C0D +75056 +3316F +0E47E +92820 +13502 +9A62B +C00ED +A1416 +8A47F +4391D +D0E13 +0E130 +E130E +13B56 +E9B50 +64A48 +02633 +842A7 +3D5D4 +F1C0D +11BE4 +39215 +C4434 +9DB57 +9738B +A07A9 +19066 +95020 +E8F3D +82527 +0B2D1 +8FB58 +53726 +A61C5 +EC64E +DEA68 +114E2 +2160A +FC550 +BCA84 +F7EB1 +63588 +D44B6 +08CC3 +B8C6A +918F9 +7A259 +E2B60 +11957 +C2D58 +BA371 +FACD0 +DE520 +14D6F +54852 +022B9 +A87E2 +F1704 +B5B81 +A87E3 +C102E +825A6 +D664C +0DB33 +2A66E +073CC +80EC4 +49638 +CE41D +B653A +4EE93 +C0929 +C069F +8AAD5 +96924 +481A1 +98653 +26C01 +8E1F7 +119BF +67712 +3E247 +75784 +414E8 +D3288 +A2B01 +8E8C9 +DD4A0 +B7A2C +19CB3 +14A60 +66154 +A0CF0 +A4E96 +0F3BE +6F6D3 +401A0 +6FE28 +40182 +8EC61 +4219E +27ACE +66A46 +A4C43 +E7A80 +C5EE1 +1B435 +C44CB +B751C +3CF5A +6209C +1AA4B +A9167 +3F085 +250E8 +DEE0E +B8098 +EAAB5 +8251B +80D83 +0EC50 +85557 +8E787 +0AC10 +93625 +8AEDB +52602 +D99AA +EB082 +F47C1 +82CB8 +69B94 +0084F +FF884 +50160 +FD72A +3D20A +B0953 +2AAAB +0D0B6 +A2414 +DFAC9 +952B8 +31A24 +B1348 +844FE +0C84F +6E7DD +1E891 +11489 +BB228 +9FA08 +47EA6 +C6454 +90511 +46925 +91A99 +BBAD7 +CA8DF +66120 +16214 +0B015 +BC86C +52747 +A8E9B +40BE3 +3902C +F9594 +4FC9B +A5079 +800EA +2D3FE +982D0 +146E4 +D7B69 +CF474 +68903 +552C3 +9DC8B +0A9BD +01911 +25AD2 +EF107 +E3E32 +8B30A +C488B +5BE92 +13774 +D7C6A +0C125 +F9C48 +DD5A5 +836DA +4D914 +A53F0 +AAF6B +4A18C +B0923 +1A728 +E414D +FD1E8 +4A00E +EE8C7 +2663E +76E4D +9494B +CF261 +C61DC +D1C9D +83821 +C5D4A +A995A +430D1 +307A9 +F869C +4FA63 +EC7C0 +9618A +4A6A4 +47773 +42D79 +11EE0 +05994 +99B1A +1B2C1 +C85EB +A5259 +BA187 +F8DDA +12DF8 +90252 +8D0DC +E492D +49D44 +E2197 +DC419 +FC214 +B713A +0F9F0 +39BDC +9A083 +C79F0 +4E861 +00C31 +3F4A4 +7B1E7 +1A85A +B6A11 +8A8C3 +02A24 +F883C +C2CAF +E09A2 +03AC6 +3D254 +12782 +4C22D +4DEA4 +9841F +55E04 +1C2C1 +61225 +C3400 +AA3A7 +05821 +1C375 +0FCDF +B4C51 +5F884 +E4A1D +1A0B0 +92D5B +24184 +84E0A +92196 +806AD +0015F +6589C +27164 +7B35C +D1E45 +2C798 +9B543 +588F4 +2E6EA +E0F14 +8F140 +851F4 +99048 +9020A +40D6B +E8AEA +00868 +33205 +1CF25 +069FB +8472A +8133E +6AEF4 +D0528 +FCB20 +6A194 +75BB1 +8EBE0 +90752 +04D16 +1F3CA +25EDA +549EB +23546 +88022 +4F8FD +C074D +7050B +36619 +0BDAB +E73A2 +72641 +1B2DC +D11D1 +2CA84 +ADA49 +0E1E2 +11C77 +C5BD0 +C711A +08C38 +ADA19 +ED804 +33DF3 +7945F +1D028 +61505 +B64D5 +E2741 +784E4 +A1C35 +A284A +24B8E +773C9 +09020 +BEEE9 +1AC99 +86881 +51E1F +D0E13 +0E130 +E130E +13B59 +9E0F1 +14312 +5A253 +C0088 +7AE86 +221CD +5CE81 +96C52 +4A956 +D7A3E +C2DC0 +C9A4A +C4C94 +1D553 +E5C70 +70904 +6CF11 +4906B +3B808 +639F5 +668F0 +7648E +90512 +1B245 +D02E3 +A0075 +75E79 +D9449 +B23A6 +24684 +C76E8 +50A11 +D8D61 +0A6C7 +46072 +62BE0 +9AEF0 +ED421 +E0D27 +0E85F +2A20A +1F6B5 +901EC +EA62B +1C06A +87122 +A1A71 +88A02 +D1BE0 +FAE29 +3F924 +9B107 +9FB24 +50639 +D42B0 +C240F +48C45 +4D665 +40AA1 +F42DF +5A6C1 +120C5 +7839F +35C4E +2CAE8 +1582F +7275F +33D49 +08831 +8884E +90479 +D7721 +817AC +1D166 +B118E +20E27 +6A323 +8BA21 +DCC0B +91B05 +42F28 +A3082 +916F9 +0C109 +AEAA2 +9775B +AC914 +EC30B +4445C +7E572 +1865D +0E3B6 +C41BE +E6660 +3962E +10547 +D028C +36C16 +6CECA +C3031 +5C62A +AFA46 +85D36 +321FB +1A335 +2D0EA +10652 +CA7E3 +AC24B +66568 +A7E3F +98309 +4591C +51910 +0446D +F75E5 +05828 +CFA45 +CC18C +3266C +79AE0 +08FB1 +04A0C +40971 +64404 +FD2D1 +D5517 +EF867 +BC218 +4686A +B9429 +5A9CE +06583 +675D2 +1CB97 +10542 +868E8 +4009E +AAE2B +335D3 +5FB42 +9456D +C4473 +85B49 +5DA88 +A1D2A +45F84 +B4839 +761D4 +0A0EE +0B20A +7E945 +36129 +2DD5F +38060 +71EFC +4C693 +6AACA +4C018 +898B0 +252D4 +8E19E +44116 +3D4CA +C87FD +C0500 +73535 +1D1EA +2422F +35251 +6B784 +ADC8A +41588 +AC943 +1FE02 +35852 +B8D4E +C0DD2 +2C6BA +B9600 +3DF9D +E81D0 +D44C2 +C31B3 +82EC6 +1072F +941C4 +6BC05 +28D31 +18EB2 +20815 +3DC8C +C7668 +4401B +9205D +3A3DB +44920 +A025E +966C3 +07310 +B4618 +4FBBE +1301B +E4308 +97A2C +CBB35 +0694E +10051 +59127 +68F29 +5D228 +3DC0A +11415 +BFFC4 +394AC +D840D +357DF +0940F +B92D0 +DD5A9 +40D13 +F7B02 +1C598 +A05A2 +012F6 +21211 +58C06 +E6265 +1AC80 +98BEA +608F7 +2C02B +038B4 +BBC69 +6A1A2 +77838 +39788 +D3518 +B502B +623DB +E5D54 +10AF6 +6C3A9 +6CAE2 +8D3AA +670F4 +75318 +85D22 +2A53E +78A11 +B7C22 +05D03 +2A6E5 +5B149 +6CE85 +B0DA4 +0DE25 +18DFD +3ADC2 +08262 +1D818 +01586 +E7DFE +12B14 +252AC +96627 +F6C0C +ED6C5 +07D0B +82039 +B204F +980E7 +6457E +13449 +DDA0E +4B801 +24541 +213C2 +0705F +C91B8 +17077 +B9CD7 +161C0 +02836 +CCEE8 +9CC60 +087CE +335A9 +40327 +2198F +25033 +A15A6 +257B2 +8626D +46864 +1EC91 +F2BD6 +E8299 +5B885 +2CD42 +28F95 +F5289 +28C51 +EAED0 +63201 +7FC21 +509A8 +C3466 +CCF64 +A053C +52E04 +92158 +ED2A5 +FE306 +C532D +A0447 +8E1C4 +0B7A9 +D7811 +69F3E +01343 +7BA00 +38F0B +602A9 +88F25 +72276 +EB008 +6A0EA +10386 +97B35 +CA606 +D0E13 +0E130 +E130E +13B59 +053DF +A8C86 +88893 +8D91F +2494C +4B4A2 +96526 +574F7 +32370 +C2B81 +F9E0E +80640 +EBAB6 +1AFB1 +461C2 +43062 +C8611 +1EB3E +0D794 +8B50B +46182 +D236A +79F02 +6EF4E +99480 +A13A9 +B7092 +44698 +00978 +82496 +33F8E +2A928 +1B209 +279A6 +DAAC2 +898D0 +C2817 +F11F5 +A8295 +66C5A +8B200 +247E0 +6BF8C +D9464 +5B2A4 +F42D6 +B1869 +5AC5D +48887 +64C2C +8A5F2 +188D5 +1A1DC +65536 +9E034 +CB831 +66E13 +7D02E +3DEA5 +17059 +D5EB1 +2CF33 +6801C +237E8 +9E9AA +C1469 +A8745 +D326B +84742 +0C02E +16966 +68006 +EEA9C +D7293 +4C515 +0CB86 +4C225 +8E6C4 +43BA0 +A0A86 +74D44 +2E597 +80CB7 +AD801 +A73AA +37869 +B5117 +BA0A7 +B5402 +E2F4B +0971E +89844 +B8542 +84ED0 +DDD96 +304B4 +A0EC6 +2DF06 +34C80 +F378D +5DD64 +5A4CD +D2414 +CA531 +8C3CB +0394B +28854 +B9204 +2DA18 +89D88 +8EC22 +D8B2C +C4DDA +4132C +76308 +8A90E +D71AC +33FC3 +47348 +D7A04 +61DF4 +0EC91 +3A69A +46BB0 +619C9 +29F64 +35CDA +A3050 +D5903 +6E5C2 +237D2 +6C30F +910EB +1772E +AB19C +CE614 +025A8 +9E8A7 +BE023 +949ED +59266 +49518 +8A1EC +26A8F +88E90 +42A73 +D05A5 +823E9 +F8088 +C4340 +4A490 +77BC5 +2F730 +275CA +0723C +831E3 +9F69A +E5068 +9E376 +21062 +F5199 +05176 +9C87B +CC2B6 +2F15B +21E13 +D8685 +95E51 +90BE1 +887C5 +43A85 +652CF +8D498 +72256 +B5188 +B1CDD +CD188 +C166E +84A34 +291AD +89E61 +83BDA +063A0 +3E4B4 +915AC +08957 +0D532 +53F4B +48507 +0C9CE +CE856 +3EE51 +832ED +43696 +90832 +601C2 +90269 +36B09 +59286 +6BBD8 +1E4C1 +98357 +AD1D4 +A5B4C +4024C +AD3E6 +2E0DC +100A4 +75E2F +38D5B +0DA2D +39C68 +06FBF +94206 +50E0C +1E963 +6363B +88AFE +08EBB +1128C +6E177 +D5818 +0400B +FE3AB +0D528 +63A37 +90468 +F7229 +25018 +BFB47 +E54C5 +7182C +46AA3 +BC5C5 +2180A +68EA5 +2BC4C +83021 +66E30 +89682 +B866A +8D375 +9470B +214CA +EE868 +DE20E +53580 +6591E +32E08 +6C45D +761AE +AC399 +2C2AA +4EA2A +75CC7 +82320 +6A1D2 +149CA +14820 +A87FA +5E054 +21068 +6FEAC +42612 +BAD95 +264B6 +59080 +58535 +CCB4C +5A7C0 +A78AD +C4C18 +A1D78 +1E9A1 +11A6C +FAB0E +11D48 +6DB47 +49B16 +29989 +CA0B6 +0D898 +255D1 +D44D9 +0A741 +55F4B +3E0CF +A8184 +59A40 +9E69B +9E41A +B74A5 +62B45 +45AA1 +17A84 +24C6C +28A1D +820C6 +A8AA5 +10913 +00B1D +000C2 +3E576 +0E722 +E2C6E +2BA9A +D725C +6095B +F5410 +59E13 +15136 +F2617 +50BD4 +85436 +85438 +BB896 +2137B +13EA1 +95313 +C6097 +122E5 +49494 +72706 +7EA49 +C089E +09D29 +02E52 +8E6E0 +02039 +C92F1 +CE12C +D0E13 +0E130 +E130E +13B56 +5F606 +4AA44 +AA6B3 +DF40C +2A5D2 +A5C5A +56416 +9074C +B0B5D +F9A4A +A4CE0 +92B35 +AE015 +4888E +305E6 +7B84B +0DD00 +58934 +A2167 +69DD8 +92446 +C34F1 +E1C7A +40C5E +A253C +8C06A +55195 +E0E71 +D9854 +288C2 +496B0 +E96F9 +4E1DD +76262 +DEA63 +A134C +62CC6 +A26C5 +DE079 +53343 +1273F +D6A54 +2A9C0 +88CA1 +3CB25 +A25BF +B1AF9 +AF583 +89426 +C7029 +A3916 +CAA71 +DB1AD +46655 +EA910 +4349E +5CABB +D5370 +82B4A +69D13 +82FDC +1020B +12BC9 +2E822 +B4C68 +E1EB7 +23636 +23811 +C8B24 +7CE28 +17AB4 +5E446 +3B6E2 +D8948 +36C57 +DA8A6 +3E32A +5D20C +09294 +A1B34 +5AB23 +AA1A0 +D54A0 +4A42B +51E94 +F4C63 +9C156 +77481 +30AA4 +12143 +2AC84 +90EC7 +A2538 +35677 +5165C +03256 +83684 +60717 +94209 +B7C71 +38426 +08175 +8D0B2 +1B5A7 +0EF80 +07347 +B0069 +58EEC +654F4 +88012 +9206D +1A37F +B21C6 +75AFC +F4180 +5D808 +36022 +138A6 +56A49 +F5416 +52C32 +9F905 +F3DF0 +86900 +7D26F +90947 +1E3D6 +AF567 +11946 +A16E4 +AACB2 +1EB61 +73484 +3C72D +AE0E1 +159C5 +C6AE8 +22E3F +58D44 +9AE18 +9E2E4 +1F6AB +2580C +F3315 +6B807 +008F8 +F8BB5 +0D161 +63A15 +1ED62 +CA764 +380EE +459F1 +8F129 +B09A8 +8E661 +14CD2 +C1B9A +3F505 +51C30 +140AB +195D0 +929E3 +916E0 +89ABA +2137C +A266D +4C514 +3ECA9 +6C96D +9A941 +B6597 +475C4 +4A468 +A6E4C +43D66 +4B4D6 +938D3 +077AC +BC456 +3561F +650CE +0ECD2 +38E2D +C1B00 +E0D9A +F2B1E +6A240 +B70E2 +D144F +7CAAC +566BA +84BC0 +41CD0 +8652B +86419 +8B944 +A191A +07E46 +ADA1C +C8553 +0A4FA +8EBAD +443AB +3646B +25135 +67157 +116D4 +7823A +90973 +48BBD +B0156 +52623 +D44A4 +2178A +594C7 +F2EDC +AA019 +69B9D +75015 +99DEB +49C47 +E0CDA +0D062 +ADB00 +801CB +DA156 +E44F6 +EB4E2 +83418 +0A0B6 +0C094 +053FC +52431 +C9068 +91080 +E49AD +DA067 +C2E2F +32AC8 +ED841 +81330 +2F87C +A39B0 +BD8B0 +2B4CF +44C71 +31879 +2EA13 +8E8F5 +3112D +CACEC +F8C50 +0B04E +60BDB +C10A5 +9013E +4A98C +10E9F +DA8E1 +C8D54 +E523C +D2F8B +09F90 +BEBD5 +A6032 +10C4C +38788 +91DC5 +AD13E +50D2F +2AA01 +EE9AE +4C5E8 +15888 +9368E +9DFAB +45ACA +644CC +2CF64 +0D9A4 +CA482 +9A2A9 +D77DB +2B980 +97CAA +D1F50 +C751A +37B00 +A8045 +BFAFB +1BF09 +282E6 +0ED8A +048B7 +6C41B +63F65 +C90C4 +25880 +6E740 +F51AD +83402 +9F2B8 +09672 +7BEBC +8A626 +75264 +11A6C +38D04 +4DA76 +09B02 +862E1 +B491A +73E17 +19417 +95DB9 +140D9 +9C02A +E802F +86D77 +0D519 +46543 +0D2A9 +94D96 +CC968 +1A17E +B0076 +7BB24 +00472 +DD352 +2B8E8 +D0E13 +0E130 +E130E +13B54 +58AAF +089A7 +85E72 +DCA58 +8B423 +32037 +5E00C +ED11E +89582 +2D374 +B9BE0 +786A2 +CCC27 +AFBA2 +15580 +94E26 +49072 +3E266 +4961E +98D17 +FD309 +E63E2 +0A892 +2E819 +42631 +E45A8 +359C2 +82153 +C08F4 +E708E +8EB4F +10D51 +5434D +12767 +9056B +AA533 +3BEE4 +A056C +125B0 +F6A56 +4BC42 +22E54 +BE61C +FF431 +5B71A +0E471 +31803 +1897E +0B9D1 +A3AA6 +EA3C1 +DEF12 +C8805 +55C53 +3095A +02F61 +82A57 +1DF59 +00D06 +C9E95 +7945D +9D8AB +8A42A +B96B3 +F1442 +21418 +0D76D +21C67 +F6025 +58D0A +AB11C +410DB +9F489 +12124 +A5310 +55C7B +4E22D +8A8E2 +550BD +93515 +784D8 +090D0 +D2434 +680EF +58B81 +C5CCA +9150C +8F213 +7AC60 +210EA +4701B +87223 +93DCC +84038 +9C3A9 +05EB3 +BE0BF +D847D +C8E1C +01411 +1B20F +53B75 +54548 +438C9 +8AF9E +C4688 +87574 +242E8 +26840 +72746 +8C924 +EF997 +C2989 +991A1 +14C15 +74E30 +32D29 +20C2F +5ABD1 +F5395 +440F8 +8D68D +CAE86 +14A4F +4DB0C +90B43 +5D950 +13A42 +5FA1D +A14B3 +25E1A +145D2 +738AB +B0441 +5C969 +70A34 +B3EAC +B6335 +00017 +5E392 +19AA0 +364C7 +EB3A8 +54BCD +C1122 +6CFE0 +B4505 +C0001 +1415C +D60C7 +8896E +B112A +057FE +B6196 +A2E9C +8473A +6E6C3 +1D08F +6E35A +51D16 +453AA +3CA32 +C4D03 +CB2DA +29A61 +EC2AC +6C355 +E0BCF +79E01 +B4260 +32C48 +BA04C +068AB +F8A4B +80C14 +70FBE +75248 +9CFA1 +D9159 +D9072 +25554 +29866 +04DAB +5EBA6 +67D4B +04510 +93DDE +48638 +5A321 +EC32E +BC83F +21206 +CF690 +39615 +8AB34 +81594 +B3581 +33507 +97B6A +8C232 +8849B +F8112 +C8D93 +CB88D +31D04 +23799 +8B924 +D74A3 +57DD0 +6A06A +A4A39 +0A549 +F26D5 +2F444 +B15AE +650E0 +FA1B0 +4C82A +B0599 +18C62 +4CD88 +0B46A +F7C35 +8565B +B2C1A +9318A +89483 +07DC1 +D84D2 +E5221 +1808F +6BA02 +27BF0 +A9789 +B0023 +52BBE +57D59 +63E98 +81144 +B523A +EE053 +21668 +5B9E1 +2DB12 +86196 +F6D3D +861B8 +4988D +1415E +BE09E +67324 +57D65 +1C2D8 +C3D82 +5A84A +07E21 +F8786 +10FB9 +54344 +C474A +B42DC +E3714 +8174F +76298 +2561C +E08D5 +5ADE9 +98580 +602E8 +3693C +E7F28 +4FE70 +40149 +7F137 +1CC8D +C31A6 +1A2A2 +9BABF +710A1 +403D2 +6C9B5 +F4BD8 +09322 +A3791 +AD880 +26CD3 +3E5D6 +221FC +022FE +8956E +523C2 +2C5E2 +82F12 +5AD3B +24478 +0AB5C +BF4CE +D92A1 +C0E99 +18062 +A92BD +87A35 +219C2 +38FB3 +4A308 +3CBB2 +48171 +B8965 +DF3B2 +C10A0 +E760C +C9EAA +80A62 +456EF +A1466 +BA0F7 +76810 +42B22 +613A7 +5581F +11423 +4CBC8 +588C4 +8A14F +AAF29 +75E95 +082E7 +24364 +26419 +CD18D +48BEF +D0E13 +0E130 +E130E +13B5F +C2321 +1821B +028D0 +EE160 +7CE02 +8E491 +DAA11 +B7867 +51453 +913EA +E9717 +888C8 +01DE7 +D89EB +E7D0B +17018 +2FA01 +A3B25 +38376 +96938 +CFBA0 +50D02 +9B9C3 +5C3DE +41685 +07E2B +9792E +C50AD +70673 +82A91 +1C2C9 +382BE +BB36D +C151B +0AF9D +255E9 +0A833 +2752A +75300 +D8A6B +ED1A2 +411D3 +99F10 +9E8E4 +00290 +A2EED +89241 +32891 +17B3F +CD325 +0EEF2 +862A1 +1CC08 +58967 +29C7E +94AAD +48A4C +169C6 +10A76 +2C01C +D8CC8 +68E0D +61160 +314DA +1DA97 +291E4 +985B6 +1D655 +AA209 +8134D +D05B6 +46042 +4B36D +10A68 +84224 +0AFEA +B0205 +32CBA +8CAB9 +ED547 +B5B2A +167CC +2D282 +0B07E +4803B +E3E48 +A0962 +776A0 +B7092 +4473A +303B9 +40AF8 +2B2A3 +27402 +0BD39 +710F5 +A2095 +AD965 +0D88C +157F1 +680D3 +A8764 +7C025 +E3D75 +110D4 +8241E +92073 +447B8 +414C6 +FD62C +FB372 +A2182 +F3C14 +41732 +92B3D +41A70 +EAB23 +F62E6 +84105 +6519A +53800 +C0E5E +DA54B +C4BF3 +90112 +88A3E +D7194 +A6588 +C301C +8E67B +A2856 +D30D3 +98EC5 +485CA +27117 +46403 +27729 +CB160 +62C27 +19733 +95D85 +19D05 +7B296 +1826E +47469 +783FA +E1427 +7D1D0 +DA80E +004C6 +6C559 +49F4A +8568C +EA105 +62AC8 +BFD85 +D00BB +85EA5 +CA5E0 +030F4 +154AD +06358 +262C0 +99AA2 +20D68 +1072E +C6219 +BF0A0 +8EA97 +C19EC +C268F +C71A1 +CF16C +D905F +3F6C0 +82E42 +75E32 +90085 +A0829 +517AF +79421 +FAB89 +08FDC +26C20 +CE2C9 +50038 +EAA4F +45C03 +87294 +84FF5 +0A81C +E8C36 +C170C +86B5B +D0917 +1ADA4 +D6B11 +25025 +A73AC +73DE2 +D8602 +0D4A0 +B971F +EE42B +244D0 +89E68 +9BDE9 +53103 +0FC1E +01CAA +50A00 +0B36B +040CB +9EA16 +43979 +A0F8E +F25C0 +8C585 +D1B00 +03F6A +261C1 +D0BB1 +0487B +6A36B +B03CF +68822 +11A90 +45AF2 +93242 +86BBB +A80DF +206D6 +06390 +E58BD +D49B3 +56A88 +F550C +8ADB8 +16131 +FF034 +17957 +693A8 +46220 +BDB58 +99BC1 +50EBD +E4E47 +111B9 +1CD5C +9805A +FAEBA +CC242 +07335 +8A16F +408C4 +3F018 +5782C +7AAF4 +18BE9 +950F4 +C7054 +88818 +D50F2 +B20EE +A9A28 +5A910 +12EA1 +886C2 +633D9 +84678 +25389 +BBF29 +31016 +2076B +D05C1 +9790E +E3FE1 +31A84 +C0516 +1F0D0 +993F7 +12262 +E1811 +30926 +EB484 +A0DCF +45930 +99D76 +8A407 +0C06C +7108A +6334A +20D97 +C297B +B4708 +A8BCD +485FB +986E3 +3EA80 +CAA14 +506A1 +910A9 +DA6D0 +E8E96 +E3CB0 +D281B +399C6 +8495B +44FE9 +18D4A +A49AA +CC882 +E2D46 +0B39B +F59D8 +29F42 +F520D +509A5 +20F1D +307E5 +C05AB +4CB62 +BA139 +51446 +1E9BD +AD48B +68A96 +B02A2 +39F13 +D1344 +C660F +D0E13 +0E130 +E130E +13B5B +AFE91 +1E202 +2D0B5 +27047 +801F1 +E6756 +9F690 +22611 +B216B +503A5 +F8CC0 +57A68 +0ACC5 +27086 +7D7BD +912A5 +8959F +11024 +19DF1 +5BD12 +E2836 +7303B +D3D13 +33C81 +7A267 +56560 +957CC +A8D5F +80250 +9AE82 +F4C6D +9C7CA diff --git a/Advanced Synthesis Cookbook/interlaken_lane/lane_rx.v b/Advanced Synthesis Cookbook/interlaken_lane/lane_rx.v new file mode 100644 index 0000000..86a41b1 --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/lane_rx.v @@ -0,0 +1,180 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps + +// baeckler - 09-22-2008 +// single lane 20 bit RX including alignment, descramble, CRC + +module lane_rx ( + input clk,arst, + input [19:0] din, + output reg [65:0] dout, // [65]=1 indicates sync [64]=1 indicates control words + output reg dout_valid, + output word_locked, + output sync_locked, + output framing_error, + output crc32_error, + output scrambler_mismatch, + output missing_sync +); + +parameter META_FRAME_LEN = 10; +parameter PN_REVERSE = 1'b0; +parameter SKIP_RX_CRC32 = 1'b0; + +wire [66:0] gearbox_dout; +wire gearbox_dout_valid; +wire slip_to_frame; + +///////////////////////// +// 20 to 67 bit gearbox +gearbox_20_67 gb ( + .clk(clk),.arst(arst), + .din(din), + .slip_to_frame(slip_to_frame), // 1=slip until you hit a properly framed word + .dout(gearbox_dout), + .dout_valid(gearbox_dout_valid) +); + +///////////////////////// +// Lock on framing bits +wire din_framed = gearbox_dout[65] ^ gearbox_dout[64]; +word_align_control wac ( + .clk(clk), .arst(arst), + .din_framed(din_framed), + .din_valid(gearbox_dout_valid), + .slip_to_frame(slip_to_frame), + .word_locked(word_locked) +); + +///////////////////////// +// Undo disparity inverts +wire [64:0] dec_dout; +dec_67_64 dec ( + .clk(clk), .arst(arst), + .din(gearbox_dout), + .pn_reverse(PN_REVERSE), + .dout(dec_dout), // bit 64=1 indicates control word + .framing_error(framing_error) +); + +///////////////////////// +// Grab the decoded word +reg [64:0] last_valid_word; +reg last_gearbox_dout_valid; +always @(posedge clk or posedge arst) begin + if (arst) begin + last_valid_word <= 0; + last_gearbox_dout_valid <= 0; + end + else begin + if (gearbox_dout_valid) last_valid_word <= dec_dout; + last_gearbox_dout_valid <= gearbox_dout_valid; + end +end + +///////////////////////// +// Scrambler +wire [63:0] scrambler_q; +wire scrambler_evolve; +wire scrambler_load; +wire [57:0] scrambler_load_val = last_valid_word[57:0]; +wire scrambler_match; + +scrambler_lfsr scr ( + .clk(clk), + .arst(arst), + .verify(scrambler_load & sync_locked), + .load(scrambler_load & !sync_locked), + .load_d(scrambler_load_val), + .evolve(scrambler_evolve), + .q(scrambler_q), + .verify_fail(scrambler_mismatch), + .verify_pass(scrambler_match) +); + +///////////////////////// +// Lock on synchronization words +// and keep the scrambler and CRC on task +wire good_sync, check_crc32; +frame_sync_control fsc ( + .clk(clk), .arst(arst), + .din(dec_dout), + .din_valid(gearbox_dout_valid), + .word_locked(word_locked), + .sync_locked(sync_locked), + .good_sync(good_sync), + .missing_sync(missing_sync), + .scrambler_load(scrambler_load), + .scrambler_evolve(scrambler_evolve), + .scrambler_match(scrambler_match), + .scrambler_mismatch(scrambler_mismatch), + .check_crc32(check_crc32) +); +defparam fsc .META_FRAME_LEN = META_FRAME_LEN; + +///////////////////////// +// Undo the scrambling +always @(posedge clk or posedge arst) begin + if (arst) begin + dout <= 0; + dout_valid <= 0; + end + else begin + if (good_sync | missing_sync) begin + dout <= {1'b1,last_valid_word}; + dout_valid <= 1'b1; + end + else if (scrambler_evolve) begin + dout <= {1'b0,(last_valid_word ^ scrambler_q)}; + dout_valid <= 1'b1; + end + else if (scrambler_load) begin + dout <= {1'b0,last_valid_word & {1'b1,6'b111111,58'h0}}; + // blank out the scrambler state in RX data + dout_valid <= 1'b1; + end + else dout_valid <= 1'b0; + end +end + +generate + if (SKIP_RX_CRC32) begin + // your data is hereby declared OK. CRC24 will (most likely) see if it isn't. + assign crc32_error = 1'b0; + end + else begin + ///////////////////////// + // CRC32 (Castagnoli) check the out stream + lane_rx_crc lrc ( + .clk(clk), + .arst(arst), + .din(dout[63:0]), // does this really omit thc control bit? Yes it does. + .din_fresh(dout_valid), + .diag_word(check_crc32), + .crc_error(crc32_error) + ); + end +endgenerate + +endmodule diff --git a/Advanced Synthesis Cookbook/interlaken_lane/lane_rx_crc.v b/Advanced Synthesis Cookbook/interlaken_lane/lane_rx_crc.v new file mode 100644 index 0000000..5faa509 --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/lane_rx_crc.v @@ -0,0 +1,111 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps + +// baeckler - 09-25-2008 + +module lane_rx_crc ( + input clk,arst, + input [63:0] din, + input din_fresh, + input diag_word, + output reg crc_error +); + +// input registers +reg [63:0] din_r; +reg last_din_fresh,last2_din_fresh; +reg last_diag_word; +reg [31:0] expect_crc; + +always @(posedge clk or posedge arst) begin + if (arst) begin + din_r <= 0; + last_din_fresh <= 0; + last2_din_fresh <= 0; + last_diag_word <= 0; + expect_crc <= 0; + end + else begin + if (din_fresh) begin + if (last_diag_word) begin + din_r <= din & 64'hffffffff00000000; + expect_crc <= din[31:0]; + end + else din_r <= din; + end + last_din_fresh <= din_fresh; + last2_din_fresh <= last_din_fresh; + last_diag_word <= diag_word; + end +end + +reg [3:0] check_schedule; +always @(posedge clk or posedge arst) begin + if (arst) check_schedule <= 0; + else check_schedule <= {check_schedule[2:0],last_diag_word & din_fresh}; +end + +reg [31:0] crc; + +// CRC XOR networks +wire [31:0] din_r_evolved, crc_evolved; +crc32c_dat64_only cc0 (.d(din_r),.crc_out(din_r_evolved)); +crc32c_zer64 cc1 (.c(crc),.crc_out(crc_evolved)); + +// Register the XOR outs +reg [31:0] din_r_evolved_r, crc_evolved_r; +always @(posedge clk or posedge arst) begin + if (arst) begin + din_r_evolved_r <= 0; + crc_evolved_r <= 0; + end + else begin + din_r_evolved_r <= din_r_evolved; + crc_evolved_r <= crc_evolved; + end +end + +// CRC register +always @(posedge clk or posedge arst) begin + if (arst) crc <= 0; + else begin + if (check_schedule[2]) crc <= 32'hffffffff; + else if (last2_din_fresh) crc <= din_r_evolved_r ^ crc_evolved_r; + end +end + +// Computed CRC should be the expected chunk from diag word negated +reg crc_match; +always @(posedge clk or posedge arst) begin + if (arst) crc_match <= 0; + else crc_match <= &(crc ^ expect_crc); +end + + +always @(posedge clk or posedge arst) begin + if (arst) crc_error <= 0; + else crc_error <= (!crc_match & check_schedule[3]); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/interlaken_lane/lane_rx_tb.sv b/Advanced Synthesis Cookbook/interlaken_lane/lane_rx_tb.sv new file mode 100644 index 0000000..860b515 --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/lane_rx_tb.sv @@ -0,0 +1,281 @@ +`timescale 1 ps / 1 ps +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-26-2009 +// Note - this file was generated by a small C program + +// It does detailed inspection of the lane RX response +// to skew and error conditions, per spec 1.2 + +module lane_rx_tb (); + +localparam WIDTH = 20; +localparam SAMPLE_BYTES = (WIDTH / 4) + 2; +localparam META_FRAME_LEN = 100; + +///////////////////////////////////// +// load sample data out of file +///////////////////////////////////// +reg [WIDTH-1:0] lane_bits,lane_bits_err; +reg clk = 0, arst = 0; + +integer pfile = 0, pfile_err; + +initial begin + pfile = $fopen ("lane_bits.txt","r"); + if (pfile == 0) begin + $display ("Unable to read lane_bits data file"); + $stop(); + end + pfile_err = $fopen ("lane_bits_err.txt","r"); + if (pfile_err == 0) begin + $display ("Unable to read lane_bits_err data file"); + $stop(); + end +end + +reg [SAMPLE_BYTES*8-1:0] buffer; +integer r,s = 0; +always @(negedge clk) begin + r = $fgets (buffer,pfile); + r = $sscanf (buffer,"%x",lane_bits); + s = s + 1; + r = $fgets (buffer,pfile_err); + r = $sscanf (buffer,"%x",lane_bits_err); +end + +///////////////////////////////////// +// shift sample data +///////////////////////////////////// +reg [2*WIDTH-1:0] sample_dat; +always @(posedge clk or posedge arst) begin + if (arst) sample_dat <= 0; + else sample_dat <= (sample_dat << WIDTH) | lane_bits; +end + +///////////////////////////////////// +// test units +// look at all (width) shifts of the +// input stream +///////////////////////////////////// +wire [65:0] dout [0:WIDTH-1]; +wire [WIDTH-1:0] dout_valid,word_locked,sync_locked; +wire [WIDTH-1:0] framing_error,crc32_error,scrambler_mismatch,missing_sync; +reg [15:0] words_to_sync_lock [0:WIDTH-1]; + +genvar i; +generate + for (i=0; i (400 + 4)) begin + $display ("Chan %d failed to acquired sync lock in 4 frames",n); + fail = 1; + end + end + end + @(negedge clk); + if (!fail) $display ("All 20 shifted data test lanes have locked properly"); +end + +// Locked lanes should not have any error flags +integer k; +always @(posedge clk) begin + #1 + for (k=0; kRX pair + +module lane_tb (); + +reg clk=0,arst=0; + +reg [64:0] din = 0; +wire din_ack; +wire [19:0] tx_out; +wire [65:0] recovered; // [65]=1 indicates sync [64]=1 indicates control words +wire recovered_valid; +wire word_locked; +wire sync_locked; +wire framing_error; +wire crc32_error; +wire scrambler_mismatch; +wire missing_sync; + +////////////////////////////// +// Simple TX->RX link +////////////////////////////// +reg [19:0] tx_error = 20'h12345; +wire [19:0] rx_in = tx_out | tx_error; + +lane_tx dut_t ( + .clk,.arst, + .din, + .din_ack, + .dout(tx_out) +); + +// For debugging sanity - just send everything non-inverted +//defparam dut_t .DISABLE_DISPARITY = 1'b1; + +lane_rx dut_r ( + .clk,.arst, + .din(rx_in), + .dout(recovered), // [64]=1 indicates control words + .dout_valid(recovered_valid), + .word_locked, + .sync_locked, + .framing_error, + .crc32_error, + .scrambler_mismatch, + .missing_sync +); + +////////////////////////////// +// Line monitor +////////////////////////////// +reg [255:0] line_history; +always @(posedge clk) begin + line_history <= (line_history << 8'd20) | tx_out; +end + +////////////////////////////// +// Stimulus +////////////////////////////// + +initial begin + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; + #1000 tx_error = 20'h00000; +end + +always begin + #5 clk = ~clk; +end + +reg [15:0] error_cntr; +reg rst_error_cntr = 0; + +always @(posedge clk) begin + if (rst_error_cntr) error_cntr <= 0; + else if (framing_error |crc32_error | scrambler_mismatch | missing_sync) begin + error_cntr <= error_cntr + 1'b1; + end +end + +initial begin + rst_error_cntr = 1'b1; + #8400 if (!word_locked) begin + $display ("Failed to acquire word lock as expected"); + $stop(); + end + #2000 if (!sync_locked) begin + $display ("Failed to acquire sync lock as expected"); + $stop(); + end + rst_error_cntr = 1'b0; + #100000 + if (error_cntr !== 0) begin + $display ("Errors flagged during normal operation"); + $stop(); + end + $display ("PASS"); + $stop(); +end + +always @(negedge clk) begin + if (din_ack) din <= din + 1'b1; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/interlaken_lane/lane_tx.v b/Advanced Synthesis Cookbook/interlaken_lane/lane_tx.v new file mode 100644 index 0000000..560c3da --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/lane_tx.v @@ -0,0 +1,178 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps + +// baeckler - 09-22-2008 + +module lane_tx ( + input clk,arst, + input [64:0] din, // bit [64] = 1 indicates control word + output din_ack, + output [19:0] dout +); + +`include "log2.inc" + +// call all data non-inverted, for debug +parameter DISABLE_DISPARITY = 1'b0; + +// the RESET_VAL must be non-zero and +// for noise should be unique per TX lane +parameter SCRAMBLER_RESET = 58'h1234567_89abcdef; + +parameter META_FRAME_LEN = 10; // words per metaframe +localparam META_CNTR_BITS = log2(META_FRAME_LEN-1); + +parameter PN_REVERSE = 1'b0; + +//////////////////////////////////////////////////////////// +// this is the schedule for sending 20 words to the gearbox +// every 67 cycles in order to maintain continuous output. +reg [66:0] schedule /* synthesis preserve */; +always @(posedge clk or posedge arst) begin + if (arst) schedule <= 67'b1001001000100100100010010010001001001000100100100010010010001001000; + else schedule <= {schedule[65:0],schedule[66]}; +end + +///////////////////////////////////////// +// Where are we within the metaframe? + +reg [META_CNTR_BITS-1:0] meta_cntr; +reg meta_cntr_max; +reg send_sync_word,send_scram_state,send_skip,send_payload,send_diag; + +wire advance_meta = schedule[61]; +always @(posedge clk or posedge arst) begin + if (arst) begin + meta_cntr <= 0; + meta_cntr_max <= 0; + send_sync_word <= 0; + send_scram_state <= 0; + send_skip <= 0; + send_payload <= 0; + send_diag <= 0; + end + else begin + if (advance_meta) begin + meta_cntr_max <= (meta_cntr == META_FRAME_LEN-2); + if (meta_cntr_max) meta_cntr <= 0; + else meta_cntr <= meta_cntr + 1'b1; + + send_sync_word <= ~|meta_cntr; + send_scram_state <= send_sync_word; + send_skip <= send_scram_state; + send_payload <= send_payload | send_skip; + send_diag <= 1'b0; + if (meta_cntr == (META_FRAME_LEN-1)) begin + send_payload <= 1'b0; + send_diag <= 1'b1; + end + end + end +end + +assign din_ack = schedule[61] & send_payload; + +///////////////////////// +// 32 bit meta frame CRC +wire [1:0] status_bits = 2'b11; +wire [31:0] crc; +lane_tx_crc ltc ( + .clk(clk), + .arst(arst), + .din(din[63:0]), + .previous_din_ack(din_ack), + .final_din_of_burst(send_diag), + .status_bits(status_bits), // to be embedded in the diagnostic word + .crc(crc) +); + +///////////////////////// +// Scrambler +wire [63:0] scrambler_q; +wire scrambler_evolve = advance_meta & !send_sync_word & !send_scram_state; + +scrambler_lfsr scr ( + .clk(clk), + .arst(arst), + .verify(1'b0), + .load(1'b0), + .load_d(58'h0), + .evolve(scrambler_evolve), + .q(scrambler_q), + .verify_pass(), + .verify_fail() +); +defparam scr .RESET_VAL = SCRAMBLER_RESET; + +///////////////////////// +// transmit data select +reg [64:0] tx_din; +reg stick_in_crc; +always @(posedge clk or posedge arst) begin + if (arst) begin + tx_din <= 0; + stick_in_crc <= 0; + end + else begin + stick_in_crc <= 1'b0; + if (send_sync_word) + tx_din <= {1'b1,64'h78f678f678f678f6}; + else if (send_skip) + tx_din <= {1'b1,({6'b000111,58'h21e1e1e1e1e1e1e} ^ scrambler_q)}; + else if (send_scram_state) + tx_din <= {1'b1,6'b001010,scrambler_q[63:6]}; + else if (send_diag) begin + tx_din <= {1'b1,({6'b011001,24'h000000,status_bits,32'h00000000} ^ scrambler_q)}; + stick_in_crc <= 1'b1; + end + else + tx_din <= {din[64],(din[63:0] ^ scrambler_q)}; + + end +end + +///////////////////////// +// 64-67 disparity encoder +wire [66:0] gb_data; +enc_64_67 enc ( + .clk(clk), + .arst(arst), + .din((stick_in_crc ? (crc ^ 32'hffffffff) : 32'h0) ^ tx_din), // bit 64=1 indicates control word + .din_fresh(schedule[62]), + .pn_reverse(PN_REVERSE), + .dout(gb_data) +); +defparam enc .DISABLE_DISPARITY = DISABLE_DISPARITY; + +///////////////////////// +// 67 to 20 bit gearbox +gearbox_67_20 gb ( + .clk(clk), + .arst(arst), + .din(gb_data), + .din_valid(schedule[66]), + .dout(dout) +); + +endmodule diff --git a/Advanced Synthesis Cookbook/interlaken_lane/lane_tx_crc.v b/Advanced Synthesis Cookbook/interlaken_lane/lane_tx_crc.v new file mode 100644 index 0000000..bca9b4d --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/lane_tx_crc.v @@ -0,0 +1,119 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps + +// baeckler - 09-24-2008 + +module lane_tx_crc ( + input clk, arst, + input [63:0] din, + input previous_din_ack, + input final_din_of_burst, + input [1:0] status_bits, // to be embedded in the diagnostic word + output reg [31:0] crc +); + +reg [63:0] din_r; +reg din_r_fresh; +always @(posedge clk or posedge arst) begin + if (arst) begin + din_r <= 0; + din_r_fresh <= 1'b0; + end + else begin + if (previous_din_ack) din_r <= din; + end +end + +wire [63:0] diag = {6'b011001,24'h000000,status_bits,32'h00000000}; + +// CRC XOR networks +wire [31:0] evolved_din; +crc32c_dat64_only cc0 (.d(din_r),.crc_out(evolved_din)); + +// this one will minimize heavily +wire [31:0] evolved_diag; +crc32c_dat64_only cc1 (.d(diag),.crc_out(evolved_diag)); + +wire [31:0] evolved_prev; +crc32c_zer64 cc2 (.c(crc),.crc_out(evolved_prev)); + +reg [31:0] evolved_din_r, evolved_diag_r, evolved_prev_r; +always @(posedge clk or posedge arst) begin + if (arst) begin + evolved_din_r <= 0; + evolved_diag_r <= 0; + evolved_prev_r <= 0; + end + else begin + evolved_din_r <= evolved_din; + evolved_diag_r <= evolved_diag; + evolved_prev_r <= evolved_prev; + end +end + +// this is a short cut to get 2 evolutions away from DIN faster +wire [31:0] double_din; +crc32c_zer64_flat cc3 (.c(evolved_din_r ^ evolved_prev_r),.crc_out(double_din)); + +reg [31:0] double_din_r; +always @(posedge clk or posedge arst) begin + if (arst) double_din_r <= 0; + else double_din_r <= double_din; +end + +reg [9:0] schedule; +wire [9:0] schedule_shl = {schedule[8:0],1'b0}; +always @(posedge clk or posedge arst) begin + if (arst) schedule <= 10'b1; + else begin + if (schedule[0] & previous_din_ack) schedule <= schedule_shl; + if (schedule[1]) schedule <= schedule_shl; // din_r is valid + if (schedule[2]) begin // evolved din_r is valid + if (final_din_of_burst) schedule <= schedule_shl; + else schedule <= 10'b1; + end + if (schedule[3]) schedule <= schedule_shl; // double_din_r valid + if (schedule[4]) schedule <= schedule_shl; // + if (schedule[5]) schedule <= schedule_shl; // + if (schedule[6]) schedule <= schedule_shl; // wait for CRC to send + if (schedule[7]) schedule <= schedule_shl; // + if (schedule[8]) schedule <= schedule_shl; // start next crc + if (schedule[9]) schedule <= 10'b01; + end +end + +// CRC register +always @(posedge clk or posedge arst) begin + if (arst) crc <= 0; + else begin + if (schedule[8]) crc <= 32'hf8dfefd0; + // This magic constant is the CRC of the + // sync word, scrambler state (blanked) and skip word + // starting from all ones. + else if (schedule[2]) crc <= (evolved_prev_r ^ evolved_din_r); + else if (schedule[3]) crc <= (double_din_r ^ evolved_diag_r); + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/interlaken_lane/log2.inc b/Advanced Synthesis Cookbook/interlaken_lane/log2.inc new file mode 100644 index 0000000..20309bd --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/log2.inc @@ -0,0 +1,41 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-12-2006 +// helper function to compute LOG base 2 +// +// NOTE - This is a somewhat abusive definition of LOG2(v) as the +// number of bits required to represent "v". So log2(256) will be +// 9 rather than 8 (256 = 9'b1_0000_0000). I apologize for any +// confusion this may cause. +// + +function integer log2; + input integer val; + begin + log2 = 0; + while (val > 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/interlaken_lane/make_lane_traffic.cpp b/Advanced Synthesis Cookbook/interlaken_lane/make_lane_traffic.cpp new file mode 100644 index 0000000..1afbdfd --- /dev/null +++ b/Advanced Synthesis Cookbook/interlaken_lane/make_lane_traffic.cpp @@ -0,0 +1,762 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +#include +#include +#include + +int const num_lanes = 20; +int const meta_frame_len = 100; +int const lane_bits = 20; +char * lane_format = "%05I64X\n"; + +int const MAX_LINE = 1024; +typedef unsigned __int64 u64; + +//////////////////////////////////////////////////////////////// + +void panic (const char * msg) +{ + fprintf (stdout,"PANIC: %s\n",msg); + exit(1); +} + +//////////////////////////////////////////////////////////////// +// CRC32 - most significant bit first +u64 evolve_crc32_byte +( + u64 crc_in, + unsigned char data +) +{ + int k = 0; + unsigned int fbk = 0; + u64 const poly = 0x1edc6f41; // Castagnoli 32 + + for (k=0; k<8; k++) + { + crc_in = crc_in << 1; + if ((crc_in & 0x100000000) != 0) + { + crc_in ^= poly; + } + + if (data & 0x80) + { + crc_in ^= poly; + } + + data <<= 1; + } + return (crc_in & 0xffffffff); +} + +//////////////////////////////////////////////////////////////// +// CRC 32 - evolve for 64 bits, ms byte first +u64 evolve_crc32_word +( + u64 crc_in, + u64 data +) +{ + int k = 0; + unsigned char dbyte[8]; + + for (k=0; k<8; k++) + { + dbyte [k] = unsigned char (data & 0xff); + data >>= 8; + } + + for (k=7; k>=0; k--) + { + crc_in = evolve_crc32_byte (crc_in,dbyte[k]); + } + return (crc_in); +} + +//////////////////////////////////////////////////////////////// +// convert text into 8 byte hex words +void sample_text_to_words +( + char * data_out_fname, + int words_wanted +) +{ + FILE * g = NULL; + const char * sample_data = "Mary had a little lamb its fleece was white" + "as snow and everywhere that Mary went the lamb was sure to go." + " It followed her to school one day which was against the rule." + " All the children laughed and cheered to see a lamb at school." + " Humpty Dumpty sat on a wall. Humpty Dumpty had a great fall." + " All the king's horses and all the king's men couldn't put " + "Humpty together again. "; + int const sample_data_len = strlen (sample_data); + int n=0,k=0,z=0; + + g = fopen (data_out_fname,"wt"); + if (!g) panic ("Unable to write lane TX data"); + + for (n=0; n 0 && + (buffer[len-1] == 0xa || + buffer[len-1] == 0xd)) + { + len--; + buffer[len] = 0; + } + if (strlen (buffer) != 16) panic ("Expected 64 bit hex number"); + sscanf (&(buffer[8]),"%x",&dat_ls); + buffer[8] = 0; + sscanf (buffer,"%x",&dat_ms); + dat = dat_ms; + dat <<= 16; + dat <<= 16; + dat |= dat_ls; + + return (dat); +} + +//////////////////////////////////////////////////////////////// +// Insert Metaframe words, CRC32, scrambling on TX data stream +// From Interlaken 1.1 protocol doc +void lane_tx_frame +( + char * data_in_fname, + char * data_out_fname, + bool error_injection +) +// +// Error schedule : 1 - wait for lock, damage a sync word +// 2 - damage a sync word +// 3 - damage a sync word +// 4 - damage an expected CRC +// 5 - damage a skip word to cause CRC error +// 6 - damage expected scrambler state word, proper CRC +// 7 - damage a sync word +// 8 - damage a sync word +// 9 - mis-synchronize the scrambler + +{ + FILE * f = NULL; + FILE * g = NULL; + char buffer[MAX_LINE]; + int meta_cntr = 0; + u64 dat = 0; + u64 scrambler = 0x1234; + u64 next_scrambler = 0; + u64 crc32 = 0xffffffff; + + // error injection controls + int words_written = 0; + int error_schedule = 0; + + f = fopen (data_in_fname,"rt"); + if (!f) panic ("Unable to read lane TX data"); + g = fopen (data_out_fname,"wt"); + if (!g) panic ("Unable to write lane TX data"); + + while (!feof(f)) + { + // allow lock time before error injection + if (error_injection && error_schedule == 0 && words_written > 2000) + { + error_schedule = 1; + } + + // unscrambled framing words + if (meta_cntr == 0) + { + // synchronization + dat = 0x78f678f678f678f6; + if (error_schedule == 1 || error_schedule == 2 || error_schedule == 3 || + error_schedule == 7 || error_schedule == 8) + { + dat ^= 0x666; // inject error + error_schedule++; + } + crc32 = evolve_crc32_word (crc32,dat); + fprintf (g,"1 %016I64X\n",dat); + words_written++; + meta_cntr++; + } + if (meta_cntr == 1) + { + if (error_schedule == 9) + { + scrambler = 0x666; // Change scrambler evolution + error_schedule++; + } + + // scrambler state + dat = 0x2800000000000000; + crc32 = evolve_crc32_word (crc32,dat); + dat |= scrambler; + + if (error_schedule == 6) + { + dat ^= 0x666; // inject error + error_schedule++; + } + + fprintf (g,"1 "); + fprintf (g,"%016I64X\n",dat); + words_written++; + meta_cntr++; + } + // continue on to next scrambled data + + // eval next scrambler state + next_scrambler = + (scrambler << 6) ^ + (((scrambler >> 16) >> 16) >> 20) ^ + ((scrambler & 0x7fffffffff) << 25) ^ + ((scrambler & 0x7fffffffff) >> 14) ^ + (((scrambler & 0xffffff8000000000) >> 16) >> 17); + + if (meta_cntr == 2) + { + // skip word + dat = 0x1e1e1e1e1e1e1e1e; + crc32 = evolve_crc32_word (crc32,dat); + + if (error_schedule == 5) + { + dat ^= 0x666; // inject error, incorrect CRC + error_schedule++; + } + + // scramble + dat ^= (scrambler << 6); + dat ^= (((next_scrambler >> 16) >> 16) >> 26); + fprintf (g,"1 %016I64X\n",dat); + words_written++; + meta_cntr++; + } + else if (meta_cntr == (meta_frame_len-1)) + { + // diagnostic word + dat = 0x6400000000000000; + crc32 = evolve_crc32_word (crc32,dat); + + // stick in CRC bits + crc32 ^= 0xffffffff; + dat |= crc32; + + if (error_schedule == 4) + { + dat ^= 0x666; // inject error + error_schedule++; + } + + // scramble + dat ^= (scrambler << 6); + dat ^= (((next_scrambler >> 16) >> 16) >> 26); + fprintf (g,"1 %016I64X\n",dat); + words_written++; + meta_cntr = 0; + + // crc start over + crc32 = 0xffffffff; + } + else + { + // payload word + if (fgets (buffer,sizeof(buffer),f)) + { + dat = scan64(buffer); + crc32 = evolve_crc32_word (crc32,dat); + + // scramble the data + dat ^= (scrambler << 6); + dat ^= (((next_scrambler >> 16) >> 16) >> 26); + fprintf (g,"0 %016I64X\n",dat); + words_written++; + meta_cntr++; + } + } + // evolve the scrambler + scrambler = next_scrambler & 0x03ffffffffffffff; + } + + fclose (f); + fclose (g); +} + +//////////////////////////////////////////////////////////////// + +int count_ones (u64 dat) +{ + int ones = 0; + while (dat) + { + if ((dat & 1) != 0) + { + ones++; + } + dat >>= 1; + } + return (ones); +} + + +//////////////////////////////////////////////////////////////// +// apply 64-67 disparity encoding +void lane_tx_disparity +( + char * data_in_fname, + char * data_out_fname +) +{ + FILE * f = NULL; + FILE * g = NULL; + u64 dat = 0; + char buffer [MAX_LINE]; + int running_disparity = 0; + int word_disparity = 0; + int control_bits = 0; + + f = fopen (data_in_fname,"rt"); + if (!f) panic ("Unable to read lane TX data"); + g = fopen (data_out_fname,"wt"); + if (!g) panic ("Unable to write lane TX data"); + + while (!feof(f)) + { + if (fgets (buffer,sizeof(buffer),f)) + { + if (buffer[0] != '1' && + buffer[0] != '0') panic ("Expected leading 1/0 control word marker"); + control_bits = (buffer[0] == '1') ? 2 : 1; + + dat = scan64(&(buffer[2])); + word_disparity = count_ones (dat); + word_disparity = word_disparity - (64-word_disparity); + + // framing bits have two 0's, one 1. + word_disparity --; + + if ((word_disparity >= 0 && running_disparity >= 0) || + (word_disparity < 0 && running_disparity < 0)) + { + fprintf (g,"%x ",control_bits ^ 4); + fprintf (g,"%016I64X\n",~dat); + } + else + { + fprintf (g,"%x ",control_bits); + fprintf (g,"%016I64X\n",dat); + } + } + } + + fclose (f); + fclose (g); +} + +//////////////////////////////////////////////////////////////// + +int hex_nybble_val (int ch) +{ + if (ch >= '0' && ch <= '9') ch -= '0'; + else if (ch >= 'a' && ch <= 'f') ch = ch -'a' + 10; + else if (ch >= 'A' && ch <= 'F') ch = ch -'A' + 10; + else panic ("This is not a hex nybble char"); + return (ch); +} + +//////////////////////////////////////////////////////////////// +// Break up 67 bit words into (20) bit transmit blocks +void lane_tx_gearbox +( + char * data_in_fname, + char * data_out_fname +) +{ + FILE * f = NULL; + FILE * g = NULL; + u64 residue = 0, dat = 0; + u64 lane_mask = 0; + int bits_residue = 0; + int ch = 0; + int n = 0, k = 0; + char buffer [MAX_LINE]; + + for (k=0; k= 8) panic ("Illegal framing bits"); + residue = (residue << 3) | ch; + bits_residue += 3; + + // 16 remaining are full 4bit + for (n=0; n<16; n++) + { + ch = buffer[2+n]; + ch = hex_nybble_val(ch); + residue = (residue << 4) | ch; + bits_residue += 4; + + // are there enough bits for an output word? + if (bits_residue >= lane_bits) + { + dat = residue; + k = bits_residue; + while (k > lane_bits) + { + dat >>= 1; + k--; + } + dat &= lane_mask; + bits_residue -= lane_bits; + + fprintf (g,lane_format,dat); + } + } + } + } + fclose (f); + fclose (g); +} + +///////////////////////////////////////////// + +void make_lane_rx_tb +( + int stimulus_words +) +{ + FILE * f = fopen ("lane_rx_tb.sv","wt"); + if (!f) panic ("Unable to write lane RX tb"); + + fprintf (f,"module lane_rx_tb ();\n"); + fprintf (f,"\n"); + + fprintf (f,"localparam WIDTH = %d;\n",lane_bits); + fprintf (f,"localparam SAMPLE_BYTES = (WIDTH / 4) + 2;\n"); + fprintf (f,"localparam META_FRAME_LEN = %d;\n",meta_frame_len); + fprintf (f,"\n"); + fprintf (f,"/////////////////////////////////////\n"); + fprintf (f,"// load sample data out of file\n"); + fprintf (f,"/////////////////////////////////////\n"); + fprintf (f,"reg [WIDTH-1:0] lane_bits,lane_bits_err;\n"); + fprintf (f,"reg clk = 0, arst = 0;\n"); + fprintf (f,"\n"); + fprintf (f,"integer pfile = 0, pfile_err;\n"); + fprintf (f,"\n"); + fprintf (f,"initial begin\n"); + fprintf (f," pfile = $fopen (\"lane_bits.txt\",\"r\");\n"); + fprintf (f," if (pfile == 0) begin\n"); + fprintf (f," $display (\"Unable to read lane_bits data file\");\n"); + fprintf (f," $stop();\n"); + fprintf (f," end\n"); + fprintf (f," pfile_err = $fopen (\"lane_bits_err.txt\",\"r\");\n"); + fprintf (f," if (pfile_err == 0) begin\n"); + fprintf (f," $display (\"Unable to read lane_bits_err data file\");\n"); + fprintf (f," $stop();\n"); + fprintf (f," end\n"); + fprintf (f,"end\n"); + fprintf (f,"\n"); + fprintf (f,"reg [SAMPLE_BYTES*8-1:0] buffer;\n"); + fprintf (f,"integer r,s = 0;\n"); + fprintf (f,"always @(negedge clk) begin\n"); + fprintf (f," r = $fgets (buffer,pfile); \n"); + fprintf (f," r = $sscanf (buffer,\"%%x\",lane_bits);\n"); + fprintf (f," s = s + 1;\n"); + fprintf (f," r = $fgets (buffer,pfile_err); \n"); + fprintf (f," r = $sscanf (buffer,\"%%x\",lane_bits_err);\n"); + fprintf (f,"end\n"); + fprintf (f,"\n"); + fprintf (f,"/////////////////////////////////////\n"); + fprintf (f,"// shift sample data \n"); + fprintf (f,"/////////////////////////////////////\n"); + fprintf (f,"reg [2*WIDTH-1:0] sample_dat;\n"); + fprintf (f,"always @(posedge clk or posedge arst) begin\n"); + fprintf (f," if (arst) sample_dat <= 0;\n"); + fprintf (f," else sample_dat <= (sample_dat << WIDTH) | lane_bits;\n"); + fprintf (f,"end\n"); + fprintf (f,"\n"); + fprintf (f,"/////////////////////////////////////\n"); + fprintf (f,"// test units\n"); + fprintf (f,"// look at all (width) shifts of the\n"); + fprintf (f,"// input stream\n"); + fprintf (f,"/////////////////////////////////////\n"); + fprintf (f,"wire [65:0] dout [0:WIDTH-1];\n"); + fprintf (f,"wire [WIDTH-1:0] dout_valid,word_locked,sync_locked;\n"); + fprintf (f,"wire [WIDTH-1:0] framing_error,crc32_error,scrambler_mismatch,missing_sync;\n"); + fprintf (f,"reg [15:0] words_to_sync_lock [0:WIDTH-1];\n"); + fprintf (f,"\n"); + fprintf (f,"genvar i;\n"); + fprintf (f,"generate\n"); + fprintf (f," for (i=0; i %d) begin\n",4*meta_frame_len); + fprintf (f," $display (\"Chan %%d failed to acquired sync lock in 4 frames\",n);\n"); + fprintf (f," fail = 1;\n"); + fprintf (f," end\n"); + fprintf (f," end\n"); + fprintf (f," end\n"); + fprintf (f," @(negedge clk);\n"); + fprintf (f," if (!fail) $display (\"All %d shifted data test lanes have locked properly\");\n",lane_bits); + fprintf (f,"end\n"); + fprintf (f,"\n"); + fprintf (f,"// Locked lanes should not have any error flags\n"); + fprintf (f,"integer k;\n"); + fprintf (f,"always @(posedge clk) begin\n"); + fprintf (f," #1 \n"); + fprintf (f," for (k=0; k 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/muxing/pipelined_word_mux.v b/Advanced Synthesis Cookbook/muxing/pipelined_word_mux.v new file mode 100644 index 0000000..7fad548 --- /dev/null +++ b/Advanced Synthesis Cookbook/muxing/pipelined_word_mux.v @@ -0,0 +1,181 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 05-07-2007 + +/////////////////////////////////////////// +// word MUX with registered output + +module reg_word_mux (clk,rst,ena,sel,din,dout); +parameter WORD_LEN = 32; +parameter NUM_SEL = 2; +parameter NUM_WORDS_IN = 1 << NUM_SEL; + +input clk,rst,ena; +input [NUM_SEL-1:0] sel; +input [WORD_LEN*NUM_WORDS_IN-1:0] din; +output [WORD_LEN-1:0] dout; + +wire [WORD_LEN-1:0] dout_c; +reg [WORD_LEN-1:0] dout; + +genvar i,j; +generate + for (i=0; i> NUM_SEL; + +input clk,rst,ena; +input [NUM_SEL-1:0] sel; +input [WORD_LEN * NUM_WORDS_IN-1 : 0] din; +output [WORD_LEN*NUM_WORDS_OUT-1:0] dout; + +genvar i; +generate + for (i=0; i= SEL_PER_LAYER) begin + // knock out a full leaf layer + wire [(NUM_WORDS_IN >> SEL_PER_LAYER)*WORD_LEN-1:0] layer_dout; + reg_word_mux_layer lyr ( + .clk(clk),.rst(rst),.ena(ena), + .sel(sel[SEL_PER_LAYER-1:0]), + .din(din), + .dout(layer_dout)); + defparam lyr .WORD_LEN = WORD_LEN; + defparam lyr .NUM_WORDS_IN = NUM_WORDS_IN; + defparam lyr .NUM_SEL = SEL_PER_LAYER; + + // deal with the select latency if it needs + // to be balanced with the data + reg [((NUM_SEL > SEL_PER_LAYER) ? + (NUM_SEL-(1+SEL_PER_LAYER)) : + 0):0] next_sel; + + if (NUM_SEL > SEL_PER_LAYER) begin + // some selects survive to next layer + if (BALANCE_SELECTS) begin + always @(posedge clk) begin + if (ena) begin + if (rst) next_sel <= 0; + else next_sel <= sel [NUM_SEL-1:SEL_PER_LAYER]; + end + end + end + else begin + always @(*) next_sel = sel[NUM_SEL-1:SEL_PER_LAYER]; + end + end + else begin + // all selects used - dummy + always @(*) next_sel = 0; + end + + // recurse on smaller problem + pipelined_word_mux pp ( + .clk(clk),.rst(rst),.ena(ena), + .sel(next_sel), + .din(layer_dout), + .dout(dout)); + defparam pp .WORD_LEN = WORD_LEN; + defparam pp .NUM_WORDS_IN = NUM_WORDS_IN >> SEL_PER_LAYER; + defparam pp .SEL_PER_LAYER = SEL_PER_LAYER; + defparam pp .BALANCE_SELECTS = BALANCE_SELECTS; + end + else if (NUM_WORDS_IN > 1) begin + // Final mux isn't the full size + reg_word_mux_layer lyr ( + .clk(clk),.rst(rst),.ena(ena), + .sel(sel), + .din(din), + .dout(dout)); + defparam lyr .WORD_LEN = WORD_LEN; + defparam lyr .NUM_WORDS_IN = NUM_WORDS_IN; + defparam lyr .NUM_SEL = NUM_SEL; + end + else begin + // last word + assign dout = din; + end +endgenerate + +endmodule diff --git a/Advanced Synthesis Cookbook/muxing/pipelined_word_mux_tb.v b/Advanced Synthesis Cookbook/muxing/pipelined_word_mux_tb.v new file mode 100644 index 0000000..dfc6530 --- /dev/null +++ b/Advanced Synthesis Cookbook/muxing/pipelined_word_mux_tb.v @@ -0,0 +1,110 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 05-07-2007 + +module pipelined_word_mux_tb (); + +`include "log2.inc" + +parameter WORD_LEN = 16; +parameter NUM_WORDS_IN = 32; // power of 2 +parameter SEL_PER_LAYER = 2; // output layer may be less +parameter LATENCY = 3; + +// Quick test cases - +// +// 16 words, 2 sel per layer, latency is 2 +// 32 words, 2 sel per layer, latency is 3 +// 64 words, 2 sel per layer, latency is 3 +// 64 words, 3 sel per layer, latency is 2 + + +parameter NUM_SEL = log2(NUM_WORDS_IN-1); +parameter BALANCE_SELECTS = 1'b1; // adjust select latency to follow data? + +reg clk,rst; +reg [NUM_SEL-1:0] sel; +reg [WORD_LEN*NUM_WORDS_IN-1:0] din; +wire [WORD_LEN-1:0] dout; + +///////////////////////////////////////////////// +// DUT +///////////////////////////////////////////////// +pipelined_word_mux p ( + .clk(clk), + .rst(rst), + .sel(sel), + .ena(1'b1), + .din(din), + .dout(dout)); + +defparam p .WORD_LEN = WORD_LEN; +defparam p .NUM_WORDS_IN = NUM_WORDS_IN; +defparam p .SEL_PER_LAYER = SEL_PER_LAYER; +defparam p .BALANCE_SELECTS = BALANCE_SELECTS; + +///////////////////////////////////////////////// +// functional model +///////////////////////////////////////////////// +wire [WORD_LEN-1:0] dout_b, dout_b_lag; +assign dout_b = din >> (sel * WORD_LEN); +reg [WORD_LEN*LATENCY-1:0] history; + +always @(posedge clk) begin + history <= (history << WORD_LEN) | dout_b[WORD_LEN-1:0]; +end +assign dout_b_lag = history[WORD_LEN*LATENCY-1:WORD_LEN*LATENCY-WORD_LEN]; + +///////////////////////////////////////////////// +// test +///////////////////////////////////////////////// + +reg fail; + +initial begin + clk = 0; + rst = 0; + din = 0; + sel = 0; + fail = 0; + #1000000 if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #100 clk = ~clk; +end + +always @(posedge clk) begin + din <= (din << 64) | {$random,$random}; + sel <= $random; + + #5 if (dout_b_lag !== dout) begin + $display ("Mismatch at time %d",$time); + fail = 1'b1; + end +end + + + +endmodule diff --git a/Advanced Synthesis Cookbook/muxing/priority_mux.v b/Advanced Synthesis Cookbook/muxing/priority_mux.v new file mode 100644 index 0000000..f299ae5 --- /dev/null +++ b/Advanced Synthesis Cookbook/muxing/priority_mux.v @@ -0,0 +1,96 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 3-20-2006 +// higher numbered select bits take priority over lower + +module priority_mux (sel,dat,out); + +parameter WIDTH = 24; // currently must be a multiple of 6 + +localparam WIDTH_DIV_3 = WIDTH/3; +localparam WIDTH_DIV_6 = WIDTH/6; + +input [WIDTH-1:0] sel; +input [WIDTH-1:0] dat; +output out; + +parameter METHOD = 1; + + +genvar i; +generate + if (METHOD == 0) begin + //////////////////////////////////////////// + // Generic + //////////////////////////////////////////// + wire [WIDTH:0] partials; + assign partials[0] = 1'b0; + + for (i=0; i WIDTH) begin + $display ("Error - Rotation by distance greater than data width not supported"); + $stop(); + end +end + +input [WIDTH-1:0] din; +output [WIDTH-1:0] dout; +input [DIST_WIDTH-1:0] distance; + +wire [WIDTH-1:0] dout; +wire [2*WIDTH-1:0] double_din = {din,din}; + +genvar i; +generate + if (GENERIC) begin + assign dout = double_din >> distance; + end + else begin + wire [WIDTH-1:0] layer; + + if (DIST_WIDTH == 0) begin + // degenerate case + assign dout = din; + end + else if (DIST_WIDTH == 1) begin + // knock out the last distance line + for (i=0;i> WORD_LEN; + end + end +end + +reg last_din_valid, last_din_ready; +always @(posedge clk) begin + last_din_valid <= din_valid; + last_din_ready <= din_ready; +end + +// test stimulus +integer n; +reg [WORD_LEN-1:0] tmp = 0; +always @(negedge clk) begin + din_valid = $random; + dout_ready = $random; + if (last_din_valid & last_din_ready) begin + for (n=0; n<8; n=n+1) begin + tmp = tmp + 1; + din = (din >> WORD_LEN) | (tmp << 7*WORD_LEN); + end + end +end + +initial begin + clk = 0; + arst = 0; + #1 arst = 1; + @(negedge clk) arst = 0; +end + +always begin + #5 clk = ~clk; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/muxing/twenty_to_five.v b/Advanced Synthesis Cookbook/muxing/twenty_to_five.v new file mode 100644 index 0000000..2c6e357 --- /dev/null +++ b/Advanced Synthesis Cookbook/muxing/twenty_to_five.v @@ -0,0 +1,75 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-22-2009 +// accept 20 words, output in chunks of 5 +// send the less significant end through first + +module twenty_to_five #( + parameter WORD_LEN = 66 +) +( + input clk,arst, + + input [20*WORD_LEN-1:0] din, + input din_valid, + output din_ready, + + output [5*WORD_LEN-1:0] dout, + input dout_ready, + output dout_valid +); + +reg [2:0] holding; // holding 0..4 blocks of 5 words +reg [20*WORD_LEN-1:0] storage; + +assign din_ready = + (holding == 3'b0) || + ((holding == 3'b1) && dout_ready); +assign dout_valid = + (|holding); +assign dout = storage[5*WORD_LEN-1:0]; + +always @(posedge clk or posedge arst) begin + if (arst) begin + storage <= 0; + holding <= 0; + end + else begin + if (din_ready & din_valid) begin + // if we are reloading it goes to 4 blocks available + storage <= din; + holding <= 3'b100; + end + else begin + // not reloading + // if the output side is ready lose one block + if (dout_valid & dout_ready) begin + holding <= holding - 1'b1; + storage <= {{(5*WORD_LEN){1'b0}}, + storage[20*WORD_LEN-1:5*WORD_LEN]}; + end + end + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/muxing/twenty_to_five_tb.sv b/Advanced Synthesis Cookbook/muxing/twenty_to_five_tb.sv new file mode 100644 index 0000000..fd10a02 --- /dev/null +++ b/Advanced Synthesis Cookbook/muxing/twenty_to_five_tb.sv @@ -0,0 +1,126 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 1-22-2009 + +module twenty_to_five_tb (); + +parameter WORD_LEN = 16; + +reg clk,arst; + +reg [5*WORD_LEN-1:0] din = 0; +reg din_valid; +wire din_ready; + +wire [20*WORD_LEN-1:0] middle; +wire middle_valid,middle_ready; + +wire [5*WORD_LEN-1:0] dout; +wire dout_valid; +reg dout_ready; + +five_to_twenty #( + .WORD_LEN(WORD_LEN) +) +dut_5_20 +( + .clk,.arst, + + .din, + .din_valid, + .din_ready, + + .dout(middle), + .dout_ready(middle_ready), + .dout_valid(middle_valid) +); + +twenty_to_five #( + .WORD_LEN(WORD_LEN) +) +dut_20_5 +( + .clk,.arst, + + .din(middle), + .din_valid(middle_valid), + .din_ready(middle_ready), + + .dout, + .dout_ready, + .dout_valid +); + +// readback + verify +reg fail = 0; +integer k; +reg [5*WORD_LEN-1:0] check; +reg [WORD_LEN-1:0] exp = 1; +always @(posedge clk) begin + if (dout_valid & dout_ready) begin + check = dout; + for (k=0; k<5; k=k+1) begin + if (check[WORD_LEN-1:0] != 0) begin + if (exp != check[WORD_LEN-1:0]) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end + exp = exp + 1; + end + check = check >> WORD_LEN; + end + end +end + +reg last_din_valid, last_din_ready; +always @(posedge clk) begin + last_din_valid <= din_valid; + last_din_ready <= din_ready; +end + +// test stimulus +integer n; +reg [WORD_LEN-1:0] tmp = 0; +always @(negedge clk) begin + din_valid = $random; + dout_ready = $random; + if (last_din_valid & last_din_ready) begin + for (n=0; n<5; n=n+1) begin + tmp = tmp + 1; + din = (din >> WORD_LEN) | (tmp << 4*WORD_LEN); + end + end +end + +initial begin + clk = 0; + arst = 0; + #1 arst = 1; + @(negedge clk) arst = 0; +end + +always begin + #5 clk = ~clk; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/random/bilbo_lfsr.v b/Advanced Synthesis Cookbook/random/bilbo_lfsr.v new file mode 100644 index 0000000..f3dca0f --- /dev/null +++ b/Advanced Synthesis Cookbook/random/bilbo_lfsr.v @@ -0,0 +1,98 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +////////////////////////////////////////////////// +// Generic BILBO register for 4 to 32 bits +////////////////////////////////////////////////// +module bilbo_lfsr (pin,pout,shift_in,shift_out,mode,clk,rst); +parameter WIDTH = 32; +input clk,rst; +input [1:0] mode; +input [WIDTH-1:0] pin; +output [WIDTH-1:0] pout; +input shift_in; +output shift_out; + +// mode encoding +// 0 pass through +// 1 scan chain +// 2 prbs, ignore inputs +// 3 prbs with inputs (signature) + +reg [WIDTH-1:0] myreg; +wire thru = (mode == 0); +wire [WIDTH-1:0] screened_pin = {WIDTH{mode[1] & mode[0]}} & pin; + +// nice looking max period polys selected from +// the internet +wire [WIDTH-1:0] poly = + (WIDTH == 4) ? 4'hc : + (WIDTH == 5) ? 5'h1b : + (WIDTH == 6) ? 6'h33 : + (WIDTH == 7) ? 7'h65 : + (WIDTH == 8) ? 8'hc3 : + (WIDTH == 9) ? 9'h167 : + (WIDTH == 10) ? 10'h309 : + (WIDTH == 11) ? 11'h4ec : + (WIDTH == 12) ? 12'hac9 : + (WIDTH == 13) ? 13'h124d : + (WIDTH == 14) ? 14'h2367 : + (WIDTH == 15) ? 15'h42f9 : + (WIDTH == 16) ? 16'h847d : + (WIDTH == 17) ? 17'h101f5 : + (WIDTH == 18) ? 18'h202c9 : + (WIDTH == 19) ? 19'h402fa : + (WIDTH == 20) ? 20'h805c1 : + (WIDTH == 21) ? 21'h1003cb : + (WIDTH == 22) ? 22'h20029f : + (WIDTH == 23) ? 23'h4003da : + (WIDTH == 24) ? 24'h800a23 : + (WIDTH == 25) ? 25'h10001a5 : + (WIDTH == 26) ? 26'h2000155 : + (WIDTH == 27) ? 27'h4000227 : + (WIDTH == 28) ? 28'h80007db : + (WIDTH == 29) ? 29'h100004f3 : + (WIDTH == 30) ? 30'h200003ab : + (WIDTH == 31) ? 31'h40000169 : + (WIDTH == 32) ? 32'h800007c3 : 0; + +initial begin + // unsupported width? Fatality. + #100 if (poly == 0) $stop(); +end + +wire lfsr_fbk = ^(myreg & poly); +wire lsb = (mode[1] ? !lfsr_fbk : shift_in); + +always @(posedge clk or posedge rst) begin + if (rst) myreg <= 0; + else begin + if (thru) myreg <= pin; + else myreg <= ((myreg << 1) | lsb) ^ screened_pin; + end +end + +assign pout = myreg; +assign shift_out = myreg[WIDTH-1]; + +endmodule + diff --git a/Advanced Synthesis Cookbook/random/bilbo_lfsr_tb.v b/Advanced Synthesis Cookbook/random/bilbo_lfsr_tb.v new file mode 100644 index 0000000..09f51d7 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/bilbo_lfsr_tb.v @@ -0,0 +1,87 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module bilbo_lfsr_tb (); + +parameter WIDTH = 16; + +reg clk,rst,sin,fail; +wire sout; +wire [WIDTH-1:0] out; +reg [WIDTH-1:0] in; +reg [1:0] mode; + +bilbo_lfsr b (.pin(in),.pout(out),.shift_in(sin),.shift_out(sout), + .mode(mode),.clk(clk),.rst(rst)); +defparam b.WIDTH = WIDTH; + +initial begin + fail = 0; + clk = 0; + rst = 0; + sin = 0; + in = 0; + mode = 0; + + #10 rst = 1; + #10 rst = 0; +end + +always begin + #1000 clk = ~clk; +end + +reg [WIDTH-1:0] last_out; + +always @(negedge clk) begin + in = $random; + sin = $random; + mode = $random; + last_out = out; +end + +// test the shifting and pass through modes +always @(posedge clk) begin + #10 if (mode == 0) begin + if (out != in) begin + $display ("Mode 0 failure"); + fail = 1; + end + end + else if (mode == 1) begin + if (out != ((last_out << 1) | sin)) begin + $display ("Mode 1 failure"); + fail = 1; + end + end + + // other modes verified in system +end + +initial begin + #1000000 + if (!fail) $display ("PASS (not fully covered)"); + $stop(); +end + +endmodule + diff --git a/Advanced Synthesis Cookbook/random/c_rand.v b/Advanced Synthesis Cookbook/random/c_rand.v new file mode 100644 index 0000000..e3e5574 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/c_rand.v @@ -0,0 +1,48 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// C runtime library random number generator +// +// uses 32 logic cells for DFF/ADD and 8 DSP blocks for the +// 32x18=>32 multiply + +module c_rand (clk,rst,reseed,seed_val,out); +input clk,rst,reseed; +input [31:0] seed_val; +output [15:0] out; +wire [15:0] out; + +reg [31:0] state; + +always @(posedge clk or posedge rst) begin + if (rst) state <= 0; + else begin + if (reseed) state <= seed_val; + else begin + state <= state * 32'h343fd + 32'h269EC3; + end + end +end + +assign out = (state >> 16) & 16'h7fff; + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/random/chain_delay_adjust.v b/Advanced Synthesis Cookbook/random/chain_delay_adjust.v new file mode 100644 index 0000000..b9d33cb --- /dev/null +++ b/Advanced Synthesis Cookbook/random/chain_delay_adjust.v @@ -0,0 +1,114 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-28-2008 + +module chain_delay_adjust +( + clk,rst, + calibrate_a, + calibrate_b, + a_wins, + b_wins, + valid, + adjusting, + current_stats +); + +parameter CALIBRATE_BITS = 4; + +input clk,rst; +output [CALIBRATE_BITS-1:0] calibrate_a; +output [CALIBRATE_BITS-1:0] calibrate_b; +input a_wins,b_wins,valid; +output [15:0] current_stats; +output reg adjusting; + +reg [2*CALIBRATE_BITS-1:0] current_setting; +reg [7:0] trials,a_tally,b_tally; +reg [15:0] current_stats; + +assign {calibrate_a, calibrate_b} = current_setting; + +reg [2:0] state /* synthesis preserve */; +parameter + ST_START = 3'h0, + ST_COUNT = 3'h1, + ST_CHECK = 3'h2, + ST_CHECK_B = 3'h3, + ST_ADJUST = 3'h4, + ST_OPERATE = 3'h5; + +always @(posedge clk) begin + if (rst) begin + state <= ST_START; + a_tally <= 0; + b_tally <= 0; + trials <= 0; + current_setting <= 0; + current_stats <= 0; + adjusting <= 1'b1; + end + else begin + case (state) + ST_START: begin + state <= ST_COUNT; + end + ST_COUNT: begin + if (valid & a_wins) a_tally <= a_tally + 1'b1; + if (valid & b_wins) b_tally <= b_tally + 1'b1; + if (valid) trials <= trials + 1'b1; + if (&trials) state <= ST_CHECK; + end + ST_CHECK : begin + current_stats <= {a_tally,b_tally}; + a_tally <= 0; + b_tally <= 0; + trials <= 0; + state <= ST_CHECK_B; + end + ST_CHECK_B : begin + if ((current_stats[15:8] != 8'hff && + current_stats[15:8] != 8'h00) || + (current_stats[7:0] != 8'hff && + current_stats[7:0] != 8'h00)) + begin + state <= ST_OPERATE; + end + else begin + state <= ST_ADJUST; + end + end + ST_ADJUST : begin + adjusting <= 1'b1; + current_setting <= current_setting + 1'b1; + state <= ST_COUNT; + end + ST_OPERATE : begin + adjusting <= 1'b0; + state <= ST_COUNT; + end + endcase + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/random/chain_delay_race.v b/Advanced Synthesis Cookbook/random/chain_delay_race.v new file mode 100644 index 0000000..6759d27 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/chain_delay_race.v @@ -0,0 +1,139 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 03-28-2008 + +module chain_delay_race +( + clk,rst, + calibrate_a, + calibrate_b, + a_wins, + b_wins, + valid +); + +parameter CHAIN_LEN = 32; +parameter CALIBRATE_BITS = 4; +localparam CALIBRATE_DEC = (1< {a_wins,b_wins}) begin + out_bit_valid <= 1'b1; + out_bit <= 1'b1; + end else if ({last_a,last_b} < {a_wins,b_wins}) begin + out_bit_valid <= 1'b1; + out_bit <= 1'b0; + end + last_valid <= 1'b0; + end + end + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/random/lfsr.v b/Advanced Synthesis Cookbook/random/lfsr.v new file mode 100644 index 0000000..3456689 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/lfsr.v @@ -0,0 +1,87 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module lfsr (clk,rst,out); + +parameter WIDTH = 32; +input clk,rst; +output [WIDTH-1:0] out; + +reg [WIDTH-1:0] myreg; + +// nice looking max period polys selected from +// the internet +wire [WIDTH-1:0] poly = + (WIDTH == 4) ? 4'hc : + (WIDTH == 5) ? 5'h1b : + (WIDTH == 6) ? 6'h33 : + (WIDTH == 7) ? 7'h65 : + (WIDTH == 8) ? 8'hc3 : + (WIDTH == 9) ? 9'h167 : + (WIDTH == 10) ? 10'h309 : + (WIDTH == 11) ? 11'h4ec : + (WIDTH == 12) ? 12'hac9 : + (WIDTH == 13) ? 13'h124d : + (WIDTH == 14) ? 14'h2367 : + (WIDTH == 15) ? 15'h42f9 : + (WIDTH == 16) ? 16'h847d : + (WIDTH == 17) ? 17'h101f5 : + (WIDTH == 18) ? 18'h202c9 : + (WIDTH == 19) ? 19'h402fa : + (WIDTH == 20) ? 20'h805c1 : + (WIDTH == 21) ? 21'h1003cb : + (WIDTH == 22) ? 22'h20029f : + (WIDTH == 23) ? 23'h4003da : + (WIDTH == 24) ? 24'h800a23 : + (WIDTH == 25) ? 25'h10001a5 : + (WIDTH == 26) ? 26'h2000155 : + (WIDTH == 27) ? 27'h4000227 : + (WIDTH == 28) ? 28'h80007db : + (WIDTH == 29) ? 29'h100004f3 : + (WIDTH == 30) ? 30'h200003ab : + (WIDTH == 31) ? 31'h40000169 : + (WIDTH == 32) ? 32'h800007c3 : 0; + +initial begin + // unsupported width? Fatality. + #100 if (poly == 0) begin + $display ("Illegal polynomial selected"); + $stop; + end +end + +wire [WIDTH-1:0] feedback; +assign feedback = {WIDTH{myreg[WIDTH-1]}} & poly; + +// the inverter on the LSB causes 000... to be a +// sequence member rather than the frozen state +always @(posedge clk or posedge rst) begin + if (rst) myreg <= 0; + else begin + myreg <= ((myreg ^ feedback) << 1) | !myreg[WIDTH-1]; + end +end + +assign out = myreg; + +endmodule + diff --git a/Advanced Synthesis Cookbook/random/lfsr_test.v b/Advanced Synthesis Cookbook/random/lfsr_test.v new file mode 100644 index 0000000..92aa60e --- /dev/null +++ b/Advanced Synthesis Cookbook/random/lfsr_test.v @@ -0,0 +1,68 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module lfsr_test (); + +parameter WIDTH = 20; + +reg clk, rst, fail; +integer cycles; + +wire [WIDTH-1:0] out; + +lfsr l (.clk(clk),.rst(rst),.out(out)); + defparam l .WIDTH = WIDTH; + +initial begin + rst = 0; + clk = 0; + fail = 0; + #10 rst = 1; + #10 rst = 0; + cycles = 0; +end + +always @(posedge clk) begin + cycles = cycles + 1; + if (cycles == (1 << WIDTH)) begin + if (out != 0) begin + $display ("Failed to return to zero"); + end + else begin + if (!fail) $display ("PASS"); + end + $stop(); + end +end + +always @(negedge clk) begin + if ((cycles != (1 << WIDTH)-1) && out == 0) begin + $display ("Early return to zero"); + fail = 1; + end +end + +always begin + #1000 clk = ~clk; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/random/log2.inc b/Advanced Synthesis Cookbook/random/log2.inc new file mode 100644 index 0000000..20309bd --- /dev/null +++ b/Advanced Synthesis Cookbook/random/log2.inc @@ -0,0 +1,41 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-12-2006 +// helper function to compute LOG base 2 +// +// NOTE - This is a somewhat abusive definition of LOG2(v) as the +// number of bits required to represent "v". So log2(256) will be +// 9 rather than 8 (256 = 9'b1_0000_0000). I apologize for any +// confusion this may cause. +// + +function integer log2; + input integer val; + begin + log2 = 0; + while (val > 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/random/make_scrambler.cpp b/Advanced Synthesis Cookbook/random/make_scrambler.cpp new file mode 100644 index 0000000..b2ea483 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/make_scrambler.cpp @@ -0,0 +1,420 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +#include +#include +#include + +void panic (char * msg) +{ + fprintf (stdout,"PANIC: %s\n",msg); + exit(1); +} + +int pseudo_log2 (int val) +{ + int ret = 0; + while (val > 0) + { + ret++; + val >>= 1; + } + return (ret); +} + +int const MAX_DISPLACE = 15; +int const PERIOD = 64; +int const WORD_LEN = 32; +int const HIST_WORDS = 2*MAX_DISPLACE + 1; +int const NUM_SEL = pseudo_log2 (HIST_WORDS-1); +int const CNTR_BITS = pseudo_log2 (PERIOD-1); + +/////////////////////////////////////////////////////////// + +class SHIFT_REG +{ +public : + SHIFT_REG(int b) + { + depth = b; + data = new int[depth]; + } + ~SHIFT_REG() + { + delete data; + data = NULL; + } + void shift_in (int val); + void shift_in_reversed (int val); + int shift_out (); + void dump (); + int par_read (int slot); + void par_write (int slot, int val); + int index_of_value (int val); + +private : + int * data; + int depth; +}; + +void SHIFT_REG::shift_in (int val) +{ + int n = 0; + for (n=depth-1; n>0; n--) + { + data[n] = data[n-1]; + } + data[0] = val; +} + +void SHIFT_REG::shift_in_reversed (int val) +{ + int n = 0; + for (n=0; n= depth) + { + panic ("Read out of range"); + } + return (data[slot]); +} + +void SHIFT_REG::par_write (int slot, int val) +{ + if (slot < 0 || slot >= depth) + { + panic ("Read out of range"); + } + data[slot] = val; +} + +int SHIFT_REG::index_of_value (int val) +{ + int n = 0; + for (n=0; nshift_in (-1); + } + for (n=0;nshift_in (n); + } + //shifter->dump(); + + // scramble + for (n=MAX_DISPLACE+1; n= (PERIOD-MAX_DISPLACE)) + { + min_sel = MAX_DISPLACE+1 - (PERIOD-cycle); + } + + //fprintf (stdout,"round %d - select >= %d\n", + // cycle,min_sel); + + // select anything not -1 + sel_out = 2*MAX_DISPLACE; + while ((sel_out < min_sel) || (shifter->par_read(sel_out) == -1)) + { + sel_out = rand() % (2*MAX_DISPLACE); + } + + //shifter->dump(); + //fprintf (stdout,"// step %d - out[%d] = %d\n", + // cycle,sel_out,shifter->par_read(sel_out)); + + // keep track + data_stream->shift_in(shifter->par_read(sel_out)); + indices->shift_in(sel_out); + cycle++; + + // advance + shifter->par_write(sel_out,-1); + shifter->shift_in (n); + } + //shifter->dump(); +} + +/////////////////////////////////////////////////////// + +void build_unscrambler +( + SHIFT_REG * data_stream, + SHIFT_REG * indices +) +{ + int n = 0, k = 0; + int sel_out = 0; + SHIFT_REG * shifter = NULL; + + shifter = new SHIFT_REG (2 * MAX_DISPLACE + 1); + + // initialize + for (n=0;nshift_in (-1); + } + for (n=0;nshift_in (data_stream->shift_out()); + } + //shifter->dump(); + + for (n=0; nindex_of_value (n); + indices->shift_in(k); + shifter->shift_in (data_stream->shift_out()); + } +} + +/////////////////////////////////////////////////////// + +void latency_adjustment +( + SHIFT_REG * data, + int bits_per_tick, + int leading_samples +) +{ + // for bits per tick = 2 + // bits 0,1 have no latency + // bits 2,3 need to rotate by 1 + // bits 4,5 need to rotate by 2 + int n = 0; + SHIFT_REG * bit_reg [32]; + unsigned int val = 0; + int k = 0; + int b = 0; + + for (n=0; n<32; n++) + { + bit_reg[n] = new SHIFT_REG (PERIOD); + } + + // decompose into bits + for (k=0;kshift_out(); + for (n=0; n<32; n++) + { + bit_reg[n]->shift_in ((val & 1) ? 1 : 0); + val = val >> 1; + } + } + + // skew bits for read mux latency + // this saves some stall registers on the select path + for (n=bits_per_tick; n<32; n+=bits_per_tick) + { + for (k=n; k<32; k++) + { + bit_reg[k]->shift_in_reversed (bit_reg[k]->par_read(0)); + } + } + + // put words back together + for (k=0;kshift_out(); + val |= (b << n); + } + data->shift_in(val); + } + + // skew for history latency + for (n=0; nshift_in_reversed (data->par_read(0)); + + } +} + +/////////////////////////////////////////////////////// + +int main (void) +{ + SHIFT_REG * data_stream = NULL; + SHIFT_REG * indices = NULL; + SHIFT_REG * undo_indices = NULL; + int n = 0; + int val = 0, valb = 0; + +// srand(time(NULL)); + srand(123); + + indices = new SHIFT_REG (PERIOD); + data_stream = new SHIFT_REG (PERIOD); + undo_indices = new SHIFT_REG (PERIOD); + + // make scramble and unscramble patterns + build_scrambler (indices,data_stream); + build_unscrambler (data_stream,undo_indices); + fprintf (stdout,"//"); + indices->dump(); + fprintf (stdout,"//"); + undo_indices->dump(); + + // make verilog + fprintf (stdout,"module word_stream_scramble (clk,rst,ena,din,dout,dout_valid);\n\n"); + + fprintf (stdout,"`include \"log2.inc\"\n\n"); + + fprintf (stdout,"parameter WORD_LEN = %d;\n",WORD_LEN); + fprintf (stdout,"parameter SCRAMBLE = 1'b1; // 0 for undo\n\n"); + + fprintf (stdout,"localparam HIST_WORDS = %d;\n",HIST_WORDS); + fprintf (stdout,"localparam PERIOD = %d;\n",PERIOD); + fprintf (stdout,"localparam NUM_SEL = log2(HIST_WORDS-1);\n"); + fprintf (stdout,"localparam CNTR_BITS = log2(PERIOD-1);\n"); + fprintf (stdout,"localparam PAD_WORDS = (1<shift_out(); + valb = undo_indices->shift_out(); + fprintf (stdout," %d'h%x : sel <= (SCRAMBLE ? %d'h%x : %d'h%x);\n", + CNTR_BITS,n,NUM_SEL,val,NUM_SEL,valb); + } + fprintf (stdout," endcase\n"); + fprintf (stdout," end\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"end\n\n"); + + fprintf (stdout,"// indicate (fresh) valid output data\n"); + fprintf (stdout,"reg dout_active, dout_valid;\n"); + fprintf (stdout,"always @(posedge clk) begin\n"); + fprintf (stdout," if (ena & rst) begin\n"); + fprintf (stdout," dout_valid <= 1'b0;\n"); + fprintf (stdout," dout_active <= 1'b0;\n"); + fprintf (stdout," end else begin\n"); + fprintf (stdout," if (cntr == %d'h%x) dout_active <= 1'b1;\n", + CNTR_BITS,MAX_DISPLACE+(CNTR_BITS/2) + (CNTR_BITS%2) - 1); + fprintf (stdout," dout_valid <= dout_active & ena;\n"); + fprintf (stdout," end\n"); + fprintf (stdout,"end\n\n"); + + fprintf (stdout,"endmodule\n"); + + return (0); +} diff --git a/Advanced Synthesis Cookbook/random/pipelined_word_mux.v b/Advanced Synthesis Cookbook/random/pipelined_word_mux.v new file mode 100644 index 0000000..7fad548 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/pipelined_word_mux.v @@ -0,0 +1,181 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 05-07-2007 + +/////////////////////////////////////////// +// word MUX with registered output + +module reg_word_mux (clk,rst,ena,sel,din,dout); +parameter WORD_LEN = 32; +parameter NUM_SEL = 2; +parameter NUM_WORDS_IN = 1 << NUM_SEL; + +input clk,rst,ena; +input [NUM_SEL-1:0] sel; +input [WORD_LEN*NUM_WORDS_IN-1:0] din; +output [WORD_LEN-1:0] dout; + +wire [WORD_LEN-1:0] dout_c; +reg [WORD_LEN-1:0] dout; + +genvar i,j; +generate + for (i=0; i> NUM_SEL; + +input clk,rst,ena; +input [NUM_SEL-1:0] sel; +input [WORD_LEN * NUM_WORDS_IN-1 : 0] din; +output [WORD_LEN*NUM_WORDS_OUT-1:0] dout; + +genvar i; +generate + for (i=0; i= SEL_PER_LAYER) begin + // knock out a full leaf layer + wire [(NUM_WORDS_IN >> SEL_PER_LAYER)*WORD_LEN-1:0] layer_dout; + reg_word_mux_layer lyr ( + .clk(clk),.rst(rst),.ena(ena), + .sel(sel[SEL_PER_LAYER-1:0]), + .din(din), + .dout(layer_dout)); + defparam lyr .WORD_LEN = WORD_LEN; + defparam lyr .NUM_WORDS_IN = NUM_WORDS_IN; + defparam lyr .NUM_SEL = SEL_PER_LAYER; + + // deal with the select latency if it needs + // to be balanced with the data + reg [((NUM_SEL > SEL_PER_LAYER) ? + (NUM_SEL-(1+SEL_PER_LAYER)) : + 0):0] next_sel; + + if (NUM_SEL > SEL_PER_LAYER) begin + // some selects survive to next layer + if (BALANCE_SELECTS) begin + always @(posedge clk) begin + if (ena) begin + if (rst) next_sel <= 0; + else next_sel <= sel [NUM_SEL-1:SEL_PER_LAYER]; + end + end + end + else begin + always @(*) next_sel = sel[NUM_SEL-1:SEL_PER_LAYER]; + end + end + else begin + // all selects used - dummy + always @(*) next_sel = 0; + end + + // recurse on smaller problem + pipelined_word_mux pp ( + .clk(clk),.rst(rst),.ena(ena), + .sel(next_sel), + .din(layer_dout), + .dout(dout)); + defparam pp .WORD_LEN = WORD_LEN; + defparam pp .NUM_WORDS_IN = NUM_WORDS_IN >> SEL_PER_LAYER; + defparam pp .SEL_PER_LAYER = SEL_PER_LAYER; + defparam pp .BALANCE_SELECTS = BALANCE_SELECTS; + end + else if (NUM_WORDS_IN > 1) begin + // Final mux isn't the full size + reg_word_mux_layer lyr ( + .clk(clk),.rst(rst),.ena(ena), + .sel(sel), + .din(din), + .dout(dout)); + defparam lyr .WORD_LEN = WORD_LEN; + defparam lyr .NUM_WORDS_IN = NUM_WORDS_IN; + defparam lyr .NUM_SEL = NUM_SEL; + end + else begin + // last word + assign dout = din; + end +endgenerate + +endmodule diff --git a/Advanced Synthesis Cookbook/random/rand_test.cpp b/Advanced Synthesis Cookbook/random/rand_test.cpp new file mode 100644 index 0000000..ad5a097 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/rand_test.cpp @@ -0,0 +1,35 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +#include +#include + +int main (void) +{ + int n = 0; + srand (1234); + for (n=0; n<100; n++) + { + fprintf (stdout,"%04x\n",rand()); + } + return (0); +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/random/rand_test.v b/Advanced Synthesis Cookbook/random/rand_test.v new file mode 100644 index 0000000..ee27824 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/rand_test.v @@ -0,0 +1,50 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module rand_test (); + +reg clk, rst, reseed; + +wire [15:0] out; +reg [31:0] seed_val; + +c_rand c (.clk(clk),.rst(rst),.reseed(reseed),.seed_val(seed_val),.out(out)); + +initial begin + rst = 0; + clk = 0; + seed_val = 32'd1234; + #10 rst = 1; + #10 rst = 0; + #10 reseed = 1; +end + +always @(negedge clk) begin + reseed = 0; + $display ("%x",out); +end + +always begin + #1000 clk = ~clk; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/random/ring_counter.v b/Advanced Synthesis Cookbook/random/ring_counter.v new file mode 100644 index 0000000..b8dc9b9 --- /dev/null +++ b/Advanced Synthesis Cookbook/random/ring_counter.v @@ -0,0 +1,64 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 11-14-2005 +// counter with unstable count enable signal based +// on ring oscillator. + +module ring_counter (clk,rst,out); + +parameter DELAY = 100; + +input clk,rst; +output [15:0] out; + +wire [DELAY-1:0] delay_line /* synthesis keep */; + +reg [15:0] cntr; +reg sync0; +reg wobble; + +// unstable ring oscillator +genvar i; +generate +for (i=1; i 2); +assign dout = storage[23:0]; + +always @(posedge clk) begin + if (rst) begin + storage <= 0; + held <= 0; + end + else begin + if (din_ack && dout_ack) begin + // accepting new data and dumping old + // not doing the held = 5 'make space' option + // because it extends the critical path + // and makes things (more) confusing + if (held == 3'b11) begin + storage[15:0] <= din; + held <= 3'b010; + end + else begin // held == 4 + storage[31:0] <= {din,storage[31:24]}; + held <= 3'b11; + end + end + else if (din_ack) begin + // accepting new data only + if (held == 3'b0) begin + storage[15:0] <= din; + held <= 3'b010; + end + else if (held == 3'b1) begin + storage[23:8] <= din; + held <= 3'b11; + end + else if (held == 3'b10) begin + storage[31:16] <= din; + held <= 3'b100; + end + else if (held == 3'b11) begin + storage[39:24] <= din; + held <= 3'b101; + end + else begin // held = 4 + storage[47:32] <= din; + held <= 3'b110; + end + end + else if (dout_ack) begin + // dumping old data only + storage <= {24'b0,storage[47:24]}; + held <= held - 2'b11; + end + end +end +endmodule + + diff --git a/Advanced Synthesis Cookbook/storage/buf_3to2.v b/Advanced Synthesis Cookbook/storage/buf_3to2.v new file mode 100644 index 0000000..94a8685 --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/buf_3to2.v @@ -0,0 +1,104 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//baeckler - 11-14-2006 + +////////////////////////////////////////// +// reformat 24 bit stream to 16 bit stream +////////////////////////////////////////// +module buf_3to2 ( + clk,rst, + + din, + din_valid, + din_ack, + + dout, + dout_valid, + dout_ack +); + +input clk,rst; + +input [23:0] din; +input din_valid; +output din_ack; + +output [15:0] dout; +output dout_valid; +input dout_ack; + +reg [47:0] storage; +reg [2:0] held; + +wire din_ack = !rst & din_valid & (held < 4); +wire dout_valid = !rst & (held > 1); +assign dout = storage[15:0]; + +always @(posedge clk) begin + if (rst) begin + storage <= 0; + held <= 0; + end + else begin + if (din_ack && dout_ack) begin + // accepting new data and dumping old + // not doing the held = 4 'make space' option + // because it extends the critical path + // and makes things (more) confusing + if (held == 3'b10) begin + storage[23:0] <= din; + held <= 3'b011; + end + else begin // held == 3 + storage[31:0] <= {din,storage[23:16]}; + held <= 3'b100; + end + end + else if (din_ack) begin + // accepting new data only + if (held == 3'b0) begin + storage[23:0] <= din; + held <= 3'b011; + end + else if (held == 3'b1) begin + storage[31:8] <= din; + held <= 3'b100; + end + else if (held == 3'b10) begin + storage[39:16] <= din; + held <= 3'b101; + end + else begin // held == 3 + storage[47:24] <= din; + held <= 3'b110; + end + end + else if (dout_ack) begin + // dumping old data only + storage <= {16'b0,storage[47:16]}; + held <= held - 2'b10; + end + end +end +endmodule + diff --git a/Advanced Synthesis Cookbook/storage/buffer_tb.v b/Advanced Synthesis Cookbook/storage/buffer_tb.v new file mode 100644 index 0000000..6cf72e3 --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/buffer_tb.v @@ -0,0 +1,115 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +//baeckler - 11-14-2006 + +/////////////////////////////////////////////////////// +// test 3 to 2 to 3 buffers +/////////////////////////////////////////////////////// +module buffer_tb(); + +reg clk,rst; + +reg [23:0] din; +reg din_valid; +wire din_ack; + +wire [15:0] dout32; +wire dout_valid32; +wire dout_ack32; + +wire [23:0] dout; +wire dout_valid; +reg dout_ack; + +// convert 3 byte stream to 2 byte +buf_3to2 bfx ( + .clk(clk),.rst(rst), + + .din(din), + .din_valid(din_valid), + .din_ack(din_ack), + + .dout(dout32), + .dout_valid(dout_valid32), + .dout_ack(dout_ack32) +); + +// convert 2 byte stream back to 3 byte +buf_2to3 bfy ( + .clk(clk),.rst(rst), + + .din(dout32), + .din_valid(dout_valid32), + .din_ack(dout_ack32), + + .dout(dout), + .dout_valid(dout_valid), + .dout_ack(dout_ack) +); + +reg fail = 0; + +initial begin + clk = 0 ; + rst = 0; + + din_valid = 1'b1; + din = 0; + dout_ack = 0; + + #10 rst = 1'b1; + #1 clk = 1'b1; + #1 clk = 1'b0; + #10 rst = 1'b0; + + #1000000 if (!fail) $display ("PASS"); + $stop(); +end + +reg [47:0] history = 0; + +always @(posedge clk) begin + if (din_ack) history <= (history << 24) | din; + if (dout_valid) begin + if (dout !== history[47:24]) begin + $display ("Mismatch at time %d",$time); + fail <= 1; + end + end +end + +always @(negedge clk) begin + + // update input data on acknowledge + if (din_ack) din <= $random; + + // accept output data whenever available + dout_ack <= dout_valid; + +end + +always begin + #100 clk = ~clk; +end + +endmodule diff --git a/Advanced Synthesis Cookbook/storage/cam_ram_block.v b/Advanced Synthesis Cookbook/storage/cam_ram_block.v new file mode 100644 index 0000000..5074350 --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/cam_ram_block.v @@ -0,0 +1,194 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 08-24-2007 +// +// Memory and tiny state machine to implement ternary CAM. +// Intended for stitching to build larger CAMs +// +// RAM is addressed by data bits, the stored content represents +// one hot coded address lines, eg. data 0 = 110 means data 0 +// matches addresses 1 and 2. +// +// The state machine handles don't cares, writing a new value +// takes approximately 128 ticks (blocking), as the machine +// iterates the data to see which slots match the don't care mask. +// +// Lookup is pipelined, at the RAM latency of 2 +// + +module cam_ram_block ( + clk,rst, + waddr,wdata,wcare,start_write,ready, + lookup_data,match_lines +); + +// data 7 addr 5 produces 2^7 words of 2^5 bits +// natural for a SII 4K RAM block +parameter DATA_WIDTH = 7; +parameter ADDR_WIDTH = 5; +parameter WORDS = (1<=0; i=i-1) + begin: gry_to_bin + gray_to_bin[i] = gray_to_bin[i+1] ^ gray[i]; + end + end +endfunction + + +reg [ADDR_WIDTH:0] rd_ptr, gray_rd_ptr, wr_side_gray_rd_ptr, wr_side_rd_ptr; +reg [ADDR_WIDTH:0] wr_ptr, gray_wr_ptr, rd_side_gray_wr_ptr, rd_side_wr_ptr; + +reg rd_sclr,wr_sclr; +wire rd_ok, wr_ok; + +// read address pointer - bin and gray +always @(posedge rd_clk) begin + if (rd_sclr) begin + rd_ptr <= 0; + gray_rd_ptr <= 0; + end + else begin + gray_rd_ptr <= rd_ptr ^ (rd_ptr >> 1'b1); + if (rd_req & rd_ok) rd_ptr <= rd_ptr + 1'b1; + end +end + +// write address pointer - bin and gray +always @(posedge wr_clk) begin + if (wr_sclr) begin + wr_ptr <= 0; + gray_wr_ptr <= 0; + end + else begin + gray_wr_ptr <= wr_ptr ^ (wr_ptr >> 1'b1); + if (wr_req & wr_ok) wr_ptr <= wr_ptr + 1'b1; + end +end + +// read pointer crossing to write side +always @(posedge wr_clk) begin + if (wr_sclr) begin + wr_side_gray_rd_ptr <= 0; + wr_side_rd_ptr <= 0; + end + else begin + wr_side_gray_rd_ptr <= gray_rd_ptr; + wr_side_rd_ptr <= gray_to_bin (wr_side_gray_rd_ptr); + end +end + +// write pointer crossing to read side +always @(posedge rd_clk) begin + if (rd_sclr) begin + rd_side_gray_wr_ptr <= 0; + rd_side_wr_ptr <= 0; + end + else begin + rd_side_gray_wr_ptr <= gray_wr_ptr; + rd_side_wr_ptr <= gray_to_bin (rd_side_gray_wr_ptr); + end +end + +// Full / Empty / Overflow controls +// note used words can be 1 bit wider than address +reg [ADDR_WIDTH:0] rd_used, wr_used; + +assign rd_ok = rd_side_wr_ptr != rd_ptr; + +always @(posedge rd_clk) begin + if (rd_sclr) begin + rd_used <= 0; + end + else begin + rd_used <= rd_side_wr_ptr - rd_ptr; + end +end + +assign wr_ok = ((wr_side_rd_ptr[ADDR_WIDTH-1:0] != wr_ptr[ADDR_WIDTH-1:0]) || + (wr_side_rd_ptr[ADDR_WIDTH] == wr_ptr[ADDR_WIDTH])) + & !wr_sclr; + +always @(posedge wr_clk) begin + if (wr_sclr) begin + wr_used <= 0; + end + else begin + wr_used <= wr_ptr - wr_side_rd_ptr; + end +end + +assign wr_full = !wr_ok; +assign rd_empty = !rd_ok; + +// reset sync +reg [3:0] wr_aclr, rd_aclr; + +always @(posedge wr_clk) begin + wr_aclr <= (wr_aclr << 1'b1) | aclr; + wr_sclr <= |wr_aclr; +end +always @(posedge rd_clk) begin + rd_aclr <= (rd_aclr << 1'b1) | aclr; + rd_sclr <= |rd_aclr; +end + +// RAM storage +wire wr_ena = 1'b1; +wire rd_ena = (rd_req & rd_ok) | rd_sclr; +generate + if (!SIMULATION) begin + wire [DAT_WIDTH-1:0] unreg_rd_dat; + altsyncram altsyncram_component ( + .wren_a (wr_req & wr_ok), + .clock0 (wr_clk), + .clock1 (rd_clk), + .address_a (wr_ptr[ADDR_WIDTH-1:0]), + .address_b (rd_ptr[ADDR_WIDTH-1:0] + (rd_sclr ? 1'b0 : 1'b1)), + .data_a (wr_dat), + .q_b (unreg_rd_dat), + .aclr0 (1'b0), + .aclr1 (1'b0), + .addressstall_a (1'b0), + .addressstall_b (!rd_ena), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clocken0 (wr_ena), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .data_b ({DAT_WIDTH{1'b1}}), + .eccstatus (), + .q_a (), + .rden_a (1'b1), + .rden_b (1'b1), + .wren_b (1'b0)); + defparam + altsyncram_component.address_reg_b = "CLOCK1", + altsyncram_component.clock_enable_input_a = "NORMAL", + altsyncram_component.clock_enable_input_b = "NORMAL", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.clock_enable_output_b = "BYPASS", + altsyncram_component.intended_device_family = "Stratix II", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 1'b1 << ADDR_WIDTH, + altsyncram_component.numwords_b = 1'b1 << ADDR_WIDTH, + altsyncram_component.operation_mode = "DUAL_PORT", + altsyncram_component.outdata_aclr_b = "NONE", + altsyncram_component.outdata_reg_b = "UNREGISTERED", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.read_during_write_mode_mixed_ports = "OLD_DATA", + altsyncram_component.widthad_a = ADDR_WIDTH, + altsyncram_component.widthad_b = ADDR_WIDTH, + altsyncram_component.width_a = DAT_WIDTH, + altsyncram_component.width_b = DAT_WIDTH, + altsyncram_component.width_byteena_a = 1; + + // Note : The actual memory read occurs shortly + // after the read address registers are loaded. It + // is latched internally and NOT refreshed. + // Therefore read from X, wait, write to X, wait, finish read + // yields the OLD value of X. This is using external + // output registers and address stall to get at the refreshed + // data. + + reg [DAT_WIDTH-1:0] q; + always @(posedge rd_clk) begin + if (rd_ena) begin + q <= unreg_rd_dat; + end + end + assign rd_dat = q; + + end + else begin + // simulation RAM model + reg [DAT_WIDTH-1:0] store [0:(1<=0; i=i-1) + begin: gry_to_bin + assign bin[i] = bin[i+1] ^ gray[i]; + end +endgenerate + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/storage/insert_parity.v b/Advanced Synthesis Cookbook/storage/insert_parity.v new file mode 100644 index 0000000..daf2140 --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/insert_parity.v @@ -0,0 +1,43 @@ +// Copyright 2010 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +`timescale 1 ps / 1 ps +module insert_parity #( + parameter WORDS = 5, + parameter BITS_PER_WORD = 9 + +)( + input [BITS_PER_WORD*WORDS-1:0] din, + output [(1+BITS_PER_WORD)*WORDS-1:0] dout +); + +genvar i; +generate + for (i=0; i> 1'b1) ^ rdbin_next; +wire [RAM_ADDR_WIDTH:0] sync_wrptr; + +always @(posedge rdclk or posedge rdarst) begin + if (rdarst) begin + rdbin <= 0; + rdgray <= 0; + end + else begin + rdbin <= rdbin_next; + rdgray <= rdgray_next; + end +end + +always @(posedge rdclk) begin + rdempty <= (rdgray_next == sync_wrptr); +end + + +////////////////////////////////////////// +// write pointers +////////////////////////////////////////// + +reg [RAM_ADDR_WIDTH:0] wrgray = 0, wrbin = 0 /* synthesis preserve */; +initial wrfull = 1'b1; + +//timing modification +//wire [RAM_ADDR_WIDTH:0] wrbin_next = wrbin + (wrreq & ~wrfull); +wire [RAM_ADDR_WIDTH:0] wrbin_plus = wrbin + 1'b1 /* synthesis keep */; +wire [RAM_ADDR_WIDTH:0] wrbin_next = (~wrfull & wrreq) ? wrbin_plus : wrbin; + +wire [RAM_ADDR_WIDTH:0] wrgray_next = (wrbin_next >> 1'b1) ^ wrbin_next /* synthesis keep */; +wire [RAM_ADDR_WIDTH:0] sync_rdptr; + +always @(posedge wrclk or posedge wrarst) begin + if (wrarst) begin + wrbin <= 0; + wrgray <= 0; + wrfull <= 1'b1; + end + else begin + wrbin <= wrbin_next; + wrgray <= wrgray_next; + wrfull <= (wrgray_next == + {sync_rdptr[RAM_ADDR_WIDTH] ^ 1'b1, + sync_rdptr[RAM_ADDR_WIDTH-1] ^ 1'b1, + sync_rdptr[RAM_ADDR_WIDTH-2:0]}); + end +end + +////////////////////////////////////////// +// domain synchronizers +////////////////////////////////////////// + +// stall the write a little more to give it time to settle in the RAM +// before reporting over to the read side +reg [RAM_ADDR_WIDTH:0] wrgray_rr; +reg [RAM_ADDR_WIDTH:0] wrgray_r /* synthesis preserve */ +/* synthesis ALTERA_ATTRIBUTE = "-name SDC_STATEMENT \"set_false_path -from [get_keepers *mlab_dcfifo*wrgray_r\[*\]]\" " */; + +always @(posedge wrclk or posedge wrarst) begin + if (wrarst) begin + wrgray_r <= 0; + wrgray_rr <= 0; + end + else begin + wrgray_rr <= wrgray; + wrgray_r <= wrgray_rr; + end +end + +// stall the pointers randomly for faux domain crossing chatter +wire [RAM_ADDR_WIDTH:0] wrgray_r_late, rdgray_late; +generate if (SIM_DELAYS) begin + random_delay rd0 ( + .din(rdgray), + .dout(rdgray_late) + ); + defparam rd0 .D_INCREMENT = 2; // stall by 0..7 increments + defparam rd0 .WIDTH = RAM_ADDR_WIDTH+1; + + random_delay rd1 ( + .din(wrgray_r), + .dout(wrgray_r_late) + ); + defparam rd1 .D_INCREMENT = 20; + defparam rd1 .WIDTH = RAM_ADDR_WIDTH+1; +end +else begin + assign wrgray_r_late = wrgray_r; + assign rdgray_late = rdgray; +end +endgenerate + +reg [SYNC_STAGES * (RAM_ADDR_WIDTH+1)-1:0] syn0 = 0 /* synthesis preserve */; +always @(posedge wrclk or posedge wrarst) begin + if (wrarst) syn0 <= 0; + else syn0 <= {syn0[(SYNC_STAGES-1) * (RAM_ADDR_WIDTH+1)-1:0], rdgray}; +end + +reg [SYNC_STAGES * (RAM_ADDR_WIDTH+1)-1:0] syn1 = 0 /* synthesis preserve */; +always @(posedge rdclk or posedge rdarst) begin + if (rdarst) syn1 <= 0; + else syn1 <= {syn1[(SYNC_STAGES-1) * (RAM_ADDR_WIDTH+1)-1:0], wrgray_r}; +end + +assign sync_rdptr = syn0[SYNC_STAGES * (RAM_ADDR_WIDTH+1)-1 : + (SYNC_STAGES-1) * (RAM_ADDR_WIDTH+1)]; +assign sync_wrptr = syn1[SYNC_STAGES * (RAM_ADDR_WIDTH+1)-1 : + (SYNC_STAGES-1) * (RAM_ADDR_WIDTH+1)]; + + +////////////////////////////////////////// +// storage array +////////////////////////////////////////// + +wire [LABS_WIDE-1:0] pein, peout; +assign {parity_err,pein} = {peout,1'b0}; + +reg wer = 1'b0; +//always @(posedge wrclk or posedge wrarst) begin +// if (wrarst) wer <= 1'b0; +// else wer <= wrreq & !wrfull; +//end + +always @(*) begin + wer = wrreq & !wrfull; +end + +genvar i; +generate + for (i=0; i 33) begin : chk + $display ("Bad LATENCY parameter"); + $stop(); + end + end +// synthesis translate on + +////////////////////////////////// +// add parity to input +////////////////////////////////// + +wire [STORAGE_WORD-1:0] din_par; +insert_parity ip ( + .din (din), + .dout (din_par) +); +defparam ip .WORDS = WORDS; +defparam ip .BITS_PER_WORD = BITS_PER_WORD; + +////////////////////////////////// +// write address pointer marches +////////////////////////////////// + +reg [ADDR_BITS-1:0] wraddr = 0; +always @(posedge clk) begin + if (ena) wraddr <= wraddr + 1'b1; +end + +////////////////////////////////// +// read address pointer - +// set realtive to the write to recover from (upset, bad init, etc) +////////////////////////////////// + +reg [ADDR_BITS-1:0] rdaddr = 0; +always @(posedge clk) begin + if (ena) rdaddr <= wraddr - ADDR_OFS; +end + +////////////////////////////////// +// storage array +////////////////////////////////// + +wire [LAB_ADDR_BITS-1:0] pad_rdaddr, pad_wraddr; + +assign pad_rdaddr[ADDR_BITS-1:0] = rdaddr; +assign pad_wraddr[ADDR_BITS-1:0] = wraddr; + +// zero out any extra address bits +genvar i; +generate + for (i=0; i DEPTH + +parameter NUM_WORDS = 1 << ADDR_WIDTH; + +input clock,enable; +input [WIDTH-1:0] data_in; +output [WIDTH-1:0] data_out; + +reg [ADDR_WIDTH-1:0] pointer; +wire [ADDR_WIDTH-1:0] adv_pointer = pointer + (DEPTH - 2); // 2 is for RAM IO regs + +// this value does not matter, but must not be X +initial begin + pointer = 0; +end + +always @(posedge clock) begin + if (enable) pointer <= pointer + 1'b1; +end + +altsyncram altsyncram_component ( + .wren_a (1'b1), + .clock0 (clock), + .wren_b (1'b0), + .address_a (adv_pointer), + .address_b (pointer), + .data_a (data_in), + .data_b ({WIDTH{1'b0}}), + .q_a (), + .q_b (data_out), + .aclr0 (1'b0), + .aclr1 (1'b0), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clock1 (1'b1), + .clocken0 (enable), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .eccstatus (), + .rden_a (1'b1), + .rden_b (1'b1)); + defparam + altsyncram_component.address_reg_b = "CLOCK0", + altsyncram_component.clock_enable_input_a = "NORMAL", + altsyncram_component.clock_enable_input_b = "NORMAL", + altsyncram_component.clock_enable_output_a = "NORMAL", + altsyncram_component.clock_enable_output_b = "NORMAL", + altsyncram_component.indata_reg_b = "CLOCK0", + altsyncram_component.intended_device_family = "Stratix II", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = NUM_WORDS, + altsyncram_component.numwords_b = NUM_WORDS, + altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_aclr_b = "NONE", + altsyncram_component.outdata_reg_a = "CLOCK0", + altsyncram_component.outdata_reg_b = "CLOCK0", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE", + altsyncram_component.widthad_a = ADDR_WIDTH, + altsyncram_component.widthad_b = ADDR_WIDTH, + altsyncram_component.width_a = WIDTH, + altsyncram_component.width_b = WIDTH, + altsyncram_component.width_byteena_a = 1, + altsyncram_component.width_byteena_b = 1, + altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK0"; + +endmodule diff --git a/Advanced Synthesis Cookbook/storage/ram_delay_reg_tb.v b/Advanced Synthesis Cookbook/storage/ram_delay_reg_tb.v new file mode 100644 index 0000000..3288841 --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/ram_delay_reg_tb.v @@ -0,0 +1,83 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-18-2006 + +module ram_delay_reg_tb (); + +parameter DEPTH = 5; +parameter WIDTH = 64; + +reg clock,enable, fail; +reg [WIDTH-1:0] data_in; +wire [WIDTH-1:0] data_out; + +///////////////////// +// test unit +///////////////////// +ram_delay_reg dut ( + .clock (clock), + .enable (enable), + .data_in (data_in), + .data_out (data_out) +); +defparam dut .DEPTH = DEPTH; +defparam dut .WIDTH = WIDTH; + +///////////////////// +// reference unit +///////////////////// +reg [DEPTH*WIDTH-1:0] comp_reg; +always @(posedge clock) begin + if (enable) comp_reg <= (comp_reg << WIDTH) | data_in; +end + +///////////////////// +// stimulus +///////////////////// +initial begin + clock = 1'b0; + data_in = 0; + enable = 1'b1; + fail = 0; + #1000000 + if (!fail) $display ("PASS"); + $stop(); +end + +always begin + #100 clock = ~clock; +end + +always @(negedge clock) begin + data_in = {$random,$random}; + enable = $random; +end + +always @(posedge clock) begin + #10 if (comp_reg[DEPTH*WIDTH-1:DEPTH*WIDTH-WIDTH] != data_out) begin + $display ("Disagreement at time %d",$time); + fail = 1; + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/storage/random_delay.v b/Advanced Synthesis Cookbook/storage/random_delay.v new file mode 100644 index 0000000..0807332 --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/random_delay.v @@ -0,0 +1,51 @@ +// Copyright 2010 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler 01-27-2010 +// #delay the bus randomly by 0..7 increments of the delay parameter + +`timescale 1 ps / 1 ps +module random_delay #( + parameter D_INCREMENT = 100, + parameter WIDTH = 8 +)( + input [WIDTH-1:0] din, + output reg [WIDTH-1:0] dout +); + +reg [2:0] delay_sel; +initial delay_sel = $random; + +always @(*) begin + case (delay_sel) + 3'h0 : dout = din; + 3'h1 : dout = #D_INCREMENT din; + 3'h2 : dout = #(D_INCREMENT*2) din; + 3'h3 : dout = #(D_INCREMENT*3) din; + 3'h4 : dout = #(D_INCREMENT*4) din; + 3'h5 : dout = #(D_INCREMENT*5) din; + 3'h6 : dout = #(D_INCREMENT*6) din; + 3'h7 : dout = #(D_INCREMENT*7) din; + endcase +end +endmodule + diff --git a/Advanced Synthesis Cookbook/storage/ready_skid.v b/Advanced Synthesis Cookbook/storage/ready_skid.v new file mode 100644 index 0000000..3cb0a23 --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/ready_skid.v @@ -0,0 +1,109 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 11-06-2008 +// pipeline for ready / valid data + +module ready_skid #( + parameter WIDTH = 16 +) +( + input clk,arst, + + input valid_i, + input [WIDTH-1:0] dat_i, + output reg ready_i, + + output reg valid_o, + output reg [WIDTH-1:0] dat_o, + input ready_o +); + +reg [WIDTH-1:0] backup_storage; +reg backup_valid; + +// duplicate control registers to mitigate +// high fanout loading. +reg internal_valid_o /* synthesis preserve */; +reg internal_ready_i /* synthesis preserve */; + +// simulation only sanity check +always @(posedge clk) begin + if ((ready_i != internal_ready_i) || + (valid_o != internal_valid_o)) begin + $display ("Error: Duplicate internal regs out of sync"); + end +end + +always @(posedge clk or posedge arst) begin + if (arst) begin + ready_i <= 1'b0; + internal_ready_i <= 1'b0; + valid_o <= 1'b0; + internal_valid_o <= 1'b0; + dat_o <= 0; + backup_storage <= 0; + backup_valid <= 1'b0; + end + else begin + ready_i <= ready_o; + internal_ready_i <= ready_o; + + if (internal_valid_o & ready_o) begin + // main data is leaving to the sink + if (backup_valid) begin + // dump the backup word to main storage + backup_valid <= 1'b0; + dat_o <= backup_storage; + valid_o <= 1'b1; + internal_valid_o <= 1'b1; + if (ready_i && valid_i) begin + $display ("ERROR: data lost in skid buffer"); + end + end + else begin + // if not overwritten below, you are done. + valid_o <= 1'b0; + internal_valid_o <= 1'b0; + end + end + + if (internal_ready_i && valid_i) begin + // must accept data from source + if (ready_o || !internal_valid_o) begin + // accept to main registers + valid_o <= 1'b1; + internal_valid_o <= 1'b1; + dat_o <= dat_i; + end + else begin + // accept to backup storage + backup_valid <= 1'b1; + backup_storage <= dat_i; + ready_i <= 1'b0; // stop stop! + internal_ready_i <= 1'b0; + end + end + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/storage/ready_skid_tb.sv b/Advanced Synthesis Cookbook/storage/ready_skid_tb.sv new file mode 100644 index 0000000..0380d7d --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/ready_skid_tb.sv @@ -0,0 +1,80 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module ready_skid_tb (); + +parameter WIDTH = 12; + +reg clk,arst; + +reg valid_i; +reg [WIDTH-1:0] dat_i, last_o; +wire ready_i; + +wire valid_o; +wire [WIDTH-1:0] dat_o; +reg ready_o; + +ready_skid #(.WIDTH(WIDTH)) dut +( + .* +); + +initial begin + clk = 0; + dat_i = 0; + valid_i = 0; + ready_o = 0; + last_o = 0; + arst = 0; + #1 arst = 1'b1; + @(negedge clk) arst = 1'b0; +end + +always begin + #5 clk = ~clk; +end + +always @(negedge clk) begin + valid_i = $random | $random; + if (valid_i & ready_i) dat_i = dat_i + 1'b1; + ready_o = $random | $random; +end + +reg fail = 0; +wire [WIDTH-1:0] next_last_o = last_o + 1'b1; + +always @(posedge clk) begin + if (valid_o) last_o <= dat_o; + #1 if (last_o != dat_o && next_last_o != dat_o) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end +end + +initial begin + #1000000 if (!fail) $display ("PASS"); + $stop(); +end + +endmodule + diff --git a/Advanced Synthesis Cookbook/storage/reg_based_cam.v b/Advanced Synthesis Cookbook/storage/reg_based_cam.v new file mode 100644 index 0000000..7084538 --- /dev/null +++ b/Advanced Synthesis Cookbook/storage/reg_based_cam.v @@ -0,0 +1,85 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + + +////////////////////////////////////////// +// baeckler - 08-24-2007 +// +// Parameterized ternary CAM made from registers (no RAM) +// +// 1 tick read and write, 1hot match output +// +module reg_based_cam ( + clk,rst, + waddr,wdata,wcare,wena, + lookup_data,match_lines +); + +parameter DATA_WIDTH = 32; +parameter ADDR_WIDTH = 4; +parameter WORDS = (1<> 2; + +input [WIDTH-1:0] in; +output [8*NYBBLES-1:0] out; + +wire [PADDED_WIDTH-1:0] padded_in = {{PAD_BITS {1'b0}},in}; + +genvar i; +generate + for (i=0; i> 1); + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/translation/gray_tb.v b/Advanced Synthesis Cookbook/translation/gray_tb.v new file mode 100644 index 0000000..2b30728 --- /dev/null +++ b/Advanced Synthesis Cookbook/translation/gray_tb.v @@ -0,0 +1,53 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module gray_tb (); + +wire [9:0] gry, bin; +reg [9:0] cnt; +reg fail; + +bin_to_gray bg (.bin(cnt),.gray(gry)); + defparam bg .WIDTH = 10; + +gray_to_bin gb (.gray(gry),.bin(bin)); + defparam gb .WIDTH = 10; + +initial begin + cnt = 0; + fail = 0; + +end + +always begin + #1000 cnt = cnt + 1; + #1000 if (cnt !== bin) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end + if (cnt == 0) begin + if (!fail) $display ("PASS"); + $stop(); + end +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/translation/gray_to_bin.v b/Advanced Synthesis Cookbook/translation/gray_to_bin.v new file mode 100644 index 0000000..d834c2e --- /dev/null +++ b/Advanced Synthesis Cookbook/translation/gray_to_bin.v @@ -0,0 +1,44 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// output bits are an XOR function of more significant bits, the +// area required may increase somewhat irregularly with WIDTH +// as the synthesis tool selects different area / depth tradeoffs + +module gray_to_bin (gray,bin); + +parameter WIDTH = 8; + +input [WIDTH-1:0] gray; +output [WIDTH-1:0] bin; +wire [WIDTH-1:0] bin; + +assign bin[WIDTH-1] = gray[WIDTH-1]; +genvar i; +generate +for (i=WIDTH-2; i>=0; i=i-1) + begin: gry_to_bin + assign bin[i] = bin[i+1] ^ gray[i]; + end +endgenerate + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/translation/log2.inc b/Advanced Synthesis Cookbook/translation/log2.inc new file mode 100644 index 0000000..20309bd --- /dev/null +++ b/Advanced Synthesis Cookbook/translation/log2.inc @@ -0,0 +1,41 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 12-12-2006 +// helper function to compute LOG base 2 +// +// NOTE - This is a somewhat abusive definition of LOG2(v) as the +// number of bits required to represent "v". So log2(256) will be +// 9 rather than 8 (256 = 9'b1_0000_0000). I apologize for any +// confusion this may cause. +// + +function integer log2; + input integer val; + begin + log2 = 0; + while (val > 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/translation/make_mask.cpp b/Advanced Synthesis Cookbook/translation/make_mask.cpp new file mode 100644 index 0000000..b598913 --- /dev/null +++ b/Advanced Synthesis Cookbook/translation/make_mask.cpp @@ -0,0 +1,96 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +#include + +int const num_ins = 4; +int const num_outs = 1 << num_ins; + +int main (void) +{ + unsigned int n = 0, k = 0; + int style = 0; + + bool from_msb = false; + bool diag_ones = false; + + fprintf (stdout,"module mask_%d (in,mask);\n",num_outs); + fprintf (stdout,"input [%d:0] in;\n",num_ins-1); + fprintf (stdout,"output [%d:0] mask;\n",num_outs-1); + fprintf (stdout,"reg [%d:0] mask;\n\n",num_outs-1); + fprintf (stdout,"parameter FROM_MSB = 1'b1;\n"); + fprintf (stdout,"parameter DIAG_ONES = 1'b1;\n\n"); + + fprintf (stdout,"generate\n"); + for (style = 0; style < 4; style++) + { + from_msb = (style & 1) != 0 ? true : false; + diag_ones = (style & 2) != 0 ? true : false; + + fprintf (stdout," %sif (%cFROM_MSB && %cDIAG_ONES) begin\n", + (style == 0 ? "" : "else "), + (from_msb ? ' ' : '!'), + (diag_ones ? ' ' : '!')); + + fprintf (stdout," always @(in) begin\n"); + fprintf (stdout," case (in)\n"); + for (n=0; n +#include +#include "vpi_user.h" + +void rand_float_register(); + +unsigned int float_to_int (float f) +{ + void * foo = &f; + unsigned int out = *((unsigned int *)foo); + return (out); +} + +float int_to_float (int n) +{ + void * foo = &n; + float out = *((float *)foo); + return (out); +} + +//////////////////////////////////////////////////// +// make a random float (single) +//////////////////////////////////////////////////// +PLI_INT32 rand_float_calltf(PLI_BYTE8 * user_dat) +{ + vpiHandle systf_handle; + s_vpi_value value_s; + + float a, b, c, d, out; + unsigned int n; + + + systf_handle = vpi_handle (vpiSysTfCall,NULL); + + n = rand(); + a = n; + n = rand(); + b = n; + a = a * b; + + n = rand(); + if (n == 0) n++; + c = n; + n = rand(); + if (n == 0) n++; + d = n; + c = c * d; + + out = a / c; + n = float_to_int (out); + +// vpi_printf ("%08x\n",n); + + value_s.format = vpiIntVal; + value_s.value.integer = (PLI_INT32)n; + vpi_put_value (systf_handle,&value_s,NULL,vpiNoDelay); + + return(0); +} + +void rand_float_register(void) +{ + s_vpi_systf_data tf_data; + tf_data.type = vpiSysFunc; + tf_data.sysfunctype = vpiSysFuncSized; + tf_data.tfname = "$rand_float"; + tf_data.calltf = rand_float_calltf; + tf_data.compiletf = NULL; + tf_data.sizetf = NULL; + tf_data.user_data = NULL; + vpi_register_systf(&tf_data); +} + +//////////////////////////////////////////////////// +// divide two floats (single) +//////////////////////////////////////////////////// +PLI_INT32 float_div_calltf(PLI_BYTE8 * user_dat) +{ + vpiHandle systf_handle, arg_iter, arg_handle; + s_vpi_value value_s; + + unsigned int n,d; + float nf,df; + float outf; + unsigned int out; + + systf_handle = vpi_handle (vpiSysTfCall,NULL); + arg_iter = vpi_iterate (vpiArgument, systf_handle); + if (!arg_iter) + { + vpi_printf ("Error: fpdiv failed to obtain arg handles"); + return (0); + } + + arg_handle = vpi_scan (arg_iter); + value_s.format = vpiIntVal; + vpi_get_value (arg_handle,&value_s); + n = value_s.value.integer; + + arg_handle = vpi_scan (arg_iter); + value_s.format = vpiIntVal; + vpi_get_value (arg_handle,&value_s); + d = value_s.value.integer; + +// vpi_printf ("n=%08x\n",n); +// vpi_printf ("d=%08x\n",d); + + vpi_free_object (arg_iter); + + nf = int_to_float(n); + df = int_to_float(d); + + outf = nf / df; + out = float_to_int (outf); + +// vpi_printf ("q = %08x\n",out); + + value_s.format = vpiIntVal; + value_s.value.integer = (PLI_INT32)out; + vpi_put_value (systf_handle,&value_s,NULL,vpiNoDelay); + + return(0); +} + +void float_div_register(void) +{ + s_vpi_systf_data tf_data; + tf_data.type = vpiSysFunc; + tf_data.sysfunctype = vpiSysFuncSized; + tf_data.tfname = "$float_div"; + tf_data.calltf = float_div_calltf; + tf_data.compiletf = NULL; + tf_data.sizetf = NULL; + tf_data.user_data = NULL; + vpi_register_systf(&tf_data); +} + +//////////////////////////////////////////////////// +// error +//////////////////////////////////////////////////// +PLI_INT32 float_err_bar_calltf(PLI_BYTE8 * user_dat) +{ + vpiHandle systf_handle, arg_iter, arg_handle; + s_vpi_value value_s; + + unsigned int n,d; + float nf,df; + float outf; + int out; + + systf_handle = vpi_handle (vpiSysTfCall,NULL); + arg_iter = vpi_iterate (vpiArgument, systf_handle); + if (!arg_iter) + { + vpi_printf ("Error: fp error bar failed to obtain arg handles"); + return (0); + } + + arg_handle = vpi_scan (arg_iter); + value_s.format = vpiIntVal; + vpi_get_value (arg_handle,&value_s); + n = value_s.value.integer; + + arg_handle = vpi_scan (arg_iter); + value_s.format = vpiIntVal; + vpi_get_value (arg_handle,&value_s); + d = value_s.value.integer; + +// vpi_printf ("n=%08x\n",n); +// vpi_printf ("d=%08x\n",d); + + vpi_free_object (arg_iter); + + nf = int_to_float(n); + df = int_to_float(d); + + if (d == 0) + { + outf = 0; + } + else + { + outf = (nf - df) / df; + } + +// vpi_printf ("float err = %f\n",outf); + + if (outf < 0.0) outf = - outf; + outf = outf * 100 * 100; + +// vpi_printf ("scaled float err = %f\n",outf); + + out = outf; + +// vpi_printf ("int = %08x\n",out); + + value_s.format = vpiIntVal; + value_s.value.integer = (PLI_INT32)out; + vpi_put_value (systf_handle,&value_s,NULL,vpiNoDelay); + + return(0); +} + +void float_err_bar_register(void) +{ + s_vpi_systf_data tf_data; + tf_data.type = vpiSysFunc; + tf_data.sysfunctype = vpiSysFuncSized; + tf_data.tfname = "$float_err_bar"; + tf_data.calltf = float_err_bar_calltf; + tf_data.compiletf = NULL; + tf_data.sizetf = NULL; + tf_data.user_data = NULL; + vpi_register_systf(&tf_data); +} + +///////////////////////////////////////////// + + +void (*vlog_startup_routines[])() = +{ + rand_float_register, + float_div_register, + float_err_bar_register, + 0 +}; diff --git a/Advanced Synthesis Cookbook/utility/legal.cpp b/Advanced Synthesis Cookbook/utility/legal.cpp new file mode 100644 index 0000000..1b8325f --- /dev/null +++ b/Advanced Synthesis Cookbook/utility/legal.cpp @@ -0,0 +1,168 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 01-02-2007 +// add legal text to example files + +#include +#include +#include + +/////////////////////////////////////////////////////// +// paste the contents of legal.txt on the front +/////////////////////////////////////////////////////// +void add_header (char *fname) +{ + FILE * f = NULL, * tmp = NULL, * hdr = NULL; + char buffer [2048]; + + f = fopen (fname,"rt"); + if (!f) + { + fprintf (stdout,"Error reading %s\n",fname); + exit(1); + } + hdr = fopen ("utility/legal.txt","rt"); + if (!hdr) + { + fprintf (stdout,"Error reading legal.txt\n"); + exit(1); + } + tmp = fopen ("tmp","wt"); + if (!tmp) + { + fprintf (stdout,"Error writing tmp file\n"); + exit(1); + } + + // dump to tmp header then body + while (!feof(hdr)) + { + if (fgets (buffer,sizeof(buffer),hdr)) + { + fprintf (tmp,"%s",buffer); + } + } + fclose (hdr); + fprintf (tmp,"\n\n"); + + while (!feof(f)) + { + if (fgets (buffer,sizeof(buffer),f)) + { + fprintf (tmp,"%s",buffer); + } + } + fclose (f); + fclose (tmp); + + // move tmp back to original + f = fopen (fname,"wt"); + if (!f) + { + fprintf (stdout,"Error writing %s\n",fname); + exit(1); + } + tmp = fopen ("tmp","rt"); + if (!tmp) + { + fprintf (stdout,"Error reading tmp file\n"); + exit(1); + } + while (!feof(tmp)) + { + if (fgets (buffer,sizeof(buffer),tmp)) + { + fprintf (f,"%s",buffer); + } + } + fclose (f); + fclose (tmp); + +} + +/////////////////////////////////////////////////////// +// recursive dir for *.v, *.inc, *.cpp and insert +// legal header if not there already +/////////////////////////////////////////////////////// +int main (void) +{ + char buffer [1024]; + char buffer2 [1024]; + FILE * f = NULL, * g = NULL, * h = NULL; + int n = 0; + + _flushall(); + system ("dir /s /b *.v *.sv *.inc *.cpp > design_files.txt"); + + f = fopen ("design_files.txt","rt"); + if (!f) + { + fprintf (stdout,"Error reading file list\n"); + return (1); + } + while (!feof(f)) + { + if (fgets (buffer,sizeof(buffer),f)) + { + // change back slashes to forward, and kill crlf + n = 0; + while (buffer[n] != 0 && buffer[n] != 0xd && buffer[n] != 0xa) + { + if (buffer[n] == '\\') buffer[n] = '/'; + n++; + } + buffer[n] = 0; + + // open the file and look for a header + fprintf (stdout,"Looking at file %s ...\n",buffer); + g = fopen (buffer,"rt"); + if (!g) + { + fprintf (stdout,"Error reading design file\n"); + return (1); + } + + + bool found = false; + for (n=0; n<5; n++) + { + if (fgets (buffer2,sizeof(buffer2),g)) + { + if (strstr (buffer2,"// Copyright") != 0) + { + fprintf (stdout," has a header already\n"); + found = true; + } + } + } + fclose (g); + if (!found) + { + add_header (buffer); + } + } + + } + fclose (f); + return (0); +} \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/utility/legal.txt b/Advanced Synthesis Cookbook/utility/legal.txt new file mode 100644 index 0000000..dda4f05 --- /dev/null +++ b/Advanced Synthesis Cookbook/utility/legal.txt @@ -0,0 +1,21 @@ +// Copyright 2011 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/utility/log2.inc b/Advanced Synthesis Cookbook/utility/log2.inc new file mode 100644 index 0000000..8a20e82 --- /dev/null +++ b/Advanced Synthesis Cookbook/utility/log2.inc @@ -0,0 +1,40 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// helper function to compute LOG base 2 +// +// NOTE - This is a somewhat abusive definition of LOG2(v) as the +// number of bits required to represent "v". So log2(256) will be +// 9 rather than 8 (256 = 9'b1_0000_0000). I apologize for any +// confusion this may cause. +// + +function integer log2; + input integer val; + begin + log2 = 0; + while (val > 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/utility/make_case_tb.v b/Advanced Synthesis Cookbook/utility/make_case_tb.v new file mode 100644 index 0000000..acae46c --- /dev/null +++ b/Advanced Synthesis Cookbook/utility/make_case_tb.v @@ -0,0 +1,95 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 05-05-2006 +// +// This is a trick to generate case statements / LUT masks +// from an existing comb circuit. It's handy when the structure +// of the current implementation is getting in the way. +// + +module make_case_tb (); + +`include "log2.inc" + +localparam IN_WIDTH = 4; +localparam OUT_WIDTH = 8; +localparam LOG_OUT_WIDTH = log2(OUT_WIDTH-1); + +reg [IN_WIDTH-1:0] in; +wire [OUT_WIDTH-1:0] out; + +/////////////////////// +// target function +/////////////////////// +// drive all inputs from IN +// merge all outputs to OUT. +bin_to_asc_hex ba (.in(in),.out(out)); + defparam ba .WIDTH=IN_WIDTH; + +/////////////////////// +// sim loop +/////////////////////// +integer n,k; +reg [(1< test.do + print onbreak resume > test.do + print vlib work >> test.do + print vlog d:/quartus/eda/sim_lib/altera_mf.v >> test.do + print vlog d:/quartus/eda/sim_lib/stratixii_atoms.v >> test.do + print vlog *.v >> test.do + print vsim $short_des >> test.do + print run -all >> test.do + print quit >> test.do + + d:/modeltech_6.1d/win32/vsim -c -do test.do >> test.log + +done + +grep -e "Error" -e "Mismatch" -e "PASS" test.log \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/utility/quartus_all.sh b/Advanced Synthesis Cookbook/utility/quartus_all.sh new file mode 100644 index 0000000..7020e40 --- /dev/null +++ b/Advanced Synthesis Cookbook/utility/quartus_all.sh @@ -0,0 +1,13 @@ +# Run Quartus on all appropriate verilog files in the +# directory + +rm test.log +for des in `ls *.v | grep -v "test" | grep -v "_tb"` +do + print Quartus Testing $des + short_des=`print $des | sed 's/\.v//g'` + print $short_des + quartus_map --family=stratixii $short_des >> test.log +done + +grep "Error" test.log \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/utility/reverse_32.inc b/Advanced Synthesis Cookbook/utility/reverse_32.inc new file mode 100644 index 0000000..ec9d453 --- /dev/null +++ b/Advanced Synthesis Cookbook/utility/reverse_32.inc @@ -0,0 +1,29 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +function [31:0] reverse_32; + input [31:0] din; + integer n; + for (n=0; n<32; n=n+1) begin : foo + reverse_32[n] = din[31-n]; + end +endfunction diff --git a/Advanced Synthesis Cookbook/utility/reverse_8.inc b/Advanced Synthesis Cookbook/utility/reverse_8.inc new file mode 100644 index 0000000..4047796 --- /dev/null +++ b/Advanced Synthesis Cookbook/utility/reverse_8.inc @@ -0,0 +1,29 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +function [7:0] reverse_8; + input [7:0] din; + integer n; + for (n=0; n<8; n=n+1) begin : foo + reverse_8[n] = din[7-n]; + end +endfunction diff --git a/Advanced Synthesis Cookbook/utility/txt_to_c.cpp b/Advanced Synthesis Cookbook/utility/txt_to_c.cpp new file mode 100644 index 0000000..98c83ba --- /dev/null +++ b/Advanced Synthesis Cookbook/utility/txt_to_c.cpp @@ -0,0 +1,138 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 11-28-2005 +// Standalone utility to make it easier to replicate TXT files from coconut. +// +// $Log: /pvcs/newarch/coconut/txt_to_c.cp_ $ +// +// Rev 1.1 15 May 2007 14:57:50 chkyeoh +// port to linux +// PN, Tue May 15 10:57:48 2007 +// +// Rev 1.0 29 Nov 2005 11:21:24 baeckler +// Init rev +// +// SJ, Mon Nov 28 15:20:51 2005 +// +#include +#include + +bool drop_leading_pound = false; +bool drop_blank_lines = false; + +int main (int argc, char *argv[]) +{ + FILE * f = NULL; + char buffer[4096]; + char buffer2[4096]; + int n=0,k=0; + int len = 0; + + if (argc != 2 && argc != 3) + { + fprintf (stdout,"Convert text file to C dump file function\n"); + fprintf (stdout,"Usage : %s (filename) (optional filter)\n",argv[0]); + fprintf (stdout," filter Q - QSFs\n"); + return (0); + } + + f = fopen (argv[1],"rt"); + if (!f) + { + fprintf (stdout,"Unable to read %s",argv[1]); + return (0); + } + + if (argc == 3 && + (argv[2][0] == 'Q' || argv[2][0] == 'q')) + { + drop_leading_pound = true; + drop_blank_lines = true; + } + + fprintf (stdout,"#include \n\n"); + fprintf (stdout,"int main (void)\n"); + fprintf (stdout,"{\n"); + fprintf (stdout," FILE * f = fopen (\"%s_new\",\"wt\");\n",argv[1]); + fprintf (stdout," if (!f) return 1;\n\n"); + while (!feof(f)) + { + if (fgets (buffer,sizeof(buffer),f)) + { + // strip off CRLF + len = strlen(buffer); + while (len > 0 && (buffer[len-1] == 0xa || buffer[len-1] == 0xd)) + { + buffer[len-1] = 0; + len--; + } + + // if they say " they mean \" + // if they say \ they mean two of them + // if they say % they mean two of them + k=0; + for (n=0;n + +#ifdef __cplusplus +extern "C" { +#endif + +/*----------------------------------------------------------------------------*/ +/*----------------------------- Portability Help -----------------------------*/ +/*----------------------------------------------------------------------------*/ + +/* Sized variables */ + +#ifndef PLI_TYPES +#define PLI_TYPES +typedef int PLI_INT32; +typedef unsigned int PLI_UINT32; +typedef short PLI_INT16; +typedef unsigned short PLI_UINT16; +typedef char PLI_BYTE8; +typedef unsigned char PLI_UBYTE8; +#endif + +/* Use to export a symbol */ + +#if defined (_MSC_VER) +#ifndef PLI_DLLISPEC +#define PLI_DLLISPEC __declspec(dllimport) +#define VPI_USER_DEFINED_DLLISPEC 1 +#endif +#else +#ifndef PLI_DLLISPEC +#define PLI_DLLISPEC +#endif +#endif + +/* Use to import a symbol */ + +#if defined (_MSC_VER) +#ifndef PLI_DLLESPEC +#define PLI_DLLESPEC __declspec(dllexport) +#define VPI_USER_DEFINED_DLLESPEC 1 +#endif +#else +#ifndef PLI_DLLESPEC +#define PLI_DLLESPEC +#endif +#endif + +/* Use to mark a function as external */ + +#ifndef PLI_EXTERN +#define PLI_EXTERN +#endif + +/* Use to mark a variable as external */ + +#ifndef PLI_VEXTERN +#define PLI_VEXTERN extern +#endif + +#ifndef PLI_PROTOTYPES +#define PLI_PROTOTYPES +#define PROTO_PARAMS(params) params + +/* object is defined imported by the application */ + +#define XXTERN PLI_EXTERN PLI_DLLISPEC + +/* object is exported by the application */ + +#define EETERN PLI_EXTERN PLI_DLLESPEC +#endif + +/********************************** TYPEDEFS **********************************/ + +/*typedef PLI_UINT32 *vpiHandle; */ +typedef void *vpiHandle; + +/******************************** OBJECT TYPES ********************************/ + +#define vpiAlways 1 /* always construct */ +#define vpiAssignStmt 2 /* quasi-continuous assignment */ +#define vpiAssignment 3 /* procedural assignment */ +#define vpiBegin 4 /* block statement */ +#define vpiCase 5 /* case statement */ +#define vpiCaseItem 6 /* case statement item */ +#define vpiConstant 7 /* numerical constant or literal string */ +#define vpiContAssign 8 /* continuous assignment */ +#define vpiDeassign 9 /* deassignment statement */ +#define vpiDefParam 10 /* defparam */ +#define vpiDelayControl 11 /* delay statement (e.g. #10) */ +#define vpiDisable 12 /* named block disable statement */ +#define vpiEventControl 13 /* wait on event, e.g. @e */ +#define vpiEventStmt 14 /* event trigger, e.g. ->e */ +#define vpiFor 15 /* for statement */ +#define vpiForce 16 /* force statement */ +#define vpiForever 17 /* forever statement */ +#define vpiFork 18 /* fork-join block */ +#define vpiFuncCall 19 /* HDL function call */ +#define vpiFunction 20 /* HDL function */ +#define vpiGate 21 /* primitive gate */ +#define vpiIf 22 /* if statement */ +#define vpiIfElse 23 /* if-else statement */ +#define vpiInitial 24 /* initial construct */ +#define vpiIntegerVar 25 /* integer variable */ +#define vpiInterModPath 26 /* intermodule wire delay */ +#define vpiIterator 27 /* iterator */ +#define vpiIODecl 28 /* input/output declaration */ +#define vpiMemory 29 /* behavioral memory */ +#define vpiMemoryWord 30 /* single word of memory */ +#define vpiModPath 31 /* module path for path delays */ +#define vpiModule 32 /* module instance */ +#define vpiNamedBegin 33 /* named block statement */ +#define vpiNamedEvent 34 /* event variable */ +#define vpiNamedFork 35 /* named fork-join block */ +#define vpiNet 36 /* scalar or vector net */ +#define vpiNetBit 37 /* bit of vector net */ +#define vpiNullStmt 38 /* a semicolon. Ie. #10 ; */ +#define vpiOperation 39 /* behavioral operation */ +#define vpiParamAssign 40 /* module parameter assignment */ +#define vpiParameter 41 /* module parameter */ +#define vpiPartSelect 42 /* part-select */ +#define vpiPathTerm 43 /* terminal of module path */ +#define vpiPort 44 /* module port */ +#define vpiPortBit 45 /* bit of vector module port */ +#define vpiPrimTerm 46 /* primitive terminal */ +#define vpiRealVar 47 /* real variable */ +#define vpiReg 48 /* scalar or vector reg */ +#define vpiRegBit 49 /* bit of vector reg */ +#define vpiRelease 50 /* release statement */ +#define vpiRepeat 51 /* repeat statement */ +#define vpiRepeatControl 52 /* repeat control in an assign stmt */ +#define vpiSchedEvent 53 /* vpi_put_value() event */ +#define vpiSpecParam 54 /* specparam */ +#define vpiSwitch 55 /* transistor switch */ +#define vpiSysFuncCall 56 /* system function call */ +#define vpiSysTaskCall 57 /* system task call */ +#define vpiTableEntry 58 /* UDP state table entry */ +#define vpiTask 59 /* HDL task */ +#define vpiTaskCall 60 /* HDL task call */ +#define vpiTchk 61 /* timing check */ +#define vpiTchkTerm 62 /* terminal of timing check */ +#define vpiTimeVar 63 /* time variable */ +#define vpiTimeQueue 64 /* simulation event queue */ +#define vpiUdp 65 /* user-defined primitive */ +#define vpiUdpDefn 66 /* UDP definition */ +#define vpiUserSystf 67 /* user defined system task or function */ +#define vpiVarSelect 68 /* variable array selection */ +#define vpiWait 69 /* wait statement */ +#define vpiWhile 70 /* while statement */ + +/********************** object types added with 1364-2001 *********************/ + +#define vpiAttribute 105 /* attribute of an object */ +#define vpiBitSelect 106 /* Bit-select of parameter, var select */ +#define vpiCallback 107 /* callback object */ +#define vpiDelayTerm 108 /* Delay term which is a load or driver */ +#define vpiDelayDevice 109 /* Delay object within a net */ +#define vpiFrame 110 /* reentrant task/func frame */ +#define vpiGateArray 111 /* gate instance array */ +#define vpiModuleArray 112 /* module instance array */ +#define vpiPrimitiveArray 113 /* vpiprimitiveArray type */ +#define vpiNetArray 114 /* multidimensional net */ +#define vpiRange 115 /* range declaration */ +#define vpiRegArray 116 /* multidimensional reg */ +#define vpiSwitchArray 117 /* switch instance array */ +#define vpiUdpArray 118 /* UDP instance array */ +#define vpiContAssignBit 128 /* Bit of a vector continuous assignment */ +#define vpiNamedEventArray 129 /* multidimensional named event */ + +/********************** object types added with 1364-2005 *********************/ + +#define vpiIndexedPartSelect 130 /* Indexed part-select object */ +#define vpiGenScopeArray 133 /* array of generated scopes */ +#define vpiGenScope 134 /* A generated scope */ +#define vpiGenVar 135 /* Object used to instantiate gen scopes */ + +/*********************************** METHODS **********************************/ +/**************** methods used to traverse 1 to 1 relationships ***************/ + +#define vpiCondition 71 /* condition expression */ +#define vpiDelay 72 /* net or gate delay */ +#define vpiElseStmt 73 /* else statement */ +#define vpiForIncStmt 74 /* increment statement in for loop */ +#define vpiForInitStmt 75 /* initialization statement in for loop */ +#define vpiHighConn 76 /* higher connection to port */ +#define vpiLhs 77 /* left-hand side of assignment */ +#define vpiIndex 78 /* index of var select, bit-select, etc. */ +#define vpiLeftRange 79 /* left range of vector or part-select */ +#define vpiLowConn 80 /* lower connection to port */ +#define vpiParent 81 /* parent object */ +#define vpiRhs 82 /* right-hand side of assignment */ +#define vpiRightRange 83 /* right range of vector or part-select */ +#define vpiScope 84 /* containing scope object */ +#define vpiSysTfCall 85 /* task function call */ +#define vpiTchkDataTerm 86 /* timing check data term */ +#define vpiTchkNotifier 87 /* timing check notifier */ +#define vpiTchkRefTerm 88 /* timing check reference term */ + +/************* methods used to traverse 1 to many relationships ***************/ + +#define vpiArgument 89 /* argument to (system) task/function */ +#define vpiBit 90 /* bit of vector net or port */ +#define vpiDriver 91 /* driver for a net */ +#define vpiInternalScope 92 /* internal scope in module */ +#define vpiLoad 93 /* load on net or reg */ +#define vpiModDataPathIn 94 /* data terminal of a module path */ +#define vpiModPathIn 95 /* Input terminal of a module path */ +#define vpiModPathOut 96 /* output terminal of a module path */ +#define vpiOperand 97 /* operand of expression */ +#define vpiPortInst 98 /* connected port instance */ +#define vpiProcess 99 /* process in module */ +#define vpiVariables 100 /* variables in module */ +#define vpiUse 101 /* usage */ + +/******** methods which can traverse 1 to 1, or 1 to many relationships *******/ + +#define vpiExpr 102 /* connected expression */ +#define vpiPrimitive 103 /* primitive (gate, switch, UDP) */ +#define vpiStmt 104 /* statement in process or task */ + +/************************ methods added with 1364-2001 ************************/ + +#define vpiActiveTimeFormat 119 /* active $timeformat() system task */ +#define vpiInTerm 120 /* To get to a delay device's drivers. */ +#define vpiInstanceArray 121 /* vpiInstance arrays */ +#define vpiLocalDriver 122 /* local drivers (within a module */ +#define vpiLocalLoad 123 /* local loads (within a module */ +#define vpiOutTerm 124 /* To get to a delay device's loads. */ +#define vpiPorts 125 /* Module port */ +#define vpiSimNet 126 /* simulated net after collapsing */ +#define vpiTaskFunc 127 /* HDL task or function */ + +/************************ methods added with 1364-2005 ************************/ + +#define vpiBaseExpr 131 /* Indexed part-select's base expression */ +#define vpiWidthExpr 132 /* Indexed part-select's width expression */ + +/********************************* PROPERTIES *********************************/ +/************************** generic object properties *************************/ + +#define vpiUndefined -1 /* undefined property */ +#define vpiType 1 /* type of object */ +#define vpiName 2 /* local name of object */ +#define vpiFullName 3 /* full hierarchical name */ +#define vpiSize 4 /* size of gate, net, port, etc. */ +#define vpiFile 5 /* File name in which the object is used*/ +#define vpiLineNo 6 /* line number where the object is used */ + +/***************************** module properties ******************************/ + +#define vpiTopModule 7 /* top-level module (boolean) */ +#define vpiCellInstance 8 /* cell (boolean) */ +#define vpiDefName 9 /* module definition name */ +#define vpiProtected 10 /* source protected module (boolean) */ +#define vpiTimeUnit 11 /* module time unit */ +#define vpiTimePrecision 12 /* module time precision */ +#define vpiDefNetType 13 /* default net type */ +#define vpiUnconnDrive 14 /* unconnected port drive strength */ +#define vpiHighZ 1 /* No default drive given */ +#define vpiPull1 2 /* default pull1 drive */ +#define vpiPull0 3 /* default pull0 drive */ +#define vpiDefFile 15 /* File name where the module is defined*/ +#define vpiDefLineNo 16 /* line number for module definition */ +#define vpiDefDelayMode 47 /* Default delay mode for a module */ +#define vpiDelayModeNone 1 /* no delay mode specified */ +#define vpiDelayModePath 2 /* path delay mode */ +#define vpiDelayModeDistrib 3 /* distributed delay mode */ +#define vpiDelayModeUnit 4 /* unit delay mode */ +#define vpiDelayModeZero 5 /* zero delay mode */ +#define vpiDelayModeMTM 6 /* min:typ:max delay mode */ +#define vpiDefDecayTime 48 /* Default decay time for a module */ + +/*************************** port and net properties **************************/ + +#define vpiScalar 17 /* scalar (boolean) */ +#define vpiVector 18 /* vector (boolean) */ +#define vpiExplicitName 19 /* port is explicitly named */ +#define vpiDirection 20 /* direction of port: */ +#define vpiInput 1 /* input */ +#define vpiOutput 2 /* output */ +#define vpiInout 3 /* inout */ +#define vpiMixedIO 4 /* mixed input-output */ +#define vpiNoDirection 5 /* no direction */ +#define vpiConnByName 21 /* connected by name (boolean) */ + +#define vpiNetType 22 /* net subtypes: */ +#define vpiWire 1 /* wire net */ +#define vpiWand 2 /* wire-and net */ +#define vpiWor 3 /* wire-or net */ +#define vpiTri 4 /* three-state net */ +#define vpiTri0 5 /* pull-down net */ +#define vpiTri1 6 /* pull-up net */ +#define vpiTriReg 7 /* tri state reg net */ +#define vpiTriAnd 8 /* three-state wire-and net */ +#define vpiTriOr 9 /* three-state wire-or net */ +#define vpiSupply1 10 /* supply 1 net */ +#define vpiSupply0 11 /* supply zero net */ +#define vpiNone 12 /* no default net type (1364-2001) */ +#define vpiUwire 13 /* unresolved wire net (1364-2005) */ + +#define vpiExplicitScalared 23 /* explicitly scalared (boolean) */ +#define vpiExplicitVectored 24 /* explicitly vectored (boolean) */ +#define vpiExpanded 25 /* expanded vector net (boolean) */ +#define vpiImplicitDecl 26 /* implicitly declared net (boolean) */ +#define vpiChargeStrength 27 /* charge decay strength of net */ + +/* Defined as part of strengths section. +#define vpiLargeCharge 0x10 +#define vpiMediumCharge 0x04 +#define vpiSmallCharge 0x02 +*/ + +#define vpiArray 28 /* variable array (boolean) */ +#define vpiPortIndex 29 /* Port index */ + +/************************ gate and terminal properties ************************/ + +#define vpiTermIndex 30 /* Index of a primitive terminal */ +#define vpiStrength0 31 /* 0-strength of net or gate */ +#define vpiStrength1 32 /* 1-strength of net or gate */ +#define vpiPrimType 33 /* prmitive subtypes: */ +#define vpiAndPrim 1 /* and gate */ +#define vpiNandPrim 2 /* nand gate */ +#define vpiNorPrim 3 /* nor gate */ +#define vpiOrPrim 4 /* or gate */ +#define vpiXorPrim 5 /* xor gate */ +#define vpiXnorPrim 6 /* xnor gate */ +#define vpiBufPrim 7 /* buffer */ +#define vpiNotPrim 8 /* not gate */ +#define vpiBufif0Prim 9 /* zero-enabled buffer */ +#define vpiBufif1Prim 10 /* one-enabled buffer */ +#define vpiNotif0Prim 11 /* zero-enabled not gate */ +#define vpiNotif1Prim 12 /* one-enabled not gate */ +#define vpiNmosPrim 13 /* nmos switch */ +#define vpiPmosPrim 14 /* pmos switch */ +#define vpiCmosPrim 15 /* cmos switch */ +#define vpiRnmosPrim 16 /* resistive nmos switch */ +#define vpiRpmosPrim 17 /* resistive pmos switch */ +#define vpiRcmosPrim 18 /* resistive cmos switch */ +#define vpiRtranPrim 19 /* resistive bidirectional */ +#define vpiRtranif0Prim 20 /* zero-enable resistive bidirectional */ +#define vpiRtranif1Prim 21 /* one-enable resistive bidirectional */ +#define vpiTranPrim 22 /* bidirectional */ +#define vpiTranif0Prim 23 /* zero-enabled bidirectional */ +#define vpiTranif1Prim 24 /* one-enabled bidirectional */ +#define vpiPullupPrim 25 /* pullup */ +#define vpiPulldownPrim 26 /* pulldown */ +#define vpiSeqPrim 27 /* sequential UDP */ +#define vpiCombPrim 28 /* combinational UDP */ + +/**************** path, path terminal, timing check properties ****************/ + +#define vpiPolarity 34 /* polarity of module path... */ +#define vpiDataPolarity 35 /* ...or data path: */ +#define vpiPositive 1 /* positive */ +#define vpiNegative 2 /* negative */ +#define vpiUnknown 3 /* unknown (unspecified) */ + +#define vpiEdge 36 /* edge type of module path: */ +#define vpiNoEdge 0x00 /* no edge */ +#define vpiEdge01 0x01 /* 0 -> 1 */ +#define vpiEdge10 0x02 /* 1 -> 0 */ +#define vpiEdge0x 0x04 /* 0 -> x */ +#define vpiEdgex1 0x08 /* x -> 1 */ +#define vpiEdge1x 0x10 /* 1 -> x */ +#define vpiEdgex0 0x20 /* x -> 0 */ +#define vpiPosedge (vpiEdgex1 | vpiEdge01 | vpiEdge0x) +#define vpiNegedge (vpiEdgex0 | vpiEdge10 | vpiEdge1x) +#define vpiAnyEdge (vpiPosedge | vpiNegedge) + +#define vpiPathType 37 /* path delay connection subtypes: */ +#define vpiPathFull 1 /* ( a *> b ) */ +#define vpiPathParallel 2 /* ( a => b ) */ + +#define vpiTchkType 38 /* timing check subtypes: */ +#define vpiSetup 1 /* $setup */ +#define vpiHold 2 /* $hold */ +#define vpiPeriod 3 /* $period */ +#define vpiWidth 4 /* $width */ +#define vpiSkew 5 /* $skew */ +#define vpiRecovery 6 /* $recovery */ +#define vpiNoChange 7 /* $nochange */ +#define vpiSetupHold 8 /* $setuphold */ +#define vpiFullskew 9 /* $fullskew -- added for 1364-2001 */ +#define vpiRecrem 10 /* $recrem -- added for 1364-2001 */ +#define vpiRemoval 11 /* $removal -- added for 1364-2001 */ +#define vpiTimeskew 12 /* $timeskew -- added for 1364-2001 */ + +/**************************** expression properties ***************************/ + +#define vpiOpType 39 /* operation subtypes: */ +#define vpiMinusOp 1 /* unary minus */ +#define vpiPlusOp 2 /* unary plus */ +#define vpiNotOp 3 /* unary not */ +#define vpiBitNegOp 4 /* bitwise negation */ +#define vpiUnaryAndOp 5 /* bitwise reduction and */ +#define vpiUnaryNandOp 6 /* bitwise reduction nand */ +#define vpiUnaryOrOp 7 /* bitwise reduction or */ +#define vpiUnaryNorOp 8 /* bitwise reduction nor */ +#define vpiUnaryXorOp 9 /* bitwise reduction xor */ +#define vpiUnaryXNorOp 10 /* bitwise reduction xnor */ +#define vpiSubOp 11 /* binary subtraction */ +#define vpiDivOp 12 /* binary division */ +#define vpiModOp 13 /* binary modulus */ +#define vpiEqOp 14 /* binary equality */ +#define vpiNeqOp 15 /* binary inequality */ +#define vpiCaseEqOp 16 /* case (x and z) equality */ +#define vpiCaseNeqOp 17 /* case inequality */ +#define vpiGtOp 18 /* binary greater than */ +#define vpiGeOp 19 /* binary greater than or equal */ +#define vpiLtOp 20 /* binary less than */ +#define vpiLeOp 21 /* binary less than or equal */ +#define vpiLShiftOp 22 /* binary left shift */ +#define vpiRShiftOp 23 /* binary right shift */ +#define vpiAddOp 24 /* binary addition */ +#define vpiMultOp 25 /* binary multiplication */ +#define vpiLogAndOp 26 /* binary logical and */ +#define vpiLogOrOp 27 /* binary logical or */ +#define vpiBitAndOp 28 /* binary bitwise and */ +#define vpiBitOrOp 29 /* binary bitwise or */ +#define vpiBitXorOp 30 /* binary bitwise xor */ +#define vpiBitXNorOp 31 /* binary bitwise xnor */ +#define vpiBitXnorOp vpiBitXNorOp /* added with 1364-2001 */ +#define vpiConditionOp 32 /* ternary conditional */ +#define vpiConcatOp 33 /* n-ary concatenation */ +#define vpiMultiConcatOp 34 /* repeated concatenation */ +#define vpiEventOrOp 35 /* event or */ +#define vpiNullOp 36 /* null operation */ +#define vpiListOp 37 /* list of expressions */ +#define vpiMinTypMaxOp 38 /* min:typ:max: delay expression */ +#define vpiPosedgeOp 39 /* posedge */ +#define vpiNegedgeOp 40 /* negedge */ +#define vpiArithLShiftOp 41 /* arithmetic left shift (1364-2001) */ +#define vpiArithRShiftOp 42 /* arithmetic right shift (1364-2001) */ +#define vpiPowerOp 43 /* arithmetic power op (1364-2001) */ + +#define vpiConstType 40 /* constant subtypes: */ +#define vpiDecConst 1 /* decimal integer */ +#define vpiRealConst 2 /* real */ +#define vpiBinaryConst 3 /* binary integer */ +#define vpiOctConst 4 /* octal integer */ +#define vpiHexConst 5 /* hexadecimal integer */ +#define vpiStringConst 6 /* string literal */ +#define vpiIntConst 7 /* HDL integer constant (1364-2001) */ + +#define vpiBlocking 41 /* blocking assignment (boolean) */ +#define vpiCaseType 42 /* case statement subtypes: */ +#define vpiCaseExact 1 /* exact match */ +#define vpiCaseX 2 /* ignore X's */ +#define vpiCaseZ 3 /* ignore Z's */ +#define vpiNetDeclAssign 43 /* assign part of decl (boolean) */ + +/************************** task/function properties **************************/ + +#define vpiFuncType 44 /* HDL function & system function type */ +#define vpiIntFunc 1 /* returns integer */ +#define vpiRealFunc 2 /* returns real */ +#define vpiTimeFunc 3 /* returns time */ +#define vpiSizedFunc 4 /* returns an arbitrary size */ +#define vpiSizedSignedFunc 5 /* returns sized signed value */ + +/** alias 1364-1995 system function subtypes to 1364-2001 function subtypes ***/ + +#define vpiSysFuncType vpiFuncType +#define vpiSysFuncInt vpiIntFunc +#define vpiSysFuncReal vpiRealFunc +#define vpiSysFuncTime vpiTimeFunc +#define vpiSysFuncSized vpiSizedFunc + +#define vpiUserDefn 45 /*user defined system task/func(boolean)*/ +#define vpiScheduled 46 /* object still scheduled (boolean) */ + +/*********************** properties added with 1364-2001 **********************/ + +#define vpiActive 49 /* reentrant task/func frame is active */ +#define vpiAutomatic 50 /* task/func obj is automatic */ +#define vpiCell 51 /* configuration cell */ +#define vpiConfig 52 /* configuration config file */ +#define vpiConstantSelect 53 /* (boolean) bit-select or part-select + indices are constant expressions */ +#define vpiDecompile 54 /* decompile the object */ +#define vpiDefAttribute 55 /* Attribute defined for the obj */ +#define vpiDelayType 56 /* delay subtype */ +#define vpiModPathDelay 1 /* module path delay */ +#define vpiInterModPathDelay 2 /* intermodule path delay */ +#define vpiMIPDelay 3 /* module input port delay */ +#define vpiIteratorType 57 /* object type of an iterator */ +#define vpiLibrary 58 /* configuration library */ +#define vpiMultiArray 59 /* Object is a multidimensional array */ +#define vpiOffset 60 /* offset from LSB */ +#define vpiResolvedNetType 61 /* net subtype after resolution, returns + same subtypes as vpiNetType */ +#define vpiSaveRestartID 62 /* unique ID for save/restart data */ +#define vpiSaveRestartLocation 63 /* name of save/restart data file */ +#define vpiValid 64 /* reentrant task/func frame is valid */ +#define vpiSigned 65 /* TRUE for vpiIODecl and any object in + the expression class if the object + has the signed attribute */ +#define vpiLocalParam 70 /* TRUE when a param is declared as a + localparam */ +#define vpiModPathHasIfNone 71 /* Mod path has an ifnone statement */ + +/*********************** properties added with 1364-2005 **********************/ + +#define vpiIndexedPartSelectType 72 /* Indexed part-select type */ +#define vpiPosIndexed 1 /* +: */ +#define vpiNegIndexed 2 /* -: */ +#define vpiIsMemory 73 /* TRUE for a one-dimensional reg array */ + +/*************** vpi_control() constants (added with 1364-2001) ***************/ + +#define vpiStop 66 /* execute simulator's $stop */ +#define vpiFinish 67 /* execute simulator's $finish */ +#define vpiReset 68 /* execute simulator's $reset */ +#define vpiSetInteractiveScope 69 /* set simulator's interactive scope */ + +/**************************** I/O related defines *****************************/ + +#define VPI_MCD_STDOUT 0x00000001 + +/*************************** STRUCTURE DEFINITIONS ****************************/ + +/******************************* time structure *******************************/ + +typedef struct t_vpi_time +{ + PLI_INT32 type; /* [vpiScaledRealTime, vpiSimTime, + vpiSuppressTime] */ + PLI_UINT32 high, low; /* for vpiSimTime */ + double real; /* for vpiScaledRealTime */ +} s_vpi_time, *p_vpi_time; + +/* time types */ + +#define vpiScaledRealTime 1 +#define vpiSimTime 2 +#define vpiSuppressTime 3 + +/****************************** delay structures ******************************/ + +typedef struct t_vpi_delay +{ + struct t_vpi_time *da; /* pointer to user allocated array of + delay values */ + PLI_INT32 no_of_delays; /* number of delays */ + PLI_INT32 time_type; /* [vpiScaledRealTime, vpiSimTime, + vpiSuppressTime] */ + PLI_INT32 mtm_flag; /* true for mtm values */ + PLI_INT32 append_flag; /* true for append */ + PLI_INT32 pulsere_flag; /* true for pulsere values */ +} s_vpi_delay, *p_vpi_delay; + +/***************************** value structures *******************************/ + +/* vector value */ + +#ifndef VPI_VECVAL /* added in 1364-2005 */ +#define VPI_VECVAL + +typedef struct t_vpi_vecval +{ + /* following fields are repeated enough times to contain vector */ + PLI_INT32 aval, bval; /* bit encoding: ab: 00=0, 10=1, 11=X, 01=Z */ +} s_vpi_vecval, *p_vpi_vecval; + +#endif + +/* strength (scalar) value */ + +typedef struct t_vpi_strengthval +{ + PLI_INT32 logic; /* vpi[0,1,X,Z] */ + PLI_INT32 s0, s1; /* refer to strength coding below */ +} s_vpi_strengthval, *p_vpi_strengthval; + +/* strength values */ + +#define vpiSupplyDrive 0x80 +#define vpiStrongDrive 0x40 +#define vpiPullDrive 0x20 +#define vpiWeakDrive 0x08 +#define vpiLargeCharge 0x10 +#define vpiMediumCharge 0x04 +#define vpiSmallCharge 0x02 +#define vpiHiZ 0x01 + +/* generic value */ + +typedef struct t_vpi_value +{ + PLI_INT32 format; /* vpi[[Bin,Oct,Dec,Hex]Str,Scalar,Int,Real,String, + Vector,Strength,Suppress,Time,ObjType]Val */ + union + { + PLI_BYTE8 *str; /* string value */ + PLI_INT32 scalar; /* vpi[0,1,X,Z] */ + PLI_INT32 integer; /* integer value */ + double real; /* real value */ + struct t_vpi_time *time; /* time value */ + struct t_vpi_vecval *vector; /* vector value */ + struct t_vpi_strengthval *strength; /* strength value */ + PLI_BYTE8 *misc; /* ...other */ + } value; +} s_vpi_value, *p_vpi_value; + +/* value formats */ + +#define vpiBinStrVal 1 +#define vpiOctStrVal 2 +#define vpiDecStrVal 3 +#define vpiHexStrVal 4 +#define vpiScalarVal 5 +#define vpiIntVal 6 +#define vpiRealVal 7 +#define vpiStringVal 8 +#define vpiVectorVal 9 +#define vpiStrengthVal 10 +#define vpiTimeVal 11 +#define vpiObjTypeVal 12 +#define vpiSuppressVal 13 + +/* delay modes */ + +#define vpiNoDelay 1 +#define vpiInertialDelay 2 +#define vpiTransportDelay 3 +#define vpiPureTransportDelay 4 + +/* force and release flags */ + +#define vpiForceFlag 5 +#define vpiReleaseFlag 6 + +/* scheduled event cancel flag */ + +#define vpiCancelEvent 7 + +/* bit mask for the flags argument to vpi_put_value() */ + +#define vpiReturnEvent 0x1000 + +/* scalar values */ + +#define vpi0 0 +#define vpi1 1 +#define vpiZ 2 +#define vpiX 3 +#define vpiH 4 +#define vpiL 5 +#define vpiDontCare 6 +/* +#define vpiNoChange 7 Defined under vpiTchkType, but + can be used here. +*/ + +/*********************** system task/function structure ***********************/ + +typedef struct t_vpi_systf_data +{ + PLI_INT32 type; /* vpiSysTask, vpiSysFunc */ + PLI_INT32 sysfunctype; /* vpiSysTask, vpi[Int,Real,Time,Sized, + SizedSigned]Func */ + PLI_BYTE8 *tfname; /* first character must be '$' */ + PLI_INT32 (*calltf)(PLI_BYTE8 *); + PLI_INT32 (*compiletf)(PLI_BYTE8 *); + PLI_INT32 (*sizetf)(PLI_BYTE8 *); /* for sized function callbacks only */ + PLI_BYTE8 *user_data; +} s_vpi_systf_data, *p_vpi_systf_data; + +#define vpiSysTask 1 +#define vpiSysFunc 2 + +/* the subtypes are defined under the vpiFuncType property */ + +/****************** Verilog execution information structure *******************/ + +typedef struct t_vpi_vlog_info +{ + PLI_INT32 argc; + PLI_BYTE8 **argv; + PLI_BYTE8 *product; + PLI_BYTE8 *version; +} s_vpi_vlog_info, *p_vpi_vlog_info; + +/*********************** PLI error information structure **********************/ + +typedef struct t_vpi_error_info +{ + PLI_INT32 state; /* vpi[Compile,PLI,Run] */ + PLI_INT32 level; /* vpi[Notice,Warning,Error,System,Internal] */ + PLI_BYTE8 *message; + PLI_BYTE8 *product; + PLI_BYTE8 *code; + PLI_BYTE8 *file; + PLI_INT32 line; +} s_vpi_error_info, *p_vpi_error_info; + +/* state when error occurred */ + +#define vpiCompile 1 +#define vpiPLI 2 +#define vpiRun 3 + +/* error severity levels */ + +#define vpiNotice 1 +#define vpiWarning 2 +#define vpiError 3 +#define vpiSystem 4 +#define vpiInternal 5 + +/**************************** callback structures *****************************/ + +/* normal callback structure */ + +typedef struct t_cb_data +{ + PLI_INT32 reason; /* callback reason */ + PLI_INT32 (*cb_rtn)(struct t_cb_data *); /* call routine */ + vpiHandle obj; /* trigger object */ + p_vpi_time time; /* callback time */ + p_vpi_value value; /* trigger object value */ + PLI_INT32 index; /* index of the memory word or + var select that changed */ + PLI_BYTE8 *user_data; +} s_cb_data, *p_cb_data; + +/****************************** CALLBACK REASONS ******************************/ +/***************************** Simulation related *****************************/ + +#define cbValueChange 1 +#define cbStmt 2 +#define cbForce 3 +#define cbRelease 4 + +/******************************** Time related ********************************/ + +#define cbAtStartOfSimTime 5 +#define cbReadWriteSynch 6 +#define cbReadOnlySynch 7 +#define cbNextSimTime 8 +#define cbAfterDelay 9 + +/******************************* Action related *******************************/ + +#define cbEndOfCompile 10 +#define cbStartOfSimulation 11 +#define cbEndOfSimulation 12 +#define cbError 13 +#define cbTchkViolation 14 +#define cbStartOfSave 15 +#define cbEndOfSave 16 +#define cbStartOfRestart 17 +#define cbEndOfRestart 18 +#define cbStartOfReset 19 +#define cbEndOfReset 20 +#define cbEnterInteractive 21 +#define cbExitInteractive 22 +#define cbInteractiveScopeChange 23 +#define cbUnresolvedSystf 24 + +/**************************** Added with 1364-2001 ****************************/ + +#define cbAssign 25 +#define cbDeassign 26 +#define cbDisable 27 +#define cbPLIError 28 +#define cbSignal 29 + +/**************************** FUNCTION DECLARATIONS ***************************/ + +/* callback related */ + +XXTERN vpiHandle vpi_register_cb PROTO_PARAMS((p_cb_data cb_data_p)); +XXTERN PLI_INT32 vpi_remove_cb PROTO_PARAMS((vpiHandle cb_obj)); +XXTERN void vpi_get_cb_info PROTO_PARAMS((vpiHandle object, + p_cb_data cb_data_p)); +XXTERN vpiHandle vpi_register_systf PROTO_PARAMS((p_vpi_systf_data + systf_data_p)); +XXTERN void vpi_get_systf_info PROTO_PARAMS((vpiHandle object, + p_vpi_systf_data + systf_data_p)); + +/* for obtaining handles */ + +XXTERN vpiHandle vpi_handle_by_name PROTO_PARAMS((PLI_BYTE8 *name, + vpiHandle scope)); +XXTERN vpiHandle vpi_handle_by_index PROTO_PARAMS((vpiHandle object, + PLI_INT32 indx)); + +/* for traversing relationships */ + +XXTERN vpiHandle vpi_handle PROTO_PARAMS((PLI_INT32 type, + vpiHandle refHandle)); +XXTERN vpiHandle vpi_handle_multi PROTO_PARAMS((PLI_INT32 type, + vpiHandle refHandle1, + vpiHandle refHandle2, + ... )); +XXTERN vpiHandle vpi_iterate PROTO_PARAMS((PLI_INT32 type, + vpiHandle refHandle)); +XXTERN vpiHandle vpi_scan PROTO_PARAMS((vpiHandle iterator)); + +/* for processing properties */ + +XXTERN PLI_INT32 vpi_get PROTO_PARAMS((PLI_INT32 property, + vpiHandle object)); +XXTERN PLI_BYTE8 *vpi_get_str PROTO_PARAMS((PLI_INT32 property, + vpiHandle object)); + +/* delay processing */ + +XXTERN void vpi_get_delays PROTO_PARAMS((vpiHandle object, + p_vpi_delay delay_p)); +XXTERN void vpi_put_delays PROTO_PARAMS((vpiHandle object, + p_vpi_delay delay_p)); + +/* value processing */ + +XXTERN void vpi_get_value PROTO_PARAMS((vpiHandle expr, + p_vpi_value value_p)); +XXTERN vpiHandle vpi_put_value PROTO_PARAMS((vpiHandle object, + p_vpi_value value_p, + p_vpi_time time_p, + PLI_INT32 flags)); + +/* time processing */ + +XXTERN void vpi_get_time PROTO_PARAMS((vpiHandle object, + p_vpi_time time_p)); + +/* I/O routines */ + +XXTERN PLI_UINT32 vpi_mcd_open PROTO_PARAMS((PLI_BYTE8 *fileName)); +XXTERN PLI_UINT32 vpi_mcd_close PROTO_PARAMS((PLI_UINT32 mcd)); +XXTERN PLI_BYTE8 *vpi_mcd_name PROTO_PARAMS((PLI_UINT32 cd)); +XXTERN PLI_INT32 vpi_mcd_printf PROTO_PARAMS((PLI_UINT32 mcd, + PLI_BYTE8 *format, + ...)); +XXTERN PLI_INT32 vpi_printf PROTO_PARAMS((PLI_BYTE8 *format, + ...)); + +/* utility routines */ + +XXTERN PLI_INT32 vpi_compare_objects PROTO_PARAMS((vpiHandle object1, + vpiHandle object2)); +XXTERN PLI_INT32 vpi_chk_error PROTO_PARAMS((p_vpi_error_info + error_info_p)); +XXTERN PLI_INT32 vpi_free_object PROTO_PARAMS((vpiHandle object)); +XXTERN PLI_INT32 vpi_get_vlog_info PROTO_PARAMS((p_vpi_vlog_info + vlog_info_p)); + +/* routines added with 1364-2001 */ + +XXTERN PLI_INT32 vpi_get_data PROTO_PARAMS((PLI_INT32 id, + PLI_BYTE8 *dataLoc, + PLI_INT32 numOfBytes)); +XXTERN PLI_INT32 vpi_put_data PROTO_PARAMS((PLI_INT32 id, + PLI_BYTE8 *dataLoc, + PLI_INT32 numOfBytes)); +XXTERN void *vpi_get_userdata PROTO_PARAMS((vpiHandle obj)); +XXTERN PLI_INT32 vpi_put_userdata PROTO_PARAMS((vpiHandle obj, + void *userdata)); +XXTERN PLI_INT32 vpi_vprintf PROTO_PARAMS((PLI_BYTE8 *format, + va_list ap)); +XXTERN PLI_INT32 vpi_mcd_vprintf PROTO_PARAMS((PLI_UINT32 mcd, + PLI_BYTE8 *format, + va_list ap)); +XXTERN PLI_INT32 vpi_flush PROTO_PARAMS((void)); +XXTERN PLI_INT32 vpi_mcd_flush PROTO_PARAMS((PLI_UINT32 mcd)); +XXTERN PLI_INT32 vpi_control PROTO_PARAMS((PLI_INT32 operation, + ...)); +XXTERN vpiHandle vpi_handle_by_multi_index PROTO_PARAMS((vpiHandle obj, + PLI_INT32 num_index, + PLI_INT32 *index_array)); + +/****************************** GLOBAL VARIABLES ******************************/ + +PLI_VEXTERN PLI_DLLESPEC void (*vlog_startup_routines[])(); + + /* array of function pointers, last pointer should be null */ + +#undef PLI_EXTERN +#undef PLI_VEXTERN + +#ifdef VPI_USER_DEFINED_DLLISPEC +#undef VPI_USER_DEFINED_DLLISPEC +#undef PLI_DLLISPEC +#endif +#ifdef VPI_USER_DEFINED_DLLESPEC +#undef VPI_USER_DEFINED_DLLESPEC +#undef PLI_DLLESPEC +#endif + +#ifdef PLI_PROTOTYPES +#undef PLI_PROTOTYPES +#undef PROTO_PARAMS +#undef XXTERN +#undef EETERN +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* VPI_USER_H */ diff --git a/Advanced Synthesis Cookbook/video/bmp_to_font.cpp b/Advanced Synthesis Cookbook/video/bmp_to_font.cpp new file mode 100644 index 0000000..42acc87 --- /dev/null +++ b/Advanced Synthesis Cookbook/video/bmp_to_font.cpp @@ -0,0 +1,179 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +#include + +// font.bmp dimensions in pixels. +int const w = 980; +int const h = 111; + +// the content is expected to be the basic 24 bit BMP format, black characters +// on a white background. Total file size should be 54 byte header + (3 * w * h) +// The width of the image may need to be a multiple of 4 depending on the editor +// program. + +int grab [w][h]; +bool used_col[w]; +bool used_row[h]; + +int target_width = 24; +int target_height = 28; + +int main (void) +{ + FILE * f = NULL; + int b,g,r; + int n,x,y,ch; + bool any_on_line = false; + bool any_in_col = false; + int xx,yy,i,j; + + f = fopen ("font.bmp","rb"); + if (!f) + { + fprintf (stdout,"Unable to read file\n"); + return (1); + } + + for (n=0; n<54;n++) + { + ch = fgetc (f); + } + + for (y=0; y> (8*n)) & 8'hff; + y = (ypixels >> (8*n)) & 8'hff; + diff = (x > y) ? (x-y) : (y-x); + cume = cume + diff; + end +end + +reg [6*14-1:0] pipe; +always @(posedge clk or posedge aclr) begin + if (aclr) pipe <= 0; + else pipe <= (pipe << 14) | cume; +end + +//////////////////////////////// +// verify +reg fail = 1'b0; + +always @(posedge clk) begin + #1 + if (pipe[6*14-1:5*14] !== sad) begin + $display ("Mismatch at time %d : %d vs %d", + $time,pipe[6*14-1:5*14],sad); + fail = 1'b1; + end +end + +initial begin + #100000 if (!fail) $display ("PASS"); + $stop(); +end + +//////////////////////////////// +// control + +initial begin + clk = 0; + aclr = 0; + #1 aclr = 1'b1; + @(negedge clk) aclr = 1'b0; + xpixels = 0; + ypixels = 0; +end + +integer k = 0; +always @(negedge clk) begin + xpixels = (xpixels << 32) | $random; + ypixels = (ypixels << 32) | $random; +end + +always begin + #5 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/video/eightbyeight_sad_test.v b/Advanced Synthesis Cookbook/video/eightbyeight_sad_test.v new file mode 100644 index 0000000..96df631 --- /dev/null +++ b/Advanced Synthesis Cookbook/video/eightbyeight_sad_test.v @@ -0,0 +1,48 @@ +// Copyright 2008 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module eightbyeight_sad_test ( + clk,aclr, + xin, + yin, + sad +); + +input clk,aclr; +input xin,yin; +output [13:0] sad; + +reg [8*8*8-1:0] xsr,ysr; + +always @(posedge clk) begin + xsr <= (xsr << 1'b1) | xin; + ysr <= (ysr << 1'b1) | yin; +end + +eightbyeight_sad dut ( + .clk(clk), + .aclr(aclr), + .xpixels(xsr), + .ypixels(ysr), + .sad(sad) +); +endmodule diff --git a/Advanced Synthesis Cookbook/video/font.bmp b/Advanced Synthesis Cookbook/video/font.bmp new file mode 100644 index 0000000..e71ae21 Binary files /dev/null and b/Advanced Synthesis Cookbook/video/font.bmp differ diff --git a/Advanced Synthesis Cookbook/video/font_rom.v b/Advanced Synthesis Cookbook/video/font_rom.v new file mode 100644 index 0000000..db14d1b --- /dev/null +++ b/Advanced Synthesis Cookbook/video/font_rom.v @@ -0,0 +1,2220 @@ +// Copyright 2009 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module font_rom ( + input clk, + input [10:0] addr, + output reg [23:0] out +); + +reg [10:0] addr_r; +always @(posedge clk) begin + addr_r <= addr; + case (addr_r) +// rows 7 to 30 +// start rec 0 h = 24 w = 22 ofs = 0 + 11'd0 : out <= 24'b00001111111100000000000; + 11'd1 : out <= 24'b00001111111110000000000; + 11'd2 : out <= 24'b00001111111110000000000; + 11'd3 : out <= 24'b00000001111111000000000; + 11'd4 : out <= 24'b00000001111111000000000; + 11'd5 : out <= 24'b00000011110111100000000; + 11'd6 : out <= 24'b00000011110111100000000; + 11'd7 : out <= 24'b00000011100011100000000; + 11'd8 : out <= 24'b00000111100011110000000; + 11'd9 : out <= 24'b00000111000001110000000; + 11'd10 : out <= 24'b00001111000001111000000; + 11'd11 : out <= 24'b00001111111111111000000; + 11'd12 : out <= 24'b00011111111111111100000; + 11'd13 : out <= 24'b00011111111111111100000; + 11'd14 : out <= 24'b00111100000000011110000; + 11'd15 : out <= 24'b00111100000000011110000; + 11'd16 : out <= 24'b11111111000001111111100; + 11'd17 : out <= 24'b11111111000001111111100; + 11'd18 : out <= 24'b11111111000001111111100; + 11'd19 : out <= 24'b00000000000000000000000; + 11'd20 : out <= 24'b00000000000000000000000; + 11'd21 : out <= 24'b00000000000000000000000; + 11'd22 : out <= 24'b00000000000000000000000; + 11'd23 : out <= 24'b0; + 11'd24 : out <= 24'b0; + 11'd25 : out <= 24'b0; + 11'd26 : out <= 24'b0; + +// start rec 1 h = 24 w = 19 ofs = 27 + 11'd27 : out <= 24'b11111111111111000000000; + 11'd28 : out <= 24'b11111111111111110000000; + 11'd29 : out <= 24'b11111111111111110000000; + 11'd30 : out <= 24'b00011100000011111000000; + 11'd31 : out <= 24'b00011100000001111000000; + 11'd32 : out <= 24'b00011100000000111000000; + 11'd33 : out <= 24'b00011100000001111000000; + 11'd34 : out <= 24'b00011100000111111000000; + 11'd35 : out <= 24'b00011111111111110000000; + 11'd36 : out <= 24'b00011111111111110000000; + 11'd37 : out <= 24'b00011111111111111000000; + 11'd38 : out <= 24'b00011100000011111100000; + 11'd39 : out <= 24'b00011100000000111100000; + 11'd40 : out <= 24'b00011100000000111100000; + 11'd41 : out <= 24'b00011100000000011100000; + 11'd42 : out <= 24'b00011100000001111100000; + 11'd43 : out <= 24'b11111111111111111100000; + 11'd44 : out <= 24'b11111111111111111000000; + 11'd45 : out <= 24'b11111111111111110000000; + 11'd46 : out <= 24'b00000000000000000000000; + 11'd47 : out <= 24'b00000000000000000000000; + 11'd48 : out <= 24'b00000000000000000000000; + 11'd49 : out <= 24'b00000000000000000000000; + 11'd50 : out <= 24'b0; + 11'd51 : out <= 24'b0; + 11'd52 : out <= 24'b0; + 11'd53 : out <= 24'b0; + +// start rec 2 h = 24 w = 18 ofs = 54 + 11'd54 : out <= 24'b00000111111111111000000; + 11'd55 : out <= 24'b00011111111111111000000; + 11'd56 : out <= 24'b00111111111111111000000; + 11'd57 : out <= 24'b01111111001111111000000; + 11'd58 : out <= 24'b01111100000001111000000; + 11'd59 : out <= 24'b11111000000000111000000; + 11'd60 : out <= 24'b11110000000000111000000; + 11'd61 : out <= 24'b11110000000000000000000; + 11'd62 : out <= 24'b11100000000000000000000; + 11'd63 : out <= 24'b11100000000000000000000; + 11'd64 : out <= 24'b11100000000000000000000; + 11'd65 : out <= 24'b11100000000000000000000; + 11'd66 : out <= 24'b11110000000000000000000; + 11'd67 : out <= 24'b11110000000000111000000; + 11'd68 : out <= 24'b01111000000001111000000; + 11'd69 : out <= 24'b01111111000111111000000; + 11'd70 : out <= 24'b00111111111111111000000; + 11'd71 : out <= 24'b00011111111111110000000; + 11'd72 : out <= 24'b00000111111111000000000; + 11'd73 : out <= 24'b00000000000000000000000; + 11'd74 : out <= 24'b00000000000000000000000; + 11'd75 : out <= 24'b00000000000000000000000; + 11'd76 : out <= 24'b00000000000000000000000; + 11'd77 : out <= 24'b0; + 11'd78 : out <= 24'b0; + 11'd79 : out <= 24'b0; + 11'd80 : out <= 24'b0; + +// start rec 3 h = 24 w = 18 ofs = 81 + 11'd81 : out <= 24'b11111111111100000000000; + 11'd82 : out <= 24'b11111111111111000000000; + 11'd83 : out <= 24'b11111111111111100000000; + 11'd84 : out <= 24'b00111000001111110000000; + 11'd85 : out <= 24'b00111000000011110000000; + 11'd86 : out <= 24'b00111000000001111000000; + 11'd87 : out <= 24'b00111000000001111000000; + 11'd88 : out <= 24'b00111000000001111000000; + 11'd89 : out <= 24'b00111000000000111000000; + 11'd90 : out <= 24'b00111000000000111000000; + 11'd91 : out <= 24'b00111000000000111000000; + 11'd92 : out <= 24'b00111000000000111000000; + 11'd93 : out <= 24'b00111000000001111000000; + 11'd94 : out <= 24'b00111000000001111000000; + 11'd95 : out <= 24'b00111000000011111000000; + 11'd96 : out <= 24'b00111000001111110000000; + 11'd97 : out <= 24'b11111111111111100000000; + 11'd98 : out <= 24'b11111111111111000000000; + 11'd99 : out <= 24'b11111111111110000000000; + 11'd100 : out <= 24'b00000000000000000000000; + 11'd101 : out <= 24'b00000000000000000000000; + 11'd102 : out <= 24'b00000000000000000000000; + 11'd103 : out <= 24'b00000000000000000000000; + 11'd104 : out <= 24'b0; + 11'd105 : out <= 24'b0; + 11'd106 : out <= 24'b0; + 11'd107 : out <= 24'b0; + +// start rec 4 h = 24 w = 18 ofs = 108 + 11'd108 : out <= 24'b11111111111111110000000; + 11'd109 : out <= 24'b11111111111111110000000; + 11'd110 : out <= 24'b11111111111111110000000; + 11'd111 : out <= 24'b00011100000001110000000; + 11'd112 : out <= 24'b00011100000001110000000; + 11'd113 : out <= 24'b00011100000001110000000; + 11'd114 : out <= 24'b00011100011101110000000; + 11'd115 : out <= 24'b00011100011100000000000; + 11'd116 : out <= 24'b00011111111100000000000; + 11'd117 : out <= 24'b00011111111100000000000; + 11'd118 : out <= 24'b00011111111100000000000; + 11'd119 : out <= 24'b00011100011100000000000; + 11'd120 : out <= 24'b00011100011100111000000; + 11'd121 : out <= 24'b00011100000000111000000; + 11'd122 : out <= 24'b00011100000000111000000; + 11'd123 : out <= 24'b00011100000000111000000; + 11'd124 : out <= 24'b11111111111111111000000; + 11'd125 : out <= 24'b11111111111111111000000; + 11'd126 : out <= 24'b11111111111111111000000; + 11'd127 : out <= 24'b00000000000000000000000; + 11'd128 : out <= 24'b00000000000000000000000; + 11'd129 : out <= 24'b00000000000000000000000; + 11'd130 : out <= 24'b00000000000000000000000; + 11'd131 : out <= 24'b0; + 11'd132 : out <= 24'b0; + 11'd133 : out <= 24'b0; + 11'd134 : out <= 24'b0; + +// start rec 5 h = 24 w = 18 ofs = 135 + 11'd135 : out <= 24'b11111111111111111000000; + 11'd136 : out <= 24'b11111111111111111000000; + 11'd137 : out <= 24'b11111111111111111000000; + 11'd138 : out <= 24'b00011100000000111000000; + 11'd139 : out <= 24'b00011100000000111000000; + 11'd140 : out <= 24'b00011100000000111000000; + 11'd141 : out <= 24'b00011100011100111000000; + 11'd142 : out <= 24'b00011100011100000000000; + 11'd143 : out <= 24'b00011111111100000000000; + 11'd144 : out <= 24'b00011111111100000000000; + 11'd145 : out <= 24'b00011111111100000000000; + 11'd146 : out <= 24'b00011100011100000000000; + 11'd147 : out <= 24'b00011100011100000000000; + 11'd148 : out <= 24'b00011100000000000000000; + 11'd149 : out <= 24'b00011100000000000000000; + 11'd150 : out <= 24'b00011100000000000000000; + 11'd151 : out <= 24'b11111111111000000000000; + 11'd152 : out <= 24'b11111111111000000000000; + 11'd153 : out <= 24'b11111111111000000000000; + 11'd154 : out <= 24'b00000000000000000000000; + 11'd155 : out <= 24'b00000000000000000000000; + 11'd156 : out <= 24'b00000000000000000000000; + 11'd157 : out <= 24'b00000000000000000000000; + 11'd158 : out <= 24'b0; + 11'd159 : out <= 24'b0; + 11'd160 : out <= 24'b0; + 11'd161 : out <= 24'b0; + +// start rec 6 h = 24 w = 19 ofs = 162 + 11'd162 : out <= 24'b00001111111111110000000; + 11'd163 : out <= 24'b00011111111111110000000; + 11'd164 : out <= 24'b00111111111111110000000; + 11'd165 : out <= 24'b01111110001111110000000; + 11'd166 : out <= 24'b01111000000011110000000; + 11'd167 : out <= 24'b11110000000011110000000; + 11'd168 : out <= 24'b11110000000000000000000; + 11'd169 : out <= 24'b11110000000000000000000; + 11'd170 : out <= 24'b11100000000000000000000; + 11'd171 : out <= 24'b11100000111111111100000; + 11'd172 : out <= 24'b11100000111111111100000; + 11'd173 : out <= 24'b11100000111111111100000; + 11'd174 : out <= 24'b11110000000001110000000; + 11'd175 : out <= 24'b11110000000001110000000; + 11'd176 : out <= 24'b11111000000011110000000; + 11'd177 : out <= 24'b01111110001111110000000; + 11'd178 : out <= 24'b00111111111111110000000; + 11'd179 : out <= 24'b00011111111111100000000; + 11'd180 : out <= 24'b00001111111110000000000; + 11'd181 : out <= 24'b00000000000000000000000; + 11'd182 : out <= 24'b00000000000000000000000; + 11'd183 : out <= 24'b00000000000000000000000; + 11'd184 : out <= 24'b00000000000000000000000; + 11'd185 : out <= 24'b0; + 11'd186 : out <= 24'b0; + 11'd187 : out <= 24'b0; + 11'd188 : out <= 24'b0; + +// start rec 7 h = 24 w = 18 ofs = 189 + 11'd189 : out <= 24'b11111110001111111000000; + 11'd190 : out <= 24'b11111110001111111000000; + 11'd191 : out <= 24'b11111110001111111000000; + 11'd192 : out <= 24'b00111000000011100000000; + 11'd193 : out <= 24'b00111000000011100000000; + 11'd194 : out <= 24'b00111000000011100000000; + 11'd195 : out <= 24'b00111000000011100000000; + 11'd196 : out <= 24'b00111000000011100000000; + 11'd197 : out <= 24'b00111111111111100000000; + 11'd198 : out <= 24'b00111111111111100000000; + 11'd199 : out <= 24'b00111111111111100000000; + 11'd200 : out <= 24'b00111000000011100000000; + 11'd201 : out <= 24'b00111000000011100000000; + 11'd202 : out <= 24'b00111000000011100000000; + 11'd203 : out <= 24'b00111000000011100000000; + 11'd204 : out <= 24'b00111000000011100000000; + 11'd205 : out <= 24'b11111110001111111000000; + 11'd206 : out <= 24'b11111110001111111000000; + 11'd207 : out <= 24'b11111110001111111000000; + 11'd208 : out <= 24'b00000000000000000000000; + 11'd209 : out <= 24'b00000000000000000000000; + 11'd210 : out <= 24'b00000000000000000000000; + 11'd211 : out <= 24'b00000000000000000000000; + 11'd212 : out <= 24'b0; + 11'd213 : out <= 24'b0; + 11'd214 : out <= 24'b0; + 11'd215 : out <= 24'b0; + +// start rec 8 h = 24 w = 14 ofs = 216 + 11'd216 : out <= 24'b11111111111110000000000; + 11'd217 : out <= 24'b11111111111110000000000; + 11'd218 : out <= 24'b11111111111110000000000; + 11'd219 : out <= 24'b00000111000000000000000; + 11'd220 : out <= 24'b00000111000000000000000; + 11'd221 : out <= 24'b00000111000000000000000; + 11'd222 : out <= 24'b00000111000000000000000; + 11'd223 : out <= 24'b00000111000000000000000; + 11'd224 : out <= 24'b00000111000000000000000; + 11'd225 : out <= 24'b00000111000000000000000; + 11'd226 : out <= 24'b00000111000000000000000; + 11'd227 : out <= 24'b00000111000000000000000; + 11'd228 : out <= 24'b00000111000000000000000; + 11'd229 : out <= 24'b00000111000000000000000; + 11'd230 : out <= 24'b00000111000000000000000; + 11'd231 : out <= 24'b00000111000000000000000; + 11'd232 : out <= 24'b11111111111110000000000; + 11'd233 : out <= 24'b11111111111110000000000; + 11'd234 : out <= 24'b11111111111110000000000; + 11'd235 : out <= 24'b00000000000000000000000; + 11'd236 : out <= 24'b00000000000000000000000; + 11'd237 : out <= 24'b00000000000000000000000; + 11'd238 : out <= 24'b00000000000000000000000; + 11'd239 : out <= 24'b0; + 11'd240 : out <= 24'b0; + 11'd241 : out <= 24'b0; + 11'd242 : out <= 24'b0; + +// start rec 9 h = 24 w = 18 ofs = 243 + 11'd243 : out <= 24'b00001111111111111000000; + 11'd244 : out <= 24'b00001111111111111000000; + 11'd245 : out <= 24'b00001111111111111000000; + 11'd246 : out <= 24'b00000000001110000000000; + 11'd247 : out <= 24'b00000000001110000000000; + 11'd248 : out <= 24'b00000000001110000000000; + 11'd249 : out <= 24'b00000000001110000000000; + 11'd250 : out <= 24'b00000000001110000000000; + 11'd251 : out <= 24'b00000000001110000000000; + 11'd252 : out <= 24'b00000000001110000000000; + 11'd253 : out <= 24'b11100000001110000000000; + 11'd254 : out <= 24'b11100000001110000000000; + 11'd255 : out <= 24'b11100000001110000000000; + 11'd256 : out <= 24'b11100000001110000000000; + 11'd257 : out <= 24'b11100000011110000000000; + 11'd258 : out <= 24'b11111101111110000000000; + 11'd259 : out <= 24'b11111111111100000000000; + 11'd260 : out <= 24'b11111111111000000000000; + 11'd261 : out <= 24'b00111111110000000000000; + 11'd262 : out <= 24'b00000000000000000000000; + 11'd263 : out <= 24'b00000000000000000000000; + 11'd264 : out <= 24'b00000000000000000000000; + 11'd265 : out <= 24'b00000000000000000000000; + 11'd266 : out <= 24'b0; + 11'd267 : out <= 24'b0; + 11'd268 : out <= 24'b0; + 11'd269 : out <= 24'b0; + +// start rec 10 h = 24 w = 20 ofs = 270 + 11'd270 : out <= 24'b11111111100011111110000; + 11'd271 : out <= 24'b11111111100011111110000; + 11'd272 : out <= 24'b11111111100011111110000; + 11'd273 : out <= 24'b00011100000111110000000; + 11'd274 : out <= 24'b00011100001111100000000; + 11'd275 : out <= 24'b00011100011111000000000; + 11'd276 : out <= 24'b00011101111100000000000; + 11'd277 : out <= 24'b00011111111000000000000; + 11'd278 : out <= 24'b00011111111100000000000; + 11'd279 : out <= 24'b00011111111110000000000; + 11'd280 : out <= 24'b00011110011111000000000; + 11'd281 : out <= 24'b00011100001111000000000; + 11'd282 : out <= 24'b00011100000111100000000; + 11'd283 : out <= 24'b00011100000111100000000; + 11'd284 : out <= 24'b00011100000011110000000; + 11'd285 : out <= 24'b00011100000011110000000; + 11'd286 : out <= 24'b11111111100001111110000; + 11'd287 : out <= 24'b11111111100001111110000; + 11'd288 : out <= 24'b11111111100001111110000; + 11'd289 : out <= 24'b00000000000000000000000; + 11'd290 : out <= 24'b00000000000000000000000; + 11'd291 : out <= 24'b00000000000000000000000; + 11'd292 : out <= 24'b00000000000000000000000; + 11'd293 : out <= 24'b0; + 11'd294 : out <= 24'b0; + 11'd295 : out <= 24'b0; + 11'd296 : out <= 24'b0; + +// start rec 11 h = 24 w = 18 ofs = 297 + 11'd297 : out <= 24'b11111111111000000000000; + 11'd298 : out <= 24'b11111111111000000000000; + 11'd299 : out <= 24'b11111111111000000000000; + 11'd300 : out <= 24'b00001110000000000000000; + 11'd301 : out <= 24'b00001110000000000000000; + 11'd302 : out <= 24'b00001110000000000000000; + 11'd303 : out <= 24'b00001110000000000000000; + 11'd304 : out <= 24'b00001110000000000000000; + 11'd305 : out <= 24'b00001110000000000000000; + 11'd306 : out <= 24'b00001110000000000000000; + 11'd307 : out <= 24'b00001110000000000000000; + 11'd308 : out <= 24'b00001110000000111000000; + 11'd309 : out <= 24'b00001110000000111000000; + 11'd310 : out <= 24'b00001110000000111000000; + 11'd311 : out <= 24'b00001110000000111000000; + 11'd312 : out <= 24'b00001110000000111000000; + 11'd313 : out <= 24'b11111111111111111000000; + 11'd314 : out <= 24'b11111111111111111000000; + 11'd315 : out <= 24'b11111111111111111000000; + 11'd316 : out <= 24'b00000000000000000000000; + 11'd317 : out <= 24'b00000000000000000000000; + 11'd318 : out <= 24'b00000000000000000000000; + 11'd319 : out <= 24'b00000000000000000000000; + 11'd320 : out <= 24'b0; + 11'd321 : out <= 24'b0; + 11'd322 : out <= 24'b0; + 11'd323 : out <= 24'b0; + +// start rec 12 h = 24 w = 22 ofs = 324 + 11'd324 : out <= 24'b11111100000000011111100; + 11'd325 : out <= 24'b11111100000000011111100; + 11'd326 : out <= 24'b11111110000000111111100; + 11'd327 : out <= 24'b00111110000000111110000; + 11'd328 : out <= 24'b00111111000001111110000; + 11'd329 : out <= 24'b00111111000001111110000; + 11'd330 : out <= 24'b00111111100011111110000; + 11'd331 : out <= 24'b00111011110111101110000; + 11'd332 : out <= 24'b00111011110111101110000; + 11'd333 : out <= 24'b00111001111111001110000; + 11'd334 : out <= 24'b00111001111111001110000; + 11'd335 : out <= 24'b00111000111110001110000; + 11'd336 : out <= 24'b00111000111110001110000; + 11'd337 : out <= 24'b00111000011100001110000; + 11'd338 : out <= 24'b00111000011100001110000; + 11'd339 : out <= 24'b00111000000000001110000; + 11'd340 : out <= 24'b11111111000001111111100; + 11'd341 : out <= 24'b11111111000001111111100; + 11'd342 : out <= 24'b11111111000001111111100; + 11'd343 : out <= 24'b00000000000000000000000; + 11'd344 : out <= 24'b00000000000000000000000; + 11'd345 : out <= 24'b00000000000000000000000; + 11'd346 : out <= 24'b00000000000000000000000; + 11'd347 : out <= 24'b0; + 11'd348 : out <= 24'b0; + 11'd349 : out <= 24'b0; + 11'd350 : out <= 24'b0; + +// start rec 13 h = 24 w = 20 ofs = 351 + 11'd351 : out <= 24'b11111100000111111110000; + 11'd352 : out <= 24'b11111110000111111110000; + 11'd353 : out <= 24'b11111110000111111110000; + 11'd354 : out <= 24'b00011111000000111000000; + 11'd355 : out <= 24'b00011111100000111000000; + 11'd356 : out <= 24'b00011111100000111000000; + 11'd357 : out <= 24'b00011111110000111000000; + 11'd358 : out <= 24'b00011101111000111000000; + 11'd359 : out <= 24'b00011101111000111000000; + 11'd360 : out <= 24'b00011100111100111000000; + 11'd361 : out <= 24'b00011100011110111000000; + 11'd362 : out <= 24'b00011100011111111000000; + 11'd363 : out <= 24'b00011100001111111000000; + 11'd364 : out <= 24'b00011100000111111000000; + 11'd365 : out <= 24'b00011100000111111000000; + 11'd366 : out <= 24'b00011100000011111000000; + 11'd367 : out <= 24'b01111111100001111000000; + 11'd368 : out <= 24'b01111111100001111000000; + 11'd369 : out <= 24'b01111111100000111000000; + 11'd370 : out <= 24'b00000000000000000000000; + 11'd371 : out <= 24'b00000000000000000000000; + 11'd372 : out <= 24'b00000000000000000000000; + 11'd373 : out <= 24'b00000000000000000000000; + 11'd374 : out <= 24'b0; + 11'd375 : out <= 24'b0; + 11'd376 : out <= 24'b0; + 11'd377 : out <= 24'b0; + +// start rec 14 h = 24 w = 18 ofs = 378 + 11'd378 : out <= 24'b00000111111100000000000; + 11'd379 : out <= 24'b00011111111111000000000; + 11'd380 : out <= 24'b00111111111111100000000; + 11'd381 : out <= 24'b01111111011111110000000; + 11'd382 : out <= 24'b01111100000111110000000; + 11'd383 : out <= 24'b11111000000011111000000; + 11'd384 : out <= 24'b11110000000001111000000; + 11'd385 : out <= 24'b11110000000001111000000; + 11'd386 : out <= 24'b11100000000000111000000; + 11'd387 : out <= 24'b11100000000000111000000; + 11'd388 : out <= 24'b11100000000000111000000; + 11'd389 : out <= 24'b11110000000001111000000; + 11'd390 : out <= 24'b11110000000001111000000; + 11'd391 : out <= 24'b11111000000011111000000; + 11'd392 : out <= 24'b01111100000111110000000; + 11'd393 : out <= 24'b01111111011111110000000; + 11'd394 : out <= 24'b00111111111111100000000; + 11'd395 : out <= 24'b00011111111111000000000; + 11'd396 : out <= 24'b00000111111100000000000; + 11'd397 : out <= 24'b00000000000000000000000; + 11'd398 : out <= 24'b00000000000000000000000; + 11'd399 : out <= 24'b00000000000000000000000; + 11'd400 : out <= 24'b00000000000000000000000; + 11'd401 : out <= 24'b0; + 11'd402 : out <= 24'b0; + 11'd403 : out <= 24'b0; + 11'd404 : out <= 24'b0; + +// start rec 15 h = 24 w = 18 ofs = 405 + 11'd405 : out <= 24'b11111111111111000000000; + 11'd406 : out <= 24'b11111111111111100000000; + 11'd407 : out <= 24'b11111111111111110000000; + 11'd408 : out <= 24'b00011100000111111000000; + 11'd409 : out <= 24'b00011100000001111000000; + 11'd410 : out <= 24'b00011100000001111000000; + 11'd411 : out <= 24'b00011100000000111000000; + 11'd412 : out <= 24'b00011100000001111000000; + 11'd413 : out <= 24'b00011100000001111000000; + 11'd414 : out <= 24'b00011100001111111000000; + 11'd415 : out <= 24'b00011111111111110000000; + 11'd416 : out <= 24'b00011111111111100000000; + 11'd417 : out <= 24'b00011111111110000000000; + 11'd418 : out <= 24'b00011100000000000000000; + 11'd419 : out <= 24'b00011100000000000000000; + 11'd420 : out <= 24'b00011100000000000000000; + 11'd421 : out <= 24'b11111111111000000000000; + 11'd422 : out <= 24'b11111111111000000000000; + 11'd423 : out <= 24'b11111111111000000000000; + 11'd424 : out <= 24'b00000000000000000000000; + 11'd425 : out <= 24'b00000000000000000000000; + 11'd426 : out <= 24'b00000000000000000000000; + 11'd427 : out <= 24'b00000000000000000000000; + 11'd428 : out <= 24'b0; + 11'd429 : out <= 24'b0; + 11'd430 : out <= 24'b0; + 11'd431 : out <= 24'b0; + +// start rec 16 h = 24 w = 18 ofs = 432 + 11'd432 : out <= 24'b00000111111100000000000; + 11'd433 : out <= 24'b00011111111111000000000; + 11'd434 : out <= 24'b00111111111111100000000; + 11'd435 : out <= 24'b00111111011111110000000; + 11'd436 : out <= 24'b01111100000111110000000; + 11'd437 : out <= 24'b11111000000011111000000; + 11'd438 : out <= 24'b11110000000001111000000; + 11'd439 : out <= 24'b11110000000001111000000; + 11'd440 : out <= 24'b11100000000000111000000; + 11'd441 : out <= 24'b11100000000000111000000; + 11'd442 : out <= 24'b11100000000000111000000; + 11'd443 : out <= 24'b11110000000001111000000; + 11'd444 : out <= 24'b11110000000001111000000; + 11'd445 : out <= 24'b11111000000011111000000; + 11'd446 : out <= 24'b01111100000111110000000; + 11'd447 : out <= 24'b01111111011111110000000; + 11'd448 : out <= 24'b00111111111111100000000; + 11'd449 : out <= 24'b00011111111111000000000; + 11'd450 : out <= 24'b00001111111100000000000; + 11'd451 : out <= 24'b00000111111111111000000; + 11'd452 : out <= 24'b00011111111111111000000; + 11'd453 : out <= 24'b00011111111111111000000; + 11'd454 : out <= 24'b00011111001111110000000; + 11'd455 : out <= 24'b0; + 11'd456 : out <= 24'b0; + 11'd457 : out <= 24'b0; + 11'd458 : out <= 24'b0; + +// start rec 17 h = 24 w = 21 ofs = 459 + 11'd459 : out <= 24'b11111111111111000000000; + 11'd460 : out <= 24'b11111111111111100000000; + 11'd461 : out <= 24'b11111111111111110000000; + 11'd462 : out <= 24'b00011100000111111000000; + 11'd463 : out <= 24'b00011100000001111000000; + 11'd464 : out <= 24'b00011100000000111000000; + 11'd465 : out <= 24'b00011100000001111000000; + 11'd466 : out <= 24'b00011100000001111000000; + 11'd467 : out <= 24'b00011100001111111000000; + 11'd468 : out <= 24'b00011111111111110000000; + 11'd469 : out <= 24'b00011111111111100000000; + 11'd470 : out <= 24'b00011111111111100000000; + 11'd471 : out <= 24'b00011100001111110000000; + 11'd472 : out <= 24'b00011100000111111000000; + 11'd473 : out <= 24'b00011100000011111000000; + 11'd474 : out <= 24'b00011100000001111100000; + 11'd475 : out <= 24'b11111111100000111111000; + 11'd476 : out <= 24'b11111111100000011111000; + 11'd477 : out <= 24'b11111111100000011111000; + 11'd478 : out <= 24'b00000000000000000000000; + 11'd479 : out <= 24'b00000000000000000000000; + 11'd480 : out <= 24'b00000000000000000000000; + 11'd481 : out <= 24'b00000000000000000000000; + 11'd482 : out <= 24'b0; + 11'd483 : out <= 24'b0; + 11'd484 : out <= 24'b0; + 11'd485 : out <= 24'b0; + +// start rec 18 h = 24 w = 16 ofs = 486 + 11'd486 : out <= 24'b00001111111111000000000; + 11'd487 : out <= 24'b00111111111111000000000; + 11'd488 : out <= 24'b00111111111111000000000; + 11'd489 : out <= 24'b01111110011111000000000; + 11'd490 : out <= 24'b01111000000111000000000; + 11'd491 : out <= 24'b01110000000111000000000; + 11'd492 : out <= 24'b01111000000111000000000; + 11'd493 : out <= 24'b01111111000000000000000; + 11'd494 : out <= 24'b00111111111100000000000; + 11'd495 : out <= 24'b00111111111111000000000; + 11'd496 : out <= 24'b00001111111111000000000; + 11'd497 : out <= 24'b00000000111111100000000; + 11'd498 : out <= 24'b00000000000111100000000; + 11'd499 : out <= 24'b11100000000011100000000; + 11'd500 : out <= 24'b11100000000111100000000; + 11'd501 : out <= 24'b11111100011111100000000; + 11'd502 : out <= 24'b11111111111111100000000; + 11'd503 : out <= 24'b11111111111111000000000; + 11'd504 : out <= 24'b11111111111100000000000; + 11'd505 : out <= 24'b00000000000000000000000; + 11'd506 : out <= 24'b00000000000000000000000; + 11'd507 : out <= 24'b00000000000000000000000; + 11'd508 : out <= 24'b00000000000000000000000; + 11'd509 : out <= 24'b0; + 11'd510 : out <= 24'b0; + 11'd511 : out <= 24'b0; + 11'd512 : out <= 24'b0; + +// start rec 19 h = 24 w = 18 ofs = 513 + 11'd513 : out <= 24'b11111111111111111000000; + 11'd514 : out <= 24'b11111111111111111000000; + 11'd515 : out <= 24'b11111111111111111000000; + 11'd516 : out <= 24'b11100001110000111000000; + 11'd517 : out <= 24'b11100001110000111000000; + 11'd518 : out <= 24'b11100001110000111000000; + 11'd519 : out <= 24'b11100001110000111000000; + 11'd520 : out <= 24'b11100001110000111000000; + 11'd521 : out <= 24'b00000001110000000000000; + 11'd522 : out <= 24'b00000001110000000000000; + 11'd523 : out <= 24'b00000001110000000000000; + 11'd524 : out <= 24'b00000001110000000000000; + 11'd525 : out <= 24'b00000001110000000000000; + 11'd526 : out <= 24'b00000001110000000000000; + 11'd527 : out <= 24'b00000001110000000000000; + 11'd528 : out <= 24'b00000001110000000000000; + 11'd529 : out <= 24'b00011111111111000000000; + 11'd530 : out <= 24'b00011111111111000000000; + 11'd531 : out <= 24'b00011111111111000000000; + 11'd532 : out <= 24'b00000000000000000000000; + 11'd533 : out <= 24'b00000000000000000000000; + 11'd534 : out <= 24'b00000000000000000000000; + 11'd535 : out <= 24'b00000000000000000000000; + 11'd536 : out <= 24'b0; + 11'd537 : out <= 24'b0; + 11'd538 : out <= 24'b0; + 11'd539 : out <= 24'b0; + +// start rec 20 h = 24 w = 20 ofs = 540 + 11'd540 : out <= 24'b11111111000111111110000; + 11'd541 : out <= 24'b11111111000111111110000; + 11'd542 : out <= 24'b11111111000111111110000; + 11'd543 : out <= 24'b00111000000000111000000; + 11'd544 : out <= 24'b00111000000000111000000; + 11'd545 : out <= 24'b00111000000000111000000; + 11'd546 : out <= 24'b00111000000000111000000; + 11'd547 : out <= 24'b00111000000000111000000; + 11'd548 : out <= 24'b00111000000000111000000; + 11'd549 : out <= 24'b00111000000000111000000; + 11'd550 : out <= 24'b00111000000000111000000; + 11'd551 : out <= 24'b00111000000000111000000; + 11'd552 : out <= 24'b00111000000000111000000; + 11'd553 : out <= 24'b00111100000001111000000; + 11'd554 : out <= 24'b00111100000001111000000; + 11'd555 : out <= 24'b00011111000111110000000; + 11'd556 : out <= 24'b00011111111111110000000; + 11'd557 : out <= 24'b00001111111111100000000; + 11'd558 : out <= 24'b00000011111110000000000; + 11'd559 : out <= 24'b00000000000000000000000; + 11'd560 : out <= 24'b00000000000000000000000; + 11'd561 : out <= 24'b00000000000000000000000; + 11'd562 : out <= 24'b00000000000000000000000; + 11'd563 : out <= 24'b0; + 11'd564 : out <= 24'b0; + 11'd565 : out <= 24'b0; + 11'd566 : out <= 24'b0; + +// start rec 21 h = 24 w = 22 ofs = 567 + 11'd567 : out <= 24'b11111111000001111111100; + 11'd568 : out <= 24'b11111111000001111111100; + 11'd569 : out <= 24'b11111111000001111111100; + 11'd570 : out <= 24'b00111100000000011110000; + 11'd571 : out <= 24'b00111100000000011110000; + 11'd572 : out <= 24'b00011110000000111100000; + 11'd573 : out <= 24'b00011110000000111100000; + 11'd574 : out <= 24'b00001111000001111000000; + 11'd575 : out <= 24'b00001111000001111000000; + 11'd576 : out <= 24'b00000111100011110000000; + 11'd577 : out <= 24'b00000111100011110000000; + 11'd578 : out <= 24'b00000111110111100000000; + 11'd579 : out <= 24'b00000011110111100000000; + 11'd580 : out <= 24'b00000011111111100000000; + 11'd581 : out <= 24'b00000001111111000000000; + 11'd582 : out <= 24'b00000001111111000000000; + 11'd583 : out <= 24'b00000000111110000000000; + 11'd584 : out <= 24'b00000000111110000000000; + 11'd585 : out <= 24'b00000000011100000000000; + 11'd586 : out <= 24'b00000000000000000000000; + 11'd587 : out <= 24'b00000000000000000000000; + 11'd588 : out <= 24'b00000000000000000000000; + 11'd589 : out <= 24'b00000000000000000000000; + 11'd590 : out <= 24'b0; + 11'd591 : out <= 24'b0; + 11'd592 : out <= 24'b0; + 11'd593 : out <= 24'b0; + +// start rec 22 h = 24 w = 22 ofs = 594 + 11'd594 : out <= 24'b11111111100011111111100; + 11'd595 : out <= 24'b11111111100011111111100; + 11'd596 : out <= 24'b11111111100011111111100; + 11'd597 : out <= 24'b00111100000000011110000; + 11'd598 : out <= 24'b00111100000000011110000; + 11'd599 : out <= 24'b00111100011100011110000; + 11'd600 : out <= 24'b00111100011100011110000; + 11'd601 : out <= 24'b00111100111110011110000; + 11'd602 : out <= 24'b00111100111110011110000; + 11'd603 : out <= 24'b00011100111110011100000; + 11'd604 : out <= 24'b00011111110111111100000; + 11'd605 : out <= 24'b00011111110111111100000; + 11'd606 : out <= 24'b00011111110111111100000; + 11'd607 : out <= 24'b00011111100011111100000; + 11'd608 : out <= 24'b00011111100011111100000; + 11'd609 : out <= 24'b00011111100011111100000; + 11'd610 : out <= 24'b00001111000001111000000; + 11'd611 : out <= 24'b00001111000001111000000; + 11'd612 : out <= 24'b00001110000000111000000; + 11'd613 : out <= 24'b00000000000000000000000; + 11'd614 : out <= 24'b00000000000000000000000; + 11'd615 : out <= 24'b00000000000000000000000; + 11'd616 : out <= 24'b00000000000000000000000; + 11'd617 : out <= 24'b0; + 11'd618 : out <= 24'b0; + 11'd619 : out <= 24'b0; + 11'd620 : out <= 24'b0; + +// start rec 23 h = 24 w = 20 ofs = 621 + 11'd621 : out <= 24'b11111110000011111110000; + 11'd622 : out <= 24'b11111110000011111110000; + 11'd623 : out <= 24'b11111110000011111110000; + 11'd624 : out <= 24'b00111100000001111000000; + 11'd625 : out <= 24'b00011110000011110000000; + 11'd626 : out <= 24'b00001111000111100000000; + 11'd627 : out <= 24'b00000111101111000000000; + 11'd628 : out <= 24'b00000011111110000000000; + 11'd629 : out <= 24'b00000001111100000000000; + 11'd630 : out <= 24'b00000001111100000000000; + 11'd631 : out <= 24'b00000001111100000000000; + 11'd632 : out <= 24'b00000011111110000000000; + 11'd633 : out <= 24'b00000111101111000000000; + 11'd634 : out <= 24'b00001111000111100000000; + 11'd635 : out <= 24'b00011110000011110000000; + 11'd636 : out <= 24'b00111100000001111000000; + 11'd637 : out <= 24'b11111110000011111110000; + 11'd638 : out <= 24'b11111110000011111110000; + 11'd639 : out <= 24'b11111110000011111110000; + 11'd640 : out <= 24'b00000000000000000000000; + 11'd641 : out <= 24'b00000000000000000000000; + 11'd642 : out <= 24'b00000000000000000000000; + 11'd643 : out <= 24'b00000000000000000000000; + 11'd644 : out <= 24'b0; + 11'd645 : out <= 24'b0; + 11'd646 : out <= 24'b0; + 11'd647 : out <= 24'b0; + +// start rec 24 h = 24 w = 18 ofs = 648 + 11'd648 : out <= 24'b11111110001111111000000; + 11'd649 : out <= 24'b11111110001111111000000; + 11'd650 : out <= 24'b11111110001111111000000; + 11'd651 : out <= 24'b00111100000111100000000; + 11'd652 : out <= 24'b00111100000111100000000; + 11'd653 : out <= 24'b00011110001111000000000; + 11'd654 : out <= 24'b00001111011110000000000; + 11'd655 : out <= 24'b00001111011110000000000; + 11'd656 : out <= 24'b00000111111100000000000; + 11'd657 : out <= 24'b00000011111000000000000; + 11'd658 : out <= 24'b00000011111000000000000; + 11'd659 : out <= 24'b00000001110000000000000; + 11'd660 : out <= 24'b00000001110000000000000; + 11'd661 : out <= 24'b00000001110000000000000; + 11'd662 : out <= 24'b00000001110000000000000; + 11'd663 : out <= 24'b00000001110000000000000; + 11'd664 : out <= 24'b00011111111111000000000; + 11'd665 : out <= 24'b00011111111111000000000; + 11'd666 : out <= 24'b00011111111111000000000; + 11'd667 : out <= 24'b00000000000000000000000; + 11'd668 : out <= 24'b00000000000000000000000; + 11'd669 : out <= 24'b00000000000000000000000; + 11'd670 : out <= 24'b00000000000000000000000; + 11'd671 : out <= 24'b0; + 11'd672 : out <= 24'b0; + 11'd673 : out <= 24'b0; + 11'd674 : out <= 24'b0; + +// start rec 25 h = 24 w = 16 ofs = 675 + 11'd675 : out <= 24'b01111111111111000000000; + 11'd676 : out <= 24'b01111111111111000000000; + 11'd677 : out <= 24'b01111111111111000000000; + 11'd678 : out <= 24'b01110000001111000000000; + 11'd679 : out <= 24'b01110000011110000000000; + 11'd680 : out <= 24'b01110000111100000000000; + 11'd681 : out <= 24'b01110000111100000000000; + 11'd682 : out <= 24'b00000001111000000000000; + 11'd683 : out <= 24'b00000011110000000000000; + 11'd684 : out <= 24'b00000111100000000000000; + 11'd685 : out <= 24'b00001111000000000000000; + 11'd686 : out <= 24'b00011110000000000000000; + 11'd687 : out <= 24'b00111100000011100000000; + 11'd688 : out <= 24'b00111100000011100000000; + 11'd689 : out <= 24'b01111000000011100000000; + 11'd690 : out <= 24'b11110000000011100000000; + 11'd691 : out <= 24'b11111111111111100000000; + 11'd692 : out <= 24'b11111111111111100000000; + 11'd693 : out <= 24'b11111111111111100000000; + 11'd694 : out <= 24'b00000000000000000000000; + 11'd695 : out <= 24'b00000000000000000000000; + 11'd696 : out <= 24'b00000000000000000000000; + 11'd697 : out <= 24'b00000000000000000000000; + 11'd698 : out <= 24'b0; + 11'd699 : out <= 24'b0; + 11'd700 : out <= 24'b0; + 11'd701 : out <= 24'b0; + + +// rows 42 to 68 +// start rec 26 h = 27 w = 18 ofs = 702 + 11'd702 : out <= 24'b00000000000000000000000; + 11'd703 : out <= 24'b00000000000000000000000; + 11'd704 : out <= 24'b00000000000000000000000; + 11'd705 : out <= 24'b00000000000000000000000; + 11'd706 : out <= 24'b00000000000000000000000; + 11'd707 : out <= 24'b00000000000000000000000; + 11'd708 : out <= 24'b01111111111100000000000; + 11'd709 : out <= 24'b01111111111110000000000; + 11'd710 : out <= 24'b01111111111111000000000; + 11'd711 : out <= 24'b00000000001111000000000; + 11'd712 : out <= 24'b00000000000111000000000; + 11'd713 : out <= 24'b00000000000111000000000; + 11'd714 : out <= 24'b00011111111111000000000; + 11'd715 : out <= 24'b01111111111111000000000; + 11'd716 : out <= 24'b11111100011111000000000; + 11'd717 : out <= 24'b11110000001111000000000; + 11'd718 : out <= 24'b11110001111111000000000; + 11'd719 : out <= 24'b11111111111111111000000; + 11'd720 : out <= 24'b01111111111111111000000; + 11'd721 : out <= 24'b00111111100111111000000; + 11'd722 : out <= 24'b00000000000000000000000; + 11'd723 : out <= 24'b00000000000000000000000; + 11'd724 : out <= 24'b00000000000000000000000; + 11'd725 : out <= 24'b00000000000000000000000; + 11'd726 : out <= 24'b00000000000000000000000; + 11'd727 : out <= 24'b00000000000000000000000; + 11'd728 : out <= 24'b0; + +// start rec 27 h = 27 w = 20 ofs = 729 + 11'd729 : out <= 24'b11111100000000000000000; + 11'd730 : out <= 24'b11111100000000000000000; + 11'd731 : out <= 24'b11111100000000000000000; + 11'd732 : out <= 24'b00011100000000000000000; + 11'd733 : out <= 24'b00011100000000000000000; + 11'd734 : out <= 24'b00011100000000000000000; + 11'd735 : out <= 24'b00011101111111100000000; + 11'd736 : out <= 24'b00011111111111110000000; + 11'd737 : out <= 24'b00011111111111111100000; + 11'd738 : out <= 24'b00011111110011111100000; + 11'd739 : out <= 24'b00011111000000111110000; + 11'd740 : out <= 24'b00011110000000011110000; + 11'd741 : out <= 24'b00011100000000001110000; + 11'd742 : out <= 24'b00011100000000001110000; + 11'd743 : out <= 24'b00011110000000011110000; + 11'd744 : out <= 24'b00011110000000011110000; + 11'd745 : out <= 24'b00011111110011111110000; + 11'd746 : out <= 24'b11111111111111111100000; + 11'd747 : out <= 24'b11111111111111111000000; + 11'd748 : out <= 24'b11111101111111100000000; + 11'd749 : out <= 24'b00000000000000000000000; + 11'd750 : out <= 24'b00000000000000000000000; + 11'd751 : out <= 24'b00000000000000000000000; + 11'd752 : out <= 24'b00000000000000000000000; + 11'd753 : out <= 24'b00000000000000000000000; + 11'd754 : out <= 24'b00000000000000000000000; + 11'd755 : out <= 24'b0; + +// start rec 28 h = 27 w = 18 ofs = 756 + 11'd756 : out <= 24'b00000000000000000000000; + 11'd757 : out <= 24'b00000000000000000000000; + 11'd758 : out <= 24'b00000000000000000000000; + 11'd759 : out <= 24'b00000000000000000000000; + 11'd760 : out <= 24'b00000000000000000000000; + 11'd761 : out <= 24'b00000000000000000000000; + 11'd762 : out <= 24'b00001111111111110000000; + 11'd763 : out <= 24'b00111111111111110000000; + 11'd764 : out <= 24'b01111111111111110000000; + 11'd765 : out <= 24'b01111110001111110000000; + 11'd766 : out <= 24'b11111000000011110000000; + 11'd767 : out <= 24'b11110000000011110000000; + 11'd768 : out <= 24'b11100000000000000000000; + 11'd769 : out <= 24'b11100000000000000000000; + 11'd770 : out <= 24'b11110000000000000000000; + 11'd771 : out <= 24'b11110000000001111000000; + 11'd772 : out <= 24'b11111110000111111000000; + 11'd773 : out <= 24'b01111111111111111000000; + 11'd774 : out <= 24'b00111111111111110000000; + 11'd775 : out <= 24'b00001111111111100000000; + 11'd776 : out <= 24'b00000000000000000000000; + 11'd777 : out <= 24'b00000000000000000000000; + 11'd778 : out <= 24'b00000000000000000000000; + 11'd779 : out <= 24'b00000000000000000000000; + 11'd780 : out <= 24'b00000000000000000000000; + 11'd781 : out <= 24'b00000000000000000000000; + 11'd782 : out <= 24'b0; + +// start rec 29 h = 27 w = 20 ofs = 783 + 11'd783 : out <= 24'b00000000001111110000000; + 11'd784 : out <= 24'b00000000001111110000000; + 11'd785 : out <= 24'b00000000001111110000000; + 11'd786 : out <= 24'b00000000000001110000000; + 11'd787 : out <= 24'b00000000000001110000000; + 11'd788 : out <= 24'b00000000000001110000000; + 11'd789 : out <= 24'b00001111111101110000000; + 11'd790 : out <= 24'b00011111111111110000000; + 11'd791 : out <= 24'b01111111111111110000000; + 11'd792 : out <= 24'b01111110011111110000000; + 11'd793 : out <= 24'b11111000000111110000000; + 11'd794 : out <= 24'b11110000000011110000000; + 11'd795 : out <= 24'b11100000000001110000000; + 11'd796 : out <= 24'b11100000000001110000000; + 11'd797 : out <= 24'b11110000000011110000000; + 11'd798 : out <= 24'b11110000000011110000000; + 11'd799 : out <= 24'b11111110011111110000000; + 11'd800 : out <= 24'b01111111111111111110000; + 11'd801 : out <= 24'b00111111111111111110000; + 11'd802 : out <= 24'b00001111111101111110000; + 11'd803 : out <= 24'b00000000000000000000000; + 11'd804 : out <= 24'b00000000000000000000000; + 11'd805 : out <= 24'b00000000000000000000000; + 11'd806 : out <= 24'b00000000000000000000000; + 11'd807 : out <= 24'b00000000000000000000000; + 11'd808 : out <= 24'b00000000000000000000000; + 11'd809 : out <= 24'b0; + +// start rec 30 h = 27 w = 18 ofs = 810 + 11'd810 : out <= 24'b00000000000000000000000; + 11'd811 : out <= 24'b00000000000000000000000; + 11'd812 : out <= 24'b00000000000000000000000; + 11'd813 : out <= 24'b00000000000000000000000; + 11'd814 : out <= 24'b00000000000000000000000; + 11'd815 : out <= 24'b00000000000000000000000; + 11'd816 : out <= 24'b00001111111110000000000; + 11'd817 : out <= 24'b00011111111111100000000; + 11'd818 : out <= 24'b01111111111111110000000; + 11'd819 : out <= 24'b01111110001111110000000; + 11'd820 : out <= 24'b11111000000011111000000; + 11'd821 : out <= 24'b11110000000001111000000; + 11'd822 : out <= 24'b11111111111111111000000; + 11'd823 : out <= 24'b11111111111111111000000; + 11'd824 : out <= 24'b11110000000000000000000; + 11'd825 : out <= 24'b11111000000000000000000; + 11'd826 : out <= 24'b01111110001111111000000; + 11'd827 : out <= 24'b01111111111111111000000; + 11'd828 : out <= 24'b00111111111111111000000; + 11'd829 : out <= 24'b00001111111111100000000; + 11'd830 : out <= 24'b00000000000000000000000; + 11'd831 : out <= 24'b00000000000000000000000; + 11'd832 : out <= 24'b00000000000000000000000; + 11'd833 : out <= 24'b00000000000000000000000; + 11'd834 : out <= 24'b00000000000000000000000; + 11'd835 : out <= 24'b00000000000000000000000; + 11'd836 : out <= 24'b0; + +// start rec 31 h = 27 w = 17 ofs = 837 + 11'd837 : out <= 24'b00000011111111110000000; + 11'd838 : out <= 24'b00000111111111110000000; + 11'd839 : out <= 24'b00001111111111110000000; + 11'd840 : out <= 24'b00001111100000000000000; + 11'd841 : out <= 24'b00001110000000000000000; + 11'd842 : out <= 24'b00001110000000000000000; + 11'd843 : out <= 24'b11111111111111000000000; + 11'd844 : out <= 24'b11111111111111000000000; + 11'd845 : out <= 24'b11111111111111000000000; + 11'd846 : out <= 24'b00001110000000000000000; + 11'd847 : out <= 24'b00001110000000000000000; + 11'd848 : out <= 24'b00001110000000000000000; + 11'd849 : out <= 24'b00001110000000000000000; + 11'd850 : out <= 24'b00001110000000000000000; + 11'd851 : out <= 24'b00001110000000000000000; + 11'd852 : out <= 24'b00001110000000000000000; + 11'd853 : out <= 24'b00001110000000000000000; + 11'd854 : out <= 24'b11111111111111000000000; + 11'd855 : out <= 24'b11111111111111000000000; + 11'd856 : out <= 24'b11111111111111000000000; + 11'd857 : out <= 24'b00000000000000000000000; + 11'd858 : out <= 24'b00000000000000000000000; + 11'd859 : out <= 24'b00000000000000000000000; + 11'd860 : out <= 24'b00000000000000000000000; + 11'd861 : out <= 24'b00000000000000000000000; + 11'd862 : out <= 24'b00000000000000000000000; + 11'd863 : out <= 24'b0; + +// start rec 32 h = 27 w = 19 ofs = 864 + 11'd864 : out <= 24'b00000000000000000000000; + 11'd865 : out <= 24'b00000000000000000000000; + 11'd866 : out <= 24'b00000000000000000000000; + 11'd867 : out <= 24'b00000000000000000000000; + 11'd868 : out <= 24'b00000000000000000000000; + 11'd869 : out <= 24'b00000000000000000000000; + 11'd870 : out <= 24'b00001111111111111100000; + 11'd871 : out <= 24'b00111111111111111100000; + 11'd872 : out <= 24'b01111111111111111100000; + 11'd873 : out <= 24'b01111100011111100000000; + 11'd874 : out <= 24'b11111000001111100000000; + 11'd875 : out <= 24'b11110000000111100000000; + 11'd876 : out <= 24'b11100000000011100000000; + 11'd877 : out <= 24'b11100000000011100000000; + 11'd878 : out <= 24'b11110000000111100000000; + 11'd879 : out <= 24'b11111000001111100000000; + 11'd880 : out <= 24'b01111100011111100000000; + 11'd881 : out <= 24'b01111111111111100000000; + 11'd882 : out <= 24'b00111111111111100000000; + 11'd883 : out <= 24'b00001111111011100000000; + 11'd884 : out <= 24'b00000000000011100000000; + 11'd885 : out <= 24'b00000000000111100000000; + 11'd886 : out <= 24'b00000000001111100000000; + 11'd887 : out <= 24'b00011111111111000000000; + 11'd888 : out <= 24'b00011111111111000000000; + 11'd889 : out <= 24'b00011111111100000000000; + 11'd890 : out <= 24'b0; + +// start rec 33 h = 27 w = 19 ofs = 891 + 11'd891 : out <= 24'b11111100000000000000000; + 11'd892 : out <= 24'b11111100000000000000000; + 11'd893 : out <= 24'b11111100000000000000000; + 11'd894 : out <= 24'b00011100000000000000000; + 11'd895 : out <= 24'b00011100000000000000000; + 11'd896 : out <= 24'b00011100000000000000000; + 11'd897 : out <= 24'b00011111111111000000000; + 11'd898 : out <= 24'b00011111111111100000000; + 11'd899 : out <= 24'b00011111111111110000000; + 11'd900 : out <= 24'b00011111100111110000000; + 11'd901 : out <= 24'b00011100000011110000000; + 11'd902 : out <= 24'b00011100000001110000000; + 11'd903 : out <= 24'b00011100000001110000000; + 11'd904 : out <= 24'b00011100000001110000000; + 11'd905 : out <= 24'b00011100000001110000000; + 11'd906 : out <= 24'b00011100000001110000000; + 11'd907 : out <= 24'b00011100000001110000000; + 11'd908 : out <= 24'b01111111000111111100000; + 11'd909 : out <= 24'b01111111000111111100000; + 11'd910 : out <= 24'b01111111000111111100000; + 11'd911 : out <= 24'b00000000000000000000000; + 11'd912 : out <= 24'b00000000000000000000000; + 11'd913 : out <= 24'b00000000000000000000000; + 11'd914 : out <= 24'b00000000000000000000000; + 11'd915 : out <= 24'b00000000000000000000000; + 11'd916 : out <= 24'b00000000000000000000000; + 11'd917 : out <= 24'b0; + +// start rec 34 h = 27 w = 16 ofs = 918 + 11'd918 : out <= 24'b00000011100000000000000; + 11'd919 : out <= 24'b00000011100000000000000; + 11'd920 : out <= 24'b00000011100000000000000; + 11'd921 : out <= 24'b00000000000000000000000; + 11'd922 : out <= 24'b00000000000000000000000; + 11'd923 : out <= 24'b00000000000000000000000; + 11'd924 : out <= 24'b01111111100000000000000; + 11'd925 : out <= 24'b01111111100000000000000; + 11'd926 : out <= 24'b01111111100000000000000; + 11'd927 : out <= 24'b00000011100000000000000; + 11'd928 : out <= 24'b00000011100000000000000; + 11'd929 : out <= 24'b00000011100000000000000; + 11'd930 : out <= 24'b00000011100000000000000; + 11'd931 : out <= 24'b00000011100000000000000; + 11'd932 : out <= 24'b00000011100000000000000; + 11'd933 : out <= 24'b00000011100000000000000; + 11'd934 : out <= 24'b00000011100000000000000; + 11'd935 : out <= 24'b11111111111111100000000; + 11'd936 : out <= 24'b11111111111111100000000; + 11'd937 : out <= 24'b11111111111111100000000; + 11'd938 : out <= 24'b00000000000000000000000; + 11'd939 : out <= 24'b00000000000000000000000; + 11'd940 : out <= 24'b00000000000000000000000; + 11'd941 : out <= 24'b00000000000000000000000; + 11'd942 : out <= 24'b00000000000000000000000; + 11'd943 : out <= 24'b00000000000000000000000; + 11'd944 : out <= 24'b0; + +// start rec 35 h = 27 w = 13 ofs = 945 + 11'd945 : out <= 24'b00000011100000000000000; + 11'd946 : out <= 24'b00000011100000000000000; + 11'd947 : out <= 24'b00000011100000000000000; + 11'd948 : out <= 24'b00000000000000000000000; + 11'd949 : out <= 24'b00000000000000000000000; + 11'd950 : out <= 24'b00000000000000000000000; + 11'd951 : out <= 24'b11111111111100000000000; + 11'd952 : out <= 24'b11111111111100000000000; + 11'd953 : out <= 24'b11111111111100000000000; + 11'd954 : out <= 24'b00000000011100000000000; + 11'd955 : out <= 24'b00000000011100000000000; + 11'd956 : out <= 24'b00000000011100000000000; + 11'd957 : out <= 24'b00000000011100000000000; + 11'd958 : out <= 24'b00000000011100000000000; + 11'd959 : out <= 24'b00000000011100000000000; + 11'd960 : out <= 24'b00000000011100000000000; + 11'd961 : out <= 24'b00000000011100000000000; + 11'd962 : out <= 24'b00000000011100000000000; + 11'd963 : out <= 24'b00000000011100000000000; + 11'd964 : out <= 24'b00000000011100000000000; + 11'd965 : out <= 24'b00000000011100000000000; + 11'd966 : out <= 24'b00000000111100000000000; + 11'd967 : out <= 24'b00000001111100000000000; + 11'd968 : out <= 24'b11111111111000000000000; + 11'd969 : out <= 24'b11111111111000000000000; + 11'd970 : out <= 24'b11111111100000000000000; + 11'd971 : out <= 24'b0; + +// start rec 36 h = 27 w = 18 ofs = 972 + 11'd972 : out <= 24'b11111100000000000000000; + 11'd973 : out <= 24'b11111100000000000000000; + 11'd974 : out <= 24'b11111100000000000000000; + 11'd975 : out <= 24'b00011100000000000000000; + 11'd976 : out <= 24'b00011100000000000000000; + 11'd977 : out <= 24'b00011100000000000000000; + 11'd978 : out <= 24'b00011100111111110000000; + 11'd979 : out <= 24'b00011100111111110000000; + 11'd980 : out <= 24'b00011100111111110000000; + 11'd981 : out <= 24'b00011100111111000000000; + 11'd982 : out <= 24'b00011111111110000000000; + 11'd983 : out <= 24'b00011111111000000000000; + 11'd984 : out <= 24'b00011111110000000000000; + 11'd985 : out <= 24'b00011111111000000000000; + 11'd986 : out <= 24'b00011111111100000000000; + 11'd987 : out <= 24'b00011100111110000000000; + 11'd988 : out <= 24'b00011100011111100000000; + 11'd989 : out <= 24'b11111100011111111000000; + 11'd990 : out <= 24'b11111100011111111000000; + 11'd991 : out <= 24'b11111100011111111000000; + 11'd992 : out <= 24'b00000000000000000000000; + 11'd993 : out <= 24'b00000000000000000000000; + 11'd994 : out <= 24'b00000000000000000000000; + 11'd995 : out <= 24'b00000000000000000000000; + 11'd996 : out <= 24'b00000000000000000000000; + 11'd997 : out <= 24'b00000000000000000000000; + 11'd998 : out <= 24'b0; + +// start rec 37 h = 27 w = 16 ofs = 999 + 11'd999 : out <= 24'b01111111100000000000000; + 11'd1000 : out <= 24'b01111111100000000000000; + 11'd1001 : out <= 24'b01111111100000000000000; + 11'd1002 : out <= 24'b00000011100000000000000; + 11'd1003 : out <= 24'b00000011100000000000000; + 11'd1004 : out <= 24'b00000011100000000000000; + 11'd1005 : out <= 24'b00000011100000000000000; + 11'd1006 : out <= 24'b00000011100000000000000; + 11'd1007 : out <= 24'b00000011100000000000000; + 11'd1008 : out <= 24'b00000011100000000000000; + 11'd1009 : out <= 24'b00000011100000000000000; + 11'd1010 : out <= 24'b00000011100000000000000; + 11'd1011 : out <= 24'b00000011100000000000000; + 11'd1012 : out <= 24'b00000011100000000000000; + 11'd1013 : out <= 24'b00000011100000000000000; + 11'd1014 : out <= 24'b00000011100000000000000; + 11'd1015 : out <= 24'b00000011100000000000000; + 11'd1016 : out <= 24'b11111111111111100000000; + 11'd1017 : out <= 24'b11111111111111100000000; + 11'd1018 : out <= 24'b11111111111111100000000; + 11'd1019 : out <= 24'b00000000000000000000000; + 11'd1020 : out <= 24'b00000000000000000000000; + 11'd1021 : out <= 24'b00000000000000000000000; + 11'd1022 : out <= 24'b00000000000000000000000; + 11'd1023 : out <= 24'b00000000000000000000000; + 11'd1024 : out <= 24'b00000000000000000000000; + 11'd1025 : out <= 24'b0; + +// start rec 38 h = 27 w = 22 ofs = 1026 + 11'd1026 : out <= 24'b00000000000000000000000; + 11'd1027 : out <= 24'b00000000000000000000000; + 11'd1028 : out <= 24'b00000000000000000000000; + 11'd1029 : out <= 24'b00000000000000000000000; + 11'd1030 : out <= 24'b00000000000000000000000; + 11'd1031 : out <= 24'b00000000000000000000000; + 11'd1032 : out <= 24'b11111011110011111100000; + 11'd1033 : out <= 24'b11111111111111111110000; + 11'd1034 : out <= 24'b11111111111111111110000; + 11'd1035 : out <= 24'b00111110111111011110000; + 11'd1036 : out <= 24'b00111100011110001110000; + 11'd1037 : out <= 24'b00111000011100001110000; + 11'd1038 : out <= 24'b00111000011100001110000; + 11'd1039 : out <= 24'b00111000011100001110000; + 11'd1040 : out <= 24'b00111000011100001110000; + 11'd1041 : out <= 24'b00111000011100001110000; + 11'd1042 : out <= 24'b00111000011100001110000; + 11'd1043 : out <= 24'b11111110011111001111100; + 11'd1044 : out <= 24'b11111110011111001111100; + 11'd1045 : out <= 24'b11111110011111001111100; + 11'd1046 : out <= 24'b00000000000000000000000; + 11'd1047 : out <= 24'b00000000000000000000000; + 11'd1048 : out <= 24'b00000000000000000000000; + 11'd1049 : out <= 24'b00000000000000000000000; + 11'd1050 : out <= 24'b00000000000000000000000; + 11'd1051 : out <= 24'b00000000000000000000000; + 11'd1052 : out <= 24'b0; + +// start rec 39 h = 27 w = 18 ofs = 1053 + 11'd1053 : out <= 24'b00000000000000000000000; + 11'd1054 : out <= 24'b00000000000000000000000; + 11'd1055 : out <= 24'b00000000000000000000000; + 11'd1056 : out <= 24'b00000000000000000000000; + 11'd1057 : out <= 24'b00000000000000000000000; + 11'd1058 : out <= 24'b00000000000000000000000; + 11'd1059 : out <= 24'b11111111111110000000000; + 11'd1060 : out <= 24'b11111111111111000000000; + 11'd1061 : out <= 24'b11111111111111100000000; + 11'd1062 : out <= 24'b00111111001111100000000; + 11'd1063 : out <= 24'b00111000000011100000000; + 11'd1064 : out <= 24'b00111000000011100000000; + 11'd1065 : out <= 24'b00111000000011100000000; + 11'd1066 : out <= 24'b00111000000011100000000; + 11'd1067 : out <= 24'b00111000000011100000000; + 11'd1068 : out <= 24'b00111000000011100000000; + 11'd1069 : out <= 24'b00111000000011100000000; + 11'd1070 : out <= 24'b11111110001111111000000; + 11'd1071 : out <= 24'b11111110001111111000000; + 11'd1072 : out <= 24'b11111110001111111000000; + 11'd1073 : out <= 24'b00000000000000000000000; + 11'd1074 : out <= 24'b00000000000000000000000; + 11'd1075 : out <= 24'b00000000000000000000000; + 11'd1076 : out <= 24'b00000000000000000000000; + 11'd1077 : out <= 24'b00000000000000000000000; + 11'd1078 : out <= 24'b00000000000000000000000; + 11'd1079 : out <= 24'b0; + +// start rec 40 h = 27 w = 18 ofs = 1080 + 11'd1080 : out <= 24'b00000000000000000000000; + 11'd1081 : out <= 24'b00000000000000000000000; + 11'd1082 : out <= 24'b00000000000000000000000; + 11'd1083 : out <= 24'b00000000000000000000000; + 11'd1084 : out <= 24'b00000000000000000000000; + 11'd1085 : out <= 24'b00000000000000000000000; + 11'd1086 : out <= 24'b00001111111110000000000; + 11'd1087 : out <= 24'b00011111111111000000000; + 11'd1088 : out <= 24'b00111111111111100000000; + 11'd1089 : out <= 24'b01111110001111110000000; + 11'd1090 : out <= 24'b11111000000011111000000; + 11'd1091 : out <= 24'b11110000000001111000000; + 11'd1092 : out <= 24'b11100000000000111000000; + 11'd1093 : out <= 24'b11100000000000111000000; + 11'd1094 : out <= 24'b11110000000001111000000; + 11'd1095 : out <= 24'b11111000000011111000000; + 11'd1096 : out <= 24'b01111110001111110000000; + 11'd1097 : out <= 24'b01111111111111110000000; + 11'd1098 : out <= 24'b00111111111111100000000; + 11'd1099 : out <= 24'b00001111111110000000000; + 11'd1100 : out <= 24'b00000000000000000000000; + 11'd1101 : out <= 24'b00000000000000000000000; + 11'd1102 : out <= 24'b00000000000000000000000; + 11'd1103 : out <= 24'b00000000000000000000000; + 11'd1104 : out <= 24'b00000000000000000000000; + 11'd1105 : out <= 24'b00000000000000000000000; + 11'd1106 : out <= 24'b0; + +// start rec 41 h = 27 w = 20 ofs = 1107 + 11'd1107 : out <= 24'b00000000000000000000000; + 11'd1108 : out <= 24'b00000000000000000000000; + 11'd1109 : out <= 24'b00000000000000000000000; + 11'd1110 : out <= 24'b00000000000000000000000; + 11'd1111 : out <= 24'b00000000000000000000000; + 11'd1112 : out <= 24'b00000000000000000000000; + 11'd1113 : out <= 24'b11111111111111100000000; + 11'd1114 : out <= 24'b11111111111111110000000; + 11'd1115 : out <= 24'b11111111111111111000000; + 11'd1116 : out <= 24'b00011111110011111100000; + 11'd1117 : out <= 24'b00011111000000111110000; + 11'd1118 : out <= 24'b00011110000000011110000; + 11'd1119 : out <= 24'b00011110000000011110000; + 11'd1120 : out <= 24'b00011100000000001110000; + 11'd1121 : out <= 24'b00011110000000011110000; + 11'd1122 : out <= 24'b00011111000000111110000; + 11'd1123 : out <= 24'b00011111110011111100000; + 11'd1124 : out <= 24'b00011111111111111100000; + 11'd1125 : out <= 24'b00011111111111111000000; + 11'd1126 : out <= 24'b00011101111111100000000; + 11'd1127 : out <= 24'b00011100000000000000000; + 11'd1128 : out <= 24'b00011100000000000000000; + 11'd1129 : out <= 24'b00011100000000000000000; + 11'd1130 : out <= 24'b11111111110000000000000; + 11'd1131 : out <= 24'b11111111110000000000000; + 11'd1132 : out <= 24'b11111111110000000000000; + 11'd1133 : out <= 24'b0; + +// start rec 42 h = 27 w = 20 ofs = 1134 + 11'd1134 : out <= 24'b00000000000000000000000; + 11'd1135 : out <= 24'b00000000000000000000000; + 11'd1136 : out <= 24'b00000000000000000000000; + 11'd1137 : out <= 24'b00000000000000000000000; + 11'd1138 : out <= 24'b00000000000000000000000; + 11'd1139 : out <= 24'b00000000000000000000000; + 11'd1140 : out <= 24'b00001111111111111110000; + 11'd1141 : out <= 24'b00011111111111111110000; + 11'd1142 : out <= 24'b00111111111111111110000; + 11'd1143 : out <= 24'b01111110011111110000000; + 11'd1144 : out <= 24'b11111000000111110000000; + 11'd1145 : out <= 24'b11110000000011110000000; + 11'd1146 : out <= 24'b11110000000011110000000; + 11'd1147 : out <= 24'b11100000000001110000000; + 11'd1148 : out <= 24'b11110000000011110000000; + 11'd1149 : out <= 24'b11111000000111110000000; + 11'd1150 : out <= 24'b01111110011111110000000; + 11'd1151 : out <= 24'b01111111111111110000000; + 11'd1152 : out <= 24'b00111111111111110000000; + 11'd1153 : out <= 24'b00001111111101110000000; + 11'd1154 : out <= 24'b00000000000001110000000; + 11'd1155 : out <= 24'b00000000000001110000000; + 11'd1156 : out <= 24'b00000000000001110000000; + 11'd1157 : out <= 24'b00000000011111111110000; + 11'd1158 : out <= 24'b00000000011111111110000; + 11'd1159 : out <= 24'b00000000011111111110000; + 11'd1160 : out <= 24'b0; + +// start rec 43 h = 27 w = 17 ofs = 1161 + 11'd1161 : out <= 24'b00000000000000000000000; + 11'd1162 : out <= 24'b00000000000000000000000; + 11'd1163 : out <= 24'b00000000000000000000000; + 11'd1164 : out <= 24'b00000000000000000000000; + 11'd1165 : out <= 24'b00000000000000000000000; + 11'd1166 : out <= 24'b00000000000000000000000; + 11'd1167 : out <= 24'b01111110001111100000000; + 11'd1168 : out <= 24'b01111110111111110000000; + 11'd1169 : out <= 24'b01111111111111110000000; + 11'd1170 : out <= 24'b00001111111101110000000; + 11'd1171 : out <= 24'b00001111110000000000000; + 11'd1172 : out <= 24'b00001111100000000000000; + 11'd1173 : out <= 24'b00001110000000000000000; + 11'd1174 : out <= 24'b00001110000000000000000; + 11'd1175 : out <= 24'b00001110000000000000000; + 11'd1176 : out <= 24'b00001110000000000000000; + 11'd1177 : out <= 24'b00001110000000000000000; + 11'd1178 : out <= 24'b11111111111111000000000; + 11'd1179 : out <= 24'b11111111111111000000000; + 11'd1180 : out <= 24'b11111111111111000000000; + 11'd1181 : out <= 24'b00000000000000000000000; + 11'd1182 : out <= 24'b00000000000000000000000; + 11'd1183 : out <= 24'b00000000000000000000000; + 11'd1184 : out <= 24'b00000000000000000000000; + 11'd1185 : out <= 24'b00000000000000000000000; + 11'd1186 : out <= 24'b00000000000000000000000; + 11'd1187 : out <= 24'b0; + +// start rec 44 h = 27 w = 16 ofs = 1188 + 11'd1188 : out <= 24'b00000000000000000000000; + 11'd1189 : out <= 24'b00000000000000000000000; + 11'd1190 : out <= 24'b00000000000000000000000; + 11'd1191 : out <= 24'b00000000000000000000000; + 11'd1192 : out <= 24'b00000000000000000000000; + 11'd1193 : out <= 24'b00000000000000000000000; + 11'd1194 : out <= 24'b00011111111111000000000; + 11'd1195 : out <= 24'b00111111111111000000000; + 11'd1196 : out <= 24'b01111111111111000000000; + 11'd1197 : out <= 24'b01111100011111000000000; + 11'd1198 : out <= 24'b01111000000111000000000; + 11'd1199 : out <= 24'b01111111111000000000000; + 11'd1200 : out <= 24'b00111111111111000000000; + 11'd1201 : out <= 24'b00011111111111100000000; + 11'd1202 : out <= 24'b00000001111111100000000; + 11'd1203 : out <= 24'b11110000000011100000000; + 11'd1204 : out <= 24'b11111100001111100000000; + 11'd1205 : out <= 24'b11111111111111100000000; + 11'd1206 : out <= 24'b11111111111111000000000; + 11'd1207 : out <= 24'b11111111111100000000000; + 11'd1208 : out <= 24'b00000000000000000000000; + 11'd1209 : out <= 24'b00000000000000000000000; + 11'd1210 : out <= 24'b00000000000000000000000; + 11'd1211 : out <= 24'b00000000000000000000000; + 11'd1212 : out <= 24'b00000000000000000000000; + 11'd1213 : out <= 24'b00000000000000000000000; + 11'd1214 : out <= 24'b0; + +// start rec 45 h = 27 w = 17 ofs = 1215 + 11'd1215 : out <= 24'b00000000000000000000000; + 11'd1216 : out <= 24'b00011100000000000000000; + 11'd1217 : out <= 24'b00011100000000000000000; + 11'd1218 : out <= 24'b00011100000000000000000; + 11'd1219 : out <= 24'b00011100000000000000000; + 11'd1220 : out <= 24'b00011100000000000000000; + 11'd1221 : out <= 24'b11111111111111000000000; + 11'd1222 : out <= 24'b11111111111111000000000; + 11'd1223 : out <= 24'b11111111111111000000000; + 11'd1224 : out <= 24'b00011100000000000000000; + 11'd1225 : out <= 24'b00011100000000000000000; + 11'd1226 : out <= 24'b00011100000000000000000; + 11'd1227 : out <= 24'b00011100000000000000000; + 11'd1228 : out <= 24'b00011100000000000000000; + 11'd1229 : out <= 24'b00011100000000000000000; + 11'd1230 : out <= 24'b00011100000000000000000; + 11'd1231 : out <= 24'b00011110000111110000000; + 11'd1232 : out <= 24'b00011111111111110000000; + 11'd1233 : out <= 24'b00001111111111110000000; + 11'd1234 : out <= 24'b00000111111111000000000; + 11'd1235 : out <= 24'b00000000000000000000000; + 11'd1236 : out <= 24'b00000000000000000000000; + 11'd1237 : out <= 24'b00000000000000000000000; + 11'd1238 : out <= 24'b00000000000000000000000; + 11'd1239 : out <= 24'b00000000000000000000000; + 11'd1240 : out <= 24'b00000000000000000000000; + 11'd1241 : out <= 24'b0; + +// start rec 46 h = 27 w = 19 ofs = 1242 + 11'd1242 : out <= 24'b00000000000000000000000; + 11'd1243 : out <= 24'b00000000000000000000000; + 11'd1244 : out <= 24'b00000000000000000000000; + 11'd1245 : out <= 24'b00000000000000000000000; + 11'd1246 : out <= 24'b00000000000000000000000; + 11'd1247 : out <= 24'b00000000000000000000000; + 11'd1248 : out <= 24'b11111100001111110000000; + 11'd1249 : out <= 24'b11111100001111110000000; + 11'd1250 : out <= 24'b11111100001111110000000; + 11'd1251 : out <= 24'b00011100000001110000000; + 11'd1252 : out <= 24'b00011100000001110000000; + 11'd1253 : out <= 24'b00011100000001110000000; + 11'd1254 : out <= 24'b00011100000001110000000; + 11'd1255 : out <= 24'b00011100000001110000000; + 11'd1256 : out <= 24'b00011100000001110000000; + 11'd1257 : out <= 24'b00011100000011110000000; + 11'd1258 : out <= 24'b00011110011111110000000; + 11'd1259 : out <= 24'b00011111111111111100000; + 11'd1260 : out <= 24'b00001111111111111100000; + 11'd1261 : out <= 24'b00000111111111111100000; + 11'd1262 : out <= 24'b00000000000000000000000; + 11'd1263 : out <= 24'b00000000000000000000000; + 11'd1264 : out <= 24'b00000000000000000000000; + 11'd1265 : out <= 24'b00000000000000000000000; + 11'd1266 : out <= 24'b00000000000000000000000; + 11'd1267 : out <= 24'b00000000000000000000000; + 11'd1268 : out <= 24'b0; + +// start rec 47 h = 27 w = 19 ofs = 1269 + 11'd1269 : out <= 24'b00000000000000000000000; + 11'd1270 : out <= 24'b00000000000000000000000; + 11'd1271 : out <= 24'b00000000000000000000000; + 11'd1272 : out <= 24'b00000000000000000000000; + 11'd1273 : out <= 24'b00000000000000000000000; + 11'd1274 : out <= 24'b00000000000000000000000; + 11'd1275 : out <= 24'b11111110001111111100000; + 11'd1276 : out <= 24'b11111110001111111100000; + 11'd1277 : out <= 24'b11111110001111111100000; + 11'd1278 : out <= 24'b00111100000111100000000; + 11'd1279 : out <= 24'b00111100000111100000000; + 11'd1280 : out <= 24'b00011100000111000000000; + 11'd1281 : out <= 24'b00011110001111000000000; + 11'd1282 : out <= 24'b00011110001111000000000; + 11'd1283 : out <= 24'b00001111011110000000000; + 11'd1284 : out <= 24'b00001111011110000000000; + 11'd1285 : out <= 24'b00000111111100000000000; + 11'd1286 : out <= 24'b00000111111100000000000; + 11'd1287 : out <= 24'b00000111111100000000000; + 11'd1288 : out <= 24'b00000011111000000000000; + 11'd1289 : out <= 24'b00000000000000000000000; + 11'd1290 : out <= 24'b00000000000000000000000; + 11'd1291 : out <= 24'b00000000000000000000000; + 11'd1292 : out <= 24'b00000000000000000000000; + 11'd1293 : out <= 24'b00000000000000000000000; + 11'd1294 : out <= 24'b00000000000000000000000; + 11'd1295 : out <= 24'b0; + +// start rec 48 h = 27 w = 20 ofs = 1296 + 11'd1296 : out <= 24'b00000000000000000000000; + 11'd1297 : out <= 24'b00000000000000000000000; + 11'd1298 : out <= 24'b00000000000000000000000; + 11'd1299 : out <= 24'b00000000000000000000000; + 11'd1300 : out <= 24'b00000000000000000000000; + 11'd1301 : out <= 24'b00000000000000000000000; + 11'd1302 : out <= 24'b11111110000011111110000; + 11'd1303 : out <= 24'b11111110000011111110000; + 11'd1304 : out <= 24'b11111110000011111110000; + 11'd1305 : out <= 24'b00111000111000111000000; + 11'd1306 : out <= 24'b00111001111100111000000; + 11'd1307 : out <= 24'b00111101111101111000000; + 11'd1308 : out <= 24'b00011101111101110000000; + 11'd1309 : out <= 24'b00011111111111110000000; + 11'd1310 : out <= 24'b00011111101111110000000; + 11'd1311 : out <= 24'b00011111101111110000000; + 11'd1312 : out <= 24'b00001111001111100000000; + 11'd1313 : out <= 24'b00001111000111100000000; + 11'd1314 : out <= 24'b00001111000111100000000; + 11'd1315 : out <= 24'b00001110000111100000000; + 11'd1316 : out <= 24'b00000000000000000000000; + 11'd1317 : out <= 24'b00000000000000000000000; + 11'd1318 : out <= 24'b00000000000000000000000; + 11'd1319 : out <= 24'b00000000000000000000000; + 11'd1320 : out <= 24'b00000000000000000000000; + 11'd1321 : out <= 24'b00000000000000000000000; + 11'd1322 : out <= 24'b0; + +// start rec 49 h = 27 w = 18 ofs = 1323 + 11'd1323 : out <= 24'b00000000000000000000000; + 11'd1324 : out <= 24'b00000000000000000000000; + 11'd1325 : out <= 24'b00000000000000000000000; + 11'd1326 : out <= 24'b00000000000000000000000; + 11'd1327 : out <= 24'b00000000000000000000000; + 11'd1328 : out <= 24'b00000000000000000000000; + 11'd1329 : out <= 24'b01111111011111110000000; + 11'd1330 : out <= 24'b01111111011111110000000; + 11'd1331 : out <= 24'b01111111011111110000000; + 11'd1332 : out <= 24'b00001111011110000000000; + 11'd1333 : out <= 24'b00000111111100000000000; + 11'd1334 : out <= 24'b00000111111000000000000; + 11'd1335 : out <= 24'b00000011111000000000000; + 11'd1336 : out <= 24'b00000011111000000000000; + 11'd1337 : out <= 24'b00000111111100000000000; + 11'd1338 : out <= 24'b00001111011110000000000; + 11'd1339 : out <= 24'b00011110001111000000000; + 11'd1340 : out <= 24'b11111110001111111000000; + 11'd1341 : out <= 24'b11111110001111111000000; + 11'd1342 : out <= 24'b11111110001111111000000; + 11'd1343 : out <= 24'b00000000000000000000000; + 11'd1344 : out <= 24'b00000000000000000000000; + 11'd1345 : out <= 24'b00000000000000000000000; + 11'd1346 : out <= 24'b00000000000000000000000; + 11'd1347 : out <= 24'b00000000000000000000000; + 11'd1348 : out <= 24'b00000000000000000000000; + 11'd1349 : out <= 24'b0; + +// start rec 50 h = 27 w = 19 ofs = 1350 + 11'd1350 : out <= 24'b00000000000000000000000; + 11'd1351 : out <= 24'b00000000000000000000000; + 11'd1352 : out <= 24'b00000000000000000000000; + 11'd1353 : out <= 24'b00000000000000000000000; + 11'd1354 : out <= 24'b00000000000000000000000; + 11'd1355 : out <= 24'b00000000000000000000000; + 11'd1356 : out <= 24'b11111110000111111100000; + 11'd1357 : out <= 24'b11111110000111111100000; + 11'd1358 : out <= 24'b11111110000111111100000; + 11'd1359 : out <= 24'b00111100000011110000000; + 11'd1360 : out <= 24'b00111100000011110000000; + 11'd1361 : out <= 24'b00011110000111100000000; + 11'd1362 : out <= 24'b00001110000111100000000; + 11'd1363 : out <= 24'b00001111001111000000000; + 11'd1364 : out <= 24'b00000111101111000000000; + 11'd1365 : out <= 24'b00000111111110000000000; + 11'd1366 : out <= 24'b00000011111110000000000; + 11'd1367 : out <= 24'b00000011111100000000000; + 11'd1368 : out <= 24'b00000001111100000000000; + 11'd1369 : out <= 24'b00000001111000000000000; + 11'd1370 : out <= 24'b00000011111000000000000; + 11'd1371 : out <= 24'b00000011110000000000000; + 11'd1372 : out <= 24'b00000011110000000000000; + 11'd1373 : out <= 24'b01111111111100000000000; + 11'd1374 : out <= 24'b01111111111100000000000; + 11'd1375 : out <= 24'b01111111111100000000000; + 11'd1376 : out <= 24'b0; + +// start rec 51 h = 27 w = 14 ofs = 1377 + 11'd1377 : out <= 24'b00000000000000000000000; + 11'd1378 : out <= 24'b00000000000000000000000; + 11'd1379 : out <= 24'b00000000000000000000000; + 11'd1380 : out <= 24'b00000000000000000000000; + 11'd1381 : out <= 24'b00000000000000000000000; + 11'd1382 : out <= 24'b00000000000000000000000; + 11'd1383 : out <= 24'b11111111111110000000000; + 11'd1384 : out <= 24'b11111111111110000000000; + 11'd1385 : out <= 24'b11111111111110000000000; + 11'd1386 : out <= 24'b11100000111110000000000; + 11'd1387 : out <= 24'b11100001111000000000000; + 11'd1388 : out <= 24'b00000011110000000000000; + 11'd1389 : out <= 24'b00000111100000000000000; + 11'd1390 : out <= 24'b00001111000000000000000; + 11'd1391 : out <= 24'b00011110000000000000000; + 11'd1392 : out <= 24'b00111100001110000000000; + 11'd1393 : out <= 24'b11111000001110000000000; + 11'd1394 : out <= 24'b11111111111110000000000; + 11'd1395 : out <= 24'b11111111111110000000000; + 11'd1396 : out <= 24'b11111111111110000000000; + 11'd1397 : out <= 24'b00000000000000000000000; + 11'd1398 : out <= 24'b00000000000000000000000; + 11'd1399 : out <= 24'b00000000000000000000000; + 11'd1400 : out <= 24'b00000000000000000000000; + 11'd1401 : out <= 24'b00000000000000000000000; + 11'd1402 : out <= 24'b00000000000000000000000; + 11'd1403 : out <= 24'b0; + + +// rows 76 to 102 +// start rec 52 h = 27 w = 15 ofs = 1404 + 11'd1404 : out <= 24'b00000000000000000000000; + 11'd1405 : out <= 24'b00000000000000000000000; + 11'd1406 : out <= 24'b00001111110000000000000; + 11'd1407 : out <= 24'b00111111111100000000000; + 11'd1408 : out <= 24'b01111111111100000000000; + 11'd1409 : out <= 24'b01111100111110000000000; + 11'd1410 : out <= 24'b11111000011111000000000; + 11'd1411 : out <= 24'b11110000001111000000000; + 11'd1412 : out <= 24'b11110000001111000000000; + 11'd1413 : out <= 24'b11100000000111000000000; + 11'd1414 : out <= 24'b11100000000111000000000; + 11'd1415 : out <= 24'b11100000000111000000000; + 11'd1416 : out <= 24'b11100000000111000000000; + 11'd1417 : out <= 24'b11100000000111000000000; + 11'd1418 : out <= 24'b11100000000111000000000; + 11'd1419 : out <= 24'b11110000001111000000000; + 11'd1420 : out <= 24'b11110000001111000000000; + 11'd1421 : out <= 24'b11111000011111000000000; + 11'd1422 : out <= 24'b01111100111110000000000; + 11'd1423 : out <= 24'b00111111111110000000000; + 11'd1424 : out <= 24'b00111111111100000000000; + 11'd1425 : out <= 24'b00011111110000000000000; + 11'd1426 : out <= 24'b00000000000000000000000; + 11'd1427 : out <= 24'b00000000000000000000000; + 11'd1428 : out <= 24'b00000000000000000000000; + 11'd1429 : out <= 24'b00000000000000000000000; + 11'd1430 : out <= 24'b0; + +// start rec 53 h = 27 w = 14 ofs = 1431 + 11'd1431 : out <= 24'b00000000000000000000000; + 11'd1432 : out <= 24'b00000000000000000000000; + 11'd1433 : out <= 24'b00000111000000000000000; + 11'd1434 : out <= 24'b01111111000000000000000; + 11'd1435 : out <= 24'b11111111000000000000000; + 11'd1436 : out <= 24'b11111111000000000000000; + 11'd1437 : out <= 24'b11111111000000000000000; + 11'd1438 : out <= 24'b00000111000000000000000; + 11'd1439 : out <= 24'b00000111000000000000000; + 11'd1440 : out <= 24'b00000111000000000000000; + 11'd1441 : out <= 24'b00000111000000000000000; + 11'd1442 : out <= 24'b00000111000000000000000; + 11'd1443 : out <= 24'b00000111000000000000000; + 11'd1444 : out <= 24'b00000111000000000000000; + 11'd1445 : out <= 24'b00000111000000000000000; + 11'd1446 : out <= 24'b00000111000000000000000; + 11'd1447 : out <= 24'b00000111000000000000000; + 11'd1448 : out <= 24'b00000111000000000000000; + 11'd1449 : out <= 24'b00000111000000000000000; + 11'd1450 : out <= 24'b11111111111110000000000; + 11'd1451 : out <= 24'b11111111111110000000000; + 11'd1452 : out <= 24'b11111111111110000000000; + 11'd1453 : out <= 24'b00000000000000000000000; + 11'd1454 : out <= 24'b00000000000000000000000; + 11'd1455 : out <= 24'b00000000000000000000000; + 11'd1456 : out <= 24'b00000000000000000000000; + 11'd1457 : out <= 24'b0; + +// start rec 54 h = 27 w = 15 ofs = 1458 + 11'd1458 : out <= 24'b00000000000000000000000; + 11'd1459 : out <= 24'b00000000000000000000000; + 11'd1460 : out <= 24'b00001111111000000000000; + 11'd1461 : out <= 24'b00011111111100000000000; + 11'd1462 : out <= 24'b00111111111110000000000; + 11'd1463 : out <= 24'b01111110111111000000000; + 11'd1464 : out <= 24'b01111000001111000000000; + 11'd1465 : out <= 24'b01110000000111000000000; + 11'd1466 : out <= 24'b01110000000111000000000; + 11'd1467 : out <= 24'b00000000001111000000000; + 11'd1468 : out <= 24'b00000000011111000000000; + 11'd1469 : out <= 24'b00000000111110000000000; + 11'd1470 : out <= 24'b00000001111100000000000; + 11'd1471 : out <= 24'b00000011111000000000000; + 11'd1472 : out <= 24'b00000111110000000000000; + 11'd1473 : out <= 24'b00001111000000000000000; + 11'd1474 : out <= 24'b00111110000000000000000; + 11'd1475 : out <= 24'b01111100000000000000000; + 11'd1476 : out <= 24'b11110000000000000000000; + 11'd1477 : out <= 24'b11111111111111000000000; + 11'd1478 : out <= 24'b11111111111111000000000; + 11'd1479 : out <= 24'b11111111111111000000000; + 11'd1480 : out <= 24'b00000000000000000000000; + 11'd1481 : out <= 24'b00000000000000000000000; + 11'd1482 : out <= 24'b00000000000000000000000; + 11'd1483 : out <= 24'b00000000000000000000000; + 11'd1484 : out <= 24'b0; + +// start rec 55 h = 27 w = 16 ofs = 1485 + 11'd1485 : out <= 24'b00000000000000000000000; + 11'd1486 : out <= 24'b00000000000000000000000; + 11'd1487 : out <= 24'b00011111111000000000000; + 11'd1488 : out <= 24'b00111111111110000000000; + 11'd1489 : out <= 24'b01111111111110000000000; + 11'd1490 : out <= 24'b01111100011111000000000; + 11'd1491 : out <= 24'b01110000001111000000000; + 11'd1492 : out <= 24'b00000000000111000000000; + 11'd1493 : out <= 24'b00000000001111000000000; + 11'd1494 : out <= 24'b00000000011111000000000; + 11'd1495 : out <= 24'b00000111111110000000000; + 11'd1496 : out <= 24'b00000111111110000000000; + 11'd1497 : out <= 24'b00000111111111000000000; + 11'd1498 : out <= 24'b00000000111111100000000; + 11'd1499 : out <= 24'b00000000000111100000000; + 11'd1500 : out <= 24'b00000000000111100000000; + 11'd1501 : out <= 24'b00000000000011100000000; + 11'd1502 : out <= 24'b00000000000111100000000; + 11'd1503 : out <= 24'b11110000011111100000000; + 11'd1504 : out <= 24'b11111111111111000000000; + 11'd1505 : out <= 24'b11111111111110000000000; + 11'd1506 : out <= 24'b01111111111100000000000; + 11'd1507 : out <= 24'b00000000000000000000000; + 11'd1508 : out <= 24'b00000000000000000000000; + 11'd1509 : out <= 24'b00000000000000000000000; + 11'd1510 : out <= 24'b00000000000000000000000; + 11'd1511 : out <= 24'b0; + +// start rec 56 h = 27 w = 15 ofs = 1512 + 11'd1512 : out <= 24'b00000000000000000000000; + 11'd1513 : out <= 24'b00000000000000000000000; + 11'd1514 : out <= 24'b00000001111100000000000; + 11'd1515 : out <= 24'b00000001111100000000000; + 11'd1516 : out <= 24'b00000011111100000000000; + 11'd1517 : out <= 24'b00000111111100000000000; + 11'd1518 : out <= 24'b00000111111100000000000; + 11'd1519 : out <= 24'b00001111011100000000000; + 11'd1520 : out <= 24'b00011110011100000000000; + 11'd1521 : out <= 24'b00011110011100000000000; + 11'd1522 : out <= 24'b00111100011100000000000; + 11'd1523 : out <= 24'b01111100011100000000000; + 11'd1524 : out <= 24'b01111000011100000000000; + 11'd1525 : out <= 24'b11110000011100000000000; + 11'd1526 : out <= 24'b11111111111111000000000; + 11'd1527 : out <= 24'b11111111111111000000000; + 11'd1528 : out <= 24'b11111111111111000000000; + 11'd1529 : out <= 24'b00000000011100000000000; + 11'd1530 : out <= 24'b00000000011100000000000; + 11'd1531 : out <= 24'b00000011111111000000000; + 11'd1532 : out <= 24'b00000011111111000000000; + 11'd1533 : out <= 24'b00000011111111000000000; + 11'd1534 : out <= 24'b00000000000000000000000; + 11'd1535 : out <= 24'b00000000000000000000000; + 11'd1536 : out <= 24'b00000000000000000000000; + 11'd1537 : out <= 24'b00000000000000000000000; + 11'd1538 : out <= 24'b0; + +// start rec 57 h = 27 w = 16 ofs = 1539 + 11'd1539 : out <= 24'b00000000000000000000000; + 11'd1540 : out <= 24'b00000000000000000000000; + 11'd1541 : out <= 24'b00111111111111000000000; + 11'd1542 : out <= 24'b00111111111111000000000; + 11'd1543 : out <= 24'b00111111111111000000000; + 11'd1544 : out <= 24'b00111000000000000000000; + 11'd1545 : out <= 24'b00111000000000000000000; + 11'd1546 : out <= 24'b00111000000000000000000; + 11'd1547 : out <= 24'b00111111111100000000000; + 11'd1548 : out <= 24'b00111111111110000000000; + 11'd1549 : out <= 24'b00111111111111000000000; + 11'd1550 : out <= 24'b00111111011111100000000; + 11'd1551 : out <= 24'b00111000000111100000000; + 11'd1552 : out <= 24'b00000000000111100000000; + 11'd1553 : out <= 24'b00000000000011100000000; + 11'd1554 : out <= 24'b00000000000011100000000; + 11'd1555 : out <= 24'b00000000000111100000000; + 11'd1556 : out <= 24'b11100000000111100000000; + 11'd1557 : out <= 24'b11111000011111100000000; + 11'd1558 : out <= 24'b11111111111111000000000; + 11'd1559 : out <= 24'b01111111111110000000000; + 11'd1560 : out <= 24'b00111111111100000000000; + 11'd1561 : out <= 24'b00000000000000000000000; + 11'd1562 : out <= 24'b00000000000000000000000; + 11'd1563 : out <= 24'b00000000000000000000000; + 11'd1564 : out <= 24'b00000000000000000000000; + 11'd1565 : out <= 24'b0; + +// start rec 58 h = 27 w = 15 ofs = 1566 + 11'd1566 : out <= 24'b00000000000000000000000; + 11'd1567 : out <= 24'b00000000000000000000000; + 11'd1568 : out <= 24'b00000011111110000000000; + 11'd1569 : out <= 24'b00001111111111000000000; + 11'd1570 : out <= 24'b00011111111111000000000; + 11'd1571 : out <= 24'b00111111100111000000000; + 11'd1572 : out <= 24'b01111110000000000000000; + 11'd1573 : out <= 24'b01111000000000000000000; + 11'd1574 : out <= 24'b11110000000000000000000; + 11'd1575 : out <= 24'b11111111111000000000000; + 11'd1576 : out <= 24'b11111111111100000000000; + 11'd1577 : out <= 24'b11111111111110000000000; + 11'd1578 : out <= 24'b11111110111111000000000; + 11'd1579 : out <= 24'b11111000001111000000000; + 11'd1580 : out <= 24'b11110000001111000000000; + 11'd1581 : out <= 24'b11110000000111000000000; + 11'd1582 : out <= 24'b11110000000111000000000; + 11'd1583 : out <= 24'b11110000001111000000000; + 11'd1584 : out <= 24'b01111100111111000000000; + 11'd1585 : out <= 24'b01111111111110000000000; + 11'd1586 : out <= 24'b00111111111110000000000; + 11'd1587 : out <= 24'b00001111111000000000000; + 11'd1588 : out <= 24'b00000000000000000000000; + 11'd1589 : out <= 24'b00000000000000000000000; + 11'd1590 : out <= 24'b00000000000000000000000; + 11'd1591 : out <= 24'b00000000000000000000000; + 11'd1592 : out <= 24'b0; + +// start rec 59 h = 27 w = 14 ofs = 1593 + 11'd1593 : out <= 24'b00000000000000000000000; + 11'd1594 : out <= 24'b00000000000000000000000; + 11'd1595 : out <= 24'b11111111111110000000000; + 11'd1596 : out <= 24'b11111111111110000000000; + 11'd1597 : out <= 24'b11111111111110000000000; + 11'd1598 : out <= 24'b11100000011110000000000; + 11'd1599 : out <= 24'b11100000011110000000000; + 11'd1600 : out <= 24'b00000000011110000000000; + 11'd1601 : out <= 24'b00000000111100000000000; + 11'd1602 : out <= 24'b00000000111100000000000; + 11'd1603 : out <= 24'b00000000111100000000000; + 11'd1604 : out <= 24'b00000001111000000000000; + 11'd1605 : out <= 24'b00000001111000000000000; + 11'd1606 : out <= 24'b00000001111000000000000; + 11'd1607 : out <= 24'b00000011110000000000000; + 11'd1608 : out <= 24'b00000011110000000000000; + 11'd1609 : out <= 24'b00000011110000000000000; + 11'd1610 : out <= 24'b00000111100000000000000; + 11'd1611 : out <= 24'b00000111100000000000000; + 11'd1612 : out <= 24'b00000111100000000000000; + 11'd1613 : out <= 24'b00000111000000000000000; + 11'd1614 : out <= 24'b00000111000000000000000; + 11'd1615 : out <= 24'b00000000000000000000000; + 11'd1616 : out <= 24'b00000000000000000000000; + 11'd1617 : out <= 24'b00000000000000000000000; + 11'd1618 : out <= 24'b00000000000000000000000; + 11'd1619 : out <= 24'b0; + +// start rec 60 h = 27 w = 15 ofs = 1620 + 11'd1620 : out <= 24'b00000000000000000000000; + 11'd1621 : out <= 24'b00000000000000000000000; + 11'd1622 : out <= 24'b00011111111000000000000; + 11'd1623 : out <= 24'b00111111111100000000000; + 11'd1624 : out <= 24'b01111111111110000000000; + 11'd1625 : out <= 24'b11111100111111000000000; + 11'd1626 : out <= 24'b11110000001111000000000; + 11'd1627 : out <= 24'b11100000000111000000000; + 11'd1628 : out <= 24'b11110000001111000000000; + 11'd1629 : out <= 24'b11111100111111000000000; + 11'd1630 : out <= 24'b01111111111110000000000; + 11'd1631 : out <= 24'b01111111111110000000000; + 11'd1632 : out <= 24'b01111111111110000000000; + 11'd1633 : out <= 24'b11111100111111000000000; + 11'd1634 : out <= 24'b11110000001111000000000; + 11'd1635 : out <= 24'b11110000001111000000000; + 11'd1636 : out <= 24'b11100000000111000000000; + 11'd1637 : out <= 24'b11110000001111000000000; + 11'd1638 : out <= 24'b11111100111111000000000; + 11'd1639 : out <= 24'b01111111111110000000000; + 11'd1640 : out <= 24'b01111111111110000000000; + 11'd1641 : out <= 24'b00011111111000000000000; + 11'd1642 : out <= 24'b00000000000000000000000; + 11'd1643 : out <= 24'b00000000000000000000000; + 11'd1644 : out <= 24'b00000000000000000000000; + 11'd1645 : out <= 24'b00000000000000000000000; + 11'd1646 : out <= 24'b0; + +// start rec 61 h = 27 w = 16 ofs = 1647 + 11'd1647 : out <= 24'b00000000000000000000000; + 11'd1648 : out <= 24'b00000000000000000000000; + 11'd1649 : out <= 24'b00011111110000000000000; + 11'd1650 : out <= 24'b00111111111000000000000; + 11'd1651 : out <= 24'b01111111111100000000000; + 11'd1652 : out <= 24'b11111100111110000000000; + 11'd1653 : out <= 24'b11110000011111000000000; + 11'd1654 : out <= 24'b11110000001111000000000; + 11'd1655 : out <= 24'b11100000001111000000000; + 11'd1656 : out <= 24'b11110000001111000000000; + 11'd1657 : out <= 24'b11110000011111100000000; + 11'd1658 : out <= 24'b11111101111111100000000; + 11'd1659 : out <= 24'b01111111111111000000000; + 11'd1660 : out <= 24'b00111111111111000000000; + 11'd1661 : out <= 24'b00011111111111000000000; + 11'd1662 : out <= 24'b00000000001111000000000; + 11'd1663 : out <= 24'b00000000011111000000000; + 11'd1664 : out <= 24'b00000001111110000000000; + 11'd1665 : out <= 24'b11100111111100000000000; + 11'd1666 : out <= 24'b11111111111000000000000; + 11'd1667 : out <= 24'b11111111110000000000000; + 11'd1668 : out <= 24'b01111111000000000000000; + 11'd1669 : out <= 24'b00000000000000000000000; + 11'd1670 : out <= 24'b00000000000000000000000; + 11'd1671 : out <= 24'b00000000000000000000000; + 11'd1672 : out <= 24'b00000000000000000000000; + 11'd1673 : out <= 24'b0; + +// start rec 62 h = 27 w = 6 ofs = 1674 + 11'd1674 : out <= 24'b00000000000000000000000; + 11'd1675 : out <= 24'b00000000000000000000000; + 11'd1676 : out <= 24'b11111000000000000000000; + 11'd1677 : out <= 24'b11111000000000000000000; + 11'd1678 : out <= 24'b11111000000000000000000; + 11'd1679 : out <= 24'b11111000000000000000000; + 11'd1680 : out <= 24'b11111000000000000000000; + 11'd1681 : out <= 24'b11111000000000000000000; + 11'd1682 : out <= 24'b11111000000000000000000; + 11'd1683 : out <= 24'b11111000000000000000000; + 11'd1684 : out <= 24'b11111000000000000000000; + 11'd1685 : out <= 24'b11111000000000000000000; + 11'd1686 : out <= 24'b11111000000000000000000; + 11'd1687 : out <= 24'b11111000000000000000000; + 11'd1688 : out <= 24'b01110000000000000000000; + 11'd1689 : out <= 24'b01110000000000000000000; + 11'd1690 : out <= 24'b00000000000000000000000; + 11'd1691 : out <= 24'b00000000000000000000000; + 11'd1692 : out <= 24'b00000000000000000000000; + 11'd1693 : out <= 24'b01110000000000000000000; + 11'd1694 : out <= 24'b01110000000000000000000; + 11'd1695 : out <= 24'b01110000000000000000000; + 11'd1696 : out <= 24'b00000000000000000000000; + 11'd1697 : out <= 24'b00000000000000000000000; + 11'd1698 : out <= 24'b00000000000000000000000; + 11'd1699 : out <= 24'b00000000000000000000000; + 11'd1700 : out <= 24'b0; + +// start rec 63 h = 27 w = 13 ofs = 1701 + 11'd1701 : out <= 24'b00000000000000000000000; + 11'd1702 : out <= 24'b00000000000000000000000; + 11'd1703 : out <= 24'b00011111100000000000000; + 11'd1704 : out <= 24'b00111111110000000000000; + 11'd1705 : out <= 24'b01111001111000000000000; + 11'd1706 : out <= 24'b01110000111000000000000; + 11'd1707 : out <= 24'b11100000011000000000000; + 11'd1708 : out <= 24'b11100000011000000000000; + 11'd1709 : out <= 24'b11100011111000000000000; + 11'd1710 : out <= 24'b11000111111000000000000; + 11'd1711 : out <= 24'b11001111011000000000000; + 11'd1712 : out <= 24'b11001110011000000000000; + 11'd1713 : out <= 24'b11001100011000000000000; + 11'd1714 : out <= 24'b11001110011000000000000; + 11'd1715 : out <= 24'b11001111011000000000000; + 11'd1716 : out <= 24'b11000111111100000000000; + 11'd1717 : out <= 24'b11000011111100000000000; + 11'd1718 : out <= 24'b11100000000000000000000; + 11'd1719 : out <= 24'b11100000000000000000000; + 11'd1720 : out <= 24'b11100000000000000000000; + 11'd1721 : out <= 24'b01110000000000000000000; + 11'd1722 : out <= 24'b01111000111000000000000; + 11'd1723 : out <= 24'b00111111111000000000000; + 11'd1724 : out <= 24'b00011111110000000000000; + 11'd1725 : out <= 24'b00000000000000000000000; + 11'd1726 : out <= 24'b00000000000000000000000; + 11'd1727 : out <= 24'b0; + +// start rec 64 h = 27 w = 17 ofs = 1728 + 11'd1728 : out <= 24'b00001110001110000000000; + 11'd1729 : out <= 24'b00001110001110000000000; + 11'd1730 : out <= 24'b00001110001110000000000; + 11'd1731 : out <= 24'b00001110001110000000000; + 11'd1732 : out <= 24'b00011110011110000000000; + 11'd1733 : out <= 24'b00011110011110000000000; + 11'd1734 : out <= 24'b00011110011110000000000; + 11'd1735 : out <= 24'b01111111111111110000000; + 11'd1736 : out <= 24'b01111111111111110000000; + 11'd1737 : out <= 24'b01111111111111110000000; + 11'd1738 : out <= 24'b00011110011110000000000; + 11'd1739 : out <= 24'b00011110011110000000000; + 11'd1740 : out <= 24'b00011110011110000000000; + 11'd1741 : out <= 24'b11111111111111100000000; + 11'd1742 : out <= 24'b11111111111111100000000; + 11'd1743 : out <= 24'b11111111111111100000000; + 11'd1744 : out <= 24'b00011110011110000000000; + 11'd1745 : out <= 24'b00011110011110000000000; + 11'd1746 : out <= 24'b00011110011110000000000; + 11'd1747 : out <= 24'b00011100011100000000000; + 11'd1748 : out <= 24'b00011100011100000000000; + 11'd1749 : out <= 24'b00011100011100000000000; + 11'd1750 : out <= 24'b00011100011100000000000; + 11'd1751 : out <= 24'b00000000000000000000000; + 11'd1752 : out <= 24'b00000000000000000000000; + 11'd1753 : out <= 24'b00000000000000000000000; + 11'd1754 : out <= 24'b0; + +// start rec 65 h = 27 w = 16 ofs = 1755 + 11'd1755 : out <= 24'b00000011100000000000000; + 11'd1756 : out <= 24'b00000011100000000000000; + 11'd1757 : out <= 24'b00000011100000000000000; + 11'd1758 : out <= 24'b00011111111000000000000; + 11'd1759 : out <= 24'b00111111111111000000000; + 11'd1760 : out <= 24'b01111111111111000000000; + 11'd1761 : out <= 24'b01111100111111000000000; + 11'd1762 : out <= 24'b01111000001111000000000; + 11'd1763 : out <= 24'b01111000001111000000000; + 11'd1764 : out <= 24'b01111110000000000000000; + 11'd1765 : out <= 24'b01111111111100000000000; + 11'd1766 : out <= 24'b00111111111110000000000; + 11'd1767 : out <= 24'b00001111111111000000000; + 11'd1768 : out <= 24'b00000001111111100000000; + 11'd1769 : out <= 24'b00000000000111100000000; + 11'd1770 : out <= 24'b11110000000011100000000; + 11'd1771 : out <= 24'b11110000000111100000000; + 11'd1772 : out <= 24'b11111100011111100000000; + 11'd1773 : out <= 24'b11111111111111000000000; + 11'd1774 : out <= 24'b11111111111110000000000; + 11'd1775 : out <= 24'b11111111111100000000000; + 11'd1776 : out <= 24'b00000011100000000000000; + 11'd1777 : out <= 24'b00000011100000000000000; + 11'd1778 : out <= 24'b00000011100000000000000; + 11'd1779 : out <= 24'b00000011100000000000000; + 11'd1780 : out <= 24'b00000011100000000000000; + 11'd1781 : out <= 24'b0; + +// start rec 66 h = 27 w = 15 ofs = 1782 + 11'd1782 : out <= 24'b00000000000000000000000; + 11'd1783 : out <= 24'b00000000000000000000000; + 11'd1784 : out <= 24'b00011111000000000000000; + 11'd1785 : out <= 24'b00111111100000000000000; + 11'd1786 : out <= 24'b01111011110000000000000; + 11'd1787 : out <= 24'b01110001110000000000000; + 11'd1788 : out <= 24'b01100000110000000000000; + 11'd1789 : out <= 24'b01110001110000000000000; + 11'd1790 : out <= 24'b01111011110000000000000; + 11'd1791 : out <= 24'b00111111101111000000000; + 11'd1792 : out <= 24'b00011111111111000000000; + 11'd1793 : out <= 24'b00001111111110000000000; + 11'd1794 : out <= 24'b01111111110000000000000; + 11'd1795 : out <= 24'b11111111111000000000000; + 11'd1796 : out <= 24'b11110111111100000000000; + 11'd1797 : out <= 24'b00001111011110000000000; + 11'd1798 : out <= 24'b00001110001110000000000; + 11'd1799 : out <= 24'b00001100000110000000000; + 11'd1800 : out <= 24'b00001110001110000000000; + 11'd1801 : out <= 24'b00001111011110000000000; + 11'd1802 : out <= 24'b00000111111100000000000; + 11'd1803 : out <= 24'b00000011111000000000000; + 11'd1804 : out <= 24'b00000000000000000000000; + 11'd1805 : out <= 24'b00000000000000000000000; + 11'd1806 : out <= 24'b00000000000000000000000; + 11'd1807 : out <= 24'b00000000000000000000000; + 11'd1808 : out <= 24'b0; + +// start rec 67 h = 27 w = 14 ofs = 1809 + 11'd1809 : out <= 24'b00000000000000000000000; + 11'd1810 : out <= 24'b00000010000000000000000; + 11'd1811 : out <= 24'b00000111000000000000000; + 11'd1812 : out <= 24'b00001111100000000000000; + 11'd1813 : out <= 24'b00011111110000000000000; + 11'd1814 : out <= 24'b00111111111000000000000; + 11'd1815 : out <= 24'b00111100111000000000000; + 11'd1816 : out <= 24'b01111000111100000000000; + 11'd1817 : out <= 24'b11110000011110000000000; + 11'd1818 : out <= 24'b11100000001110000000000; + 11'd1819 : out <= 24'b11000000000110000000000; + 11'd1820 : out <= 24'b00000000000000000000000; + 11'd1821 : out <= 24'b00000000000000000000000; + 11'd1822 : out <= 24'b00000000000000000000000; + 11'd1823 : out <= 24'b00000000000000000000000; + 11'd1824 : out <= 24'b00000000000000000000000; + 11'd1825 : out <= 24'b00000000000000000000000; + 11'd1826 : out <= 24'b00000000000000000000000; + 11'd1827 : out <= 24'b00000000000000000000000; + 11'd1828 : out <= 24'b00000000000000000000000; + 11'd1829 : out <= 24'b00000000000000000000000; + 11'd1830 : out <= 24'b00000000000000000000000; + 11'd1831 : out <= 24'b00000000000000000000000; + 11'd1832 : out <= 24'b00000000000000000000000; + 11'd1833 : out <= 24'b00000000000000000000000; + 11'd1834 : out <= 24'b00000000000000000000000; + 11'd1835 : out <= 24'b0; + +// start rec 68 h = 27 w = 16 ofs = 1836 + 11'd1836 : out <= 24'b00000000000000000000000; + 11'd1837 : out <= 24'b00000000000000000000000; + 11'd1838 : out <= 24'b00000000000000000000000; + 11'd1839 : out <= 24'b00000000000000000000000; + 11'd1840 : out <= 24'b00000111111000000000000; + 11'd1841 : out <= 24'b00011111111110000000000; + 11'd1842 : out <= 24'b00111111111110000000000; + 11'd1843 : out <= 24'b00111110111100000000000; + 11'd1844 : out <= 24'b00111000000000000000000; + 11'd1845 : out <= 24'b00111100000000000000000; + 11'd1846 : out <= 24'b00111100000000000000000; + 11'd1847 : out <= 24'b00111110000000000000000; + 11'd1848 : out <= 24'b00111110000000000000000; + 11'd1849 : out <= 24'b01111111001111100000000; + 11'd1850 : out <= 24'b11111111101111100000000; + 11'd1851 : out <= 24'b11110111111111100000000; + 11'd1852 : out <= 24'b11110011111111000000000; + 11'd1853 : out <= 24'b11100001111110000000000; + 11'd1854 : out <= 24'b11111001111110000000000; + 11'd1855 : out <= 24'b11111111111111100000000; + 11'd1856 : out <= 24'b01111111111111100000000; + 11'd1857 : out <= 24'b00111111110111100000000; + 11'd1858 : out <= 24'b00000000000000000000000; + 11'd1859 : out <= 24'b00000000000000000000000; + 11'd1860 : out <= 24'b00000000000000000000000; + 11'd1861 : out <= 24'b00000000000000000000000; + 11'd1862 : out <= 24'b0; + +// start rec 69 h = 27 w = 14 ofs = 1863 + 11'd1863 : out <= 24'b00000000000000000000000; + 11'd1864 : out <= 24'b00000000000000000000000; + 11'd1865 : out <= 24'b00000111000000000000000; + 11'd1866 : out <= 24'b00000111000000000000000; + 11'd1867 : out <= 24'b00000111000000000000000; + 11'd1868 : out <= 24'b00000111000000000000000; + 11'd1869 : out <= 24'b11111111111110000000000; + 11'd1870 : out <= 24'b11111111111110000000000; + 11'd1871 : out <= 24'b11111111111110000000000; + 11'd1872 : out <= 24'b01111111111100000000000; + 11'd1873 : out <= 24'b00011111110000000000000; + 11'd1874 : out <= 24'b00011111110000000000000; + 11'd1875 : out <= 24'b00111111111000000000000; + 11'd1876 : out <= 24'b00111101111000000000000; + 11'd1877 : out <= 24'b00111000111000000000000; + 11'd1878 : out <= 24'b00000000000000000000000; + 11'd1879 : out <= 24'b00000000000000000000000; + 11'd1880 : out <= 24'b00000000000000000000000; + 11'd1881 : out <= 24'b00000000000000000000000; + 11'd1882 : out <= 24'b00000000000000000000000; + 11'd1883 : out <= 24'b00000000000000000000000; + 11'd1884 : out <= 24'b00000000000000000000000; + 11'd1885 : out <= 24'b00000000000000000000000; + 11'd1886 : out <= 24'b00000000000000000000000; + 11'd1887 : out <= 24'b00000000000000000000000; + 11'd1888 : out <= 24'b00000000000000000000000; + 11'd1889 : out <= 24'b0; + +// start rec 70 h = 27 w = 8 ofs = 1890 + 11'd1890 : out <= 24'b00000000000000000000000; + 11'd1891 : out <= 24'b00000000000000000000000; + 11'd1892 : out <= 24'b00001110000000000000000; + 11'd1893 : out <= 24'b00011110000000000000000; + 11'd1894 : out <= 24'b00111110000000000000000; + 11'd1895 : out <= 24'b00111110000000000000000; + 11'd1896 : out <= 24'b01111100000000000000000; + 11'd1897 : out <= 24'b01111100000000000000000; + 11'd1898 : out <= 24'b01111000000000000000000; + 11'd1899 : out <= 24'b11111000000000000000000; + 11'd1900 : out <= 24'b11111000000000000000000; + 11'd1901 : out <= 24'b11111000000000000000000; + 11'd1902 : out <= 24'b11110000000000000000000; + 11'd1903 : out <= 24'b11110000000000000000000; + 11'd1904 : out <= 24'b11110000000000000000000; + 11'd1905 : out <= 24'b11110000000000000000000; + 11'd1906 : out <= 24'b11111000000000000000000; + 11'd1907 : out <= 24'b11111000000000000000000; + 11'd1908 : out <= 24'b11111000000000000000000; + 11'd1909 : out <= 24'b01111000000000000000000; + 11'd1910 : out <= 24'b01111100000000000000000; + 11'd1911 : out <= 24'b01111100000000000000000; + 11'd1912 : out <= 24'b00111110000000000000000; + 11'd1913 : out <= 24'b00111110000000000000000; + 11'd1914 : out <= 24'b00011110000000000000000; + 11'd1915 : out <= 24'b00001110000000000000000; + 11'd1916 : out <= 24'b0; + +// start rec 71 h = 27 w = 8 ofs = 1917 + 11'd1917 : out <= 24'b00000000000000000000000; + 11'd1918 : out <= 24'b00000000000000000000000; + 11'd1919 : out <= 24'b11100000000000000000000; + 11'd1920 : out <= 24'b11110000000000000000000; + 11'd1921 : out <= 24'b11111000000000000000000; + 11'd1922 : out <= 24'b11111000000000000000000; + 11'd1923 : out <= 24'b01111100000000000000000; + 11'd1924 : out <= 24'b01111100000000000000000; + 11'd1925 : out <= 24'b00111100000000000000000; + 11'd1926 : out <= 24'b00111110000000000000000; + 11'd1927 : out <= 24'b00111110000000000000000; + 11'd1928 : out <= 24'b00111110000000000000000; + 11'd1929 : out <= 24'b00011110000000000000000; + 11'd1930 : out <= 24'b00011110000000000000000; + 11'd1931 : out <= 24'b00011110000000000000000; + 11'd1932 : out <= 24'b00011110000000000000000; + 11'd1933 : out <= 24'b00111110000000000000000; + 11'd1934 : out <= 24'b00111110000000000000000; + 11'd1935 : out <= 24'b00111110000000000000000; + 11'd1936 : out <= 24'b00111100000000000000000; + 11'd1937 : out <= 24'b01111100000000000000000; + 11'd1938 : out <= 24'b01111100000000000000000; + 11'd1939 : out <= 24'b11111000000000000000000; + 11'd1940 : out <= 24'b11111000000000000000000; + 11'd1941 : out <= 24'b11110000000000000000000; + 11'd1942 : out <= 24'b11100000000000000000000; + 11'd1943 : out <= 24'b0; + +// start rec 72 h = 27 w = 8 ofs = 1944 + 11'd1944 : out <= 24'b00000000000000000000000; + 11'd1945 : out <= 24'b00000000000000000000000; + 11'd1946 : out <= 24'b11111110000000000000000; + 11'd1947 : out <= 24'b11111110000000000000000; + 11'd1948 : out <= 24'b11111110000000000000000; + 11'd1949 : out <= 24'b11100000000000000000000; + 11'd1950 : out <= 24'b11100000000000000000000; + 11'd1951 : out <= 24'b11100000000000000000000; + 11'd1952 : out <= 24'b11100000000000000000000; + 11'd1953 : out <= 24'b11100000000000000000000; + 11'd1954 : out <= 24'b11100000000000000000000; + 11'd1955 : out <= 24'b11100000000000000000000; + 11'd1956 : out <= 24'b11100000000000000000000; + 11'd1957 : out <= 24'b11100000000000000000000; + 11'd1958 : out <= 24'b11100000000000000000000; + 11'd1959 : out <= 24'b11100000000000000000000; + 11'd1960 : out <= 24'b11100000000000000000000; + 11'd1961 : out <= 24'b11100000000000000000000; + 11'd1962 : out <= 24'b11100000000000000000000; + 11'd1963 : out <= 24'b11100000000000000000000; + 11'd1964 : out <= 24'b11100000000000000000000; + 11'd1965 : out <= 24'b11100000000000000000000; + 11'd1966 : out <= 24'b11100000000000000000000; + 11'd1967 : out <= 24'b11111110000000000000000; + 11'd1968 : out <= 24'b11111110000000000000000; + 11'd1969 : out <= 24'b11111110000000000000000; + 11'd1970 : out <= 24'b0; + +// start rec 73 h = 27 w = 8 ofs = 1971 + 11'd1971 : out <= 24'b00000000000000000000000; + 11'd1972 : out <= 24'b00000000000000000000000; + 11'd1973 : out <= 24'b11111110000000000000000; + 11'd1974 : out <= 24'b11111110000000000000000; + 11'd1975 : out <= 24'b11111110000000000000000; + 11'd1976 : out <= 24'b00001110000000000000000; + 11'd1977 : out <= 24'b00001110000000000000000; + 11'd1978 : out <= 24'b00001110000000000000000; + 11'd1979 : out <= 24'b00001110000000000000000; + 11'd1980 : out <= 24'b00001110000000000000000; + 11'd1981 : out <= 24'b00001110000000000000000; + 11'd1982 : out <= 24'b00001110000000000000000; + 11'd1983 : out <= 24'b00001110000000000000000; + 11'd1984 : out <= 24'b00001110000000000000000; + 11'd1985 : out <= 24'b00001110000000000000000; + 11'd1986 : out <= 24'b00001110000000000000000; + 11'd1987 : out <= 24'b00001110000000000000000; + 11'd1988 : out <= 24'b00001110000000000000000; + 11'd1989 : out <= 24'b00001110000000000000000; + 11'd1990 : out <= 24'b00001110000000000000000; + 11'd1991 : out <= 24'b00001110000000000000000; + 11'd1992 : out <= 24'b00001110000000000000000; + 11'd1993 : out <= 24'b00001110000000000000000; + 11'd1994 : out <= 24'b11111110000000000000000; + 11'd1995 : out <= 24'b11111110000000000000000; + 11'd1996 : out <= 24'b11111110000000000000000; + 11'd1997 : out <= 24'b0; + +// start rec 74 h = 27 w = 10 ofs = 1998 + 11'd1998 : out <= 24'b00000000000000000000000; + 11'd1999 : out <= 24'b00000000000000000000000; + 11'd2000 : out <= 24'b00001111100000000000000; + 11'd2001 : out <= 24'b00001111100000000000000; + 11'd2002 : out <= 24'b00011111100000000000000; + 11'd2003 : out <= 24'b00011110000000000000000; + 11'd2004 : out <= 24'b00011100000000000000000; + 11'd2005 : out <= 24'b00011100000000000000000; + 11'd2006 : out <= 24'b00011100000000000000000; + 11'd2007 : out <= 24'b00011100000000000000000; + 11'd2008 : out <= 24'b00011100000000000000000; + 11'd2009 : out <= 24'b00011100000000000000000; + 11'd2010 : out <= 24'b00111100000000000000000; + 11'd2011 : out <= 24'b11111100000000000000000; + 11'd2012 : out <= 24'b11111100000000000000000; + 11'd2013 : out <= 24'b11111100000000000000000; + 11'd2014 : out <= 24'b00111100000000000000000; + 11'd2015 : out <= 24'b00011100000000000000000; + 11'd2016 : out <= 24'b00011100000000000000000; + 11'd2017 : out <= 24'b00011100000000000000000; + 11'd2018 : out <= 24'b00011100000000000000000; + 11'd2019 : out <= 24'b00011100000000000000000; + 11'd2020 : out <= 24'b00011110000000000000000; + 11'd2021 : out <= 24'b00011111100000000000000; + 11'd2022 : out <= 24'b00011111100000000000000; + 11'd2023 : out <= 24'b00001111100000000000000; + 11'd2024 : out <= 24'b0; + + // note the last few punctuation characters were + // deleted to fit into a 2048 word ROM table + + default : out <= 0; + endcase +end +endmodule + diff --git a/Advanced Synthesis Cookbook/video/fourbyfour_sad.v b/Advanced Synthesis Cookbook/video/fourbyfour_sad.v new file mode 100644 index 0000000..da01290 --- /dev/null +++ b/Advanced Synthesis Cookbook/video/fourbyfour_sad.v @@ -0,0 +1,65 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// Sum of absolute difference for a 4x4 array of 8 bit pixels +// 260 arithmetic mode cells. + +module fourbyfour_sad ( + x0,x1,x2,x3,x4,x5,x6,x7,x8,x9,xa,xb,xc,xd,xe,xf, + y0,y1,y2,y3,y4,y5,y6,y7,y8,y9,ya,yb,yc,yd,ye,yf, + sad +); + +input [7:0] x0,x1,x2,x3,x4,x5,x6,x7,x8,x9,xa,xb,xc,xd,xe,xf; +input [7:0] y0,y1,y2,y3,y4,y5,y6,y7,y8,y9,ya,yb,yc,yd,ye,yf; +output [11:0] sad; + +// Compute SAD for two pairs of pixels at a time +// 8 units each costing 27 arithmetic cells = 216 cells +wire [8:0] sd01,sd23,sd45,sd67,sd89,sdab,sdcd,sdef; +pair_sad p01 (.a0(x0),.a1(x1),.b0(y0),.b1(y1),.sad(sd01)); +pair_sad p23 (.a0(x2),.a1(x3),.b0(y2),.b1(y3),.sad(sd23)); +pair_sad p45 (.a0(x4),.a1(x5),.b0(y4),.b1(y5),.sad(sd45)); +pair_sad p67 (.a0(x6),.a1(x7),.b0(y6),.b1(y7),.sad(sd67)); +pair_sad p89 (.a0(x8),.a1(x9),.b0(y8),.b1(y9),.sad(sd89)); +pair_sad pab (.a0(xa),.a1(xb),.b0(ya),.b1(yb),.sad(sdab)); +pair_sad pcd (.a0(xc),.a1(xd),.b0(yc),.b1(yd),.sad(sdcd)); +pair_sad pef (.a0(xe),.a1(xf),.b0(ye),.b1(yf),.sad(sdef)); + +// 1st level of summation - 32 arith cells +wire [10:0] partial0,partial1; +wire [9:0] partial2; +ternary_add t0 (.a(sd01),.b(sd23),.c(sd45),.o(partial0)); + defparam t0 .WIDTH = 9; +ternary_add t1 (.a(sd67),.b(sd89),.c(sdab),.o(partial1)); + defparam t1 .WIDTH = 9; +assign partial2 = sdcd + sdef; + +// 2nd level of summation - 12 arith cells +wire [12:0] tmp_sum; +ternary_add t2 (.a(partial0),.b(partial1),.c({1'b0,partial2}),.o(tmp_sum)); + defparam t2 .WIDTH = 11; +assign sad = tmp_sum[11:0]; + +endmodule + + \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/video/fourbyfour_sad_tb.v b/Advanced Synthesis Cookbook/video/fourbyfour_sad_tb.v new file mode 100644 index 0000000..101439d --- /dev/null +++ b/Advanced Synthesis Cookbook/video/fourbyfour_sad_tb.v @@ -0,0 +1,84 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module fourbyfour_sad_tb (); + +wire [7:0] x0,x1,x2,x3,x4,x5,x6,x7,x8,x9,xa,xb,xc,xd,xe,xf; +wire [7:0] y0,y1,y2,y3,y4,y5,y6,y7,y8,y9,ya,yb,yc,yd,ye,yf; + +reg [8*16*2-1:0] data; +reg fail; + +assign {x0,x1,x2,x3,x4,x5,x6,x7,x8,x9,xa,xb,xc,xd,xe,xf, + y0,y1,y2,y3,y4,y5,y6,y7,y8,y9,ya,yb,yc,yd,ye,yf} = data; + +wire [11:0] sad; + +fourbyfour_sad fs ( + x0,x1,x2,x3,x4,x5,x6,x7,x8,x9,xa,xb,xc,xd,xe,xf, + y0,y1,y2,y3,y4,y5,y6,y7,y8,y9,ya,yb,yc,yd,ye,yf, + sad +); + +// compute correct answer using entirely different method +wire [12:0] diff[15:0]; + +genvar i; +generate + for (i = 0; i<16; i = i+1) + begin : check + wire [12:0] j,k; + assign j = data[i*8+7:i*8]; + assign k = data[8*16+i*8+7:8*16+i*8]; + assign diff[i] = (j > k) ? j - k : k - j; + end +endgenerate + +integer q, cume; + +always begin + #100 cume = 0; + for (q = 0; q<16; q=q+1) + begin : sum + cume = cume + diff[q]; + end +end + +initial begin + data = 0; + fail = 0; + #1000000 + if (!fail) $display ("PASS"); + $stop; +end + +// stim generate and check +always begin + #1000 data = {$random,$random,$random,$random, + $random,$random,$random,$random}; + #1000 if (cume != sad) begin + $display ("Mismatch at time %d",$time); + fail = 1; + end +end + +endmodule diff --git a/Advanced Synthesis Cookbook/video/frame.bin b/Advanced Synthesis Cookbook/video/frame.bin new file mode 100644 index 0000000..893a89f --- /dev/null +++ b/Advanced Synthesis Cookbook/video/frame.bin @@ -0,0 +1,100 @@ +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000001111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000111000000011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000111111111111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000111111111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 diff --git a/Advanced Synthesis Cookbook/video/log2.inc b/Advanced Synthesis Cookbook/video/log2.inc new file mode 100644 index 0000000..8a20e82 --- /dev/null +++ b/Advanced Synthesis Cookbook/video/log2.inc @@ -0,0 +1,40 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// helper function to compute LOG base 2 +// +// NOTE - This is a somewhat abusive definition of LOG2(v) as the +// number of bits required to represent "v". So log2(256) will be +// 9 rather than 8 (256 = 9'b1_0000_0000). I apologize for any +// confusion this may cause. +// + +function integer log2; + input integer val; + begin + log2 = 0; + while (val > 0) begin + val = val >> 1; + log2 = log2 + 1; + end + end +endfunction diff --git a/Advanced Synthesis Cookbook/video/pair_sad.v b/Advanced Synthesis Cookbook/video/pair_sad.v new file mode 100644 index 0000000..85c3db8 --- /dev/null +++ b/Advanced Synthesis Cookbook/video/pair_sad.v @@ -0,0 +1,43 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// compute the sum of absolute difference between 2 pairs of +// 8 bit pixels. Output range is 0..0x1fe +// Area cost is 27 arithmetic cells + +module pair_sad (a0,a1,b0,b1,sad); +input [7:0] a0,a1,b0,b1; +output [8:0] sad; +wire [8:0] sad; + +wire [8:0] diff0 = a0 - b0; +wire [8:0] diff1 = a1 - b1; +wire [10:0] tmp_sum; + +double_addsub as (.a(diff0),.b(diff1),.negate_a(diff0[8]),.negate_b(diff1[8]), + .sum(tmp_sum)); + defparam as .WIDTH = 9; + defparam as .HW_CELLS = 1; + +assign sad = tmp_sum[8:0]; +endmodule + diff --git a/Advanced Synthesis Cookbook/video/rgb_to_hue.v b/Advanced Synthesis Cookbook/video/rgb_to_hue.v new file mode 100644 index 0000000..8297c9f --- /dev/null +++ b/Advanced Synthesis Cookbook/video/rgb_to_hue.v @@ -0,0 +1,4212 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-14-2007 + +module rgb_to_hue ( + clk,rst, + r,g,b, + hue +); + +input clk,rst; +input [7:0] r,g,b; +output [7:0] hue; + +reg [7:0] hue; + +wire r_ge_b = (r >= b); +wire r_ge_g = (r >= g); +wire g_ge_b = (g >= b); +wire g_ge_r = (g >= r); +wire b_ge_r = (b >= r); +wire b_ge_g = (b >= g); + +reg [7:0] diff_a,diff_b; +reg [3:0] hue_ofs; +reg sub; + +always @(posedge clk) begin + sub <= 1'b0; + if (r_ge_b & r_ge_g & g_ge_b) begin + // R G B + hue_ofs <= 4'h0; + diff_a <= g - b; + diff_b <= r - b; + end + else if (b_ge_r & g_ge_b & g_ge_r) begin + // G B R + hue_ofs <= 4'h5; + diff_a <= b - r; + diff_b <= g - r; + end + else if (r_ge_b & g_ge_r & g_ge_b) begin + // G R B + hue_ofs <= 4'h5; + sub <= 1'b1; + diff_a <= r - b; + diff_b <= g - b; + end + else if (b_ge_r & b_ge_g & r_ge_g) begin + // B R G + hue_ofs <= 4'ha; + diff_a <= r - g; + diff_b <= b - g; + end + else if (b_ge_r & b_ge_g & g_ge_r) begin + // B G R + hue_ofs <= 4'ha; + sub <= 1'b1; + diff_a <= g - r; + diff_b <= b - r; + end + else begin + // R B G + hue_ofs <= 4'hf; + sub <= 1'b1; + diff_a <= b - g; + diff_b <= r - g; + end +end + +reg [5:0] hue_tab; + +// do some cheap auto scaling to tighten the error bar +reg [11:0] index; +always @(posedge clk) begin + index <= (diff_a[7] | diff_b[7]) ? {diff_a[7:2],diff_b[7:2]} : + (diff_a[6] | diff_b[6]) ? {diff_a[6:1],diff_b[6:1]} : + {diff_a[5:0],diff_b[5:0]}; +end + +always @(posedge clk) begin + case (index) + 12'd0 : hue_tab <= 6'd0; + 12'd1 : hue_tab <= 6'd0; + 12'd2 : hue_tab <= 6'd0; + 12'd3 : hue_tab <= 6'd0; + 12'd4 : hue_tab <= 6'd0; + 12'd5 : hue_tab <= 6'd0; + 12'd6 : hue_tab <= 6'd0; + 12'd7 : hue_tab <= 6'd0; + 12'd8 : hue_tab <= 6'd0; + 12'd9 : hue_tab <= 6'd0; + 12'd10 : hue_tab <= 6'd0; + 12'd11 : hue_tab <= 6'd0; + 12'd12 : hue_tab <= 6'd0; + 12'd13 : hue_tab <= 6'd0; + 12'd14 : hue_tab <= 6'd0; + 12'd15 : hue_tab <= 6'd0; + 12'd16 : hue_tab <= 6'd0; + 12'd17 : hue_tab <= 6'd0; + 12'd18 : hue_tab <= 6'd0; + 12'd19 : hue_tab <= 6'd0; + 12'd20 : hue_tab <= 6'd0; + 12'd21 : hue_tab <= 6'd0; + 12'd22 : hue_tab <= 6'd0; + 12'd23 : hue_tab <= 6'd0; + 12'd24 : hue_tab <= 6'd0; + 12'd25 : hue_tab <= 6'd0; + 12'd26 : hue_tab <= 6'd0; + 12'd27 : hue_tab <= 6'd0; + 12'd28 : hue_tab <= 6'd0; + 12'd29 : hue_tab <= 6'd0; + 12'd30 : hue_tab <= 6'd0; + 12'd31 : hue_tab <= 6'd0; + 12'd32 : hue_tab <= 6'd0; + 12'd33 : hue_tab <= 6'd0; + 12'd34 : hue_tab <= 6'd0; + 12'd35 : hue_tab <= 6'd0; + 12'd36 : hue_tab <= 6'd0; + 12'd37 : hue_tab <= 6'd0; + 12'd38 : hue_tab <= 6'd0; + 12'd39 : hue_tab <= 6'd0; + 12'd40 : hue_tab <= 6'd0; + 12'd41 : hue_tab <= 6'd0; + 12'd42 : hue_tab <= 6'd0; + 12'd43 : hue_tab <= 6'd0; + 12'd44 : hue_tab <= 6'd0; + 12'd45 : hue_tab <= 6'd0; + 12'd46 : hue_tab <= 6'd0; + 12'd47 : hue_tab <= 6'd0; + 12'd48 : hue_tab <= 6'd0; + 12'd49 : hue_tab <= 6'd0; + 12'd50 : hue_tab <= 6'd0; + 12'd51 : hue_tab <= 6'd0; + 12'd52 : hue_tab <= 6'd0; + 12'd53 : hue_tab <= 6'd0; + 12'd54 : hue_tab <= 6'd0; + 12'd55 : hue_tab <= 6'd0; + 12'd56 : hue_tab <= 6'd0; + 12'd57 : hue_tab <= 6'd0; + 12'd58 : hue_tab <= 6'd0; + 12'd59 : hue_tab <= 6'd0; + 12'd60 : hue_tab <= 6'd0; + 12'd61 : hue_tab <= 6'd0; + 12'd62 : hue_tab <= 6'd0; + 12'd63 : hue_tab <= 6'd0; + 12'd64 : hue_tab <= 6'd0; + 12'd65 : hue_tab <= 6'd40; + 12'd66 : hue_tab <= 6'd20; + 12'd67 : hue_tab <= 6'd13; + 12'd68 : hue_tab <= 6'd10; + 12'd69 : hue_tab <= 6'd8; + 12'd70 : hue_tab <= 6'd6; + 12'd71 : hue_tab <= 6'd5; + 12'd72 : hue_tab <= 6'd5; + 12'd73 : hue_tab <= 6'd4; + 12'd74 : hue_tab <= 6'd4; + 12'd75 : hue_tab <= 6'd3; + 12'd76 : hue_tab <= 6'd3; + 12'd77 : hue_tab <= 6'd3; + 12'd78 : hue_tab <= 6'd2; + 12'd79 : hue_tab <= 6'd2; + 12'd80 : hue_tab <= 6'd2; + 12'd81 : hue_tab <= 6'd2; + 12'd82 : hue_tab <= 6'd2; + 12'd83 : hue_tab <= 6'd2; + 12'd84 : hue_tab <= 6'd2; + 12'd85 : hue_tab <= 6'd1; + 12'd86 : hue_tab <= 6'd1; + 12'd87 : hue_tab <= 6'd1; + 12'd88 : hue_tab <= 6'd1; + 12'd89 : hue_tab <= 6'd1; + 12'd90 : hue_tab <= 6'd1; + 12'd91 : hue_tab <= 6'd1; + 12'd92 : hue_tab <= 6'd1; + 12'd93 : hue_tab <= 6'd1; + 12'd94 : hue_tab <= 6'd1; + 12'd95 : hue_tab <= 6'd1; + 12'd96 : hue_tab <= 6'd1; + 12'd97 : hue_tab <= 6'd1; + 12'd98 : hue_tab <= 6'd1; + 12'd99 : hue_tab <= 6'd1; + 12'd100 : hue_tab <= 6'd1; + 12'd101 : hue_tab <= 6'd1; + 12'd102 : hue_tab <= 6'd1; + 12'd103 : hue_tab <= 6'd1; + 12'd104 : hue_tab <= 6'd1; + 12'd105 : hue_tab <= 6'd0; + 12'd106 : hue_tab <= 6'd0; + 12'd107 : hue_tab <= 6'd0; + 12'd108 : hue_tab <= 6'd0; + 12'd109 : hue_tab <= 6'd0; + 12'd110 : hue_tab <= 6'd0; + 12'd111 : hue_tab <= 6'd0; + 12'd112 : hue_tab <= 6'd0; + 12'd113 : hue_tab <= 6'd0; + 12'd114 : hue_tab <= 6'd0; + 12'd115 : hue_tab <= 6'd0; + 12'd116 : hue_tab <= 6'd0; + 12'd117 : hue_tab <= 6'd0; + 12'd118 : hue_tab <= 6'd0; + 12'd119 : hue_tab <= 6'd0; + 12'd120 : hue_tab <= 6'd0; + 12'd121 : hue_tab <= 6'd0; + 12'd122 : hue_tab <= 6'd0; + 12'd123 : hue_tab <= 6'd0; + 12'd124 : hue_tab <= 6'd0; + 12'd125 : hue_tab <= 6'd0; + 12'd126 : hue_tab <= 6'd0; + 12'd127 : hue_tab <= 6'd0; + 12'd128 : hue_tab <= 6'd0; + 12'd129 : hue_tab <= 6'd40; + 12'd130 : hue_tab <= 6'd40; + 12'd131 : hue_tab <= 6'd26; + 12'd132 : hue_tab <= 6'd20; + 12'd133 : hue_tab <= 6'd16; + 12'd134 : hue_tab <= 6'd13; + 12'd135 : hue_tab <= 6'd11; + 12'd136 : hue_tab <= 6'd10; + 12'd137 : hue_tab <= 6'd8; + 12'd138 : hue_tab <= 6'd8; + 12'd139 : hue_tab <= 6'd7; + 12'd140 : hue_tab <= 6'd6; + 12'd141 : hue_tab <= 6'd6; + 12'd142 : hue_tab <= 6'd5; + 12'd143 : hue_tab <= 6'd5; + 12'd144 : hue_tab <= 6'd5; + 12'd145 : hue_tab <= 6'd4; + 12'd146 : hue_tab <= 6'd4; + 12'd147 : hue_tab <= 6'd4; + 12'd148 : hue_tab <= 6'd4; + 12'd149 : hue_tab <= 6'd3; + 12'd150 : hue_tab <= 6'd3; + 12'd151 : hue_tab <= 6'd3; + 12'd152 : hue_tab <= 6'd3; + 12'd153 : hue_tab <= 6'd3; + 12'd154 : hue_tab <= 6'd3; + 12'd155 : hue_tab <= 6'd2; + 12'd156 : hue_tab <= 6'd2; + 12'd157 : hue_tab <= 6'd2; + 12'd158 : hue_tab <= 6'd2; + 12'd159 : hue_tab <= 6'd2; + 12'd160 : hue_tab <= 6'd2; + 12'd161 : hue_tab <= 6'd2; + 12'd162 : hue_tab <= 6'd2; + 12'd163 : hue_tab <= 6'd2; + 12'd164 : hue_tab <= 6'd2; + 12'd165 : hue_tab <= 6'd2; + 12'd166 : hue_tab <= 6'd2; + 12'd167 : hue_tab <= 6'd2; + 12'd168 : hue_tab <= 6'd2; + 12'd169 : hue_tab <= 6'd1; + 12'd170 : hue_tab <= 6'd1; + 12'd171 : hue_tab <= 6'd1; + 12'd172 : hue_tab <= 6'd1; + 12'd173 : hue_tab <= 6'd1; + 12'd174 : hue_tab <= 6'd1; + 12'd175 : hue_tab <= 6'd1; + 12'd176 : hue_tab <= 6'd1; + 12'd177 : hue_tab <= 6'd1; + 12'd178 : hue_tab <= 6'd1; + 12'd179 : hue_tab <= 6'd1; + 12'd180 : hue_tab <= 6'd1; + 12'd181 : hue_tab <= 6'd1; + 12'd182 : hue_tab <= 6'd1; + 12'd183 : hue_tab <= 6'd1; + 12'd184 : hue_tab <= 6'd1; + 12'd185 : hue_tab <= 6'd1; + 12'd186 : hue_tab <= 6'd1; + 12'd187 : hue_tab <= 6'd1; + 12'd188 : hue_tab <= 6'd1; + 12'd189 : hue_tab <= 6'd1; + 12'd190 : hue_tab <= 6'd1; + 12'd191 : hue_tab <= 6'd1; + 12'd192 : hue_tab <= 6'd0; + 12'd193 : hue_tab <= 6'd40; + 12'd194 : hue_tab <= 6'd40; + 12'd195 : hue_tab <= 6'd40; + 12'd196 : hue_tab <= 6'd30; + 12'd197 : hue_tab <= 6'd24; + 12'd198 : hue_tab <= 6'd20; + 12'd199 : hue_tab <= 6'd17; + 12'd200 : hue_tab <= 6'd15; + 12'd201 : hue_tab <= 6'd13; + 12'd202 : hue_tab <= 6'd12; + 12'd203 : hue_tab <= 6'd10; + 12'd204 : hue_tab <= 6'd10; + 12'd205 : hue_tab <= 6'd9; + 12'd206 : hue_tab <= 6'd8; + 12'd207 : hue_tab <= 6'd8; + 12'd208 : hue_tab <= 6'd7; + 12'd209 : hue_tab <= 6'd7; + 12'd210 : hue_tab <= 6'd6; + 12'd211 : hue_tab <= 6'd6; + 12'd212 : hue_tab <= 6'd6; + 12'd213 : hue_tab <= 6'd5; + 12'd214 : hue_tab <= 6'd5; + 12'd215 : hue_tab <= 6'd5; + 12'd216 : hue_tab <= 6'd5; + 12'd217 : hue_tab <= 6'd4; + 12'd218 : hue_tab <= 6'd4; + 12'd219 : hue_tab <= 6'd4; + 12'd220 : hue_tab <= 6'd4; + 12'd221 : hue_tab <= 6'd4; + 12'd222 : hue_tab <= 6'd4; + 12'd223 : hue_tab <= 6'd3; + 12'd224 : hue_tab <= 6'd3; + 12'd225 : hue_tab <= 6'd3; + 12'd226 : hue_tab <= 6'd3; + 12'd227 : hue_tab <= 6'd3; + 12'd228 : hue_tab <= 6'd3; + 12'd229 : hue_tab <= 6'd3; + 12'd230 : hue_tab <= 6'd3; + 12'd231 : hue_tab <= 6'd3; + 12'd232 : hue_tab <= 6'd3; + 12'd233 : hue_tab <= 6'd2; + 12'd234 : hue_tab <= 6'd2; + 12'd235 : hue_tab <= 6'd2; + 12'd236 : hue_tab <= 6'd2; + 12'd237 : hue_tab <= 6'd2; + 12'd238 : hue_tab <= 6'd2; + 12'd239 : hue_tab <= 6'd2; + 12'd240 : hue_tab <= 6'd2; + 12'd241 : hue_tab <= 6'd2; + 12'd242 : hue_tab <= 6'd2; + 12'd243 : hue_tab <= 6'd2; + 12'd244 : hue_tab <= 6'd2; + 12'd245 : hue_tab <= 6'd2; + 12'd246 : hue_tab <= 6'd2; + 12'd247 : hue_tab <= 6'd2; + 12'd248 : hue_tab <= 6'd2; + 12'd249 : hue_tab <= 6'd2; + 12'd250 : hue_tab <= 6'd2; + 12'd251 : hue_tab <= 6'd2; + 12'd252 : hue_tab <= 6'd2; + 12'd253 : hue_tab <= 6'd1; + 12'd254 : hue_tab <= 6'd1; + 12'd255 : hue_tab <= 6'd1; + 12'd256 : hue_tab <= 6'd0; + 12'd257 : hue_tab <= 6'd40; + 12'd258 : hue_tab <= 6'd40; + 12'd259 : hue_tab <= 6'd40; + 12'd260 : hue_tab <= 6'd40; + 12'd261 : hue_tab <= 6'd32; + 12'd262 : hue_tab <= 6'd26; + 12'd263 : hue_tab <= 6'd22; + 12'd264 : hue_tab <= 6'd20; + 12'd265 : hue_tab <= 6'd17; + 12'd266 : hue_tab <= 6'd16; + 12'd267 : hue_tab <= 6'd14; + 12'd268 : hue_tab <= 6'd13; + 12'd269 : hue_tab <= 6'd12; + 12'd270 : hue_tab <= 6'd11; + 12'd271 : hue_tab <= 6'd10; + 12'd272 : hue_tab <= 6'd10; + 12'd273 : hue_tab <= 6'd9; + 12'd274 : hue_tab <= 6'd8; + 12'd275 : hue_tab <= 6'd8; + 12'd276 : hue_tab <= 6'd8; + 12'd277 : hue_tab <= 6'd7; + 12'd278 : hue_tab <= 6'd7; + 12'd279 : hue_tab <= 6'd6; + 12'd280 : hue_tab <= 6'd6; + 12'd281 : hue_tab <= 6'd6; + 12'd282 : hue_tab <= 6'd6; + 12'd283 : hue_tab <= 6'd5; + 12'd284 : hue_tab <= 6'd5; + 12'd285 : hue_tab <= 6'd5; + 12'd286 : hue_tab <= 6'd5; + 12'd287 : hue_tab <= 6'd5; + 12'd288 : hue_tab <= 6'd5; + 12'd289 : hue_tab <= 6'd4; + 12'd290 : hue_tab <= 6'd4; + 12'd291 : hue_tab <= 6'd4; + 12'd292 : hue_tab <= 6'd4; + 12'd293 : hue_tab <= 6'd4; + 12'd294 : hue_tab <= 6'd4; + 12'd295 : hue_tab <= 6'd4; + 12'd296 : hue_tab <= 6'd4; + 12'd297 : hue_tab <= 6'd3; + 12'd298 : hue_tab <= 6'd3; + 12'd299 : hue_tab <= 6'd3; + 12'd300 : hue_tab <= 6'd3; + 12'd301 : hue_tab <= 6'd3; + 12'd302 : hue_tab <= 6'd3; + 12'd303 : hue_tab <= 6'd3; + 12'd304 : hue_tab <= 6'd3; + 12'd305 : hue_tab <= 6'd3; + 12'd306 : hue_tab <= 6'd3; + 12'd307 : hue_tab <= 6'd3; + 12'd308 : hue_tab <= 6'd3; + 12'd309 : hue_tab <= 6'd3; + 12'd310 : hue_tab <= 6'd2; + 12'd311 : hue_tab <= 6'd2; + 12'd312 : hue_tab <= 6'd2; + 12'd313 : hue_tab <= 6'd2; + 12'd314 : hue_tab <= 6'd2; + 12'd315 : hue_tab <= 6'd2; + 12'd316 : hue_tab <= 6'd2; + 12'd317 : hue_tab <= 6'd2; + 12'd318 : hue_tab <= 6'd2; + 12'd319 : hue_tab <= 6'd2; + 12'd320 : hue_tab <= 6'd0; + 12'd321 : hue_tab <= 6'd40; + 12'd322 : hue_tab <= 6'd40; + 12'd323 : hue_tab <= 6'd40; + 12'd324 : hue_tab <= 6'd40; + 12'd325 : hue_tab <= 6'd40; + 12'd326 : hue_tab <= 6'd33; + 12'd327 : hue_tab <= 6'd28; + 12'd328 : hue_tab <= 6'd25; + 12'd329 : hue_tab <= 6'd22; + 12'd330 : hue_tab <= 6'd20; + 12'd331 : hue_tab <= 6'd18; + 12'd332 : hue_tab <= 6'd16; + 12'd333 : hue_tab <= 6'd15; + 12'd334 : hue_tab <= 6'd14; + 12'd335 : hue_tab <= 6'd13; + 12'd336 : hue_tab <= 6'd12; + 12'd337 : hue_tab <= 6'd11; + 12'd338 : hue_tab <= 6'd11; + 12'd339 : hue_tab <= 6'd10; + 12'd340 : hue_tab <= 6'd10; + 12'd341 : hue_tab <= 6'd9; + 12'd342 : hue_tab <= 6'd9; + 12'd343 : hue_tab <= 6'd8; + 12'd344 : hue_tab <= 6'd8; + 12'd345 : hue_tab <= 6'd8; + 12'd346 : hue_tab <= 6'd7; + 12'd347 : hue_tab <= 6'd7; + 12'd348 : hue_tab <= 6'd7; + 12'd349 : hue_tab <= 6'd6; + 12'd350 : hue_tab <= 6'd6; + 12'd351 : hue_tab <= 6'd6; + 12'd352 : hue_tab <= 6'd6; + 12'd353 : hue_tab <= 6'd6; + 12'd354 : hue_tab <= 6'd5; + 12'd355 : hue_tab <= 6'd5; + 12'd356 : hue_tab <= 6'd5; + 12'd357 : hue_tab <= 6'd5; + 12'd358 : hue_tab <= 6'd5; + 12'd359 : hue_tab <= 6'd5; + 12'd360 : hue_tab <= 6'd5; + 12'd361 : hue_tab <= 6'd4; + 12'd362 : hue_tab <= 6'd4; + 12'd363 : hue_tab <= 6'd4; + 12'd364 : hue_tab <= 6'd4; + 12'd365 : hue_tab <= 6'd4; + 12'd366 : hue_tab <= 6'd4; + 12'd367 : hue_tab <= 6'd4; + 12'd368 : hue_tab <= 6'd4; + 12'd369 : hue_tab <= 6'd4; + 12'd370 : hue_tab <= 6'd4; + 12'd371 : hue_tab <= 6'd3; + 12'd372 : hue_tab <= 6'd3; + 12'd373 : hue_tab <= 6'd3; + 12'd374 : hue_tab <= 6'd3; + 12'd375 : hue_tab <= 6'd3; + 12'd376 : hue_tab <= 6'd3; + 12'd377 : hue_tab <= 6'd3; + 12'd378 : hue_tab <= 6'd3; + 12'd379 : hue_tab <= 6'd3; + 12'd380 : hue_tab <= 6'd3; + 12'd381 : hue_tab <= 6'd3; + 12'd382 : hue_tab <= 6'd3; + 12'd383 : hue_tab <= 6'd3; + 12'd384 : hue_tab <= 6'd0; + 12'd385 : hue_tab <= 6'd40; + 12'd386 : hue_tab <= 6'd40; + 12'd387 : hue_tab <= 6'd40; + 12'd388 : hue_tab <= 6'd40; + 12'd389 : hue_tab <= 6'd40; + 12'd390 : hue_tab <= 6'd40; + 12'd391 : hue_tab <= 6'd34; + 12'd392 : hue_tab <= 6'd30; + 12'd393 : hue_tab <= 6'd26; + 12'd394 : hue_tab <= 6'd24; + 12'd395 : hue_tab <= 6'd21; + 12'd396 : hue_tab <= 6'd20; + 12'd397 : hue_tab <= 6'd18; + 12'd398 : hue_tab <= 6'd17; + 12'd399 : hue_tab <= 6'd16; + 12'd400 : hue_tab <= 6'd15; + 12'd401 : hue_tab <= 6'd14; + 12'd402 : hue_tab <= 6'd13; + 12'd403 : hue_tab <= 6'd12; + 12'd404 : hue_tab <= 6'd12; + 12'd405 : hue_tab <= 6'd11; + 12'd406 : hue_tab <= 6'd10; + 12'd407 : hue_tab <= 6'd10; + 12'd408 : hue_tab <= 6'd10; + 12'd409 : hue_tab <= 6'd9; + 12'd410 : hue_tab <= 6'd9; + 12'd411 : hue_tab <= 6'd8; + 12'd412 : hue_tab <= 6'd8; + 12'd413 : hue_tab <= 6'd8; + 12'd414 : hue_tab <= 6'd8; + 12'd415 : hue_tab <= 6'd7; + 12'd416 : hue_tab <= 6'd7; + 12'd417 : hue_tab <= 6'd7; + 12'd418 : hue_tab <= 6'd7; + 12'd419 : hue_tab <= 6'd6; + 12'd420 : hue_tab <= 6'd6; + 12'd421 : hue_tab <= 6'd6; + 12'd422 : hue_tab <= 6'd6; + 12'd423 : hue_tab <= 6'd6; + 12'd424 : hue_tab <= 6'd6; + 12'd425 : hue_tab <= 6'd5; + 12'd426 : hue_tab <= 6'd5; + 12'd427 : hue_tab <= 6'd5; + 12'd428 : hue_tab <= 6'd5; + 12'd429 : hue_tab <= 6'd5; + 12'd430 : hue_tab <= 6'd5; + 12'd431 : hue_tab <= 6'd5; + 12'd432 : hue_tab <= 6'd5; + 12'd433 : hue_tab <= 6'd4; + 12'd434 : hue_tab <= 6'd4; + 12'd435 : hue_tab <= 6'd4; + 12'd436 : hue_tab <= 6'd4; + 12'd437 : hue_tab <= 6'd4; + 12'd438 : hue_tab <= 6'd4; + 12'd439 : hue_tab <= 6'd4; + 12'd440 : hue_tab <= 6'd4; + 12'd441 : hue_tab <= 6'd4; + 12'd442 : hue_tab <= 6'd4; + 12'd443 : hue_tab <= 6'd4; + 12'd444 : hue_tab <= 6'd4; + 12'd445 : hue_tab <= 6'd3; + 12'd446 : hue_tab <= 6'd3; + 12'd447 : hue_tab <= 6'd3; + 12'd448 : hue_tab <= 6'd0; + 12'd449 : hue_tab <= 6'd40; + 12'd450 : hue_tab <= 6'd40; + 12'd451 : hue_tab <= 6'd40; + 12'd452 : hue_tab <= 6'd40; + 12'd453 : hue_tab <= 6'd40; + 12'd454 : hue_tab <= 6'd40; + 12'd455 : hue_tab <= 6'd40; + 12'd456 : hue_tab <= 6'd35; + 12'd457 : hue_tab <= 6'd31; + 12'd458 : hue_tab <= 6'd28; + 12'd459 : hue_tab <= 6'd25; + 12'd460 : hue_tab <= 6'd23; + 12'd461 : hue_tab <= 6'd21; + 12'd462 : hue_tab <= 6'd20; + 12'd463 : hue_tab <= 6'd18; + 12'd464 : hue_tab <= 6'd17; + 12'd465 : hue_tab <= 6'd16; + 12'd466 : hue_tab <= 6'd15; + 12'd467 : hue_tab <= 6'd14; + 12'd468 : hue_tab <= 6'd14; + 12'd469 : hue_tab <= 6'd13; + 12'd470 : hue_tab <= 6'd12; + 12'd471 : hue_tab <= 6'd12; + 12'd472 : hue_tab <= 6'd11; + 12'd473 : hue_tab <= 6'd11; + 12'd474 : hue_tab <= 6'd10; + 12'd475 : hue_tab <= 6'd10; + 12'd476 : hue_tab <= 6'd10; + 12'd477 : hue_tab <= 6'd9; + 12'd478 : hue_tab <= 6'd9; + 12'd479 : hue_tab <= 6'd9; + 12'd480 : hue_tab <= 6'd8; + 12'd481 : hue_tab <= 6'd8; + 12'd482 : hue_tab <= 6'd8; + 12'd483 : hue_tab <= 6'd8; + 12'd484 : hue_tab <= 6'd7; + 12'd485 : hue_tab <= 6'd7; + 12'd486 : hue_tab <= 6'd7; + 12'd487 : hue_tab <= 6'd7; + 12'd488 : hue_tab <= 6'd7; + 12'd489 : hue_tab <= 6'd6; + 12'd490 : hue_tab <= 6'd6; + 12'd491 : hue_tab <= 6'd6; + 12'd492 : hue_tab <= 6'd6; + 12'd493 : hue_tab <= 6'd6; + 12'd494 : hue_tab <= 6'd6; + 12'd495 : hue_tab <= 6'd5; + 12'd496 : hue_tab <= 6'd5; + 12'd497 : hue_tab <= 6'd5; + 12'd498 : hue_tab <= 6'd5; + 12'd499 : hue_tab <= 6'd5; + 12'd500 : hue_tab <= 6'd5; + 12'd501 : hue_tab <= 6'd5; + 12'd502 : hue_tab <= 6'd5; + 12'd503 : hue_tab <= 6'd5; + 12'd504 : hue_tab <= 6'd5; + 12'd505 : hue_tab <= 6'd4; + 12'd506 : hue_tab <= 6'd4; + 12'd507 : hue_tab <= 6'd4; + 12'd508 : hue_tab <= 6'd4; + 12'd509 : hue_tab <= 6'd4; + 12'd510 : hue_tab <= 6'd4; + 12'd511 : hue_tab <= 6'd4; + 12'd512 : hue_tab <= 6'd0; + 12'd513 : hue_tab <= 6'd40; + 12'd514 : hue_tab <= 6'd40; + 12'd515 : hue_tab <= 6'd40; + 12'd516 : hue_tab <= 6'd40; + 12'd517 : hue_tab <= 6'd40; + 12'd518 : hue_tab <= 6'd40; + 12'd519 : hue_tab <= 6'd40; + 12'd520 : hue_tab <= 6'd40; + 12'd521 : hue_tab <= 6'd35; + 12'd522 : hue_tab <= 6'd32; + 12'd523 : hue_tab <= 6'd29; + 12'd524 : hue_tab <= 6'd26; + 12'd525 : hue_tab <= 6'd24; + 12'd526 : hue_tab <= 6'd22; + 12'd527 : hue_tab <= 6'd21; + 12'd528 : hue_tab <= 6'd20; + 12'd529 : hue_tab <= 6'd18; + 12'd530 : hue_tab <= 6'd17; + 12'd531 : hue_tab <= 6'd16; + 12'd532 : hue_tab <= 6'd16; + 12'd533 : hue_tab <= 6'd15; + 12'd534 : hue_tab <= 6'd14; + 12'd535 : hue_tab <= 6'd13; + 12'd536 : hue_tab <= 6'd13; + 12'd537 : hue_tab <= 6'd12; + 12'd538 : hue_tab <= 6'd12; + 12'd539 : hue_tab <= 6'd11; + 12'd540 : hue_tab <= 6'd11; + 12'd541 : hue_tab <= 6'd11; + 12'd542 : hue_tab <= 6'd10; + 12'd543 : hue_tab <= 6'd10; + 12'd544 : hue_tab <= 6'd10; + 12'd545 : hue_tab <= 6'd9; + 12'd546 : hue_tab <= 6'd9; + 12'd547 : hue_tab <= 6'd9; + 12'd548 : hue_tab <= 6'd8; + 12'd549 : hue_tab <= 6'd8; + 12'd550 : hue_tab <= 6'd8; + 12'd551 : hue_tab <= 6'd8; + 12'd552 : hue_tab <= 6'd8; + 12'd553 : hue_tab <= 6'd7; + 12'd554 : hue_tab <= 6'd7; + 12'd555 : hue_tab <= 6'd7; + 12'd556 : hue_tab <= 6'd7; + 12'd557 : hue_tab <= 6'd7; + 12'd558 : hue_tab <= 6'd6; + 12'd559 : hue_tab <= 6'd6; + 12'd560 : hue_tab <= 6'd6; + 12'd561 : hue_tab <= 6'd6; + 12'd562 : hue_tab <= 6'd6; + 12'd563 : hue_tab <= 6'd6; + 12'd564 : hue_tab <= 6'd6; + 12'd565 : hue_tab <= 6'd6; + 12'd566 : hue_tab <= 6'd5; + 12'd567 : hue_tab <= 6'd5; + 12'd568 : hue_tab <= 6'd5; + 12'd569 : hue_tab <= 6'd5; + 12'd570 : hue_tab <= 6'd5; + 12'd571 : hue_tab <= 6'd5; + 12'd572 : hue_tab <= 6'd5; + 12'd573 : hue_tab <= 6'd5; + 12'd574 : hue_tab <= 6'd5; + 12'd575 : hue_tab <= 6'd5; + 12'd576 : hue_tab <= 6'd0; + 12'd577 : hue_tab <= 6'd40; + 12'd578 : hue_tab <= 6'd40; + 12'd579 : hue_tab <= 6'd40; + 12'd580 : hue_tab <= 6'd40; + 12'd581 : hue_tab <= 6'd40; + 12'd582 : hue_tab <= 6'd40; + 12'd583 : hue_tab <= 6'd40; + 12'd584 : hue_tab <= 6'd40; + 12'd585 : hue_tab <= 6'd40; + 12'd586 : hue_tab <= 6'd36; + 12'd587 : hue_tab <= 6'd32; + 12'd588 : hue_tab <= 6'd30; + 12'd589 : hue_tab <= 6'd27; + 12'd590 : hue_tab <= 6'd25; + 12'd591 : hue_tab <= 6'd24; + 12'd592 : hue_tab <= 6'd22; + 12'd593 : hue_tab <= 6'd21; + 12'd594 : hue_tab <= 6'd20; + 12'd595 : hue_tab <= 6'd18; + 12'd596 : hue_tab <= 6'd18; + 12'd597 : hue_tab <= 6'd17; + 12'd598 : hue_tab <= 6'd16; + 12'd599 : hue_tab <= 6'd15; + 12'd600 : hue_tab <= 6'd15; + 12'd601 : hue_tab <= 6'd14; + 12'd602 : hue_tab <= 6'd13; + 12'd603 : hue_tab <= 6'd13; + 12'd604 : hue_tab <= 6'd12; + 12'd605 : hue_tab <= 6'd12; + 12'd606 : hue_tab <= 6'd12; + 12'd607 : hue_tab <= 6'd11; + 12'd608 : hue_tab <= 6'd11; + 12'd609 : hue_tab <= 6'd10; + 12'd610 : hue_tab <= 6'd10; + 12'd611 : hue_tab <= 6'd10; + 12'd612 : hue_tab <= 6'd10; + 12'd613 : hue_tab <= 6'd9; + 12'd614 : hue_tab <= 6'd9; + 12'd615 : hue_tab <= 6'd9; + 12'd616 : hue_tab <= 6'd9; + 12'd617 : hue_tab <= 6'd8; + 12'd618 : hue_tab <= 6'd8; + 12'd619 : hue_tab <= 6'd8; + 12'd620 : hue_tab <= 6'd8; + 12'd621 : hue_tab <= 6'd8; + 12'd622 : hue_tab <= 6'd7; + 12'd623 : hue_tab <= 6'd7; + 12'd624 : hue_tab <= 6'd7; + 12'd625 : hue_tab <= 6'd7; + 12'd626 : hue_tab <= 6'd7; + 12'd627 : hue_tab <= 6'd7; + 12'd628 : hue_tab <= 6'd6; + 12'd629 : hue_tab <= 6'd6; + 12'd630 : hue_tab <= 6'd6; + 12'd631 : hue_tab <= 6'd6; + 12'd632 : hue_tab <= 6'd6; + 12'd633 : hue_tab <= 6'd6; + 12'd634 : hue_tab <= 6'd6; + 12'd635 : hue_tab <= 6'd6; + 12'd636 : hue_tab <= 6'd6; + 12'd637 : hue_tab <= 6'd5; + 12'd638 : hue_tab <= 6'd5; + 12'd639 : hue_tab <= 6'd5; + 12'd640 : hue_tab <= 6'd0; + 12'd641 : hue_tab <= 6'd40; + 12'd642 : hue_tab <= 6'd40; + 12'd643 : hue_tab <= 6'd40; + 12'd644 : hue_tab <= 6'd40; + 12'd645 : hue_tab <= 6'd40; + 12'd646 : hue_tab <= 6'd40; + 12'd647 : hue_tab <= 6'd40; + 12'd648 : hue_tab <= 6'd40; + 12'd649 : hue_tab <= 6'd40; + 12'd650 : hue_tab <= 6'd40; + 12'd651 : hue_tab <= 6'd36; + 12'd652 : hue_tab <= 6'd33; + 12'd653 : hue_tab <= 6'd30; + 12'd654 : hue_tab <= 6'd28; + 12'd655 : hue_tab <= 6'd26; + 12'd656 : hue_tab <= 6'd25; + 12'd657 : hue_tab <= 6'd23; + 12'd658 : hue_tab <= 6'd22; + 12'd659 : hue_tab <= 6'd21; + 12'd660 : hue_tab <= 6'd20; + 12'd661 : hue_tab <= 6'd19; + 12'd662 : hue_tab <= 6'd18; + 12'd663 : hue_tab <= 6'd17; + 12'd664 : hue_tab <= 6'd16; + 12'd665 : hue_tab <= 6'd16; + 12'd666 : hue_tab <= 6'd15; + 12'd667 : hue_tab <= 6'd14; + 12'd668 : hue_tab <= 6'd14; + 12'd669 : hue_tab <= 6'd13; + 12'd670 : hue_tab <= 6'd13; + 12'd671 : hue_tab <= 6'd12; + 12'd672 : hue_tab <= 6'd12; + 12'd673 : hue_tab <= 6'd12; + 12'd674 : hue_tab <= 6'd11; + 12'd675 : hue_tab <= 6'd11; + 12'd676 : hue_tab <= 6'd11; + 12'd677 : hue_tab <= 6'd10; + 12'd678 : hue_tab <= 6'd10; + 12'd679 : hue_tab <= 6'd10; + 12'd680 : hue_tab <= 6'd10; + 12'd681 : hue_tab <= 6'd9; + 12'd682 : hue_tab <= 6'd9; + 12'd683 : hue_tab <= 6'd9; + 12'd684 : hue_tab <= 6'd9; + 12'd685 : hue_tab <= 6'd8; + 12'd686 : hue_tab <= 6'd8; + 12'd687 : hue_tab <= 6'd8; + 12'd688 : hue_tab <= 6'd8; + 12'd689 : hue_tab <= 6'd8; + 12'd690 : hue_tab <= 6'd8; + 12'd691 : hue_tab <= 6'd7; + 12'd692 : hue_tab <= 6'd7; + 12'd693 : hue_tab <= 6'd7; + 12'd694 : hue_tab <= 6'd7; + 12'd695 : hue_tab <= 6'd7; + 12'd696 : hue_tab <= 6'd7; + 12'd697 : hue_tab <= 6'd7; + 12'd698 : hue_tab <= 6'd6; + 12'd699 : hue_tab <= 6'd6; + 12'd700 : hue_tab <= 6'd6; + 12'd701 : hue_tab <= 6'd6; + 12'd702 : hue_tab <= 6'd6; + 12'd703 : hue_tab <= 6'd6; + 12'd704 : hue_tab <= 6'd0; + 12'd705 : hue_tab <= 6'd40; + 12'd706 : hue_tab <= 6'd40; + 12'd707 : hue_tab <= 6'd40; + 12'd708 : hue_tab <= 6'd40; + 12'd709 : hue_tab <= 6'd40; + 12'd710 : hue_tab <= 6'd40; + 12'd711 : hue_tab <= 6'd40; + 12'd712 : hue_tab <= 6'd40; + 12'd713 : hue_tab <= 6'd40; + 12'd714 : hue_tab <= 6'd40; + 12'd715 : hue_tab <= 6'd40; + 12'd716 : hue_tab <= 6'd36; + 12'd717 : hue_tab <= 6'd33; + 12'd718 : hue_tab <= 6'd31; + 12'd719 : hue_tab <= 6'd29; + 12'd720 : hue_tab <= 6'd27; + 12'd721 : hue_tab <= 6'd25; + 12'd722 : hue_tab <= 6'd24; + 12'd723 : hue_tab <= 6'd23; + 12'd724 : hue_tab <= 6'd22; + 12'd725 : hue_tab <= 6'd20; + 12'd726 : hue_tab <= 6'd20; + 12'd727 : hue_tab <= 6'd19; + 12'd728 : hue_tab <= 6'd18; + 12'd729 : hue_tab <= 6'd17; + 12'd730 : hue_tab <= 6'd16; + 12'd731 : hue_tab <= 6'd16; + 12'd732 : hue_tab <= 6'd15; + 12'd733 : hue_tab <= 6'd15; + 12'd734 : hue_tab <= 6'd14; + 12'd735 : hue_tab <= 6'd14; + 12'd736 : hue_tab <= 6'd13; + 12'd737 : hue_tab <= 6'd13; + 12'd738 : hue_tab <= 6'd12; + 12'd739 : hue_tab <= 6'd12; + 12'd740 : hue_tab <= 6'd12; + 12'd741 : hue_tab <= 6'd11; + 12'd742 : hue_tab <= 6'd11; + 12'd743 : hue_tab <= 6'd11; + 12'd744 : hue_tab <= 6'd11; + 12'd745 : hue_tab <= 6'd10; + 12'd746 : hue_tab <= 6'd10; + 12'd747 : hue_tab <= 6'd10; + 12'd748 : hue_tab <= 6'd10; + 12'd749 : hue_tab <= 6'd9; + 12'd750 : hue_tab <= 6'd9; + 12'd751 : hue_tab <= 6'd9; + 12'd752 : hue_tab <= 6'd9; + 12'd753 : hue_tab <= 6'd8; + 12'd754 : hue_tab <= 6'd8; + 12'd755 : hue_tab <= 6'd8; + 12'd756 : hue_tab <= 6'd8; + 12'd757 : hue_tab <= 6'd8; + 12'd758 : hue_tab <= 6'd8; + 12'd759 : hue_tab <= 6'd8; + 12'd760 : hue_tab <= 6'd7; + 12'd761 : hue_tab <= 6'd7; + 12'd762 : hue_tab <= 6'd7; + 12'd763 : hue_tab <= 6'd7; + 12'd764 : hue_tab <= 6'd7; + 12'd765 : hue_tab <= 6'd7; + 12'd766 : hue_tab <= 6'd7; + 12'd767 : hue_tab <= 6'd6; + 12'd768 : hue_tab <= 6'd0; + 12'd769 : hue_tab <= 6'd40; + 12'd770 : hue_tab <= 6'd40; + 12'd771 : hue_tab <= 6'd40; + 12'd772 : hue_tab <= 6'd40; + 12'd773 : hue_tab <= 6'd40; + 12'd774 : hue_tab <= 6'd40; + 12'd775 : hue_tab <= 6'd40; + 12'd776 : hue_tab <= 6'd40; + 12'd777 : hue_tab <= 6'd40; + 12'd778 : hue_tab <= 6'd40; + 12'd779 : hue_tab <= 6'd40; + 12'd780 : hue_tab <= 6'd40; + 12'd781 : hue_tab <= 6'd36; + 12'd782 : hue_tab <= 6'd34; + 12'd783 : hue_tab <= 6'd32; + 12'd784 : hue_tab <= 6'd30; + 12'd785 : hue_tab <= 6'd28; + 12'd786 : hue_tab <= 6'd26; + 12'd787 : hue_tab <= 6'd25; + 12'd788 : hue_tab <= 6'd24; + 12'd789 : hue_tab <= 6'd22; + 12'd790 : hue_tab <= 6'd21; + 12'd791 : hue_tab <= 6'd20; + 12'd792 : hue_tab <= 6'd20; + 12'd793 : hue_tab <= 6'd19; + 12'd794 : hue_tab <= 6'd18; + 12'd795 : hue_tab <= 6'd17; + 12'd796 : hue_tab <= 6'd17; + 12'd797 : hue_tab <= 6'd16; + 12'd798 : hue_tab <= 6'd16; + 12'd799 : hue_tab <= 6'd15; + 12'd800 : hue_tab <= 6'd15; + 12'd801 : hue_tab <= 6'd14; + 12'd802 : hue_tab <= 6'd14; + 12'd803 : hue_tab <= 6'd13; + 12'd804 : hue_tab <= 6'd13; + 12'd805 : hue_tab <= 6'd12; + 12'd806 : hue_tab <= 6'd12; + 12'd807 : hue_tab <= 6'd12; + 12'd808 : hue_tab <= 6'd12; + 12'd809 : hue_tab <= 6'd11; + 12'd810 : hue_tab <= 6'd11; + 12'd811 : hue_tab <= 6'd11; + 12'd812 : hue_tab <= 6'd10; + 12'd813 : hue_tab <= 6'd10; + 12'd814 : hue_tab <= 6'd10; + 12'd815 : hue_tab <= 6'd10; + 12'd816 : hue_tab <= 6'd10; + 12'd817 : hue_tab <= 6'd9; + 12'd818 : hue_tab <= 6'd9; + 12'd819 : hue_tab <= 6'd9; + 12'd820 : hue_tab <= 6'd9; + 12'd821 : hue_tab <= 6'd9; + 12'd822 : hue_tab <= 6'd8; + 12'd823 : hue_tab <= 6'd8; + 12'd824 : hue_tab <= 6'd8; + 12'd825 : hue_tab <= 6'd8; + 12'd826 : hue_tab <= 6'd8; + 12'd827 : hue_tab <= 6'd8; + 12'd828 : hue_tab <= 6'd8; + 12'd829 : hue_tab <= 6'd7; + 12'd830 : hue_tab <= 6'd7; + 12'd831 : hue_tab <= 6'd7; + 12'd832 : hue_tab <= 6'd0; + 12'd833 : hue_tab <= 6'd40; + 12'd834 : hue_tab <= 6'd40; + 12'd835 : hue_tab <= 6'd40; + 12'd836 : hue_tab <= 6'd40; + 12'd837 : hue_tab <= 6'd40; + 12'd838 : hue_tab <= 6'd40; + 12'd839 : hue_tab <= 6'd40; + 12'd840 : hue_tab <= 6'd40; + 12'd841 : hue_tab <= 6'd40; + 12'd842 : hue_tab <= 6'd40; + 12'd843 : hue_tab <= 6'd40; + 12'd844 : hue_tab <= 6'd40; + 12'd845 : hue_tab <= 6'd40; + 12'd846 : hue_tab <= 6'd37; + 12'd847 : hue_tab <= 6'd34; + 12'd848 : hue_tab <= 6'd32; + 12'd849 : hue_tab <= 6'd30; + 12'd850 : hue_tab <= 6'd28; + 12'd851 : hue_tab <= 6'd27; + 12'd852 : hue_tab <= 6'd26; + 12'd853 : hue_tab <= 6'd24; + 12'd854 : hue_tab <= 6'd23; + 12'd855 : hue_tab <= 6'd22; + 12'd856 : hue_tab <= 6'd21; + 12'd857 : hue_tab <= 6'd20; + 12'd858 : hue_tab <= 6'd20; + 12'd859 : hue_tab <= 6'd19; + 12'd860 : hue_tab <= 6'd18; + 12'd861 : hue_tab <= 6'd17; + 12'd862 : hue_tab <= 6'd17; + 12'd863 : hue_tab <= 6'd16; + 12'd864 : hue_tab <= 6'd16; + 12'd865 : hue_tab <= 6'd15; + 12'd866 : hue_tab <= 6'd15; + 12'd867 : hue_tab <= 6'd14; + 12'd868 : hue_tab <= 6'd14; + 12'd869 : hue_tab <= 6'd14; + 12'd870 : hue_tab <= 6'd13; + 12'd871 : hue_tab <= 6'd13; + 12'd872 : hue_tab <= 6'd13; + 12'd873 : hue_tab <= 6'd12; + 12'd874 : hue_tab <= 6'd12; + 12'd875 : hue_tab <= 6'd12; + 12'd876 : hue_tab <= 6'd11; + 12'd877 : hue_tab <= 6'd11; + 12'd878 : hue_tab <= 6'd11; + 12'd879 : hue_tab <= 6'd11; + 12'd880 : hue_tab <= 6'd10; + 12'd881 : hue_tab <= 6'd10; + 12'd882 : hue_tab <= 6'd10; + 12'd883 : hue_tab <= 6'd10; + 12'd884 : hue_tab <= 6'd10; + 12'd885 : hue_tab <= 6'd9; + 12'd886 : hue_tab <= 6'd9; + 12'd887 : hue_tab <= 6'd9; + 12'd888 : hue_tab <= 6'd9; + 12'd889 : hue_tab <= 6'd9; + 12'd890 : hue_tab <= 6'd8; + 12'd891 : hue_tab <= 6'd8; + 12'd892 : hue_tab <= 6'd8; + 12'd893 : hue_tab <= 6'd8; + 12'd894 : hue_tab <= 6'd8; + 12'd895 : hue_tab <= 6'd8; + 12'd896 : hue_tab <= 6'd0; + 12'd897 : hue_tab <= 6'd40; + 12'd898 : hue_tab <= 6'd40; + 12'd899 : hue_tab <= 6'd40; + 12'd900 : hue_tab <= 6'd40; + 12'd901 : hue_tab <= 6'd40; + 12'd902 : hue_tab <= 6'd40; + 12'd903 : hue_tab <= 6'd40; + 12'd904 : hue_tab <= 6'd40; + 12'd905 : hue_tab <= 6'd40; + 12'd906 : hue_tab <= 6'd40; + 12'd907 : hue_tab <= 6'd40; + 12'd908 : hue_tab <= 6'd40; + 12'd909 : hue_tab <= 6'd40; + 12'd910 : hue_tab <= 6'd40; + 12'd911 : hue_tab <= 6'd37; + 12'd912 : hue_tab <= 6'd35; + 12'd913 : hue_tab <= 6'd32; + 12'd914 : hue_tab <= 6'd31; + 12'd915 : hue_tab <= 6'd29; + 12'd916 : hue_tab <= 6'd28; + 12'd917 : hue_tab <= 6'd26; + 12'd918 : hue_tab <= 6'd25; + 12'd919 : hue_tab <= 6'd24; + 12'd920 : hue_tab <= 6'd23; + 12'd921 : hue_tab <= 6'd22; + 12'd922 : hue_tab <= 6'd21; + 12'd923 : hue_tab <= 6'd20; + 12'd924 : hue_tab <= 6'd20; + 12'd925 : hue_tab <= 6'd19; + 12'd926 : hue_tab <= 6'd18; + 12'd927 : hue_tab <= 6'd18; + 12'd928 : hue_tab <= 6'd17; + 12'd929 : hue_tab <= 6'd16; + 12'd930 : hue_tab <= 6'd16; + 12'd931 : hue_tab <= 6'd16; + 12'd932 : hue_tab <= 6'd15; + 12'd933 : hue_tab <= 6'd15; + 12'd934 : hue_tab <= 6'd14; + 12'd935 : hue_tab <= 6'd14; + 12'd936 : hue_tab <= 6'd14; + 12'd937 : hue_tab <= 6'd13; + 12'd938 : hue_tab <= 6'd13; + 12'd939 : hue_tab <= 6'd13; + 12'd940 : hue_tab <= 6'd12; + 12'd941 : hue_tab <= 6'd12; + 12'd942 : hue_tab <= 6'd12; + 12'd943 : hue_tab <= 6'd11; + 12'd944 : hue_tab <= 6'd11; + 12'd945 : hue_tab <= 6'd11; + 12'd946 : hue_tab <= 6'd11; + 12'd947 : hue_tab <= 6'd10; + 12'd948 : hue_tab <= 6'd10; + 12'd949 : hue_tab <= 6'd10; + 12'd950 : hue_tab <= 6'd10; + 12'd951 : hue_tab <= 6'd10; + 12'd952 : hue_tab <= 6'd10; + 12'd953 : hue_tab <= 6'd9; + 12'd954 : hue_tab <= 6'd9; + 12'd955 : hue_tab <= 6'd9; + 12'd956 : hue_tab <= 6'd9; + 12'd957 : hue_tab <= 6'd9; + 12'd958 : hue_tab <= 6'd9; + 12'd959 : hue_tab <= 6'd8; + 12'd960 : hue_tab <= 6'd0; + 12'd961 : hue_tab <= 6'd40; + 12'd962 : hue_tab <= 6'd40; + 12'd963 : hue_tab <= 6'd40; + 12'd964 : hue_tab <= 6'd40; + 12'd965 : hue_tab <= 6'd40; + 12'd966 : hue_tab <= 6'd40; + 12'd967 : hue_tab <= 6'd40; + 12'd968 : hue_tab <= 6'd40; + 12'd969 : hue_tab <= 6'd40; + 12'd970 : hue_tab <= 6'd40; + 12'd971 : hue_tab <= 6'd40; + 12'd972 : hue_tab <= 6'd40; + 12'd973 : hue_tab <= 6'd40; + 12'd974 : hue_tab <= 6'd40; + 12'd975 : hue_tab <= 6'd40; + 12'd976 : hue_tab <= 6'd37; + 12'd977 : hue_tab <= 6'd35; + 12'd978 : hue_tab <= 6'd33; + 12'd979 : hue_tab <= 6'd31; + 12'd980 : hue_tab <= 6'd30; + 12'd981 : hue_tab <= 6'd28; + 12'd982 : hue_tab <= 6'd27; + 12'd983 : hue_tab <= 6'd26; + 12'd984 : hue_tab <= 6'd25; + 12'd985 : hue_tab <= 6'd24; + 12'd986 : hue_tab <= 6'd23; + 12'd987 : hue_tab <= 6'd22; + 12'd988 : hue_tab <= 6'd21; + 12'd989 : hue_tab <= 6'd20; + 12'd990 : hue_tab <= 6'd20; + 12'd991 : hue_tab <= 6'd19; + 12'd992 : hue_tab <= 6'd18; + 12'd993 : hue_tab <= 6'd18; + 12'd994 : hue_tab <= 6'd17; + 12'd995 : hue_tab <= 6'd17; + 12'd996 : hue_tab <= 6'd16; + 12'd997 : hue_tab <= 6'd16; + 12'd998 : hue_tab <= 6'd15; + 12'd999 : hue_tab <= 6'd15; + 12'd1000 : hue_tab <= 6'd15; + 12'd1001 : hue_tab <= 6'd14; + 12'd1002 : hue_tab <= 6'd14; + 12'd1003 : hue_tab <= 6'd13; + 12'd1004 : hue_tab <= 6'd13; + 12'd1005 : hue_tab <= 6'd13; + 12'd1006 : hue_tab <= 6'd13; + 12'd1007 : hue_tab <= 6'd12; + 12'd1008 : hue_tab <= 6'd12; + 12'd1009 : hue_tab <= 6'd12; + 12'd1010 : hue_tab <= 6'd12; + 12'd1011 : hue_tab <= 6'd11; + 12'd1012 : hue_tab <= 6'd11; + 12'd1013 : hue_tab <= 6'd11; + 12'd1014 : hue_tab <= 6'd11; + 12'd1015 : hue_tab <= 6'd10; + 12'd1016 : hue_tab <= 6'd10; + 12'd1017 : hue_tab <= 6'd10; + 12'd1018 : hue_tab <= 6'd10; + 12'd1019 : hue_tab <= 6'd10; + 12'd1020 : hue_tab <= 6'd10; + 12'd1021 : hue_tab <= 6'd9; + 12'd1022 : hue_tab <= 6'd9; + 12'd1023 : hue_tab <= 6'd9; + 12'd1024 : hue_tab <= 6'd0; + 12'd1025 : hue_tab <= 6'd40; + 12'd1026 : hue_tab <= 6'd40; + 12'd1027 : hue_tab <= 6'd40; + 12'd1028 : hue_tab <= 6'd40; + 12'd1029 : hue_tab <= 6'd40; + 12'd1030 : hue_tab <= 6'd40; + 12'd1031 : hue_tab <= 6'd40; + 12'd1032 : hue_tab <= 6'd40; + 12'd1033 : hue_tab <= 6'd40; + 12'd1034 : hue_tab <= 6'd40; + 12'd1035 : hue_tab <= 6'd40; + 12'd1036 : hue_tab <= 6'd40; + 12'd1037 : hue_tab <= 6'd40; + 12'd1038 : hue_tab <= 6'd40; + 12'd1039 : hue_tab <= 6'd40; + 12'd1040 : hue_tab <= 6'd40; + 12'd1041 : hue_tab <= 6'd37; + 12'd1042 : hue_tab <= 6'd35; + 12'd1043 : hue_tab <= 6'd33; + 12'd1044 : hue_tab <= 6'd32; + 12'd1045 : hue_tab <= 6'd30; + 12'd1046 : hue_tab <= 6'd29; + 12'd1047 : hue_tab <= 6'd27; + 12'd1048 : hue_tab <= 6'd26; + 12'd1049 : hue_tab <= 6'd25; + 12'd1050 : hue_tab <= 6'd24; + 12'd1051 : hue_tab <= 6'd23; + 12'd1052 : hue_tab <= 6'd22; + 12'd1053 : hue_tab <= 6'd22; + 12'd1054 : hue_tab <= 6'd21; + 12'd1055 : hue_tab <= 6'd20; + 12'd1056 : hue_tab <= 6'd20; + 12'd1057 : hue_tab <= 6'd19; + 12'd1058 : hue_tab <= 6'd18; + 12'd1059 : hue_tab <= 6'd18; + 12'd1060 : hue_tab <= 6'd17; + 12'd1061 : hue_tab <= 6'd17; + 12'd1062 : hue_tab <= 6'd16; + 12'd1063 : hue_tab <= 6'd16; + 12'd1064 : hue_tab <= 6'd16; + 12'd1065 : hue_tab <= 6'd15; + 12'd1066 : hue_tab <= 6'd15; + 12'd1067 : hue_tab <= 6'd14; + 12'd1068 : hue_tab <= 6'd14; + 12'd1069 : hue_tab <= 6'd14; + 12'd1070 : hue_tab <= 6'd13; + 12'd1071 : hue_tab <= 6'd13; + 12'd1072 : hue_tab <= 6'd13; + 12'd1073 : hue_tab <= 6'd13; + 12'd1074 : hue_tab <= 6'd12; + 12'd1075 : hue_tab <= 6'd12; + 12'd1076 : hue_tab <= 6'd12; + 12'd1077 : hue_tab <= 6'd12; + 12'd1078 : hue_tab <= 6'd11; + 12'd1079 : hue_tab <= 6'd11; + 12'd1080 : hue_tab <= 6'd11; + 12'd1081 : hue_tab <= 6'd11; + 12'd1082 : hue_tab <= 6'd11; + 12'd1083 : hue_tab <= 6'd10; + 12'd1084 : hue_tab <= 6'd10; + 12'd1085 : hue_tab <= 6'd10; + 12'd1086 : hue_tab <= 6'd10; + 12'd1087 : hue_tab <= 6'd10; + 12'd1088 : hue_tab <= 6'd0; + 12'd1089 : hue_tab <= 6'd40; + 12'd1090 : hue_tab <= 6'd40; + 12'd1091 : hue_tab <= 6'd40; + 12'd1092 : hue_tab <= 6'd40; + 12'd1093 : hue_tab <= 6'd40; + 12'd1094 : hue_tab <= 6'd40; + 12'd1095 : hue_tab <= 6'd40; + 12'd1096 : hue_tab <= 6'd40; + 12'd1097 : hue_tab <= 6'd40; + 12'd1098 : hue_tab <= 6'd40; + 12'd1099 : hue_tab <= 6'd40; + 12'd1100 : hue_tab <= 6'd40; + 12'd1101 : hue_tab <= 6'd40; + 12'd1102 : hue_tab <= 6'd40; + 12'd1103 : hue_tab <= 6'd40; + 12'd1104 : hue_tab <= 6'd40; + 12'd1105 : hue_tab <= 6'd40; + 12'd1106 : hue_tab <= 6'd37; + 12'd1107 : hue_tab <= 6'd35; + 12'd1108 : hue_tab <= 6'd34; + 12'd1109 : hue_tab <= 6'd32; + 12'd1110 : hue_tab <= 6'd30; + 12'd1111 : hue_tab <= 6'd29; + 12'd1112 : hue_tab <= 6'd28; + 12'd1113 : hue_tab <= 6'd27; + 12'd1114 : hue_tab <= 6'd26; + 12'd1115 : hue_tab <= 6'd25; + 12'd1116 : hue_tab <= 6'd24; + 12'd1117 : hue_tab <= 6'd23; + 12'd1118 : hue_tab <= 6'd22; + 12'd1119 : hue_tab <= 6'd21; + 12'd1120 : hue_tab <= 6'd21; + 12'd1121 : hue_tab <= 6'd20; + 12'd1122 : hue_tab <= 6'd20; + 12'd1123 : hue_tab <= 6'd19; + 12'd1124 : hue_tab <= 6'd18; + 12'd1125 : hue_tab <= 6'd18; + 12'd1126 : hue_tab <= 6'd17; + 12'd1127 : hue_tab <= 6'd17; + 12'd1128 : hue_tab <= 6'd17; + 12'd1129 : hue_tab <= 6'd16; + 12'd1130 : hue_tab <= 6'd16; + 12'd1131 : hue_tab <= 6'd15; + 12'd1132 : hue_tab <= 6'd15; + 12'd1133 : hue_tab <= 6'd15; + 12'd1134 : hue_tab <= 6'd14; + 12'd1135 : hue_tab <= 6'd14; + 12'd1136 : hue_tab <= 6'd14; + 12'd1137 : hue_tab <= 6'd13; + 12'd1138 : hue_tab <= 6'd13; + 12'd1139 : hue_tab <= 6'd13; + 12'd1140 : hue_tab <= 6'd13; + 12'd1141 : hue_tab <= 6'd12; + 12'd1142 : hue_tab <= 6'd12; + 12'd1143 : hue_tab <= 6'd12; + 12'd1144 : hue_tab <= 6'd12; + 12'd1145 : hue_tab <= 6'd11; + 12'd1146 : hue_tab <= 6'd11; + 12'd1147 : hue_tab <= 6'd11; + 12'd1148 : hue_tab <= 6'd11; + 12'd1149 : hue_tab <= 6'd11; + 12'd1150 : hue_tab <= 6'd10; + 12'd1151 : hue_tab <= 6'd10; + 12'd1152 : hue_tab <= 6'd0; + 12'd1153 : hue_tab <= 6'd40; + 12'd1154 : hue_tab <= 6'd40; + 12'd1155 : hue_tab <= 6'd40; + 12'd1156 : hue_tab <= 6'd40; + 12'd1157 : hue_tab <= 6'd40; + 12'd1158 : hue_tab <= 6'd40; + 12'd1159 : hue_tab <= 6'd40; + 12'd1160 : hue_tab <= 6'd40; + 12'd1161 : hue_tab <= 6'd40; + 12'd1162 : hue_tab <= 6'd40; + 12'd1163 : hue_tab <= 6'd40; + 12'd1164 : hue_tab <= 6'd40; + 12'd1165 : hue_tab <= 6'd40; + 12'd1166 : hue_tab <= 6'd40; + 12'd1167 : hue_tab <= 6'd40; + 12'd1168 : hue_tab <= 6'd40; + 12'd1169 : hue_tab <= 6'd40; + 12'd1170 : hue_tab <= 6'd40; + 12'd1171 : hue_tab <= 6'd37; + 12'd1172 : hue_tab <= 6'd36; + 12'd1173 : hue_tab <= 6'd34; + 12'd1174 : hue_tab <= 6'd32; + 12'd1175 : hue_tab <= 6'd31; + 12'd1176 : hue_tab <= 6'd30; + 12'd1177 : hue_tab <= 6'd28; + 12'd1178 : hue_tab <= 6'd27; + 12'd1179 : hue_tab <= 6'd26; + 12'd1180 : hue_tab <= 6'd25; + 12'd1181 : hue_tab <= 6'd24; + 12'd1182 : hue_tab <= 6'd24; + 12'd1183 : hue_tab <= 6'd23; + 12'd1184 : hue_tab <= 6'd22; + 12'd1185 : hue_tab <= 6'd21; + 12'd1186 : hue_tab <= 6'd21; + 12'd1187 : hue_tab <= 6'd20; + 12'd1188 : hue_tab <= 6'd20; + 12'd1189 : hue_tab <= 6'd19; + 12'd1190 : hue_tab <= 6'd18; + 12'd1191 : hue_tab <= 6'd18; + 12'd1192 : hue_tab <= 6'd18; + 12'd1193 : hue_tab <= 6'd17; + 12'd1194 : hue_tab <= 6'd17; + 12'd1195 : hue_tab <= 6'd16; + 12'd1196 : hue_tab <= 6'd16; + 12'd1197 : hue_tab <= 6'd16; + 12'd1198 : hue_tab <= 6'd15; + 12'd1199 : hue_tab <= 6'd15; + 12'd1200 : hue_tab <= 6'd15; + 12'd1201 : hue_tab <= 6'd14; + 12'd1202 : hue_tab <= 6'd14; + 12'd1203 : hue_tab <= 6'd14; + 12'd1204 : hue_tab <= 6'd13; + 12'd1205 : hue_tab <= 6'd13; + 12'd1206 : hue_tab <= 6'd13; + 12'd1207 : hue_tab <= 6'd13; + 12'd1208 : hue_tab <= 6'd12; + 12'd1209 : hue_tab <= 6'd12; + 12'd1210 : hue_tab <= 6'd12; + 12'd1211 : hue_tab <= 6'd12; + 12'd1212 : hue_tab <= 6'd12; + 12'd1213 : hue_tab <= 6'd11; + 12'd1214 : hue_tab <= 6'd11; + 12'd1215 : hue_tab <= 6'd11; + 12'd1216 : hue_tab <= 6'd0; + 12'd1217 : hue_tab <= 6'd40; + 12'd1218 : hue_tab <= 6'd40; + 12'd1219 : hue_tab <= 6'd40; + 12'd1220 : hue_tab <= 6'd40; + 12'd1221 : hue_tab <= 6'd40; + 12'd1222 : hue_tab <= 6'd40; + 12'd1223 : hue_tab <= 6'd40; + 12'd1224 : hue_tab <= 6'd40; + 12'd1225 : hue_tab <= 6'd40; + 12'd1226 : hue_tab <= 6'd40; + 12'd1227 : hue_tab <= 6'd40; + 12'd1228 : hue_tab <= 6'd40; + 12'd1229 : hue_tab <= 6'd40; + 12'd1230 : hue_tab <= 6'd40; + 12'd1231 : hue_tab <= 6'd40; + 12'd1232 : hue_tab <= 6'd40; + 12'd1233 : hue_tab <= 6'd40; + 12'd1234 : hue_tab <= 6'd40; + 12'd1235 : hue_tab <= 6'd40; + 12'd1236 : hue_tab <= 6'd38; + 12'd1237 : hue_tab <= 6'd36; + 12'd1238 : hue_tab <= 6'd34; + 12'd1239 : hue_tab <= 6'd33; + 12'd1240 : hue_tab <= 6'd31; + 12'd1241 : hue_tab <= 6'd30; + 12'd1242 : hue_tab <= 6'd29; + 12'd1243 : hue_tab <= 6'd28; + 12'd1244 : hue_tab <= 6'd27; + 12'd1245 : hue_tab <= 6'd26; + 12'd1246 : hue_tab <= 6'd25; + 12'd1247 : hue_tab <= 6'd24; + 12'd1248 : hue_tab <= 6'd23; + 12'd1249 : hue_tab <= 6'd23; + 12'd1250 : hue_tab <= 6'd22; + 12'd1251 : hue_tab <= 6'd21; + 12'd1252 : hue_tab <= 6'd21; + 12'd1253 : hue_tab <= 6'd20; + 12'd1254 : hue_tab <= 6'd20; + 12'd1255 : hue_tab <= 6'd19; + 12'd1256 : hue_tab <= 6'd19; + 12'd1257 : hue_tab <= 6'd18; + 12'd1258 : hue_tab <= 6'd18; + 12'd1259 : hue_tab <= 6'd17; + 12'd1260 : hue_tab <= 6'd17; + 12'd1261 : hue_tab <= 6'd16; + 12'd1262 : hue_tab <= 6'd16; + 12'd1263 : hue_tab <= 6'd16; + 12'd1264 : hue_tab <= 6'd15; + 12'd1265 : hue_tab <= 6'd15; + 12'd1266 : hue_tab <= 6'd15; + 12'd1267 : hue_tab <= 6'd14; + 12'd1268 : hue_tab <= 6'd14; + 12'd1269 : hue_tab <= 6'd14; + 12'd1270 : hue_tab <= 6'd14; + 12'd1271 : hue_tab <= 6'd13; + 12'd1272 : hue_tab <= 6'd13; + 12'd1273 : hue_tab <= 6'd13; + 12'd1274 : hue_tab <= 6'd13; + 12'd1275 : hue_tab <= 6'd12; + 12'd1276 : hue_tab <= 6'd12; + 12'd1277 : hue_tab <= 6'd12; + 12'd1278 : hue_tab <= 6'd12; + 12'd1279 : hue_tab <= 6'd12; + 12'd1280 : hue_tab <= 6'd0; + 12'd1281 : hue_tab <= 6'd40; + 12'd1282 : hue_tab <= 6'd40; + 12'd1283 : hue_tab <= 6'd40; + 12'd1284 : hue_tab <= 6'd40; + 12'd1285 : hue_tab <= 6'd40; + 12'd1286 : hue_tab <= 6'd40; + 12'd1287 : hue_tab <= 6'd40; + 12'd1288 : hue_tab <= 6'd40; + 12'd1289 : hue_tab <= 6'd40; + 12'd1290 : hue_tab <= 6'd40; + 12'd1291 : hue_tab <= 6'd40; + 12'd1292 : hue_tab <= 6'd40; + 12'd1293 : hue_tab <= 6'd40; + 12'd1294 : hue_tab <= 6'd40; + 12'd1295 : hue_tab <= 6'd40; + 12'd1296 : hue_tab <= 6'd40; + 12'd1297 : hue_tab <= 6'd40; + 12'd1298 : hue_tab <= 6'd40; + 12'd1299 : hue_tab <= 6'd40; + 12'd1300 : hue_tab <= 6'd40; + 12'd1301 : hue_tab <= 6'd38; + 12'd1302 : hue_tab <= 6'd36; + 12'd1303 : hue_tab <= 6'd34; + 12'd1304 : hue_tab <= 6'd33; + 12'd1305 : hue_tab <= 6'd32; + 12'd1306 : hue_tab <= 6'd30; + 12'd1307 : hue_tab <= 6'd29; + 12'd1308 : hue_tab <= 6'd28; + 12'd1309 : hue_tab <= 6'd27; + 12'd1310 : hue_tab <= 6'd26; + 12'd1311 : hue_tab <= 6'd25; + 12'd1312 : hue_tab <= 6'd25; + 12'd1313 : hue_tab <= 6'd24; + 12'd1314 : hue_tab <= 6'd23; + 12'd1315 : hue_tab <= 6'd22; + 12'd1316 : hue_tab <= 6'd22; + 12'd1317 : hue_tab <= 6'd21; + 12'd1318 : hue_tab <= 6'd21; + 12'd1319 : hue_tab <= 6'd20; + 12'd1320 : hue_tab <= 6'd20; + 12'd1321 : hue_tab <= 6'd19; + 12'd1322 : hue_tab <= 6'd19; + 12'd1323 : hue_tab <= 6'd18; + 12'd1324 : hue_tab <= 6'd18; + 12'd1325 : hue_tab <= 6'd17; + 12'd1326 : hue_tab <= 6'd17; + 12'd1327 : hue_tab <= 6'd17; + 12'd1328 : hue_tab <= 6'd16; + 12'd1329 : hue_tab <= 6'd16; + 12'd1330 : hue_tab <= 6'd16; + 12'd1331 : hue_tab <= 6'd15; + 12'd1332 : hue_tab <= 6'd15; + 12'd1333 : hue_tab <= 6'd15; + 12'd1334 : hue_tab <= 6'd14; + 12'd1335 : hue_tab <= 6'd14; + 12'd1336 : hue_tab <= 6'd14; + 12'd1337 : hue_tab <= 6'd14; + 12'd1338 : hue_tab <= 6'd13; + 12'd1339 : hue_tab <= 6'd13; + 12'd1340 : hue_tab <= 6'd13; + 12'd1341 : hue_tab <= 6'd13; + 12'd1342 : hue_tab <= 6'd12; + 12'd1343 : hue_tab <= 6'd12; + 12'd1344 : hue_tab <= 6'd0; + 12'd1345 : hue_tab <= 6'd40; + 12'd1346 : hue_tab <= 6'd40; + 12'd1347 : hue_tab <= 6'd40; + 12'd1348 : hue_tab <= 6'd40; + 12'd1349 : hue_tab <= 6'd40; + 12'd1350 : hue_tab <= 6'd40; + 12'd1351 : hue_tab <= 6'd40; + 12'd1352 : hue_tab <= 6'd40; + 12'd1353 : hue_tab <= 6'd40; + 12'd1354 : hue_tab <= 6'd40; + 12'd1355 : hue_tab <= 6'd40; + 12'd1356 : hue_tab <= 6'd40; + 12'd1357 : hue_tab <= 6'd40; + 12'd1358 : hue_tab <= 6'd40; + 12'd1359 : hue_tab <= 6'd40; + 12'd1360 : hue_tab <= 6'd40; + 12'd1361 : hue_tab <= 6'd40; + 12'd1362 : hue_tab <= 6'd40; + 12'd1363 : hue_tab <= 6'd40; + 12'd1364 : hue_tab <= 6'd40; + 12'd1365 : hue_tab <= 6'd40; + 12'd1366 : hue_tab <= 6'd38; + 12'd1367 : hue_tab <= 6'd36; + 12'd1368 : hue_tab <= 6'd35; + 12'd1369 : hue_tab <= 6'd33; + 12'd1370 : hue_tab <= 6'd32; + 12'd1371 : hue_tab <= 6'd31; + 12'd1372 : hue_tab <= 6'd30; + 12'd1373 : hue_tab <= 6'd28; + 12'd1374 : hue_tab <= 6'd28; + 12'd1375 : hue_tab <= 6'd27; + 12'd1376 : hue_tab <= 6'd26; + 12'd1377 : hue_tab <= 6'd25; + 12'd1378 : hue_tab <= 6'd24; + 12'd1379 : hue_tab <= 6'd24; + 12'd1380 : hue_tab <= 6'd23; + 12'd1381 : hue_tab <= 6'd22; + 12'd1382 : hue_tab <= 6'd22; + 12'd1383 : hue_tab <= 6'd21; + 12'd1384 : hue_tab <= 6'd21; + 12'd1385 : hue_tab <= 6'd20; + 12'd1386 : hue_tab <= 6'd20; + 12'd1387 : hue_tab <= 6'd19; + 12'd1388 : hue_tab <= 6'd19; + 12'd1389 : hue_tab <= 6'd18; + 12'd1390 : hue_tab <= 6'd18; + 12'd1391 : hue_tab <= 6'd17; + 12'd1392 : hue_tab <= 6'd17; + 12'd1393 : hue_tab <= 6'd17; + 12'd1394 : hue_tab <= 6'd16; + 12'd1395 : hue_tab <= 6'd16; + 12'd1396 : hue_tab <= 6'd16; + 12'd1397 : hue_tab <= 6'd15; + 12'd1398 : hue_tab <= 6'd15; + 12'd1399 : hue_tab <= 6'd15; + 12'd1400 : hue_tab <= 6'd15; + 12'd1401 : hue_tab <= 6'd14; + 12'd1402 : hue_tab <= 6'd14; + 12'd1403 : hue_tab <= 6'd14; + 12'd1404 : hue_tab <= 6'd14; + 12'd1405 : hue_tab <= 6'd13; + 12'd1406 : hue_tab <= 6'd13; + 12'd1407 : hue_tab <= 6'd13; + 12'd1408 : hue_tab <= 6'd0; + 12'd1409 : hue_tab <= 6'd40; + 12'd1410 : hue_tab <= 6'd40; + 12'd1411 : hue_tab <= 6'd40; + 12'd1412 : hue_tab <= 6'd40; + 12'd1413 : hue_tab <= 6'd40; + 12'd1414 : hue_tab <= 6'd40; + 12'd1415 : hue_tab <= 6'd40; + 12'd1416 : hue_tab <= 6'd40; + 12'd1417 : hue_tab <= 6'd40; + 12'd1418 : hue_tab <= 6'd40; + 12'd1419 : hue_tab <= 6'd40; + 12'd1420 : hue_tab <= 6'd40; + 12'd1421 : hue_tab <= 6'd40; + 12'd1422 : hue_tab <= 6'd40; + 12'd1423 : hue_tab <= 6'd40; + 12'd1424 : hue_tab <= 6'd40; + 12'd1425 : hue_tab <= 6'd40; + 12'd1426 : hue_tab <= 6'd40; + 12'd1427 : hue_tab <= 6'd40; + 12'd1428 : hue_tab <= 6'd40; + 12'd1429 : hue_tab <= 6'd40; + 12'd1430 : hue_tab <= 6'd40; + 12'd1431 : hue_tab <= 6'd38; + 12'd1432 : hue_tab <= 6'd36; + 12'd1433 : hue_tab <= 6'd35; + 12'd1434 : hue_tab <= 6'd33; + 12'd1435 : hue_tab <= 6'd32; + 12'd1436 : hue_tab <= 6'd31; + 12'd1437 : hue_tab <= 6'd30; + 12'd1438 : hue_tab <= 6'd29; + 12'd1439 : hue_tab <= 6'd28; + 12'd1440 : hue_tab <= 6'd27; + 12'd1441 : hue_tab <= 6'd26; + 12'd1442 : hue_tab <= 6'd25; + 12'd1443 : hue_tab <= 6'd25; + 12'd1444 : hue_tab <= 6'd24; + 12'd1445 : hue_tab <= 6'd23; + 12'd1446 : hue_tab <= 6'd23; + 12'd1447 : hue_tab <= 6'd22; + 12'd1448 : hue_tab <= 6'd22; + 12'd1449 : hue_tab <= 6'd21; + 12'd1450 : hue_tab <= 6'd20; + 12'd1451 : hue_tab <= 6'd20; + 12'd1452 : hue_tab <= 6'd20; + 12'd1453 : hue_tab <= 6'd19; + 12'd1454 : hue_tab <= 6'd19; + 12'd1455 : hue_tab <= 6'd18; + 12'd1456 : hue_tab <= 6'd18; + 12'd1457 : hue_tab <= 6'd17; + 12'd1458 : hue_tab <= 6'd17; + 12'd1459 : hue_tab <= 6'd17; + 12'd1460 : hue_tab <= 6'd16; + 12'd1461 : hue_tab <= 6'd16; + 12'd1462 : hue_tab <= 6'd16; + 12'd1463 : hue_tab <= 6'd16; + 12'd1464 : hue_tab <= 6'd15; + 12'd1465 : hue_tab <= 6'd15; + 12'd1466 : hue_tab <= 6'd15; + 12'd1467 : hue_tab <= 6'd14; + 12'd1468 : hue_tab <= 6'd14; + 12'd1469 : hue_tab <= 6'd14; + 12'd1470 : hue_tab <= 6'd14; + 12'd1471 : hue_tab <= 6'd13; + 12'd1472 : hue_tab <= 6'd0; + 12'd1473 : hue_tab <= 6'd40; + 12'd1474 : hue_tab <= 6'd40; + 12'd1475 : hue_tab <= 6'd40; + 12'd1476 : hue_tab <= 6'd40; + 12'd1477 : hue_tab <= 6'd40; + 12'd1478 : hue_tab <= 6'd40; + 12'd1479 : hue_tab <= 6'd40; + 12'd1480 : hue_tab <= 6'd40; + 12'd1481 : hue_tab <= 6'd40; + 12'd1482 : hue_tab <= 6'd40; + 12'd1483 : hue_tab <= 6'd40; + 12'd1484 : hue_tab <= 6'd40; + 12'd1485 : hue_tab <= 6'd40; + 12'd1486 : hue_tab <= 6'd40; + 12'd1487 : hue_tab <= 6'd40; + 12'd1488 : hue_tab <= 6'd40; + 12'd1489 : hue_tab <= 6'd40; + 12'd1490 : hue_tab <= 6'd40; + 12'd1491 : hue_tab <= 6'd40; + 12'd1492 : hue_tab <= 6'd40; + 12'd1493 : hue_tab <= 6'd40; + 12'd1494 : hue_tab <= 6'd40; + 12'd1495 : hue_tab <= 6'd40; + 12'd1496 : hue_tab <= 6'd38; + 12'd1497 : hue_tab <= 6'd36; + 12'd1498 : hue_tab <= 6'd35; + 12'd1499 : hue_tab <= 6'd34; + 12'd1500 : hue_tab <= 6'd32; + 12'd1501 : hue_tab <= 6'd31; + 12'd1502 : hue_tab <= 6'd30; + 12'd1503 : hue_tab <= 6'd29; + 12'd1504 : hue_tab <= 6'd28; + 12'd1505 : hue_tab <= 6'd27; + 12'd1506 : hue_tab <= 6'd27; + 12'd1507 : hue_tab <= 6'd26; + 12'd1508 : hue_tab <= 6'd25; + 12'd1509 : hue_tab <= 6'd24; + 12'd1510 : hue_tab <= 6'd24; + 12'd1511 : hue_tab <= 6'd23; + 12'd1512 : hue_tab <= 6'd23; + 12'd1513 : hue_tab <= 6'd22; + 12'd1514 : hue_tab <= 6'd21; + 12'd1515 : hue_tab <= 6'd21; + 12'd1516 : hue_tab <= 6'd20; + 12'd1517 : hue_tab <= 6'd20; + 12'd1518 : hue_tab <= 6'd20; + 12'd1519 : hue_tab <= 6'd19; + 12'd1520 : hue_tab <= 6'd19; + 12'd1521 : hue_tab <= 6'd18; + 12'd1522 : hue_tab <= 6'd18; + 12'd1523 : hue_tab <= 6'd18; + 12'd1524 : hue_tab <= 6'd17; + 12'd1525 : hue_tab <= 6'd17; + 12'd1526 : hue_tab <= 6'd17; + 12'd1527 : hue_tab <= 6'd16; + 12'd1528 : hue_tab <= 6'd16; + 12'd1529 : hue_tab <= 6'd16; + 12'd1530 : hue_tab <= 6'd15; + 12'd1531 : hue_tab <= 6'd15; + 12'd1532 : hue_tab <= 6'd15; + 12'd1533 : hue_tab <= 6'd15; + 12'd1534 : hue_tab <= 6'd14; + 12'd1535 : hue_tab <= 6'd14; + 12'd1536 : hue_tab <= 6'd0; + 12'd1537 : hue_tab <= 6'd40; + 12'd1538 : hue_tab <= 6'd40; + 12'd1539 : hue_tab <= 6'd40; + 12'd1540 : hue_tab <= 6'd40; + 12'd1541 : hue_tab <= 6'd40; + 12'd1542 : hue_tab <= 6'd40; + 12'd1543 : hue_tab <= 6'd40; + 12'd1544 : hue_tab <= 6'd40; + 12'd1545 : hue_tab <= 6'd40; + 12'd1546 : hue_tab <= 6'd40; + 12'd1547 : hue_tab <= 6'd40; + 12'd1548 : hue_tab <= 6'd40; + 12'd1549 : hue_tab <= 6'd40; + 12'd1550 : hue_tab <= 6'd40; + 12'd1551 : hue_tab <= 6'd40; + 12'd1552 : hue_tab <= 6'd40; + 12'd1553 : hue_tab <= 6'd40; + 12'd1554 : hue_tab <= 6'd40; + 12'd1555 : hue_tab <= 6'd40; + 12'd1556 : hue_tab <= 6'd40; + 12'd1557 : hue_tab <= 6'd40; + 12'd1558 : hue_tab <= 6'd40; + 12'd1559 : hue_tab <= 6'd40; + 12'd1560 : hue_tab <= 6'd40; + 12'd1561 : hue_tab <= 6'd38; + 12'd1562 : hue_tab <= 6'd36; + 12'd1563 : hue_tab <= 6'd35; + 12'd1564 : hue_tab <= 6'd34; + 12'd1565 : hue_tab <= 6'd33; + 12'd1566 : hue_tab <= 6'd32; + 12'd1567 : hue_tab <= 6'd30; + 12'd1568 : hue_tab <= 6'd30; + 12'd1569 : hue_tab <= 6'd29; + 12'd1570 : hue_tab <= 6'd28; + 12'd1571 : hue_tab <= 6'd27; + 12'd1572 : hue_tab <= 6'd26; + 12'd1573 : hue_tab <= 6'd25; + 12'd1574 : hue_tab <= 6'd25; + 12'd1575 : hue_tab <= 6'd24; + 12'd1576 : hue_tab <= 6'd24; + 12'd1577 : hue_tab <= 6'd23; + 12'd1578 : hue_tab <= 6'd22; + 12'd1579 : hue_tab <= 6'd22; + 12'd1580 : hue_tab <= 6'd21; + 12'd1581 : hue_tab <= 6'd21; + 12'd1582 : hue_tab <= 6'd20; + 12'd1583 : hue_tab <= 6'd20; + 12'd1584 : hue_tab <= 6'd20; + 12'd1585 : hue_tab <= 6'd19; + 12'd1586 : hue_tab <= 6'd19; + 12'd1587 : hue_tab <= 6'd18; + 12'd1588 : hue_tab <= 6'd18; + 12'd1589 : hue_tab <= 6'd18; + 12'd1590 : hue_tab <= 6'd17; + 12'd1591 : hue_tab <= 6'd17; + 12'd1592 : hue_tab <= 6'd17; + 12'd1593 : hue_tab <= 6'd16; + 12'd1594 : hue_tab <= 6'd16; + 12'd1595 : hue_tab <= 6'd16; + 12'd1596 : hue_tab <= 6'd16; + 12'd1597 : hue_tab <= 6'd15; + 12'd1598 : hue_tab <= 6'd15; + 12'd1599 : hue_tab <= 6'd15; + 12'd1600 : hue_tab <= 6'd0; + 12'd1601 : hue_tab <= 6'd40; + 12'd1602 : hue_tab <= 6'd40; + 12'd1603 : hue_tab <= 6'd40; + 12'd1604 : hue_tab <= 6'd40; + 12'd1605 : hue_tab <= 6'd40; + 12'd1606 : hue_tab <= 6'd40; + 12'd1607 : hue_tab <= 6'd40; + 12'd1608 : hue_tab <= 6'd40; + 12'd1609 : hue_tab <= 6'd40; + 12'd1610 : hue_tab <= 6'd40; + 12'd1611 : hue_tab <= 6'd40; + 12'd1612 : hue_tab <= 6'd40; + 12'd1613 : hue_tab <= 6'd40; + 12'd1614 : hue_tab <= 6'd40; + 12'd1615 : hue_tab <= 6'd40; + 12'd1616 : hue_tab <= 6'd40; + 12'd1617 : hue_tab <= 6'd40; + 12'd1618 : hue_tab <= 6'd40; + 12'd1619 : hue_tab <= 6'd40; + 12'd1620 : hue_tab <= 6'd40; + 12'd1621 : hue_tab <= 6'd40; + 12'd1622 : hue_tab <= 6'd40; + 12'd1623 : hue_tab <= 6'd40; + 12'd1624 : hue_tab <= 6'd40; + 12'd1625 : hue_tab <= 6'd40; + 12'd1626 : hue_tab <= 6'd38; + 12'd1627 : hue_tab <= 6'd37; + 12'd1628 : hue_tab <= 6'd35; + 12'd1629 : hue_tab <= 6'd34; + 12'd1630 : hue_tab <= 6'd33; + 12'd1631 : hue_tab <= 6'd32; + 12'd1632 : hue_tab <= 6'd31; + 12'd1633 : hue_tab <= 6'd30; + 12'd1634 : hue_tab <= 6'd29; + 12'd1635 : hue_tab <= 6'd28; + 12'd1636 : hue_tab <= 6'd27; + 12'd1637 : hue_tab <= 6'd27; + 12'd1638 : hue_tab <= 6'd26; + 12'd1639 : hue_tab <= 6'd25; + 12'd1640 : hue_tab <= 6'd25; + 12'd1641 : hue_tab <= 6'd24; + 12'd1642 : hue_tab <= 6'd23; + 12'd1643 : hue_tab <= 6'd23; + 12'd1644 : hue_tab <= 6'd22; + 12'd1645 : hue_tab <= 6'd22; + 12'd1646 : hue_tab <= 6'd21; + 12'd1647 : hue_tab <= 6'd21; + 12'd1648 : hue_tab <= 6'd20; + 12'd1649 : hue_tab <= 6'd20; + 12'd1650 : hue_tab <= 6'd20; + 12'd1651 : hue_tab <= 6'd19; + 12'd1652 : hue_tab <= 6'd19; + 12'd1653 : hue_tab <= 6'd18; + 12'd1654 : hue_tab <= 6'd18; + 12'd1655 : hue_tab <= 6'd18; + 12'd1656 : hue_tab <= 6'd17; + 12'd1657 : hue_tab <= 6'd17; + 12'd1658 : hue_tab <= 6'd17; + 12'd1659 : hue_tab <= 6'd16; + 12'd1660 : hue_tab <= 6'd16; + 12'd1661 : hue_tab <= 6'd16; + 12'd1662 : hue_tab <= 6'd16; + 12'd1663 : hue_tab <= 6'd15; + 12'd1664 : hue_tab <= 6'd0; + 12'd1665 : hue_tab <= 6'd40; + 12'd1666 : hue_tab <= 6'd40; + 12'd1667 : hue_tab <= 6'd40; + 12'd1668 : hue_tab <= 6'd40; + 12'd1669 : hue_tab <= 6'd40; + 12'd1670 : hue_tab <= 6'd40; + 12'd1671 : hue_tab <= 6'd40; + 12'd1672 : hue_tab <= 6'd40; + 12'd1673 : hue_tab <= 6'd40; + 12'd1674 : hue_tab <= 6'd40; + 12'd1675 : hue_tab <= 6'd40; + 12'd1676 : hue_tab <= 6'd40; + 12'd1677 : hue_tab <= 6'd40; + 12'd1678 : hue_tab <= 6'd40; + 12'd1679 : hue_tab <= 6'd40; + 12'd1680 : hue_tab <= 6'd40; + 12'd1681 : hue_tab <= 6'd40; + 12'd1682 : hue_tab <= 6'd40; + 12'd1683 : hue_tab <= 6'd40; + 12'd1684 : hue_tab <= 6'd40; + 12'd1685 : hue_tab <= 6'd40; + 12'd1686 : hue_tab <= 6'd40; + 12'd1687 : hue_tab <= 6'd40; + 12'd1688 : hue_tab <= 6'd40; + 12'd1689 : hue_tab <= 6'd40; + 12'd1690 : hue_tab <= 6'd40; + 12'd1691 : hue_tab <= 6'd38; + 12'd1692 : hue_tab <= 6'd37; + 12'd1693 : hue_tab <= 6'd35; + 12'd1694 : hue_tab <= 6'd34; + 12'd1695 : hue_tab <= 6'd33; + 12'd1696 : hue_tab <= 6'd32; + 12'd1697 : hue_tab <= 6'd31; + 12'd1698 : hue_tab <= 6'd30; + 12'd1699 : hue_tab <= 6'd29; + 12'd1700 : hue_tab <= 6'd28; + 12'd1701 : hue_tab <= 6'd28; + 12'd1702 : hue_tab <= 6'd27; + 12'd1703 : hue_tab <= 6'd26; + 12'd1704 : hue_tab <= 6'd26; + 12'd1705 : hue_tab <= 6'd25; + 12'd1706 : hue_tab <= 6'd24; + 12'd1707 : hue_tab <= 6'd24; + 12'd1708 : hue_tab <= 6'd23; + 12'd1709 : hue_tab <= 6'd23; + 12'd1710 : hue_tab <= 6'd22; + 12'd1711 : hue_tab <= 6'd22; + 12'd1712 : hue_tab <= 6'd21; + 12'd1713 : hue_tab <= 6'd21; + 12'd1714 : hue_tab <= 6'd20; + 12'd1715 : hue_tab <= 6'd20; + 12'd1716 : hue_tab <= 6'd20; + 12'd1717 : hue_tab <= 6'd19; + 12'd1718 : hue_tab <= 6'd19; + 12'd1719 : hue_tab <= 6'd18; + 12'd1720 : hue_tab <= 6'd18; + 12'd1721 : hue_tab <= 6'd18; + 12'd1722 : hue_tab <= 6'd17; + 12'd1723 : hue_tab <= 6'd17; + 12'd1724 : hue_tab <= 6'd17; + 12'd1725 : hue_tab <= 6'd17; + 12'd1726 : hue_tab <= 6'd16; + 12'd1727 : hue_tab <= 6'd16; + 12'd1728 : hue_tab <= 6'd0; + 12'd1729 : hue_tab <= 6'd40; + 12'd1730 : hue_tab <= 6'd40; + 12'd1731 : hue_tab <= 6'd40; + 12'd1732 : hue_tab <= 6'd40; + 12'd1733 : hue_tab <= 6'd40; + 12'd1734 : hue_tab <= 6'd40; + 12'd1735 : hue_tab <= 6'd40; + 12'd1736 : hue_tab <= 6'd40; + 12'd1737 : hue_tab <= 6'd40; + 12'd1738 : hue_tab <= 6'd40; + 12'd1739 : hue_tab <= 6'd40; + 12'd1740 : hue_tab <= 6'd40; + 12'd1741 : hue_tab <= 6'd40; + 12'd1742 : hue_tab <= 6'd40; + 12'd1743 : hue_tab <= 6'd40; + 12'd1744 : hue_tab <= 6'd40; + 12'd1745 : hue_tab <= 6'd40; + 12'd1746 : hue_tab <= 6'd40; + 12'd1747 : hue_tab <= 6'd40; + 12'd1748 : hue_tab <= 6'd40; + 12'd1749 : hue_tab <= 6'd40; + 12'd1750 : hue_tab <= 6'd40; + 12'd1751 : hue_tab <= 6'd40; + 12'd1752 : hue_tab <= 6'd40; + 12'd1753 : hue_tab <= 6'd40; + 12'd1754 : hue_tab <= 6'd40; + 12'd1755 : hue_tab <= 6'd40; + 12'd1756 : hue_tab <= 6'd38; + 12'd1757 : hue_tab <= 6'd37; + 12'd1758 : hue_tab <= 6'd36; + 12'd1759 : hue_tab <= 6'd34; + 12'd1760 : hue_tab <= 6'd33; + 12'd1761 : hue_tab <= 6'd32; + 12'd1762 : hue_tab <= 6'd31; + 12'd1763 : hue_tab <= 6'd30; + 12'd1764 : hue_tab <= 6'd30; + 12'd1765 : hue_tab <= 6'd29; + 12'd1766 : hue_tab <= 6'd28; + 12'd1767 : hue_tab <= 6'd27; + 12'd1768 : hue_tab <= 6'd27; + 12'd1769 : hue_tab <= 6'd26; + 12'd1770 : hue_tab <= 6'd25; + 12'd1771 : hue_tab <= 6'd25; + 12'd1772 : hue_tab <= 6'd24; + 12'd1773 : hue_tab <= 6'd24; + 12'd1774 : hue_tab <= 6'd23; + 12'd1775 : hue_tab <= 6'd22; + 12'd1776 : hue_tab <= 6'd22; + 12'd1777 : hue_tab <= 6'd22; + 12'd1778 : hue_tab <= 6'd21; + 12'd1779 : hue_tab <= 6'd21; + 12'd1780 : hue_tab <= 6'd20; + 12'd1781 : hue_tab <= 6'd20; + 12'd1782 : hue_tab <= 6'd20; + 12'd1783 : hue_tab <= 6'd19; + 12'd1784 : hue_tab <= 6'd19; + 12'd1785 : hue_tab <= 6'd18; + 12'd1786 : hue_tab <= 6'd18; + 12'd1787 : hue_tab <= 6'd18; + 12'd1788 : hue_tab <= 6'd18; + 12'd1789 : hue_tab <= 6'd17; + 12'd1790 : hue_tab <= 6'd17; + 12'd1791 : hue_tab <= 6'd17; + 12'd1792 : hue_tab <= 6'd0; + 12'd1793 : hue_tab <= 6'd40; + 12'd1794 : hue_tab <= 6'd40; + 12'd1795 : hue_tab <= 6'd40; + 12'd1796 : hue_tab <= 6'd40; + 12'd1797 : hue_tab <= 6'd40; + 12'd1798 : hue_tab <= 6'd40; + 12'd1799 : hue_tab <= 6'd40; + 12'd1800 : hue_tab <= 6'd40; + 12'd1801 : hue_tab <= 6'd40; + 12'd1802 : hue_tab <= 6'd40; + 12'd1803 : hue_tab <= 6'd40; + 12'd1804 : hue_tab <= 6'd40; + 12'd1805 : hue_tab <= 6'd40; + 12'd1806 : hue_tab <= 6'd40; + 12'd1807 : hue_tab <= 6'd40; + 12'd1808 : hue_tab <= 6'd40; + 12'd1809 : hue_tab <= 6'd40; + 12'd1810 : hue_tab <= 6'd40; + 12'd1811 : hue_tab <= 6'd40; + 12'd1812 : hue_tab <= 6'd40; + 12'd1813 : hue_tab <= 6'd40; + 12'd1814 : hue_tab <= 6'd40; + 12'd1815 : hue_tab <= 6'd40; + 12'd1816 : hue_tab <= 6'd40; + 12'd1817 : hue_tab <= 6'd40; + 12'd1818 : hue_tab <= 6'd40; + 12'd1819 : hue_tab <= 6'd40; + 12'd1820 : hue_tab <= 6'd40; + 12'd1821 : hue_tab <= 6'd38; + 12'd1822 : hue_tab <= 6'd37; + 12'd1823 : hue_tab <= 6'd36; + 12'd1824 : hue_tab <= 6'd35; + 12'd1825 : hue_tab <= 6'd33; + 12'd1826 : hue_tab <= 6'd32; + 12'd1827 : hue_tab <= 6'd32; + 12'd1828 : hue_tab <= 6'd31; + 12'd1829 : hue_tab <= 6'd30; + 12'd1830 : hue_tab <= 6'd29; + 12'd1831 : hue_tab <= 6'd28; + 12'd1832 : hue_tab <= 6'd28; + 12'd1833 : hue_tab <= 6'd27; + 12'd1834 : hue_tab <= 6'd26; + 12'd1835 : hue_tab <= 6'd26; + 12'd1836 : hue_tab <= 6'd25; + 12'd1837 : hue_tab <= 6'd24; + 12'd1838 : hue_tab <= 6'd24; + 12'd1839 : hue_tab <= 6'd23; + 12'd1840 : hue_tab <= 6'd23; + 12'd1841 : hue_tab <= 6'd22; + 12'd1842 : hue_tab <= 6'd22; + 12'd1843 : hue_tab <= 6'd21; + 12'd1844 : hue_tab <= 6'd21; + 12'd1845 : hue_tab <= 6'd21; + 12'd1846 : hue_tab <= 6'd20; + 12'd1847 : hue_tab <= 6'd20; + 12'd1848 : hue_tab <= 6'd20; + 12'd1849 : hue_tab <= 6'd19; + 12'd1850 : hue_tab <= 6'd19; + 12'd1851 : hue_tab <= 6'd18; + 12'd1852 : hue_tab <= 6'd18; + 12'd1853 : hue_tab <= 6'd18; + 12'd1854 : hue_tab <= 6'd18; + 12'd1855 : hue_tab <= 6'd17; + 12'd1856 : hue_tab <= 6'd0; + 12'd1857 : hue_tab <= 6'd40; + 12'd1858 : hue_tab <= 6'd40; + 12'd1859 : hue_tab <= 6'd40; + 12'd1860 : hue_tab <= 6'd40; + 12'd1861 : hue_tab <= 6'd40; + 12'd1862 : hue_tab <= 6'd40; + 12'd1863 : hue_tab <= 6'd40; + 12'd1864 : hue_tab <= 6'd40; + 12'd1865 : hue_tab <= 6'd40; + 12'd1866 : hue_tab <= 6'd40; + 12'd1867 : hue_tab <= 6'd40; + 12'd1868 : hue_tab <= 6'd40; + 12'd1869 : hue_tab <= 6'd40; + 12'd1870 : hue_tab <= 6'd40; + 12'd1871 : hue_tab <= 6'd40; + 12'd1872 : hue_tab <= 6'd40; + 12'd1873 : hue_tab <= 6'd40; + 12'd1874 : hue_tab <= 6'd40; + 12'd1875 : hue_tab <= 6'd40; + 12'd1876 : hue_tab <= 6'd40; + 12'd1877 : hue_tab <= 6'd40; + 12'd1878 : hue_tab <= 6'd40; + 12'd1879 : hue_tab <= 6'd40; + 12'd1880 : hue_tab <= 6'd40; + 12'd1881 : hue_tab <= 6'd40; + 12'd1882 : hue_tab <= 6'd40; + 12'd1883 : hue_tab <= 6'd40; + 12'd1884 : hue_tab <= 6'd40; + 12'd1885 : hue_tab <= 6'd40; + 12'd1886 : hue_tab <= 6'd38; + 12'd1887 : hue_tab <= 6'd37; + 12'd1888 : hue_tab <= 6'd36; + 12'd1889 : hue_tab <= 6'd35; + 12'd1890 : hue_tab <= 6'd34; + 12'd1891 : hue_tab <= 6'd33; + 12'd1892 : hue_tab <= 6'd32; + 12'd1893 : hue_tab <= 6'd31; + 12'd1894 : hue_tab <= 6'd30; + 12'd1895 : hue_tab <= 6'd29; + 12'd1896 : hue_tab <= 6'd29; + 12'd1897 : hue_tab <= 6'd28; + 12'd1898 : hue_tab <= 6'd27; + 12'd1899 : hue_tab <= 6'd26; + 12'd1900 : hue_tab <= 6'd26; + 12'd1901 : hue_tab <= 6'd25; + 12'd1902 : hue_tab <= 6'd25; + 12'd1903 : hue_tab <= 6'd24; + 12'd1904 : hue_tab <= 6'd24; + 12'd1905 : hue_tab <= 6'd23; + 12'd1906 : hue_tab <= 6'd23; + 12'd1907 : hue_tab <= 6'd22; + 12'd1908 : hue_tab <= 6'd22; + 12'd1909 : hue_tab <= 6'd21; + 12'd1910 : hue_tab <= 6'd21; + 12'd1911 : hue_tab <= 6'd21; + 12'd1912 : hue_tab <= 6'd20; + 12'd1913 : hue_tab <= 6'd20; + 12'd1914 : hue_tab <= 6'd20; + 12'd1915 : hue_tab <= 6'd19; + 12'd1916 : hue_tab <= 6'd19; + 12'd1917 : hue_tab <= 6'd19; + 12'd1918 : hue_tab <= 6'd18; + 12'd1919 : hue_tab <= 6'd18; + 12'd1920 : hue_tab <= 6'd0; + 12'd1921 : hue_tab <= 6'd40; + 12'd1922 : hue_tab <= 6'd40; + 12'd1923 : hue_tab <= 6'd40; + 12'd1924 : hue_tab <= 6'd40; + 12'd1925 : hue_tab <= 6'd40; + 12'd1926 : hue_tab <= 6'd40; + 12'd1927 : hue_tab <= 6'd40; + 12'd1928 : hue_tab <= 6'd40; + 12'd1929 : hue_tab <= 6'd40; + 12'd1930 : hue_tab <= 6'd40; + 12'd1931 : hue_tab <= 6'd40; + 12'd1932 : hue_tab <= 6'd40; + 12'd1933 : hue_tab <= 6'd40; + 12'd1934 : hue_tab <= 6'd40; + 12'd1935 : hue_tab <= 6'd40; + 12'd1936 : hue_tab <= 6'd40; + 12'd1937 : hue_tab <= 6'd40; + 12'd1938 : hue_tab <= 6'd40; + 12'd1939 : hue_tab <= 6'd40; + 12'd1940 : hue_tab <= 6'd40; + 12'd1941 : hue_tab <= 6'd40; + 12'd1942 : hue_tab <= 6'd40; + 12'd1943 : hue_tab <= 6'd40; + 12'd1944 : hue_tab <= 6'd40; + 12'd1945 : hue_tab <= 6'd40; + 12'd1946 : hue_tab <= 6'd40; + 12'd1947 : hue_tab <= 6'd40; + 12'd1948 : hue_tab <= 6'd40; + 12'd1949 : hue_tab <= 6'd40; + 12'd1950 : hue_tab <= 6'd40; + 12'd1951 : hue_tab <= 6'd38; + 12'd1952 : hue_tab <= 6'd37; + 12'd1953 : hue_tab <= 6'd36; + 12'd1954 : hue_tab <= 6'd35; + 12'd1955 : hue_tab <= 6'd34; + 12'd1956 : hue_tab <= 6'd33; + 12'd1957 : hue_tab <= 6'd32; + 12'd1958 : hue_tab <= 6'd31; + 12'd1959 : hue_tab <= 6'd30; + 12'd1960 : hue_tab <= 6'd30; + 12'd1961 : hue_tab <= 6'd29; + 12'd1962 : hue_tab <= 6'd28; + 12'd1963 : hue_tab <= 6'd27; + 12'd1964 : hue_tab <= 6'd27; + 12'd1965 : hue_tab <= 6'd26; + 12'd1966 : hue_tab <= 6'd26; + 12'd1967 : hue_tab <= 6'd25; + 12'd1968 : hue_tab <= 6'd25; + 12'd1969 : hue_tab <= 6'd24; + 12'd1970 : hue_tab <= 6'd24; + 12'd1971 : hue_tab <= 6'd23; + 12'd1972 : hue_tab <= 6'd23; + 12'd1973 : hue_tab <= 6'd22; + 12'd1974 : hue_tab <= 6'd22; + 12'd1975 : hue_tab <= 6'd21; + 12'd1976 : hue_tab <= 6'd21; + 12'd1977 : hue_tab <= 6'd21; + 12'd1978 : hue_tab <= 6'd20; + 12'd1979 : hue_tab <= 6'd20; + 12'd1980 : hue_tab <= 6'd20; + 12'd1981 : hue_tab <= 6'd19; + 12'd1982 : hue_tab <= 6'd19; + 12'd1983 : hue_tab <= 6'd19; + 12'd1984 : hue_tab <= 6'd0; + 12'd1985 : hue_tab <= 6'd40; + 12'd1986 : hue_tab <= 6'd40; + 12'd1987 : hue_tab <= 6'd40; + 12'd1988 : hue_tab <= 6'd40; + 12'd1989 : hue_tab <= 6'd40; + 12'd1990 : hue_tab <= 6'd40; + 12'd1991 : hue_tab <= 6'd40; + 12'd1992 : hue_tab <= 6'd40; + 12'd1993 : hue_tab <= 6'd40; + 12'd1994 : hue_tab <= 6'd40; + 12'd1995 : hue_tab <= 6'd40; + 12'd1996 : hue_tab <= 6'd40; + 12'd1997 : hue_tab <= 6'd40; + 12'd1998 : hue_tab <= 6'd40; + 12'd1999 : hue_tab <= 6'd40; + 12'd2000 : hue_tab <= 6'd40; + 12'd2001 : hue_tab <= 6'd40; + 12'd2002 : hue_tab <= 6'd40; + 12'd2003 : hue_tab <= 6'd40; + 12'd2004 : hue_tab <= 6'd40; + 12'd2005 : hue_tab <= 6'd40; + 12'd2006 : hue_tab <= 6'd40; + 12'd2007 : hue_tab <= 6'd40; + 12'd2008 : hue_tab <= 6'd40; + 12'd2009 : hue_tab <= 6'd40; + 12'd2010 : hue_tab <= 6'd40; + 12'd2011 : hue_tab <= 6'd40; + 12'd2012 : hue_tab <= 6'd40; + 12'd2013 : hue_tab <= 6'd40; + 12'd2014 : hue_tab <= 6'd40; + 12'd2015 : hue_tab <= 6'd40; + 12'd2016 : hue_tab <= 6'd38; + 12'd2017 : hue_tab <= 6'd37; + 12'd2018 : hue_tab <= 6'd36; + 12'd2019 : hue_tab <= 6'd35; + 12'd2020 : hue_tab <= 6'd34; + 12'd2021 : hue_tab <= 6'd33; + 12'd2022 : hue_tab <= 6'd32; + 12'd2023 : hue_tab <= 6'd31; + 12'd2024 : hue_tab <= 6'd31; + 12'd2025 : hue_tab <= 6'd30; + 12'd2026 : hue_tab <= 6'd29; + 12'd2027 : hue_tab <= 6'd28; + 12'd2028 : hue_tab <= 6'd28; + 12'd2029 : hue_tab <= 6'd27; + 12'd2030 : hue_tab <= 6'd26; + 12'd2031 : hue_tab <= 6'd26; + 12'd2032 : hue_tab <= 6'd25; + 12'd2033 : hue_tab <= 6'd25; + 12'd2034 : hue_tab <= 6'd24; + 12'd2035 : hue_tab <= 6'd24; + 12'd2036 : hue_tab <= 6'd23; + 12'd2037 : hue_tab <= 6'd23; + 12'd2038 : hue_tab <= 6'd22; + 12'd2039 : hue_tab <= 6'd22; + 12'd2040 : hue_tab <= 6'd22; + 12'd2041 : hue_tab <= 6'd21; + 12'd2042 : hue_tab <= 6'd21; + 12'd2043 : hue_tab <= 6'd21; + 12'd2044 : hue_tab <= 6'd20; + 12'd2045 : hue_tab <= 6'd20; + 12'd2046 : hue_tab <= 6'd20; + 12'd2047 : hue_tab <= 6'd19; + 12'd2048 : hue_tab <= 6'd0; + 12'd2049 : hue_tab <= 6'd40; + 12'd2050 : hue_tab <= 6'd40; + 12'd2051 : hue_tab <= 6'd40; + 12'd2052 : hue_tab <= 6'd40; + 12'd2053 : hue_tab <= 6'd40; + 12'd2054 : hue_tab <= 6'd40; + 12'd2055 : hue_tab <= 6'd40; + 12'd2056 : hue_tab <= 6'd40; + 12'd2057 : hue_tab <= 6'd40; + 12'd2058 : hue_tab <= 6'd40; + 12'd2059 : hue_tab <= 6'd40; + 12'd2060 : hue_tab <= 6'd40; + 12'd2061 : hue_tab <= 6'd40; + 12'd2062 : hue_tab <= 6'd40; + 12'd2063 : hue_tab <= 6'd40; + 12'd2064 : hue_tab <= 6'd40; + 12'd2065 : hue_tab <= 6'd40; + 12'd2066 : hue_tab <= 6'd40; + 12'd2067 : hue_tab <= 6'd40; + 12'd2068 : hue_tab <= 6'd40; + 12'd2069 : hue_tab <= 6'd40; + 12'd2070 : hue_tab <= 6'd40; + 12'd2071 : hue_tab <= 6'd40; + 12'd2072 : hue_tab <= 6'd40; + 12'd2073 : hue_tab <= 6'd40; + 12'd2074 : hue_tab <= 6'd40; + 12'd2075 : hue_tab <= 6'd40; + 12'd2076 : hue_tab <= 6'd40; + 12'd2077 : hue_tab <= 6'd40; + 12'd2078 : hue_tab <= 6'd40; + 12'd2079 : hue_tab <= 6'd40; + 12'd2080 : hue_tab <= 6'd40; + 12'd2081 : hue_tab <= 6'd38; + 12'd2082 : hue_tab <= 6'd37; + 12'd2083 : hue_tab <= 6'd36; + 12'd2084 : hue_tab <= 6'd35; + 12'd2085 : hue_tab <= 6'd34; + 12'd2086 : hue_tab <= 6'd33; + 12'd2087 : hue_tab <= 6'd32; + 12'd2088 : hue_tab <= 6'd32; + 12'd2089 : hue_tab <= 6'd31; + 12'd2090 : hue_tab <= 6'd30; + 12'd2091 : hue_tab <= 6'd29; + 12'd2092 : hue_tab <= 6'd29; + 12'd2093 : hue_tab <= 6'd28; + 12'd2094 : hue_tab <= 6'd27; + 12'd2095 : hue_tab <= 6'd27; + 12'd2096 : hue_tab <= 6'd26; + 12'd2097 : hue_tab <= 6'd26; + 12'd2098 : hue_tab <= 6'd25; + 12'd2099 : hue_tab <= 6'd25; + 12'd2100 : hue_tab <= 6'd24; + 12'd2101 : hue_tab <= 6'd24; + 12'd2102 : hue_tab <= 6'd23; + 12'd2103 : hue_tab <= 6'd23; + 12'd2104 : hue_tab <= 6'd22; + 12'd2105 : hue_tab <= 6'd22; + 12'd2106 : hue_tab <= 6'd22; + 12'd2107 : hue_tab <= 6'd21; + 12'd2108 : hue_tab <= 6'd21; + 12'd2109 : hue_tab <= 6'd20; + 12'd2110 : hue_tab <= 6'd20; + 12'd2111 : hue_tab <= 6'd20; + 12'd2112 : hue_tab <= 6'd0; + 12'd2113 : hue_tab <= 6'd40; + 12'd2114 : hue_tab <= 6'd40; + 12'd2115 : hue_tab <= 6'd40; + 12'd2116 : hue_tab <= 6'd40; + 12'd2117 : hue_tab <= 6'd40; + 12'd2118 : hue_tab <= 6'd40; + 12'd2119 : hue_tab <= 6'd40; + 12'd2120 : hue_tab <= 6'd40; + 12'd2121 : hue_tab <= 6'd40; + 12'd2122 : hue_tab <= 6'd40; + 12'd2123 : hue_tab <= 6'd40; + 12'd2124 : hue_tab <= 6'd40; + 12'd2125 : hue_tab <= 6'd40; + 12'd2126 : hue_tab <= 6'd40; + 12'd2127 : hue_tab <= 6'd40; + 12'd2128 : hue_tab <= 6'd40; + 12'd2129 : hue_tab <= 6'd40; + 12'd2130 : hue_tab <= 6'd40; + 12'd2131 : hue_tab <= 6'd40; + 12'd2132 : hue_tab <= 6'd40; + 12'd2133 : hue_tab <= 6'd40; + 12'd2134 : hue_tab <= 6'd40; + 12'd2135 : hue_tab <= 6'd40; + 12'd2136 : hue_tab <= 6'd40; + 12'd2137 : hue_tab <= 6'd40; + 12'd2138 : hue_tab <= 6'd40; + 12'd2139 : hue_tab <= 6'd40; + 12'd2140 : hue_tab <= 6'd40; + 12'd2141 : hue_tab <= 6'd40; + 12'd2142 : hue_tab <= 6'd40; + 12'd2143 : hue_tab <= 6'd40; + 12'd2144 : hue_tab <= 6'd40; + 12'd2145 : hue_tab <= 6'd40; + 12'd2146 : hue_tab <= 6'd38; + 12'd2147 : hue_tab <= 6'd37; + 12'd2148 : hue_tab <= 6'd36; + 12'd2149 : hue_tab <= 6'd35; + 12'd2150 : hue_tab <= 6'd34; + 12'd2151 : hue_tab <= 6'd33; + 12'd2152 : hue_tab <= 6'd33; + 12'd2153 : hue_tab <= 6'd32; + 12'd2154 : hue_tab <= 6'd31; + 12'd2155 : hue_tab <= 6'd30; + 12'd2156 : hue_tab <= 6'd30; + 12'd2157 : hue_tab <= 6'd29; + 12'd2158 : hue_tab <= 6'd28; + 12'd2159 : hue_tab <= 6'd28; + 12'd2160 : hue_tab <= 6'd27; + 12'd2161 : hue_tab <= 6'd26; + 12'd2162 : hue_tab <= 6'd26; + 12'd2163 : hue_tab <= 6'd25; + 12'd2164 : hue_tab <= 6'd25; + 12'd2165 : hue_tab <= 6'd24; + 12'd2166 : hue_tab <= 6'd24; + 12'd2167 : hue_tab <= 6'd24; + 12'd2168 : hue_tab <= 6'd23; + 12'd2169 : hue_tab <= 6'd23; + 12'd2170 : hue_tab <= 6'd22; + 12'd2171 : hue_tab <= 6'd22; + 12'd2172 : hue_tab <= 6'd22; + 12'd2173 : hue_tab <= 6'd21; + 12'd2174 : hue_tab <= 6'd21; + 12'd2175 : hue_tab <= 6'd20; + 12'd2176 : hue_tab <= 6'd0; + 12'd2177 : hue_tab <= 6'd40; + 12'd2178 : hue_tab <= 6'd40; + 12'd2179 : hue_tab <= 6'd40; + 12'd2180 : hue_tab <= 6'd40; + 12'd2181 : hue_tab <= 6'd40; + 12'd2182 : hue_tab <= 6'd40; + 12'd2183 : hue_tab <= 6'd40; + 12'd2184 : hue_tab <= 6'd40; + 12'd2185 : hue_tab <= 6'd40; + 12'd2186 : hue_tab <= 6'd40; + 12'd2187 : hue_tab <= 6'd40; + 12'd2188 : hue_tab <= 6'd40; + 12'd2189 : hue_tab <= 6'd40; + 12'd2190 : hue_tab <= 6'd40; + 12'd2191 : hue_tab <= 6'd40; + 12'd2192 : hue_tab <= 6'd40; + 12'd2193 : hue_tab <= 6'd40; + 12'd2194 : hue_tab <= 6'd40; + 12'd2195 : hue_tab <= 6'd40; + 12'd2196 : hue_tab <= 6'd40; + 12'd2197 : hue_tab <= 6'd40; + 12'd2198 : hue_tab <= 6'd40; + 12'd2199 : hue_tab <= 6'd40; + 12'd2200 : hue_tab <= 6'd40; + 12'd2201 : hue_tab <= 6'd40; + 12'd2202 : hue_tab <= 6'd40; + 12'd2203 : hue_tab <= 6'd40; + 12'd2204 : hue_tab <= 6'd40; + 12'd2205 : hue_tab <= 6'd40; + 12'd2206 : hue_tab <= 6'd40; + 12'd2207 : hue_tab <= 6'd40; + 12'd2208 : hue_tab <= 6'd40; + 12'd2209 : hue_tab <= 6'd40; + 12'd2210 : hue_tab <= 6'd40; + 12'd2211 : hue_tab <= 6'd38; + 12'd2212 : hue_tab <= 6'd37; + 12'd2213 : hue_tab <= 6'd36; + 12'd2214 : hue_tab <= 6'd35; + 12'd2215 : hue_tab <= 6'd34; + 12'd2216 : hue_tab <= 6'd34; + 12'd2217 : hue_tab <= 6'd33; + 12'd2218 : hue_tab <= 6'd32; + 12'd2219 : hue_tab <= 6'd31; + 12'd2220 : hue_tab <= 6'd30; + 12'd2221 : hue_tab <= 6'd30; + 12'd2222 : hue_tab <= 6'd29; + 12'd2223 : hue_tab <= 6'd28; + 12'd2224 : hue_tab <= 6'd28; + 12'd2225 : hue_tab <= 6'd27; + 12'd2226 : hue_tab <= 6'd27; + 12'd2227 : hue_tab <= 6'd26; + 12'd2228 : hue_tab <= 6'd26; + 12'd2229 : hue_tab <= 6'd25; + 12'd2230 : hue_tab <= 6'd25; + 12'd2231 : hue_tab <= 6'd24; + 12'd2232 : hue_tab <= 6'd24; + 12'd2233 : hue_tab <= 6'd23; + 12'd2234 : hue_tab <= 6'd23; + 12'd2235 : hue_tab <= 6'd23; + 12'd2236 : hue_tab <= 6'd22; + 12'd2237 : hue_tab <= 6'd22; + 12'd2238 : hue_tab <= 6'd21; + 12'd2239 : hue_tab <= 6'd21; + 12'd2240 : hue_tab <= 6'd0; + 12'd2241 : hue_tab <= 6'd40; + 12'd2242 : hue_tab <= 6'd40; + 12'd2243 : hue_tab <= 6'd40; + 12'd2244 : hue_tab <= 6'd40; + 12'd2245 : hue_tab <= 6'd40; + 12'd2246 : hue_tab <= 6'd40; + 12'd2247 : hue_tab <= 6'd40; + 12'd2248 : hue_tab <= 6'd40; + 12'd2249 : hue_tab <= 6'd40; + 12'd2250 : hue_tab <= 6'd40; + 12'd2251 : hue_tab <= 6'd40; + 12'd2252 : hue_tab <= 6'd40; + 12'd2253 : hue_tab <= 6'd40; + 12'd2254 : hue_tab <= 6'd40; + 12'd2255 : hue_tab <= 6'd40; + 12'd2256 : hue_tab <= 6'd40; + 12'd2257 : hue_tab <= 6'd40; + 12'd2258 : hue_tab <= 6'd40; + 12'd2259 : hue_tab <= 6'd40; + 12'd2260 : hue_tab <= 6'd40; + 12'd2261 : hue_tab <= 6'd40; + 12'd2262 : hue_tab <= 6'd40; + 12'd2263 : hue_tab <= 6'd40; + 12'd2264 : hue_tab <= 6'd40; + 12'd2265 : hue_tab <= 6'd40; + 12'd2266 : hue_tab <= 6'd40; + 12'd2267 : hue_tab <= 6'd40; + 12'd2268 : hue_tab <= 6'd40; + 12'd2269 : hue_tab <= 6'd40; + 12'd2270 : hue_tab <= 6'd40; + 12'd2271 : hue_tab <= 6'd40; + 12'd2272 : hue_tab <= 6'd40; + 12'd2273 : hue_tab <= 6'd40; + 12'd2274 : hue_tab <= 6'd40; + 12'd2275 : hue_tab <= 6'd40; + 12'd2276 : hue_tab <= 6'd38; + 12'd2277 : hue_tab <= 6'd37; + 12'd2278 : hue_tab <= 6'd36; + 12'd2279 : hue_tab <= 6'd35; + 12'd2280 : hue_tab <= 6'd35; + 12'd2281 : hue_tab <= 6'd34; + 12'd2282 : hue_tab <= 6'd33; + 12'd2283 : hue_tab <= 6'd32; + 12'd2284 : hue_tab <= 6'd31; + 12'd2285 : hue_tab <= 6'd31; + 12'd2286 : hue_tab <= 6'd30; + 12'd2287 : hue_tab <= 6'd29; + 12'd2288 : hue_tab <= 6'd29; + 12'd2289 : hue_tab <= 6'd28; + 12'd2290 : hue_tab <= 6'd28; + 12'd2291 : hue_tab <= 6'd27; + 12'd2292 : hue_tab <= 6'd26; + 12'd2293 : hue_tab <= 6'd26; + 12'd2294 : hue_tab <= 6'd25; + 12'd2295 : hue_tab <= 6'd25; + 12'd2296 : hue_tab <= 6'd25; + 12'd2297 : hue_tab <= 6'd24; + 12'd2298 : hue_tab <= 6'd24; + 12'd2299 : hue_tab <= 6'd23; + 12'd2300 : hue_tab <= 6'd23; + 12'd2301 : hue_tab <= 6'd22; + 12'd2302 : hue_tab <= 6'd22; + 12'd2303 : hue_tab <= 6'd22; + 12'd2304 : hue_tab <= 6'd0; + 12'd2305 : hue_tab <= 6'd40; + 12'd2306 : hue_tab <= 6'd40; + 12'd2307 : hue_tab <= 6'd40; + 12'd2308 : hue_tab <= 6'd40; + 12'd2309 : hue_tab <= 6'd40; + 12'd2310 : hue_tab <= 6'd40; + 12'd2311 : hue_tab <= 6'd40; + 12'd2312 : hue_tab <= 6'd40; + 12'd2313 : hue_tab <= 6'd40; + 12'd2314 : hue_tab <= 6'd40; + 12'd2315 : hue_tab <= 6'd40; + 12'd2316 : hue_tab <= 6'd40; + 12'd2317 : hue_tab <= 6'd40; + 12'd2318 : hue_tab <= 6'd40; + 12'd2319 : hue_tab <= 6'd40; + 12'd2320 : hue_tab <= 6'd40; + 12'd2321 : hue_tab <= 6'd40; + 12'd2322 : hue_tab <= 6'd40; + 12'd2323 : hue_tab <= 6'd40; + 12'd2324 : hue_tab <= 6'd40; + 12'd2325 : hue_tab <= 6'd40; + 12'd2326 : hue_tab <= 6'd40; + 12'd2327 : hue_tab <= 6'd40; + 12'd2328 : hue_tab <= 6'd40; + 12'd2329 : hue_tab <= 6'd40; + 12'd2330 : hue_tab <= 6'd40; + 12'd2331 : hue_tab <= 6'd40; + 12'd2332 : hue_tab <= 6'd40; + 12'd2333 : hue_tab <= 6'd40; + 12'd2334 : hue_tab <= 6'd40; + 12'd2335 : hue_tab <= 6'd40; + 12'd2336 : hue_tab <= 6'd40; + 12'd2337 : hue_tab <= 6'd40; + 12'd2338 : hue_tab <= 6'd40; + 12'd2339 : hue_tab <= 6'd40; + 12'd2340 : hue_tab <= 6'd40; + 12'd2341 : hue_tab <= 6'd38; + 12'd2342 : hue_tab <= 6'd37; + 12'd2343 : hue_tab <= 6'd36; + 12'd2344 : hue_tab <= 6'd36; + 12'd2345 : hue_tab <= 6'd35; + 12'd2346 : hue_tab <= 6'd34; + 12'd2347 : hue_tab <= 6'd33; + 12'd2348 : hue_tab <= 6'd32; + 12'd2349 : hue_tab <= 6'd32; + 12'd2350 : hue_tab <= 6'd31; + 12'd2351 : hue_tab <= 6'd30; + 12'd2352 : hue_tab <= 6'd30; + 12'd2353 : hue_tab <= 6'd29; + 12'd2354 : hue_tab <= 6'd28; + 12'd2355 : hue_tab <= 6'd28; + 12'd2356 : hue_tab <= 6'd27; + 12'd2357 : hue_tab <= 6'd27; + 12'd2358 : hue_tab <= 6'd26; + 12'd2359 : hue_tab <= 6'd26; + 12'd2360 : hue_tab <= 6'd25; + 12'd2361 : hue_tab <= 6'd25; + 12'd2362 : hue_tab <= 6'd24; + 12'd2363 : hue_tab <= 6'd24; + 12'd2364 : hue_tab <= 6'd24; + 12'd2365 : hue_tab <= 6'd23; + 12'd2366 : hue_tab <= 6'd23; + 12'd2367 : hue_tab <= 6'd22; + 12'd2368 : hue_tab <= 6'd0; + 12'd2369 : hue_tab <= 6'd40; + 12'd2370 : hue_tab <= 6'd40; + 12'd2371 : hue_tab <= 6'd40; + 12'd2372 : hue_tab <= 6'd40; + 12'd2373 : hue_tab <= 6'd40; + 12'd2374 : hue_tab <= 6'd40; + 12'd2375 : hue_tab <= 6'd40; + 12'd2376 : hue_tab <= 6'd40; + 12'd2377 : hue_tab <= 6'd40; + 12'd2378 : hue_tab <= 6'd40; + 12'd2379 : hue_tab <= 6'd40; + 12'd2380 : hue_tab <= 6'd40; + 12'd2381 : hue_tab <= 6'd40; + 12'd2382 : hue_tab <= 6'd40; + 12'd2383 : hue_tab <= 6'd40; + 12'd2384 : hue_tab <= 6'd40; + 12'd2385 : hue_tab <= 6'd40; + 12'd2386 : hue_tab <= 6'd40; + 12'd2387 : hue_tab <= 6'd40; + 12'd2388 : hue_tab <= 6'd40; + 12'd2389 : hue_tab <= 6'd40; + 12'd2390 : hue_tab <= 6'd40; + 12'd2391 : hue_tab <= 6'd40; + 12'd2392 : hue_tab <= 6'd40; + 12'd2393 : hue_tab <= 6'd40; + 12'd2394 : hue_tab <= 6'd40; + 12'd2395 : hue_tab <= 6'd40; + 12'd2396 : hue_tab <= 6'd40; + 12'd2397 : hue_tab <= 6'd40; + 12'd2398 : hue_tab <= 6'd40; + 12'd2399 : hue_tab <= 6'd40; + 12'd2400 : hue_tab <= 6'd40; + 12'd2401 : hue_tab <= 6'd40; + 12'd2402 : hue_tab <= 6'd40; + 12'd2403 : hue_tab <= 6'd40; + 12'd2404 : hue_tab <= 6'd40; + 12'd2405 : hue_tab <= 6'd40; + 12'd2406 : hue_tab <= 6'd38; + 12'd2407 : hue_tab <= 6'd37; + 12'd2408 : hue_tab <= 6'd37; + 12'd2409 : hue_tab <= 6'd36; + 12'd2410 : hue_tab <= 6'd35; + 12'd2411 : hue_tab <= 6'd34; + 12'd2412 : hue_tab <= 6'd33; + 12'd2413 : hue_tab <= 6'd32; + 12'd2414 : hue_tab <= 6'd32; + 12'd2415 : hue_tab <= 6'd31; + 12'd2416 : hue_tab <= 6'd30; + 12'd2417 : hue_tab <= 6'd30; + 12'd2418 : hue_tab <= 6'd29; + 12'd2419 : hue_tab <= 6'd29; + 12'd2420 : hue_tab <= 6'd28; + 12'd2421 : hue_tab <= 6'd27; + 12'd2422 : hue_tab <= 6'd27; + 12'd2423 : hue_tab <= 6'd26; + 12'd2424 : hue_tab <= 6'd26; + 12'd2425 : hue_tab <= 6'd25; + 12'd2426 : hue_tab <= 6'd25; + 12'd2427 : hue_tab <= 6'd25; + 12'd2428 : hue_tab <= 6'd24; + 12'd2429 : hue_tab <= 6'd24; + 12'd2430 : hue_tab <= 6'd23; + 12'd2431 : hue_tab <= 6'd23; + 12'd2432 : hue_tab <= 6'd0; + 12'd2433 : hue_tab <= 6'd40; + 12'd2434 : hue_tab <= 6'd40; + 12'd2435 : hue_tab <= 6'd40; + 12'd2436 : hue_tab <= 6'd40; + 12'd2437 : hue_tab <= 6'd40; + 12'd2438 : hue_tab <= 6'd40; + 12'd2439 : hue_tab <= 6'd40; + 12'd2440 : hue_tab <= 6'd40; + 12'd2441 : hue_tab <= 6'd40; + 12'd2442 : hue_tab <= 6'd40; + 12'd2443 : hue_tab <= 6'd40; + 12'd2444 : hue_tab <= 6'd40; + 12'd2445 : hue_tab <= 6'd40; + 12'd2446 : hue_tab <= 6'd40; + 12'd2447 : hue_tab <= 6'd40; + 12'd2448 : hue_tab <= 6'd40; + 12'd2449 : hue_tab <= 6'd40; + 12'd2450 : hue_tab <= 6'd40; + 12'd2451 : hue_tab <= 6'd40; + 12'd2452 : hue_tab <= 6'd40; + 12'd2453 : hue_tab <= 6'd40; + 12'd2454 : hue_tab <= 6'd40; + 12'd2455 : hue_tab <= 6'd40; + 12'd2456 : hue_tab <= 6'd40; + 12'd2457 : hue_tab <= 6'd40; + 12'd2458 : hue_tab <= 6'd40; + 12'd2459 : hue_tab <= 6'd40; + 12'd2460 : hue_tab <= 6'd40; + 12'd2461 : hue_tab <= 6'd40; + 12'd2462 : hue_tab <= 6'd40; + 12'd2463 : hue_tab <= 6'd40; + 12'd2464 : hue_tab <= 6'd40; + 12'd2465 : hue_tab <= 6'd40; + 12'd2466 : hue_tab <= 6'd40; + 12'd2467 : hue_tab <= 6'd40; + 12'd2468 : hue_tab <= 6'd40; + 12'd2469 : hue_tab <= 6'd40; + 12'd2470 : hue_tab <= 6'd40; + 12'd2471 : hue_tab <= 6'd38; + 12'd2472 : hue_tab <= 6'd38; + 12'd2473 : hue_tab <= 6'd37; + 12'd2474 : hue_tab <= 6'd36; + 12'd2475 : hue_tab <= 6'd35; + 12'd2476 : hue_tab <= 6'd34; + 12'd2477 : hue_tab <= 6'd33; + 12'd2478 : hue_tab <= 6'd33; + 12'd2479 : hue_tab <= 6'd32; + 12'd2480 : hue_tab <= 6'd31; + 12'd2481 : hue_tab <= 6'd31; + 12'd2482 : hue_tab <= 6'd30; + 12'd2483 : hue_tab <= 6'd29; + 12'd2484 : hue_tab <= 6'd29; + 12'd2485 : hue_tab <= 6'd28; + 12'd2486 : hue_tab <= 6'd28; + 12'd2487 : hue_tab <= 6'd27; + 12'd2488 : hue_tab <= 6'd27; + 12'd2489 : hue_tab <= 6'd26; + 12'd2490 : hue_tab <= 6'd26; + 12'd2491 : hue_tab <= 6'd25; + 12'd2492 : hue_tab <= 6'd25; + 12'd2493 : hue_tab <= 6'd24; + 12'd2494 : hue_tab <= 6'd24; + 12'd2495 : hue_tab <= 6'd24; + 12'd2496 : hue_tab <= 6'd0; + 12'd2497 : hue_tab <= 6'd40; + 12'd2498 : hue_tab <= 6'd40; + 12'd2499 : hue_tab <= 6'd40; + 12'd2500 : hue_tab <= 6'd40; + 12'd2501 : hue_tab <= 6'd40; + 12'd2502 : hue_tab <= 6'd40; + 12'd2503 : hue_tab <= 6'd40; + 12'd2504 : hue_tab <= 6'd40; + 12'd2505 : hue_tab <= 6'd40; + 12'd2506 : hue_tab <= 6'd40; + 12'd2507 : hue_tab <= 6'd40; + 12'd2508 : hue_tab <= 6'd40; + 12'd2509 : hue_tab <= 6'd40; + 12'd2510 : hue_tab <= 6'd40; + 12'd2511 : hue_tab <= 6'd40; + 12'd2512 : hue_tab <= 6'd40; + 12'd2513 : hue_tab <= 6'd40; + 12'd2514 : hue_tab <= 6'd40; + 12'd2515 : hue_tab <= 6'd40; + 12'd2516 : hue_tab <= 6'd40; + 12'd2517 : hue_tab <= 6'd40; + 12'd2518 : hue_tab <= 6'd40; + 12'd2519 : hue_tab <= 6'd40; + 12'd2520 : hue_tab <= 6'd40; + 12'd2521 : hue_tab <= 6'd40; + 12'd2522 : hue_tab <= 6'd40; + 12'd2523 : hue_tab <= 6'd40; + 12'd2524 : hue_tab <= 6'd40; + 12'd2525 : hue_tab <= 6'd40; + 12'd2526 : hue_tab <= 6'd40; + 12'd2527 : hue_tab <= 6'd40; + 12'd2528 : hue_tab <= 6'd40; + 12'd2529 : hue_tab <= 6'd40; + 12'd2530 : hue_tab <= 6'd40; + 12'd2531 : hue_tab <= 6'd40; + 12'd2532 : hue_tab <= 6'd40; + 12'd2533 : hue_tab <= 6'd40; + 12'd2534 : hue_tab <= 6'd40; + 12'd2535 : hue_tab <= 6'd40; + 12'd2536 : hue_tab <= 6'd39; + 12'd2537 : hue_tab <= 6'd38; + 12'd2538 : hue_tab <= 6'd37; + 12'd2539 : hue_tab <= 6'd36; + 12'd2540 : hue_tab <= 6'd35; + 12'd2541 : hue_tab <= 6'd34; + 12'd2542 : hue_tab <= 6'd33; + 12'd2543 : hue_tab <= 6'd33; + 12'd2544 : hue_tab <= 6'd32; + 12'd2545 : hue_tab <= 6'd31; + 12'd2546 : hue_tab <= 6'd31; + 12'd2547 : hue_tab <= 6'd30; + 12'd2548 : hue_tab <= 6'd30; + 12'd2549 : hue_tab <= 6'd29; + 12'd2550 : hue_tab <= 6'd28; + 12'd2551 : hue_tab <= 6'd28; + 12'd2552 : hue_tab <= 6'd27; + 12'd2553 : hue_tab <= 6'd27; + 12'd2554 : hue_tab <= 6'd26; + 12'd2555 : hue_tab <= 6'd26; + 12'd2556 : hue_tab <= 6'd26; + 12'd2557 : hue_tab <= 6'd25; + 12'd2558 : hue_tab <= 6'd25; + 12'd2559 : hue_tab <= 6'd24; + 12'd2560 : hue_tab <= 6'd0; + 12'd2561 : hue_tab <= 6'd40; + 12'd2562 : hue_tab <= 6'd40; + 12'd2563 : hue_tab <= 6'd40; + 12'd2564 : hue_tab <= 6'd40; + 12'd2565 : hue_tab <= 6'd40; + 12'd2566 : hue_tab <= 6'd40; + 12'd2567 : hue_tab <= 6'd40; + 12'd2568 : hue_tab <= 6'd40; + 12'd2569 : hue_tab <= 6'd40; + 12'd2570 : hue_tab <= 6'd40; + 12'd2571 : hue_tab <= 6'd40; + 12'd2572 : hue_tab <= 6'd40; + 12'd2573 : hue_tab <= 6'd40; + 12'd2574 : hue_tab <= 6'd40; + 12'd2575 : hue_tab <= 6'd40; + 12'd2576 : hue_tab <= 6'd40; + 12'd2577 : hue_tab <= 6'd40; + 12'd2578 : hue_tab <= 6'd40; + 12'd2579 : hue_tab <= 6'd40; + 12'd2580 : hue_tab <= 6'd40; + 12'd2581 : hue_tab <= 6'd40; + 12'd2582 : hue_tab <= 6'd40; + 12'd2583 : hue_tab <= 6'd40; + 12'd2584 : hue_tab <= 6'd40; + 12'd2585 : hue_tab <= 6'd40; + 12'd2586 : hue_tab <= 6'd40; + 12'd2587 : hue_tab <= 6'd40; + 12'd2588 : hue_tab <= 6'd40; + 12'd2589 : hue_tab <= 6'd40; + 12'd2590 : hue_tab <= 6'd40; + 12'd2591 : hue_tab <= 6'd40; + 12'd2592 : hue_tab <= 6'd40; + 12'd2593 : hue_tab <= 6'd40; + 12'd2594 : hue_tab <= 6'd40; + 12'd2595 : hue_tab <= 6'd40; + 12'd2596 : hue_tab <= 6'd40; + 12'd2597 : hue_tab <= 6'd40; + 12'd2598 : hue_tab <= 6'd40; + 12'd2599 : hue_tab <= 6'd40; + 12'd2600 : hue_tab <= 6'd40; + 12'd2601 : hue_tab <= 6'd39; + 12'd2602 : hue_tab <= 6'd38; + 12'd2603 : hue_tab <= 6'd37; + 12'd2604 : hue_tab <= 6'd36; + 12'd2605 : hue_tab <= 6'd35; + 12'd2606 : hue_tab <= 6'd34; + 12'd2607 : hue_tab <= 6'd34; + 12'd2608 : hue_tab <= 6'd33; + 12'd2609 : hue_tab <= 6'd32; + 12'd2610 : hue_tab <= 6'd32; + 12'd2611 : hue_tab <= 6'd31; + 12'd2612 : hue_tab <= 6'd30; + 12'd2613 : hue_tab <= 6'd30; + 12'd2614 : hue_tab <= 6'd29; + 12'd2615 : hue_tab <= 6'd29; + 12'd2616 : hue_tab <= 6'd28; + 12'd2617 : hue_tab <= 6'd28; + 12'd2618 : hue_tab <= 6'd27; + 12'd2619 : hue_tab <= 6'd27; + 12'd2620 : hue_tab <= 6'd26; + 12'd2621 : hue_tab <= 6'd26; + 12'd2622 : hue_tab <= 6'd25; + 12'd2623 : hue_tab <= 6'd25; + 12'd2624 : hue_tab <= 6'd0; + 12'd2625 : hue_tab <= 6'd40; + 12'd2626 : hue_tab <= 6'd40; + 12'd2627 : hue_tab <= 6'd40; + 12'd2628 : hue_tab <= 6'd40; + 12'd2629 : hue_tab <= 6'd40; + 12'd2630 : hue_tab <= 6'd40; + 12'd2631 : hue_tab <= 6'd40; + 12'd2632 : hue_tab <= 6'd40; + 12'd2633 : hue_tab <= 6'd40; + 12'd2634 : hue_tab <= 6'd40; + 12'd2635 : hue_tab <= 6'd40; + 12'd2636 : hue_tab <= 6'd40; + 12'd2637 : hue_tab <= 6'd40; + 12'd2638 : hue_tab <= 6'd40; + 12'd2639 : hue_tab <= 6'd40; + 12'd2640 : hue_tab <= 6'd40; + 12'd2641 : hue_tab <= 6'd40; + 12'd2642 : hue_tab <= 6'd40; + 12'd2643 : hue_tab <= 6'd40; + 12'd2644 : hue_tab <= 6'd40; + 12'd2645 : hue_tab <= 6'd40; + 12'd2646 : hue_tab <= 6'd40; + 12'd2647 : hue_tab <= 6'd40; + 12'd2648 : hue_tab <= 6'd40; + 12'd2649 : hue_tab <= 6'd40; + 12'd2650 : hue_tab <= 6'd40; + 12'd2651 : hue_tab <= 6'd40; + 12'd2652 : hue_tab <= 6'd40; + 12'd2653 : hue_tab <= 6'd40; + 12'd2654 : hue_tab <= 6'd40; + 12'd2655 : hue_tab <= 6'd40; + 12'd2656 : hue_tab <= 6'd40; + 12'd2657 : hue_tab <= 6'd40; + 12'd2658 : hue_tab <= 6'd40; + 12'd2659 : hue_tab <= 6'd40; + 12'd2660 : hue_tab <= 6'd40; + 12'd2661 : hue_tab <= 6'd40; + 12'd2662 : hue_tab <= 6'd40; + 12'd2663 : hue_tab <= 6'd40; + 12'd2664 : hue_tab <= 6'd40; + 12'd2665 : hue_tab <= 6'd40; + 12'd2666 : hue_tab <= 6'd39; + 12'd2667 : hue_tab <= 6'd38; + 12'd2668 : hue_tab <= 6'd37; + 12'd2669 : hue_tab <= 6'd36; + 12'd2670 : hue_tab <= 6'd35; + 12'd2671 : hue_tab <= 6'd34; + 12'd2672 : hue_tab <= 6'd34; + 12'd2673 : hue_tab <= 6'd33; + 12'd2674 : hue_tab <= 6'd32; + 12'd2675 : hue_tab <= 6'd32; + 12'd2676 : hue_tab <= 6'd31; + 12'd2677 : hue_tab <= 6'd30; + 12'd2678 : hue_tab <= 6'd30; + 12'd2679 : hue_tab <= 6'd29; + 12'd2680 : hue_tab <= 6'd29; + 12'd2681 : hue_tab <= 6'd28; + 12'd2682 : hue_tab <= 6'd28; + 12'd2683 : hue_tab <= 6'd27; + 12'd2684 : hue_tab <= 6'd27; + 12'd2685 : hue_tab <= 6'd26; + 12'd2686 : hue_tab <= 6'd26; + 12'd2687 : hue_tab <= 6'd26; + 12'd2688 : hue_tab <= 6'd0; + 12'd2689 : hue_tab <= 6'd40; + 12'd2690 : hue_tab <= 6'd40; + 12'd2691 : hue_tab <= 6'd40; + 12'd2692 : hue_tab <= 6'd40; + 12'd2693 : hue_tab <= 6'd40; + 12'd2694 : hue_tab <= 6'd40; + 12'd2695 : hue_tab <= 6'd40; + 12'd2696 : hue_tab <= 6'd40; + 12'd2697 : hue_tab <= 6'd40; + 12'd2698 : hue_tab <= 6'd40; + 12'd2699 : hue_tab <= 6'd40; + 12'd2700 : hue_tab <= 6'd40; + 12'd2701 : hue_tab <= 6'd40; + 12'd2702 : hue_tab <= 6'd40; + 12'd2703 : hue_tab <= 6'd40; + 12'd2704 : hue_tab <= 6'd40; + 12'd2705 : hue_tab <= 6'd40; + 12'd2706 : hue_tab <= 6'd40; + 12'd2707 : hue_tab <= 6'd40; + 12'd2708 : hue_tab <= 6'd40; + 12'd2709 : hue_tab <= 6'd40; + 12'd2710 : hue_tab <= 6'd40; + 12'd2711 : hue_tab <= 6'd40; + 12'd2712 : hue_tab <= 6'd40; + 12'd2713 : hue_tab <= 6'd40; + 12'd2714 : hue_tab <= 6'd40; + 12'd2715 : hue_tab <= 6'd40; + 12'd2716 : hue_tab <= 6'd40; + 12'd2717 : hue_tab <= 6'd40; + 12'd2718 : hue_tab <= 6'd40; + 12'd2719 : hue_tab <= 6'd40; + 12'd2720 : hue_tab <= 6'd40; + 12'd2721 : hue_tab <= 6'd40; + 12'd2722 : hue_tab <= 6'd40; + 12'd2723 : hue_tab <= 6'd40; + 12'd2724 : hue_tab <= 6'd40; + 12'd2725 : hue_tab <= 6'd40; + 12'd2726 : hue_tab <= 6'd40; + 12'd2727 : hue_tab <= 6'd40; + 12'd2728 : hue_tab <= 6'd40; + 12'd2729 : hue_tab <= 6'd40; + 12'd2730 : hue_tab <= 6'd40; + 12'd2731 : hue_tab <= 6'd39; + 12'd2732 : hue_tab <= 6'd38; + 12'd2733 : hue_tab <= 6'd37; + 12'd2734 : hue_tab <= 6'd36; + 12'd2735 : hue_tab <= 6'd35; + 12'd2736 : hue_tab <= 6'd35; + 12'd2737 : hue_tab <= 6'd34; + 12'd2738 : hue_tab <= 6'd33; + 12'd2739 : hue_tab <= 6'd32; + 12'd2740 : hue_tab <= 6'd32; + 12'd2741 : hue_tab <= 6'd31; + 12'd2742 : hue_tab <= 6'd31; + 12'd2743 : hue_tab <= 6'd30; + 12'd2744 : hue_tab <= 6'd30; + 12'd2745 : hue_tab <= 6'd29; + 12'd2746 : hue_tab <= 6'd28; + 12'd2747 : hue_tab <= 6'd28; + 12'd2748 : hue_tab <= 6'd28; + 12'd2749 : hue_tab <= 6'd27; + 12'd2750 : hue_tab <= 6'd27; + 12'd2751 : hue_tab <= 6'd26; + 12'd2752 : hue_tab <= 6'd0; + 12'd2753 : hue_tab <= 6'd40; + 12'd2754 : hue_tab <= 6'd40; + 12'd2755 : hue_tab <= 6'd40; + 12'd2756 : hue_tab <= 6'd40; + 12'd2757 : hue_tab <= 6'd40; + 12'd2758 : hue_tab <= 6'd40; + 12'd2759 : hue_tab <= 6'd40; + 12'd2760 : hue_tab <= 6'd40; + 12'd2761 : hue_tab <= 6'd40; + 12'd2762 : hue_tab <= 6'd40; + 12'd2763 : hue_tab <= 6'd40; + 12'd2764 : hue_tab <= 6'd40; + 12'd2765 : hue_tab <= 6'd40; + 12'd2766 : hue_tab <= 6'd40; + 12'd2767 : hue_tab <= 6'd40; + 12'd2768 : hue_tab <= 6'd40; + 12'd2769 : hue_tab <= 6'd40; + 12'd2770 : hue_tab <= 6'd40; + 12'd2771 : hue_tab <= 6'd40; + 12'd2772 : hue_tab <= 6'd40; + 12'd2773 : hue_tab <= 6'd40; + 12'd2774 : hue_tab <= 6'd40; + 12'd2775 : hue_tab <= 6'd40; + 12'd2776 : hue_tab <= 6'd40; + 12'd2777 : hue_tab <= 6'd40; + 12'd2778 : hue_tab <= 6'd40; + 12'd2779 : hue_tab <= 6'd40; + 12'd2780 : hue_tab <= 6'd40; + 12'd2781 : hue_tab <= 6'd40; + 12'd2782 : hue_tab <= 6'd40; + 12'd2783 : hue_tab <= 6'd40; + 12'd2784 : hue_tab <= 6'd40; + 12'd2785 : hue_tab <= 6'd40; + 12'd2786 : hue_tab <= 6'd40; + 12'd2787 : hue_tab <= 6'd40; + 12'd2788 : hue_tab <= 6'd40; + 12'd2789 : hue_tab <= 6'd40; + 12'd2790 : hue_tab <= 6'd40; + 12'd2791 : hue_tab <= 6'd40; + 12'd2792 : hue_tab <= 6'd40; + 12'd2793 : hue_tab <= 6'd40; + 12'd2794 : hue_tab <= 6'd40; + 12'd2795 : hue_tab <= 6'd40; + 12'd2796 : hue_tab <= 6'd39; + 12'd2797 : hue_tab <= 6'd38; + 12'd2798 : hue_tab <= 6'd37; + 12'd2799 : hue_tab <= 6'd36; + 12'd2800 : hue_tab <= 6'd35; + 12'd2801 : hue_tab <= 6'd35; + 12'd2802 : hue_tab <= 6'd34; + 12'd2803 : hue_tab <= 6'd33; + 12'd2804 : hue_tab <= 6'd33; + 12'd2805 : hue_tab <= 6'd32; + 12'd2806 : hue_tab <= 6'd31; + 12'd2807 : hue_tab <= 6'd31; + 12'd2808 : hue_tab <= 6'd30; + 12'd2809 : hue_tab <= 6'd30; + 12'd2810 : hue_tab <= 6'd29; + 12'd2811 : hue_tab <= 6'd29; + 12'd2812 : hue_tab <= 6'd28; + 12'd2813 : hue_tab <= 6'd28; + 12'd2814 : hue_tab <= 6'd27; + 12'd2815 : hue_tab <= 6'd27; + 12'd2816 : hue_tab <= 6'd0; + 12'd2817 : hue_tab <= 6'd40; + 12'd2818 : hue_tab <= 6'd40; + 12'd2819 : hue_tab <= 6'd40; + 12'd2820 : hue_tab <= 6'd40; + 12'd2821 : hue_tab <= 6'd40; + 12'd2822 : hue_tab <= 6'd40; + 12'd2823 : hue_tab <= 6'd40; + 12'd2824 : hue_tab <= 6'd40; + 12'd2825 : hue_tab <= 6'd40; + 12'd2826 : hue_tab <= 6'd40; + 12'd2827 : hue_tab <= 6'd40; + 12'd2828 : hue_tab <= 6'd40; + 12'd2829 : hue_tab <= 6'd40; + 12'd2830 : hue_tab <= 6'd40; + 12'd2831 : hue_tab <= 6'd40; + 12'd2832 : hue_tab <= 6'd40; + 12'd2833 : hue_tab <= 6'd40; + 12'd2834 : hue_tab <= 6'd40; + 12'd2835 : hue_tab <= 6'd40; + 12'd2836 : hue_tab <= 6'd40; + 12'd2837 : hue_tab <= 6'd40; + 12'd2838 : hue_tab <= 6'd40; + 12'd2839 : hue_tab <= 6'd40; + 12'd2840 : hue_tab <= 6'd40; + 12'd2841 : hue_tab <= 6'd40; + 12'd2842 : hue_tab <= 6'd40; + 12'd2843 : hue_tab <= 6'd40; + 12'd2844 : hue_tab <= 6'd40; + 12'd2845 : hue_tab <= 6'd40; + 12'd2846 : hue_tab <= 6'd40; + 12'd2847 : hue_tab <= 6'd40; + 12'd2848 : hue_tab <= 6'd40; + 12'd2849 : hue_tab <= 6'd40; + 12'd2850 : hue_tab <= 6'd40; + 12'd2851 : hue_tab <= 6'd40; + 12'd2852 : hue_tab <= 6'd40; + 12'd2853 : hue_tab <= 6'd40; + 12'd2854 : hue_tab <= 6'd40; + 12'd2855 : hue_tab <= 6'd40; + 12'd2856 : hue_tab <= 6'd40; + 12'd2857 : hue_tab <= 6'd40; + 12'd2858 : hue_tab <= 6'd40; + 12'd2859 : hue_tab <= 6'd40; + 12'd2860 : hue_tab <= 6'd40; + 12'd2861 : hue_tab <= 6'd39; + 12'd2862 : hue_tab <= 6'd38; + 12'd2863 : hue_tab <= 6'd37; + 12'd2864 : hue_tab <= 6'd36; + 12'd2865 : hue_tab <= 6'd35; + 12'd2866 : hue_tab <= 6'd35; + 12'd2867 : hue_tab <= 6'd34; + 12'd2868 : hue_tab <= 6'd33; + 12'd2869 : hue_tab <= 6'd33; + 12'd2870 : hue_tab <= 6'd32; + 12'd2871 : hue_tab <= 6'd32; + 12'd2872 : hue_tab <= 6'd31; + 12'd2873 : hue_tab <= 6'd30; + 12'd2874 : hue_tab <= 6'd30; + 12'd2875 : hue_tab <= 6'd29; + 12'd2876 : hue_tab <= 6'd29; + 12'd2877 : hue_tab <= 6'd28; + 12'd2878 : hue_tab <= 6'd28; + 12'd2879 : hue_tab <= 6'd27; + 12'd2880 : hue_tab <= 6'd0; + 12'd2881 : hue_tab <= 6'd40; + 12'd2882 : hue_tab <= 6'd40; + 12'd2883 : hue_tab <= 6'd40; + 12'd2884 : hue_tab <= 6'd40; + 12'd2885 : hue_tab <= 6'd40; + 12'd2886 : hue_tab <= 6'd40; + 12'd2887 : hue_tab <= 6'd40; + 12'd2888 : hue_tab <= 6'd40; + 12'd2889 : hue_tab <= 6'd40; + 12'd2890 : hue_tab <= 6'd40; + 12'd2891 : hue_tab <= 6'd40; + 12'd2892 : hue_tab <= 6'd40; + 12'd2893 : hue_tab <= 6'd40; + 12'd2894 : hue_tab <= 6'd40; + 12'd2895 : hue_tab <= 6'd40; + 12'd2896 : hue_tab <= 6'd40; + 12'd2897 : hue_tab <= 6'd40; + 12'd2898 : hue_tab <= 6'd40; + 12'd2899 : hue_tab <= 6'd40; + 12'd2900 : hue_tab <= 6'd40; + 12'd2901 : hue_tab <= 6'd40; + 12'd2902 : hue_tab <= 6'd40; + 12'd2903 : hue_tab <= 6'd40; + 12'd2904 : hue_tab <= 6'd40; + 12'd2905 : hue_tab <= 6'd40; + 12'd2906 : hue_tab <= 6'd40; + 12'd2907 : hue_tab <= 6'd40; + 12'd2908 : hue_tab <= 6'd40; + 12'd2909 : hue_tab <= 6'd40; + 12'd2910 : hue_tab <= 6'd40; + 12'd2911 : hue_tab <= 6'd40; + 12'd2912 : hue_tab <= 6'd40; + 12'd2913 : hue_tab <= 6'd40; + 12'd2914 : hue_tab <= 6'd40; + 12'd2915 : hue_tab <= 6'd40; + 12'd2916 : hue_tab <= 6'd40; + 12'd2917 : hue_tab <= 6'd40; + 12'd2918 : hue_tab <= 6'd40; + 12'd2919 : hue_tab <= 6'd40; + 12'd2920 : hue_tab <= 6'd40; + 12'd2921 : hue_tab <= 6'd40; + 12'd2922 : hue_tab <= 6'd40; + 12'd2923 : hue_tab <= 6'd40; + 12'd2924 : hue_tab <= 6'd40; + 12'd2925 : hue_tab <= 6'd40; + 12'd2926 : hue_tab <= 6'd39; + 12'd2927 : hue_tab <= 6'd38; + 12'd2928 : hue_tab <= 6'd37; + 12'd2929 : hue_tab <= 6'd36; + 12'd2930 : hue_tab <= 6'd36; + 12'd2931 : hue_tab <= 6'd35; + 12'd2932 : hue_tab <= 6'd34; + 12'd2933 : hue_tab <= 6'd33; + 12'd2934 : hue_tab <= 6'd33; + 12'd2935 : hue_tab <= 6'd32; + 12'd2936 : hue_tab <= 6'd32; + 12'd2937 : hue_tab <= 6'd31; + 12'd2938 : hue_tab <= 6'd31; + 12'd2939 : hue_tab <= 6'd30; + 12'd2940 : hue_tab <= 6'd30; + 12'd2941 : hue_tab <= 6'd29; + 12'd2942 : hue_tab <= 6'd29; + 12'd2943 : hue_tab <= 6'd28; + 12'd2944 : hue_tab <= 6'd0; + 12'd2945 : hue_tab <= 6'd40; + 12'd2946 : hue_tab <= 6'd40; + 12'd2947 : hue_tab <= 6'd40; + 12'd2948 : hue_tab <= 6'd40; + 12'd2949 : hue_tab <= 6'd40; + 12'd2950 : hue_tab <= 6'd40; + 12'd2951 : hue_tab <= 6'd40; + 12'd2952 : hue_tab <= 6'd40; + 12'd2953 : hue_tab <= 6'd40; + 12'd2954 : hue_tab <= 6'd40; + 12'd2955 : hue_tab <= 6'd40; + 12'd2956 : hue_tab <= 6'd40; + 12'd2957 : hue_tab <= 6'd40; + 12'd2958 : hue_tab <= 6'd40; + 12'd2959 : hue_tab <= 6'd40; + 12'd2960 : hue_tab <= 6'd40; + 12'd2961 : hue_tab <= 6'd40; + 12'd2962 : hue_tab <= 6'd40; + 12'd2963 : hue_tab <= 6'd40; + 12'd2964 : hue_tab <= 6'd40; + 12'd2965 : hue_tab <= 6'd40; + 12'd2966 : hue_tab <= 6'd40; + 12'd2967 : hue_tab <= 6'd40; + 12'd2968 : hue_tab <= 6'd40; + 12'd2969 : hue_tab <= 6'd40; + 12'd2970 : hue_tab <= 6'd40; + 12'd2971 : hue_tab <= 6'd40; + 12'd2972 : hue_tab <= 6'd40; + 12'd2973 : hue_tab <= 6'd40; + 12'd2974 : hue_tab <= 6'd40; + 12'd2975 : hue_tab <= 6'd40; + 12'd2976 : hue_tab <= 6'd40; + 12'd2977 : hue_tab <= 6'd40; + 12'd2978 : hue_tab <= 6'd40; + 12'd2979 : hue_tab <= 6'd40; + 12'd2980 : hue_tab <= 6'd40; + 12'd2981 : hue_tab <= 6'd40; + 12'd2982 : hue_tab <= 6'd40; + 12'd2983 : hue_tab <= 6'd40; + 12'd2984 : hue_tab <= 6'd40; + 12'd2985 : hue_tab <= 6'd40; + 12'd2986 : hue_tab <= 6'd40; + 12'd2987 : hue_tab <= 6'd40; + 12'd2988 : hue_tab <= 6'd40; + 12'd2989 : hue_tab <= 6'd40; + 12'd2990 : hue_tab <= 6'd40; + 12'd2991 : hue_tab <= 6'd39; + 12'd2992 : hue_tab <= 6'd38; + 12'd2993 : hue_tab <= 6'd37; + 12'd2994 : hue_tab <= 6'd36; + 12'd2995 : hue_tab <= 6'd36; + 12'd2996 : hue_tab <= 6'd35; + 12'd2997 : hue_tab <= 6'd34; + 12'd2998 : hue_tab <= 6'd34; + 12'd2999 : hue_tab <= 6'd33; + 12'd3000 : hue_tab <= 6'd32; + 12'd3001 : hue_tab <= 6'd32; + 12'd3002 : hue_tab <= 6'd31; + 12'd3003 : hue_tab <= 6'd31; + 12'd3004 : hue_tab <= 6'd30; + 12'd3005 : hue_tab <= 6'd30; + 12'd3006 : hue_tab <= 6'd29; + 12'd3007 : hue_tab <= 6'd29; + 12'd3008 : hue_tab <= 6'd0; + 12'd3009 : hue_tab <= 6'd40; + 12'd3010 : hue_tab <= 6'd40; + 12'd3011 : hue_tab <= 6'd40; + 12'd3012 : hue_tab <= 6'd40; + 12'd3013 : hue_tab <= 6'd40; + 12'd3014 : hue_tab <= 6'd40; + 12'd3015 : hue_tab <= 6'd40; + 12'd3016 : hue_tab <= 6'd40; + 12'd3017 : hue_tab <= 6'd40; + 12'd3018 : hue_tab <= 6'd40; + 12'd3019 : hue_tab <= 6'd40; + 12'd3020 : hue_tab <= 6'd40; + 12'd3021 : hue_tab <= 6'd40; + 12'd3022 : hue_tab <= 6'd40; + 12'd3023 : hue_tab <= 6'd40; + 12'd3024 : hue_tab <= 6'd40; + 12'd3025 : hue_tab <= 6'd40; + 12'd3026 : hue_tab <= 6'd40; + 12'd3027 : hue_tab <= 6'd40; + 12'd3028 : hue_tab <= 6'd40; + 12'd3029 : hue_tab <= 6'd40; + 12'd3030 : hue_tab <= 6'd40; + 12'd3031 : hue_tab <= 6'd40; + 12'd3032 : hue_tab <= 6'd40; + 12'd3033 : hue_tab <= 6'd40; + 12'd3034 : hue_tab <= 6'd40; + 12'd3035 : hue_tab <= 6'd40; + 12'd3036 : hue_tab <= 6'd40; + 12'd3037 : hue_tab <= 6'd40; + 12'd3038 : hue_tab <= 6'd40; + 12'd3039 : hue_tab <= 6'd40; + 12'd3040 : hue_tab <= 6'd40; + 12'd3041 : hue_tab <= 6'd40; + 12'd3042 : hue_tab <= 6'd40; + 12'd3043 : hue_tab <= 6'd40; + 12'd3044 : hue_tab <= 6'd40; + 12'd3045 : hue_tab <= 6'd40; + 12'd3046 : hue_tab <= 6'd40; + 12'd3047 : hue_tab <= 6'd40; + 12'd3048 : hue_tab <= 6'd40; + 12'd3049 : hue_tab <= 6'd40; + 12'd3050 : hue_tab <= 6'd40; + 12'd3051 : hue_tab <= 6'd40; + 12'd3052 : hue_tab <= 6'd40; + 12'd3053 : hue_tab <= 6'd40; + 12'd3054 : hue_tab <= 6'd40; + 12'd3055 : hue_tab <= 6'd40; + 12'd3056 : hue_tab <= 6'd39; + 12'd3057 : hue_tab <= 6'd38; + 12'd3058 : hue_tab <= 6'd37; + 12'd3059 : hue_tab <= 6'd36; + 12'd3060 : hue_tab <= 6'd36; + 12'd3061 : hue_tab <= 6'd35; + 12'd3062 : hue_tab <= 6'd34; + 12'd3063 : hue_tab <= 6'd34; + 12'd3064 : hue_tab <= 6'd33; + 12'd3065 : hue_tab <= 6'd32; + 12'd3066 : hue_tab <= 6'd32; + 12'd3067 : hue_tab <= 6'd31; + 12'd3068 : hue_tab <= 6'd31; + 12'd3069 : hue_tab <= 6'd30; + 12'd3070 : hue_tab <= 6'd30; + 12'd3071 : hue_tab <= 6'd29; + 12'd3072 : hue_tab <= 6'd0; + 12'd3073 : hue_tab <= 6'd40; + 12'd3074 : hue_tab <= 6'd40; + 12'd3075 : hue_tab <= 6'd40; + 12'd3076 : hue_tab <= 6'd40; + 12'd3077 : hue_tab <= 6'd40; + 12'd3078 : hue_tab <= 6'd40; + 12'd3079 : hue_tab <= 6'd40; + 12'd3080 : hue_tab <= 6'd40; + 12'd3081 : hue_tab <= 6'd40; + 12'd3082 : hue_tab <= 6'd40; + 12'd3083 : hue_tab <= 6'd40; + 12'd3084 : hue_tab <= 6'd40; + 12'd3085 : hue_tab <= 6'd40; + 12'd3086 : hue_tab <= 6'd40; + 12'd3087 : hue_tab <= 6'd40; + 12'd3088 : hue_tab <= 6'd40; + 12'd3089 : hue_tab <= 6'd40; + 12'd3090 : hue_tab <= 6'd40; + 12'd3091 : hue_tab <= 6'd40; + 12'd3092 : hue_tab <= 6'd40; + 12'd3093 : hue_tab <= 6'd40; + 12'd3094 : hue_tab <= 6'd40; + 12'd3095 : hue_tab <= 6'd40; + 12'd3096 : hue_tab <= 6'd40; + 12'd3097 : hue_tab <= 6'd40; + 12'd3098 : hue_tab <= 6'd40; + 12'd3099 : hue_tab <= 6'd40; + 12'd3100 : hue_tab <= 6'd40; + 12'd3101 : hue_tab <= 6'd40; + 12'd3102 : hue_tab <= 6'd40; + 12'd3103 : hue_tab <= 6'd40; + 12'd3104 : hue_tab <= 6'd40; + 12'd3105 : hue_tab <= 6'd40; + 12'd3106 : hue_tab <= 6'd40; + 12'd3107 : hue_tab <= 6'd40; + 12'd3108 : hue_tab <= 6'd40; + 12'd3109 : hue_tab <= 6'd40; + 12'd3110 : hue_tab <= 6'd40; + 12'd3111 : hue_tab <= 6'd40; + 12'd3112 : hue_tab <= 6'd40; + 12'd3113 : hue_tab <= 6'd40; + 12'd3114 : hue_tab <= 6'd40; + 12'd3115 : hue_tab <= 6'd40; + 12'd3116 : hue_tab <= 6'd40; + 12'd3117 : hue_tab <= 6'd40; + 12'd3118 : hue_tab <= 6'd40; + 12'd3119 : hue_tab <= 6'd40; + 12'd3120 : hue_tab <= 6'd40; + 12'd3121 : hue_tab <= 6'd39; + 12'd3122 : hue_tab <= 6'd38; + 12'd3123 : hue_tab <= 6'd37; + 12'd3124 : hue_tab <= 6'd36; + 12'd3125 : hue_tab <= 6'd36; + 12'd3126 : hue_tab <= 6'd35; + 12'd3127 : hue_tab <= 6'd34; + 12'd3128 : hue_tab <= 6'd34; + 12'd3129 : hue_tab <= 6'd33; + 12'd3130 : hue_tab <= 6'd33; + 12'd3131 : hue_tab <= 6'd32; + 12'd3132 : hue_tab <= 6'd32; + 12'd3133 : hue_tab <= 6'd31; + 12'd3134 : hue_tab <= 6'd30; + 12'd3135 : hue_tab <= 6'd30; + 12'd3136 : hue_tab <= 6'd0; + 12'd3137 : hue_tab <= 6'd40; + 12'd3138 : hue_tab <= 6'd40; + 12'd3139 : hue_tab <= 6'd40; + 12'd3140 : hue_tab <= 6'd40; + 12'd3141 : hue_tab <= 6'd40; + 12'd3142 : hue_tab <= 6'd40; + 12'd3143 : hue_tab <= 6'd40; + 12'd3144 : hue_tab <= 6'd40; + 12'd3145 : hue_tab <= 6'd40; + 12'd3146 : hue_tab <= 6'd40; + 12'd3147 : hue_tab <= 6'd40; + 12'd3148 : hue_tab <= 6'd40; + 12'd3149 : hue_tab <= 6'd40; + 12'd3150 : hue_tab <= 6'd40; + 12'd3151 : hue_tab <= 6'd40; + 12'd3152 : hue_tab <= 6'd40; + 12'd3153 : hue_tab <= 6'd40; + 12'd3154 : hue_tab <= 6'd40; + 12'd3155 : hue_tab <= 6'd40; + 12'd3156 : hue_tab <= 6'd40; + 12'd3157 : hue_tab <= 6'd40; + 12'd3158 : hue_tab <= 6'd40; + 12'd3159 : hue_tab <= 6'd40; + 12'd3160 : hue_tab <= 6'd40; + 12'd3161 : hue_tab <= 6'd40; + 12'd3162 : hue_tab <= 6'd40; + 12'd3163 : hue_tab <= 6'd40; + 12'd3164 : hue_tab <= 6'd40; + 12'd3165 : hue_tab <= 6'd40; + 12'd3166 : hue_tab <= 6'd40; + 12'd3167 : hue_tab <= 6'd40; + 12'd3168 : hue_tab <= 6'd40; + 12'd3169 : hue_tab <= 6'd40; + 12'd3170 : hue_tab <= 6'd40; + 12'd3171 : hue_tab <= 6'd40; + 12'd3172 : hue_tab <= 6'd40; + 12'd3173 : hue_tab <= 6'd40; + 12'd3174 : hue_tab <= 6'd40; + 12'd3175 : hue_tab <= 6'd40; + 12'd3176 : hue_tab <= 6'd40; + 12'd3177 : hue_tab <= 6'd40; + 12'd3178 : hue_tab <= 6'd40; + 12'd3179 : hue_tab <= 6'd40; + 12'd3180 : hue_tab <= 6'd40; + 12'd3181 : hue_tab <= 6'd40; + 12'd3182 : hue_tab <= 6'd40; + 12'd3183 : hue_tab <= 6'd40; + 12'd3184 : hue_tab <= 6'd40; + 12'd3185 : hue_tab <= 6'd40; + 12'd3186 : hue_tab <= 6'd39; + 12'd3187 : hue_tab <= 6'd38; + 12'd3188 : hue_tab <= 6'd37; + 12'd3189 : hue_tab <= 6'd36; + 12'd3190 : hue_tab <= 6'd36; + 12'd3191 : hue_tab <= 6'd35; + 12'd3192 : hue_tab <= 6'd35; + 12'd3193 : hue_tab <= 6'd34; + 12'd3194 : hue_tab <= 6'd33; + 12'd3195 : hue_tab <= 6'd33; + 12'd3196 : hue_tab <= 6'd32; + 12'd3197 : hue_tab <= 6'd32; + 12'd3198 : hue_tab <= 6'd31; + 12'd3199 : hue_tab <= 6'd31; + 12'd3200 : hue_tab <= 6'd0; + 12'd3201 : hue_tab <= 6'd40; + 12'd3202 : hue_tab <= 6'd40; + 12'd3203 : hue_tab <= 6'd40; + 12'd3204 : hue_tab <= 6'd40; + 12'd3205 : hue_tab <= 6'd40; + 12'd3206 : hue_tab <= 6'd40; + 12'd3207 : hue_tab <= 6'd40; + 12'd3208 : hue_tab <= 6'd40; + 12'd3209 : hue_tab <= 6'd40; + 12'd3210 : hue_tab <= 6'd40; + 12'd3211 : hue_tab <= 6'd40; + 12'd3212 : hue_tab <= 6'd40; + 12'd3213 : hue_tab <= 6'd40; + 12'd3214 : hue_tab <= 6'd40; + 12'd3215 : hue_tab <= 6'd40; + 12'd3216 : hue_tab <= 6'd40; + 12'd3217 : hue_tab <= 6'd40; + 12'd3218 : hue_tab <= 6'd40; + 12'd3219 : hue_tab <= 6'd40; + 12'd3220 : hue_tab <= 6'd40; + 12'd3221 : hue_tab <= 6'd40; + 12'd3222 : hue_tab <= 6'd40; + 12'd3223 : hue_tab <= 6'd40; + 12'd3224 : hue_tab <= 6'd40; + 12'd3225 : hue_tab <= 6'd40; + 12'd3226 : hue_tab <= 6'd40; + 12'd3227 : hue_tab <= 6'd40; + 12'd3228 : hue_tab <= 6'd40; + 12'd3229 : hue_tab <= 6'd40; + 12'd3230 : hue_tab <= 6'd40; + 12'd3231 : hue_tab <= 6'd40; + 12'd3232 : hue_tab <= 6'd40; + 12'd3233 : hue_tab <= 6'd40; + 12'd3234 : hue_tab <= 6'd40; + 12'd3235 : hue_tab <= 6'd40; + 12'd3236 : hue_tab <= 6'd40; + 12'd3237 : hue_tab <= 6'd40; + 12'd3238 : hue_tab <= 6'd40; + 12'd3239 : hue_tab <= 6'd40; + 12'd3240 : hue_tab <= 6'd40; + 12'd3241 : hue_tab <= 6'd40; + 12'd3242 : hue_tab <= 6'd40; + 12'd3243 : hue_tab <= 6'd40; + 12'd3244 : hue_tab <= 6'd40; + 12'd3245 : hue_tab <= 6'd40; + 12'd3246 : hue_tab <= 6'd40; + 12'd3247 : hue_tab <= 6'd40; + 12'd3248 : hue_tab <= 6'd40; + 12'd3249 : hue_tab <= 6'd40; + 12'd3250 : hue_tab <= 6'd40; + 12'd3251 : hue_tab <= 6'd39; + 12'd3252 : hue_tab <= 6'd38; + 12'd3253 : hue_tab <= 6'd37; + 12'd3254 : hue_tab <= 6'd37; + 12'd3255 : hue_tab <= 6'd36; + 12'd3256 : hue_tab <= 6'd35; + 12'd3257 : hue_tab <= 6'd35; + 12'd3258 : hue_tab <= 6'd34; + 12'd3259 : hue_tab <= 6'd33; + 12'd3260 : hue_tab <= 6'd33; + 12'd3261 : hue_tab <= 6'd32; + 12'd3262 : hue_tab <= 6'd32; + 12'd3263 : hue_tab <= 6'd31; + 12'd3264 : hue_tab <= 6'd0; + 12'd3265 : hue_tab <= 6'd40; + 12'd3266 : hue_tab <= 6'd40; + 12'd3267 : hue_tab <= 6'd40; + 12'd3268 : hue_tab <= 6'd40; + 12'd3269 : hue_tab <= 6'd40; + 12'd3270 : hue_tab <= 6'd40; + 12'd3271 : hue_tab <= 6'd40; + 12'd3272 : hue_tab <= 6'd40; + 12'd3273 : hue_tab <= 6'd40; + 12'd3274 : hue_tab <= 6'd40; + 12'd3275 : hue_tab <= 6'd40; + 12'd3276 : hue_tab <= 6'd40; + 12'd3277 : hue_tab <= 6'd40; + 12'd3278 : hue_tab <= 6'd40; + 12'd3279 : hue_tab <= 6'd40; + 12'd3280 : hue_tab <= 6'd40; + 12'd3281 : hue_tab <= 6'd40; + 12'd3282 : hue_tab <= 6'd40; + 12'd3283 : hue_tab <= 6'd40; + 12'd3284 : hue_tab <= 6'd40; + 12'd3285 : hue_tab <= 6'd40; + 12'd3286 : hue_tab <= 6'd40; + 12'd3287 : hue_tab <= 6'd40; + 12'd3288 : hue_tab <= 6'd40; + 12'd3289 : hue_tab <= 6'd40; + 12'd3290 : hue_tab <= 6'd40; + 12'd3291 : hue_tab <= 6'd40; + 12'd3292 : hue_tab <= 6'd40; + 12'd3293 : hue_tab <= 6'd40; + 12'd3294 : hue_tab <= 6'd40; + 12'd3295 : hue_tab <= 6'd40; + 12'd3296 : hue_tab <= 6'd40; + 12'd3297 : hue_tab <= 6'd40; + 12'd3298 : hue_tab <= 6'd40; + 12'd3299 : hue_tab <= 6'd40; + 12'd3300 : hue_tab <= 6'd40; + 12'd3301 : hue_tab <= 6'd40; + 12'd3302 : hue_tab <= 6'd40; + 12'd3303 : hue_tab <= 6'd40; + 12'd3304 : hue_tab <= 6'd40; + 12'd3305 : hue_tab <= 6'd40; + 12'd3306 : hue_tab <= 6'd40; + 12'd3307 : hue_tab <= 6'd40; + 12'd3308 : hue_tab <= 6'd40; + 12'd3309 : hue_tab <= 6'd40; + 12'd3310 : hue_tab <= 6'd40; + 12'd3311 : hue_tab <= 6'd40; + 12'd3312 : hue_tab <= 6'd40; + 12'd3313 : hue_tab <= 6'd40; + 12'd3314 : hue_tab <= 6'd40; + 12'd3315 : hue_tab <= 6'd40; + 12'd3316 : hue_tab <= 6'd39; + 12'd3317 : hue_tab <= 6'd38; + 12'd3318 : hue_tab <= 6'd37; + 12'd3319 : hue_tab <= 6'd37; + 12'd3320 : hue_tab <= 6'd36; + 12'd3321 : hue_tab <= 6'd35; + 12'd3322 : hue_tab <= 6'd35; + 12'd3323 : hue_tab <= 6'd34; + 12'd3324 : hue_tab <= 6'd34; + 12'd3325 : hue_tab <= 6'd33; + 12'd3326 : hue_tab <= 6'd32; + 12'd3327 : hue_tab <= 6'd32; + 12'd3328 : hue_tab <= 6'd0; + 12'd3329 : hue_tab <= 6'd40; + 12'd3330 : hue_tab <= 6'd40; + 12'd3331 : hue_tab <= 6'd40; + 12'd3332 : hue_tab <= 6'd40; + 12'd3333 : hue_tab <= 6'd40; + 12'd3334 : hue_tab <= 6'd40; + 12'd3335 : hue_tab <= 6'd40; + 12'd3336 : hue_tab <= 6'd40; + 12'd3337 : hue_tab <= 6'd40; + 12'd3338 : hue_tab <= 6'd40; + 12'd3339 : hue_tab <= 6'd40; + 12'd3340 : hue_tab <= 6'd40; + 12'd3341 : hue_tab <= 6'd40; + 12'd3342 : hue_tab <= 6'd40; + 12'd3343 : hue_tab <= 6'd40; + 12'd3344 : hue_tab <= 6'd40; + 12'd3345 : hue_tab <= 6'd40; + 12'd3346 : hue_tab <= 6'd40; + 12'd3347 : hue_tab <= 6'd40; + 12'd3348 : hue_tab <= 6'd40; + 12'd3349 : hue_tab <= 6'd40; + 12'd3350 : hue_tab <= 6'd40; + 12'd3351 : hue_tab <= 6'd40; + 12'd3352 : hue_tab <= 6'd40; + 12'd3353 : hue_tab <= 6'd40; + 12'd3354 : hue_tab <= 6'd40; + 12'd3355 : hue_tab <= 6'd40; + 12'd3356 : hue_tab <= 6'd40; + 12'd3357 : hue_tab <= 6'd40; + 12'd3358 : hue_tab <= 6'd40; + 12'd3359 : hue_tab <= 6'd40; + 12'd3360 : hue_tab <= 6'd40; + 12'd3361 : hue_tab <= 6'd40; + 12'd3362 : hue_tab <= 6'd40; + 12'd3363 : hue_tab <= 6'd40; + 12'd3364 : hue_tab <= 6'd40; + 12'd3365 : hue_tab <= 6'd40; + 12'd3366 : hue_tab <= 6'd40; + 12'd3367 : hue_tab <= 6'd40; + 12'd3368 : hue_tab <= 6'd40; + 12'd3369 : hue_tab <= 6'd40; + 12'd3370 : hue_tab <= 6'd40; + 12'd3371 : hue_tab <= 6'd40; + 12'd3372 : hue_tab <= 6'd40; + 12'd3373 : hue_tab <= 6'd40; + 12'd3374 : hue_tab <= 6'd40; + 12'd3375 : hue_tab <= 6'd40; + 12'd3376 : hue_tab <= 6'd40; + 12'd3377 : hue_tab <= 6'd40; + 12'd3378 : hue_tab <= 6'd40; + 12'd3379 : hue_tab <= 6'd40; + 12'd3380 : hue_tab <= 6'd40; + 12'd3381 : hue_tab <= 6'd39; + 12'd3382 : hue_tab <= 6'd38; + 12'd3383 : hue_tab <= 6'd37; + 12'd3384 : hue_tab <= 6'd37; + 12'd3385 : hue_tab <= 6'd36; + 12'd3386 : hue_tab <= 6'd35; + 12'd3387 : hue_tab <= 6'd35; + 12'd3388 : hue_tab <= 6'd34; + 12'd3389 : hue_tab <= 6'd34; + 12'd3390 : hue_tab <= 6'd33; + 12'd3391 : hue_tab <= 6'd33; + 12'd3392 : hue_tab <= 6'd0; + 12'd3393 : hue_tab <= 6'd40; + 12'd3394 : hue_tab <= 6'd40; + 12'd3395 : hue_tab <= 6'd40; + 12'd3396 : hue_tab <= 6'd40; + 12'd3397 : hue_tab <= 6'd40; + 12'd3398 : hue_tab <= 6'd40; + 12'd3399 : hue_tab <= 6'd40; + 12'd3400 : hue_tab <= 6'd40; + 12'd3401 : hue_tab <= 6'd40; + 12'd3402 : hue_tab <= 6'd40; + 12'd3403 : hue_tab <= 6'd40; + 12'd3404 : hue_tab <= 6'd40; + 12'd3405 : hue_tab <= 6'd40; + 12'd3406 : hue_tab <= 6'd40; + 12'd3407 : hue_tab <= 6'd40; + 12'd3408 : hue_tab <= 6'd40; + 12'd3409 : hue_tab <= 6'd40; + 12'd3410 : hue_tab <= 6'd40; + 12'd3411 : hue_tab <= 6'd40; + 12'd3412 : hue_tab <= 6'd40; + 12'd3413 : hue_tab <= 6'd40; + 12'd3414 : hue_tab <= 6'd40; + 12'd3415 : hue_tab <= 6'd40; + 12'd3416 : hue_tab <= 6'd40; + 12'd3417 : hue_tab <= 6'd40; + 12'd3418 : hue_tab <= 6'd40; + 12'd3419 : hue_tab <= 6'd40; + 12'd3420 : hue_tab <= 6'd40; + 12'd3421 : hue_tab <= 6'd40; + 12'd3422 : hue_tab <= 6'd40; + 12'd3423 : hue_tab <= 6'd40; + 12'd3424 : hue_tab <= 6'd40; + 12'd3425 : hue_tab <= 6'd40; + 12'd3426 : hue_tab <= 6'd40; + 12'd3427 : hue_tab <= 6'd40; + 12'd3428 : hue_tab <= 6'd40; + 12'd3429 : hue_tab <= 6'd40; + 12'd3430 : hue_tab <= 6'd40; + 12'd3431 : hue_tab <= 6'd40; + 12'd3432 : hue_tab <= 6'd40; + 12'd3433 : hue_tab <= 6'd40; + 12'd3434 : hue_tab <= 6'd40; + 12'd3435 : hue_tab <= 6'd40; + 12'd3436 : hue_tab <= 6'd40; + 12'd3437 : hue_tab <= 6'd40; + 12'd3438 : hue_tab <= 6'd40; + 12'd3439 : hue_tab <= 6'd40; + 12'd3440 : hue_tab <= 6'd40; + 12'd3441 : hue_tab <= 6'd40; + 12'd3442 : hue_tab <= 6'd40; + 12'd3443 : hue_tab <= 6'd40; + 12'd3444 : hue_tab <= 6'd40; + 12'd3445 : hue_tab <= 6'd40; + 12'd3446 : hue_tab <= 6'd39; + 12'd3447 : hue_tab <= 6'd38; + 12'd3448 : hue_tab <= 6'd37; + 12'd3449 : hue_tab <= 6'd37; + 12'd3450 : hue_tab <= 6'd36; + 12'd3451 : hue_tab <= 6'd35; + 12'd3452 : hue_tab <= 6'd35; + 12'd3453 : hue_tab <= 6'd34; + 12'd3454 : hue_tab <= 6'd34; + 12'd3455 : hue_tab <= 6'd33; + 12'd3456 : hue_tab <= 6'd0; + 12'd3457 : hue_tab <= 6'd40; + 12'd3458 : hue_tab <= 6'd40; + 12'd3459 : hue_tab <= 6'd40; + 12'd3460 : hue_tab <= 6'd40; + 12'd3461 : hue_tab <= 6'd40; + 12'd3462 : hue_tab <= 6'd40; + 12'd3463 : hue_tab <= 6'd40; + 12'd3464 : hue_tab <= 6'd40; + 12'd3465 : hue_tab <= 6'd40; + 12'd3466 : hue_tab <= 6'd40; + 12'd3467 : hue_tab <= 6'd40; + 12'd3468 : hue_tab <= 6'd40; + 12'd3469 : hue_tab <= 6'd40; + 12'd3470 : hue_tab <= 6'd40; + 12'd3471 : hue_tab <= 6'd40; + 12'd3472 : hue_tab <= 6'd40; + 12'd3473 : hue_tab <= 6'd40; + 12'd3474 : hue_tab <= 6'd40; + 12'd3475 : hue_tab <= 6'd40; + 12'd3476 : hue_tab <= 6'd40; + 12'd3477 : hue_tab <= 6'd40; + 12'd3478 : hue_tab <= 6'd40; + 12'd3479 : hue_tab <= 6'd40; + 12'd3480 : hue_tab <= 6'd40; + 12'd3481 : hue_tab <= 6'd40; + 12'd3482 : hue_tab <= 6'd40; + 12'd3483 : hue_tab <= 6'd40; + 12'd3484 : hue_tab <= 6'd40; + 12'd3485 : hue_tab <= 6'd40; + 12'd3486 : hue_tab <= 6'd40; + 12'd3487 : hue_tab <= 6'd40; + 12'd3488 : hue_tab <= 6'd40; + 12'd3489 : hue_tab <= 6'd40; + 12'd3490 : hue_tab <= 6'd40; + 12'd3491 : hue_tab <= 6'd40; + 12'd3492 : hue_tab <= 6'd40; + 12'd3493 : hue_tab <= 6'd40; + 12'd3494 : hue_tab <= 6'd40; + 12'd3495 : hue_tab <= 6'd40; + 12'd3496 : hue_tab <= 6'd40; + 12'd3497 : hue_tab <= 6'd40; + 12'd3498 : hue_tab <= 6'd40; + 12'd3499 : hue_tab <= 6'd40; + 12'd3500 : hue_tab <= 6'd40; + 12'd3501 : hue_tab <= 6'd40; + 12'd3502 : hue_tab <= 6'd40; + 12'd3503 : hue_tab <= 6'd40; + 12'd3504 : hue_tab <= 6'd40; + 12'd3505 : hue_tab <= 6'd40; + 12'd3506 : hue_tab <= 6'd40; + 12'd3507 : hue_tab <= 6'd40; + 12'd3508 : hue_tab <= 6'd40; + 12'd3509 : hue_tab <= 6'd40; + 12'd3510 : hue_tab <= 6'd40; + 12'd3511 : hue_tab <= 6'd39; + 12'd3512 : hue_tab <= 6'd38; + 12'd3513 : hue_tab <= 6'd37; + 12'd3514 : hue_tab <= 6'd37; + 12'd3515 : hue_tab <= 6'd36; + 12'd3516 : hue_tab <= 6'd36; + 12'd3517 : hue_tab <= 6'd35; + 12'd3518 : hue_tab <= 6'd34; + 12'd3519 : hue_tab <= 6'd34; + 12'd3520 : hue_tab <= 6'd0; + 12'd3521 : hue_tab <= 6'd40; + 12'd3522 : hue_tab <= 6'd40; + 12'd3523 : hue_tab <= 6'd40; + 12'd3524 : hue_tab <= 6'd40; + 12'd3525 : hue_tab <= 6'd40; + 12'd3526 : hue_tab <= 6'd40; + 12'd3527 : hue_tab <= 6'd40; + 12'd3528 : hue_tab <= 6'd40; + 12'd3529 : hue_tab <= 6'd40; + 12'd3530 : hue_tab <= 6'd40; + 12'd3531 : hue_tab <= 6'd40; + 12'd3532 : hue_tab <= 6'd40; + 12'd3533 : hue_tab <= 6'd40; + 12'd3534 : hue_tab <= 6'd40; + 12'd3535 : hue_tab <= 6'd40; + 12'd3536 : hue_tab <= 6'd40; + 12'd3537 : hue_tab <= 6'd40; + 12'd3538 : hue_tab <= 6'd40; + 12'd3539 : hue_tab <= 6'd40; + 12'd3540 : hue_tab <= 6'd40; + 12'd3541 : hue_tab <= 6'd40; + 12'd3542 : hue_tab <= 6'd40; + 12'd3543 : hue_tab <= 6'd40; + 12'd3544 : hue_tab <= 6'd40; + 12'd3545 : hue_tab <= 6'd40; + 12'd3546 : hue_tab <= 6'd40; + 12'd3547 : hue_tab <= 6'd40; + 12'd3548 : hue_tab <= 6'd40; + 12'd3549 : hue_tab <= 6'd40; + 12'd3550 : hue_tab <= 6'd40; + 12'd3551 : hue_tab <= 6'd40; + 12'd3552 : hue_tab <= 6'd40; + 12'd3553 : hue_tab <= 6'd40; + 12'd3554 : hue_tab <= 6'd40; + 12'd3555 : hue_tab <= 6'd40; + 12'd3556 : hue_tab <= 6'd40; + 12'd3557 : hue_tab <= 6'd40; + 12'd3558 : hue_tab <= 6'd40; + 12'd3559 : hue_tab <= 6'd40; + 12'd3560 : hue_tab <= 6'd40; + 12'd3561 : hue_tab <= 6'd40; + 12'd3562 : hue_tab <= 6'd40; + 12'd3563 : hue_tab <= 6'd40; + 12'd3564 : hue_tab <= 6'd40; + 12'd3565 : hue_tab <= 6'd40; + 12'd3566 : hue_tab <= 6'd40; + 12'd3567 : hue_tab <= 6'd40; + 12'd3568 : hue_tab <= 6'd40; + 12'd3569 : hue_tab <= 6'd40; + 12'd3570 : hue_tab <= 6'd40; + 12'd3571 : hue_tab <= 6'd40; + 12'd3572 : hue_tab <= 6'd40; + 12'd3573 : hue_tab <= 6'd40; + 12'd3574 : hue_tab <= 6'd40; + 12'd3575 : hue_tab <= 6'd40; + 12'd3576 : hue_tab <= 6'd39; + 12'd3577 : hue_tab <= 6'd38; + 12'd3578 : hue_tab <= 6'd37; + 12'd3579 : hue_tab <= 6'd37; + 12'd3580 : hue_tab <= 6'd36; + 12'd3581 : hue_tab <= 6'd36; + 12'd3582 : hue_tab <= 6'd35; + 12'd3583 : hue_tab <= 6'd34; + 12'd3584 : hue_tab <= 6'd0; + 12'd3585 : hue_tab <= 6'd40; + 12'd3586 : hue_tab <= 6'd40; + 12'd3587 : hue_tab <= 6'd40; + 12'd3588 : hue_tab <= 6'd40; + 12'd3589 : hue_tab <= 6'd40; + 12'd3590 : hue_tab <= 6'd40; + 12'd3591 : hue_tab <= 6'd40; + 12'd3592 : hue_tab <= 6'd40; + 12'd3593 : hue_tab <= 6'd40; + 12'd3594 : hue_tab <= 6'd40; + 12'd3595 : hue_tab <= 6'd40; + 12'd3596 : hue_tab <= 6'd40; + 12'd3597 : hue_tab <= 6'd40; + 12'd3598 : hue_tab <= 6'd40; + 12'd3599 : hue_tab <= 6'd40; + 12'd3600 : hue_tab <= 6'd40; + 12'd3601 : hue_tab <= 6'd40; + 12'd3602 : hue_tab <= 6'd40; + 12'd3603 : hue_tab <= 6'd40; + 12'd3604 : hue_tab <= 6'd40; + 12'd3605 : hue_tab <= 6'd40; + 12'd3606 : hue_tab <= 6'd40; + 12'd3607 : hue_tab <= 6'd40; + 12'd3608 : hue_tab <= 6'd40; + 12'd3609 : hue_tab <= 6'd40; + 12'd3610 : hue_tab <= 6'd40; + 12'd3611 : hue_tab <= 6'd40; + 12'd3612 : hue_tab <= 6'd40; + 12'd3613 : hue_tab <= 6'd40; + 12'd3614 : hue_tab <= 6'd40; + 12'd3615 : hue_tab <= 6'd40; + 12'd3616 : hue_tab <= 6'd40; + 12'd3617 : hue_tab <= 6'd40; + 12'd3618 : hue_tab <= 6'd40; + 12'd3619 : hue_tab <= 6'd40; + 12'd3620 : hue_tab <= 6'd40; + 12'd3621 : hue_tab <= 6'd40; + 12'd3622 : hue_tab <= 6'd40; + 12'd3623 : hue_tab <= 6'd40; + 12'd3624 : hue_tab <= 6'd40; + 12'd3625 : hue_tab <= 6'd40; + 12'd3626 : hue_tab <= 6'd40; + 12'd3627 : hue_tab <= 6'd40; + 12'd3628 : hue_tab <= 6'd40; + 12'd3629 : hue_tab <= 6'd40; + 12'd3630 : hue_tab <= 6'd40; + 12'd3631 : hue_tab <= 6'd40; + 12'd3632 : hue_tab <= 6'd40; + 12'd3633 : hue_tab <= 6'd40; + 12'd3634 : hue_tab <= 6'd40; + 12'd3635 : hue_tab <= 6'd40; + 12'd3636 : hue_tab <= 6'd40; + 12'd3637 : hue_tab <= 6'd40; + 12'd3638 : hue_tab <= 6'd40; + 12'd3639 : hue_tab <= 6'd40; + 12'd3640 : hue_tab <= 6'd40; + 12'd3641 : hue_tab <= 6'd39; + 12'd3642 : hue_tab <= 6'd38; + 12'd3643 : hue_tab <= 6'd37; + 12'd3644 : hue_tab <= 6'd37; + 12'd3645 : hue_tab <= 6'd36; + 12'd3646 : hue_tab <= 6'd36; + 12'd3647 : hue_tab <= 6'd35; + 12'd3648 : hue_tab <= 6'd0; + 12'd3649 : hue_tab <= 6'd40; + 12'd3650 : hue_tab <= 6'd40; + 12'd3651 : hue_tab <= 6'd40; + 12'd3652 : hue_tab <= 6'd40; + 12'd3653 : hue_tab <= 6'd40; + 12'd3654 : hue_tab <= 6'd40; + 12'd3655 : hue_tab <= 6'd40; + 12'd3656 : hue_tab <= 6'd40; + 12'd3657 : hue_tab <= 6'd40; + 12'd3658 : hue_tab <= 6'd40; + 12'd3659 : hue_tab <= 6'd40; + 12'd3660 : hue_tab <= 6'd40; + 12'd3661 : hue_tab <= 6'd40; + 12'd3662 : hue_tab <= 6'd40; + 12'd3663 : hue_tab <= 6'd40; + 12'd3664 : hue_tab <= 6'd40; + 12'd3665 : hue_tab <= 6'd40; + 12'd3666 : hue_tab <= 6'd40; + 12'd3667 : hue_tab <= 6'd40; + 12'd3668 : hue_tab <= 6'd40; + 12'd3669 : hue_tab <= 6'd40; + 12'd3670 : hue_tab <= 6'd40; + 12'd3671 : hue_tab <= 6'd40; + 12'd3672 : hue_tab <= 6'd40; + 12'd3673 : hue_tab <= 6'd40; + 12'd3674 : hue_tab <= 6'd40; + 12'd3675 : hue_tab <= 6'd40; + 12'd3676 : hue_tab <= 6'd40; + 12'd3677 : hue_tab <= 6'd40; + 12'd3678 : hue_tab <= 6'd40; + 12'd3679 : hue_tab <= 6'd40; + 12'd3680 : hue_tab <= 6'd40; + 12'd3681 : hue_tab <= 6'd40; + 12'd3682 : hue_tab <= 6'd40; + 12'd3683 : hue_tab <= 6'd40; + 12'd3684 : hue_tab <= 6'd40; + 12'd3685 : hue_tab <= 6'd40; + 12'd3686 : hue_tab <= 6'd40; + 12'd3687 : hue_tab <= 6'd40; + 12'd3688 : hue_tab <= 6'd40; + 12'd3689 : hue_tab <= 6'd40; + 12'd3690 : hue_tab <= 6'd40; + 12'd3691 : hue_tab <= 6'd40; + 12'd3692 : hue_tab <= 6'd40; + 12'd3693 : hue_tab <= 6'd40; + 12'd3694 : hue_tab <= 6'd40; + 12'd3695 : hue_tab <= 6'd40; + 12'd3696 : hue_tab <= 6'd40; + 12'd3697 : hue_tab <= 6'd40; + 12'd3698 : hue_tab <= 6'd40; + 12'd3699 : hue_tab <= 6'd40; + 12'd3700 : hue_tab <= 6'd40; + 12'd3701 : hue_tab <= 6'd40; + 12'd3702 : hue_tab <= 6'd40; + 12'd3703 : hue_tab <= 6'd40; + 12'd3704 : hue_tab <= 6'd40; + 12'd3705 : hue_tab <= 6'd40; + 12'd3706 : hue_tab <= 6'd39; + 12'd3707 : hue_tab <= 6'd38; + 12'd3708 : hue_tab <= 6'd38; + 12'd3709 : hue_tab <= 6'd37; + 12'd3710 : hue_tab <= 6'd36; + 12'd3711 : hue_tab <= 6'd36; + 12'd3712 : hue_tab <= 6'd0; + 12'd3713 : hue_tab <= 6'd40; + 12'd3714 : hue_tab <= 6'd40; + 12'd3715 : hue_tab <= 6'd40; + 12'd3716 : hue_tab <= 6'd40; + 12'd3717 : hue_tab <= 6'd40; + 12'd3718 : hue_tab <= 6'd40; + 12'd3719 : hue_tab <= 6'd40; + 12'd3720 : hue_tab <= 6'd40; + 12'd3721 : hue_tab <= 6'd40; + 12'd3722 : hue_tab <= 6'd40; + 12'd3723 : hue_tab <= 6'd40; + 12'd3724 : hue_tab <= 6'd40; + 12'd3725 : hue_tab <= 6'd40; + 12'd3726 : hue_tab <= 6'd40; + 12'd3727 : hue_tab <= 6'd40; + 12'd3728 : hue_tab <= 6'd40; + 12'd3729 : hue_tab <= 6'd40; + 12'd3730 : hue_tab <= 6'd40; + 12'd3731 : hue_tab <= 6'd40; + 12'd3732 : hue_tab <= 6'd40; + 12'd3733 : hue_tab <= 6'd40; + 12'd3734 : hue_tab <= 6'd40; + 12'd3735 : hue_tab <= 6'd40; + 12'd3736 : hue_tab <= 6'd40; + 12'd3737 : hue_tab <= 6'd40; + 12'd3738 : hue_tab <= 6'd40; + 12'd3739 : hue_tab <= 6'd40; + 12'd3740 : hue_tab <= 6'd40; + 12'd3741 : hue_tab <= 6'd40; + 12'd3742 : hue_tab <= 6'd40; + 12'd3743 : hue_tab <= 6'd40; + 12'd3744 : hue_tab <= 6'd40; + 12'd3745 : hue_tab <= 6'd40; + 12'd3746 : hue_tab <= 6'd40; + 12'd3747 : hue_tab <= 6'd40; + 12'd3748 : hue_tab <= 6'd40; + 12'd3749 : hue_tab <= 6'd40; + 12'd3750 : hue_tab <= 6'd40; + 12'd3751 : hue_tab <= 6'd40; + 12'd3752 : hue_tab <= 6'd40; + 12'd3753 : hue_tab <= 6'd40; + 12'd3754 : hue_tab <= 6'd40; + 12'd3755 : hue_tab <= 6'd40; + 12'd3756 : hue_tab <= 6'd40; + 12'd3757 : hue_tab <= 6'd40; + 12'd3758 : hue_tab <= 6'd40; + 12'd3759 : hue_tab <= 6'd40; + 12'd3760 : hue_tab <= 6'd40; + 12'd3761 : hue_tab <= 6'd40; + 12'd3762 : hue_tab <= 6'd40; + 12'd3763 : hue_tab <= 6'd40; + 12'd3764 : hue_tab <= 6'd40; + 12'd3765 : hue_tab <= 6'd40; + 12'd3766 : hue_tab <= 6'd40; + 12'd3767 : hue_tab <= 6'd40; + 12'd3768 : hue_tab <= 6'd40; + 12'd3769 : hue_tab <= 6'd40; + 12'd3770 : hue_tab <= 6'd40; + 12'd3771 : hue_tab <= 6'd39; + 12'd3772 : hue_tab <= 6'd38; + 12'd3773 : hue_tab <= 6'd38; + 12'd3774 : hue_tab <= 6'd37; + 12'd3775 : hue_tab <= 6'd36; + 12'd3776 : hue_tab <= 6'd0; + 12'd3777 : hue_tab <= 6'd40; + 12'd3778 : hue_tab <= 6'd40; + 12'd3779 : hue_tab <= 6'd40; + 12'd3780 : hue_tab <= 6'd40; + 12'd3781 : hue_tab <= 6'd40; + 12'd3782 : hue_tab <= 6'd40; + 12'd3783 : hue_tab <= 6'd40; + 12'd3784 : hue_tab <= 6'd40; + 12'd3785 : hue_tab <= 6'd40; + 12'd3786 : hue_tab <= 6'd40; + 12'd3787 : hue_tab <= 6'd40; + 12'd3788 : hue_tab <= 6'd40; + 12'd3789 : hue_tab <= 6'd40; + 12'd3790 : hue_tab <= 6'd40; + 12'd3791 : hue_tab <= 6'd40; + 12'd3792 : hue_tab <= 6'd40; + 12'd3793 : hue_tab <= 6'd40; + 12'd3794 : hue_tab <= 6'd40; + 12'd3795 : hue_tab <= 6'd40; + 12'd3796 : hue_tab <= 6'd40; + 12'd3797 : hue_tab <= 6'd40; + 12'd3798 : hue_tab <= 6'd40; + 12'd3799 : hue_tab <= 6'd40; + 12'd3800 : hue_tab <= 6'd40; + 12'd3801 : hue_tab <= 6'd40; + 12'd3802 : hue_tab <= 6'd40; + 12'd3803 : hue_tab <= 6'd40; + 12'd3804 : hue_tab <= 6'd40; + 12'd3805 : hue_tab <= 6'd40; + 12'd3806 : hue_tab <= 6'd40; + 12'd3807 : hue_tab <= 6'd40; + 12'd3808 : hue_tab <= 6'd40; + 12'd3809 : hue_tab <= 6'd40; + 12'd3810 : hue_tab <= 6'd40; + 12'd3811 : hue_tab <= 6'd40; + 12'd3812 : hue_tab <= 6'd40; + 12'd3813 : hue_tab <= 6'd40; + 12'd3814 : hue_tab <= 6'd40; + 12'd3815 : hue_tab <= 6'd40; + 12'd3816 : hue_tab <= 6'd40; + 12'd3817 : hue_tab <= 6'd40; + 12'd3818 : hue_tab <= 6'd40; + 12'd3819 : hue_tab <= 6'd40; + 12'd3820 : hue_tab <= 6'd40; + 12'd3821 : hue_tab <= 6'd40; + 12'd3822 : hue_tab <= 6'd40; + 12'd3823 : hue_tab <= 6'd40; + 12'd3824 : hue_tab <= 6'd40; + 12'd3825 : hue_tab <= 6'd40; + 12'd3826 : hue_tab <= 6'd40; + 12'd3827 : hue_tab <= 6'd40; + 12'd3828 : hue_tab <= 6'd40; + 12'd3829 : hue_tab <= 6'd40; + 12'd3830 : hue_tab <= 6'd40; + 12'd3831 : hue_tab <= 6'd40; + 12'd3832 : hue_tab <= 6'd40; + 12'd3833 : hue_tab <= 6'd40; + 12'd3834 : hue_tab <= 6'd40; + 12'd3835 : hue_tab <= 6'd40; + 12'd3836 : hue_tab <= 6'd39; + 12'd3837 : hue_tab <= 6'd38; + 12'd3838 : hue_tab <= 6'd38; + 12'd3839 : hue_tab <= 6'd37; + 12'd3840 : hue_tab <= 6'd0; + 12'd3841 : hue_tab <= 6'd40; + 12'd3842 : hue_tab <= 6'd40; + 12'd3843 : hue_tab <= 6'd40; + 12'd3844 : hue_tab <= 6'd40; + 12'd3845 : hue_tab <= 6'd40; + 12'd3846 : hue_tab <= 6'd40; + 12'd3847 : hue_tab <= 6'd40; + 12'd3848 : hue_tab <= 6'd40; + 12'd3849 : hue_tab <= 6'd40; + 12'd3850 : hue_tab <= 6'd40; + 12'd3851 : hue_tab <= 6'd40; + 12'd3852 : hue_tab <= 6'd40; + 12'd3853 : hue_tab <= 6'd40; + 12'd3854 : hue_tab <= 6'd40; + 12'd3855 : hue_tab <= 6'd40; + 12'd3856 : hue_tab <= 6'd40; + 12'd3857 : hue_tab <= 6'd40; + 12'd3858 : hue_tab <= 6'd40; + 12'd3859 : hue_tab <= 6'd40; + 12'd3860 : hue_tab <= 6'd40; + 12'd3861 : hue_tab <= 6'd40; + 12'd3862 : hue_tab <= 6'd40; + 12'd3863 : hue_tab <= 6'd40; + 12'd3864 : hue_tab <= 6'd40; + 12'd3865 : hue_tab <= 6'd40; + 12'd3866 : hue_tab <= 6'd40; + 12'd3867 : hue_tab <= 6'd40; + 12'd3868 : hue_tab <= 6'd40; + 12'd3869 : hue_tab <= 6'd40; + 12'd3870 : hue_tab <= 6'd40; + 12'd3871 : hue_tab <= 6'd40; + 12'd3872 : hue_tab <= 6'd40; + 12'd3873 : hue_tab <= 6'd40; + 12'd3874 : hue_tab <= 6'd40; + 12'd3875 : hue_tab <= 6'd40; + 12'd3876 : hue_tab <= 6'd40; + 12'd3877 : hue_tab <= 6'd40; + 12'd3878 : hue_tab <= 6'd40; + 12'd3879 : hue_tab <= 6'd40; + 12'd3880 : hue_tab <= 6'd40; + 12'd3881 : hue_tab <= 6'd40; + 12'd3882 : hue_tab <= 6'd40; + 12'd3883 : hue_tab <= 6'd40; + 12'd3884 : hue_tab <= 6'd40; + 12'd3885 : hue_tab <= 6'd40; + 12'd3886 : hue_tab <= 6'd40; + 12'd3887 : hue_tab <= 6'd40; + 12'd3888 : hue_tab <= 6'd40; + 12'd3889 : hue_tab <= 6'd40; + 12'd3890 : hue_tab <= 6'd40; + 12'd3891 : hue_tab <= 6'd40; + 12'd3892 : hue_tab <= 6'd40; + 12'd3893 : hue_tab <= 6'd40; + 12'd3894 : hue_tab <= 6'd40; + 12'd3895 : hue_tab <= 6'd40; + 12'd3896 : hue_tab <= 6'd40; + 12'd3897 : hue_tab <= 6'd40; + 12'd3898 : hue_tab <= 6'd40; + 12'd3899 : hue_tab <= 6'd40; + 12'd3900 : hue_tab <= 6'd40; + 12'd3901 : hue_tab <= 6'd39; + 12'd3902 : hue_tab <= 6'd38; + 12'd3903 : hue_tab <= 6'd38; + 12'd3904 : hue_tab <= 6'd0; + 12'd3905 : hue_tab <= 6'd40; + 12'd3906 : hue_tab <= 6'd40; + 12'd3907 : hue_tab <= 6'd40; + 12'd3908 : hue_tab <= 6'd40; + 12'd3909 : hue_tab <= 6'd40; + 12'd3910 : hue_tab <= 6'd40; + 12'd3911 : hue_tab <= 6'd40; + 12'd3912 : hue_tab <= 6'd40; + 12'd3913 : hue_tab <= 6'd40; + 12'd3914 : hue_tab <= 6'd40; + 12'd3915 : hue_tab <= 6'd40; + 12'd3916 : hue_tab <= 6'd40; + 12'd3917 : hue_tab <= 6'd40; + 12'd3918 : hue_tab <= 6'd40; + 12'd3919 : hue_tab <= 6'd40; + 12'd3920 : hue_tab <= 6'd40; + 12'd3921 : hue_tab <= 6'd40; + 12'd3922 : hue_tab <= 6'd40; + 12'd3923 : hue_tab <= 6'd40; + 12'd3924 : hue_tab <= 6'd40; + 12'd3925 : hue_tab <= 6'd40; + 12'd3926 : hue_tab <= 6'd40; + 12'd3927 : hue_tab <= 6'd40; + 12'd3928 : hue_tab <= 6'd40; + 12'd3929 : hue_tab <= 6'd40; + 12'd3930 : hue_tab <= 6'd40; + 12'd3931 : hue_tab <= 6'd40; + 12'd3932 : hue_tab <= 6'd40; + 12'd3933 : hue_tab <= 6'd40; + 12'd3934 : hue_tab <= 6'd40; + 12'd3935 : hue_tab <= 6'd40; + 12'd3936 : hue_tab <= 6'd40; + 12'd3937 : hue_tab <= 6'd40; + 12'd3938 : hue_tab <= 6'd40; + 12'd3939 : hue_tab <= 6'd40; + 12'd3940 : hue_tab <= 6'd40; + 12'd3941 : hue_tab <= 6'd40; + 12'd3942 : hue_tab <= 6'd40; + 12'd3943 : hue_tab <= 6'd40; + 12'd3944 : hue_tab <= 6'd40; + 12'd3945 : hue_tab <= 6'd40; + 12'd3946 : hue_tab <= 6'd40; + 12'd3947 : hue_tab <= 6'd40; + 12'd3948 : hue_tab <= 6'd40; + 12'd3949 : hue_tab <= 6'd40; + 12'd3950 : hue_tab <= 6'd40; + 12'd3951 : hue_tab <= 6'd40; + 12'd3952 : hue_tab <= 6'd40; + 12'd3953 : hue_tab <= 6'd40; + 12'd3954 : hue_tab <= 6'd40; + 12'd3955 : hue_tab <= 6'd40; + 12'd3956 : hue_tab <= 6'd40; + 12'd3957 : hue_tab <= 6'd40; + 12'd3958 : hue_tab <= 6'd40; + 12'd3959 : hue_tab <= 6'd40; + 12'd3960 : hue_tab <= 6'd40; + 12'd3961 : hue_tab <= 6'd40; + 12'd3962 : hue_tab <= 6'd40; + 12'd3963 : hue_tab <= 6'd40; + 12'd3964 : hue_tab <= 6'd40; + 12'd3965 : hue_tab <= 6'd40; + 12'd3966 : hue_tab <= 6'd39; + 12'd3967 : hue_tab <= 6'd38; + 12'd3968 : hue_tab <= 6'd0; + 12'd3969 : hue_tab <= 6'd40; + 12'd3970 : hue_tab <= 6'd40; + 12'd3971 : hue_tab <= 6'd40; + 12'd3972 : hue_tab <= 6'd40; + 12'd3973 : hue_tab <= 6'd40; + 12'd3974 : hue_tab <= 6'd40; + 12'd3975 : hue_tab <= 6'd40; + 12'd3976 : hue_tab <= 6'd40; + 12'd3977 : hue_tab <= 6'd40; + 12'd3978 : hue_tab <= 6'd40; + 12'd3979 : hue_tab <= 6'd40; + 12'd3980 : hue_tab <= 6'd40; + 12'd3981 : hue_tab <= 6'd40; + 12'd3982 : hue_tab <= 6'd40; + 12'd3983 : hue_tab <= 6'd40; + 12'd3984 : hue_tab <= 6'd40; + 12'd3985 : hue_tab <= 6'd40; + 12'd3986 : hue_tab <= 6'd40; + 12'd3987 : hue_tab <= 6'd40; + 12'd3988 : hue_tab <= 6'd40; + 12'd3989 : hue_tab <= 6'd40; + 12'd3990 : hue_tab <= 6'd40; + 12'd3991 : hue_tab <= 6'd40; + 12'd3992 : hue_tab <= 6'd40; + 12'd3993 : hue_tab <= 6'd40; + 12'd3994 : hue_tab <= 6'd40; + 12'd3995 : hue_tab <= 6'd40; + 12'd3996 : hue_tab <= 6'd40; + 12'd3997 : hue_tab <= 6'd40; + 12'd3998 : hue_tab <= 6'd40; + 12'd3999 : hue_tab <= 6'd40; + 12'd4000 : hue_tab <= 6'd40; + 12'd4001 : hue_tab <= 6'd40; + 12'd4002 : hue_tab <= 6'd40; + 12'd4003 : hue_tab <= 6'd40; + 12'd4004 : hue_tab <= 6'd40; + 12'd4005 : hue_tab <= 6'd40; + 12'd4006 : hue_tab <= 6'd40; + 12'd4007 : hue_tab <= 6'd40; + 12'd4008 : hue_tab <= 6'd40; + 12'd4009 : hue_tab <= 6'd40; + 12'd4010 : hue_tab <= 6'd40; + 12'd4011 : hue_tab <= 6'd40; + 12'd4012 : hue_tab <= 6'd40; + 12'd4013 : hue_tab <= 6'd40; + 12'd4014 : hue_tab <= 6'd40; + 12'd4015 : hue_tab <= 6'd40; + 12'd4016 : hue_tab <= 6'd40; + 12'd4017 : hue_tab <= 6'd40; + 12'd4018 : hue_tab <= 6'd40; + 12'd4019 : hue_tab <= 6'd40; + 12'd4020 : hue_tab <= 6'd40; + 12'd4021 : hue_tab <= 6'd40; + 12'd4022 : hue_tab <= 6'd40; + 12'd4023 : hue_tab <= 6'd40; + 12'd4024 : hue_tab <= 6'd40; + 12'd4025 : hue_tab <= 6'd40; + 12'd4026 : hue_tab <= 6'd40; + 12'd4027 : hue_tab <= 6'd40; + 12'd4028 : hue_tab <= 6'd40; + 12'd4029 : hue_tab <= 6'd40; + 12'd4030 : hue_tab <= 6'd40; + 12'd4031 : hue_tab <= 6'd39; + 12'd4032 : hue_tab <= 6'd0; + 12'd4033 : hue_tab <= 6'd40; + 12'd4034 : hue_tab <= 6'd40; + 12'd4035 : hue_tab <= 6'd40; + 12'd4036 : hue_tab <= 6'd40; + 12'd4037 : hue_tab <= 6'd40; + 12'd4038 : hue_tab <= 6'd40; + 12'd4039 : hue_tab <= 6'd40; + 12'd4040 : hue_tab <= 6'd40; + 12'd4041 : hue_tab <= 6'd40; + 12'd4042 : hue_tab <= 6'd40; + 12'd4043 : hue_tab <= 6'd40; + 12'd4044 : hue_tab <= 6'd40; + 12'd4045 : hue_tab <= 6'd40; + 12'd4046 : hue_tab <= 6'd40; + 12'd4047 : hue_tab <= 6'd40; + 12'd4048 : hue_tab <= 6'd40; + 12'd4049 : hue_tab <= 6'd40; + 12'd4050 : hue_tab <= 6'd40; + 12'd4051 : hue_tab <= 6'd40; + 12'd4052 : hue_tab <= 6'd40; + 12'd4053 : hue_tab <= 6'd40; + 12'd4054 : hue_tab <= 6'd40; + 12'd4055 : hue_tab <= 6'd40; + 12'd4056 : hue_tab <= 6'd40; + 12'd4057 : hue_tab <= 6'd40; + 12'd4058 : hue_tab <= 6'd40; + 12'd4059 : hue_tab <= 6'd40; + 12'd4060 : hue_tab <= 6'd40; + 12'd4061 : hue_tab <= 6'd40; + 12'd4062 : hue_tab <= 6'd40; + 12'd4063 : hue_tab <= 6'd40; + 12'd4064 : hue_tab <= 6'd40; + 12'd4065 : hue_tab <= 6'd40; + 12'd4066 : hue_tab <= 6'd40; + 12'd4067 : hue_tab <= 6'd40; + 12'd4068 : hue_tab <= 6'd40; + 12'd4069 : hue_tab <= 6'd40; + 12'd4070 : hue_tab <= 6'd40; + 12'd4071 : hue_tab <= 6'd40; + 12'd4072 : hue_tab <= 6'd40; + 12'd4073 : hue_tab <= 6'd40; + 12'd4074 : hue_tab <= 6'd40; + 12'd4075 : hue_tab <= 6'd40; + 12'd4076 : hue_tab <= 6'd40; + 12'd4077 : hue_tab <= 6'd40; + 12'd4078 : hue_tab <= 6'd40; + 12'd4079 : hue_tab <= 6'd40; + 12'd4080 : hue_tab <= 6'd40; + 12'd4081 : hue_tab <= 6'd40; + 12'd4082 : hue_tab <= 6'd40; + 12'd4083 : hue_tab <= 6'd40; + 12'd4084 : hue_tab <= 6'd40; + 12'd4085 : hue_tab <= 6'd40; + 12'd4086 : hue_tab <= 6'd40; + 12'd4087 : hue_tab <= 6'd40; + 12'd4088 : hue_tab <= 6'd40; + 12'd4089 : hue_tab <= 6'd40; + 12'd4090 : hue_tab <= 6'd40; + 12'd4091 : hue_tab <= 6'd40; + 12'd4092 : hue_tab <= 6'd40; + 12'd4093 : hue_tab <= 6'd40; + 12'd4094 : hue_tab <= 6'd40; + 12'd4095 : hue_tab <= 6'd40; + endcase +end + +reg [3:0] last_hue_ofs, last2_hue_ofs; +reg last_sub, last2_sub; +always @(posedge clk) begin + last_hue_ofs <= hue_ofs; + last2_hue_ofs <= last_hue_ofs; + last_sub <= sub; + last2_sub <= last_sub; + hue <= {last2_hue_ofs,4'h0} + (last2_sub ? -hue_tab : hue_tab); +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/video/rgb_to_hue_tb.v b/Advanced Synthesis Cookbook/video/rgb_to_hue_tb.v new file mode 100644 index 0000000..0a7504f --- /dev/null +++ b/Advanced Synthesis Cookbook/video/rgb_to_hue_tb.v @@ -0,0 +1,120 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module rgb_to_hue_tb (); + +reg clk,rst; +reg [7:0] r,g,b; +wire [7:0] h; + +rgb_to_hue r2h +( + .clk(clk), + .rst(rst), + .r(r), + .g(g), + .b(b), + .hue(h) +); + +integer n = 0; + +real error; +reg [7:0] max3,min3; +real delta,rdist,gdist,bdist,hue; +real hue_d,hue_dd,hue_ddd,hue_dddd; + +initial begin + clk = 0; + rst = 1'b1; + r=0; + g=0; + b=0; + + @(posedge clk); + @(negedge clk); + rst = 1'b0; + + @(negedge clk); + + for (n=0;n<1000000;n=n+1) + begin + @(negedge clk); + r = $random; g = $random; b = $random; + @(negedge clk); + @(posedge clk); + #1 + error = h - hue_ddd; + if (error > 2 || error < -2) begin + if (delta < 16) begin + $display ("Marginal - Low delta value %f with error %f at time %d", + delta,error,$time); + end + else begin + $display ("Error - Outside of range at time %d",$time); + #100 + $stop(); + end + end + end + $display ("PASS"); + $stop(); +end + + +always @(posedge clk) begin + max3 = (r > g) ? ((r > b) ? r : b) : + ((g > b) ? g : b); + min3 = (r < g) ? ((r < b) ? r : b) : + ((g < b) ? g : b); + + delta = max3 - min3; + + if (delta == 0) hue = 0; + else begin + rdist = (max3 - r) / delta; + gdist = (max3 - g) / delta; + bdist = (max3 - b) / delta; + if (r == max3) begin + hue = 40 * ((g/delta) - (b/delta)); + end + else if (g == max3) begin + hue = 80 + 40 * ((b/delta) - (r/delta)); + end + else begin + hue = 160 + 40 * ((r/delta) - (g/delta)); + end + if (hue < 0) hue = hue + 240; + if (hue >= 240) hue = hue - 240; + end + + hue_d <= hue; + hue_dd <= hue_d; + hue_ddd <= hue_dd; + hue_dddd <= hue_ddd; +end + +always begin + #100 clk = ~clk; +end + +endmodule \ No newline at end of file diff --git a/Advanced Synthesis Cookbook/video/ternary_add.v b/Advanced Synthesis Cookbook/video/ternary_add.v new file mode 100644 index 0000000..cefae70 --- /dev/null +++ b/Advanced Synthesis Cookbook/video/ternary_add.v @@ -0,0 +1,42 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +module ternary_add (a,b,c,o); + +parameter WIDTH=8; +parameter SIGN_EXT = 1'b0; + +input [WIDTH-1:0] a,b,c; +output [WIDTH+1:0] o; +wire [WIDTH+1:0] o; + +generate +if (!SIGN_EXT) + assign o = a+b+c; +else + assign o = {a[WIDTH-1],a[WIDTH-1],a} + + {b[WIDTH-1],b[WIDTH-1],b} + + {c[WIDTH-1],c[WIDTH-1],c}; +endgenerate + +endmodule + diff --git a/Advanced Synthesis Cookbook/video/vga_driver.v b/Advanced Synthesis Cookbook/video/vga_driver.v new file mode 100644 index 0000000..be6668e --- /dev/null +++ b/Advanced Synthesis Cookbook/video/vga_driver.v @@ -0,0 +1,118 @@ +// Copyright 2007 Altera Corporation. All rights reserved. +// Altera products are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// +// This reference design file, and your use thereof, is subject to and governed +// by the terms and conditions of the applicable Altera Reference Design +// License Agreement (either as signed by you or found at www.altera.com). By +// using this reference design file, you indicate your acceptance of such terms +// and conditions between you and Altera Corporation. In the event that you do +// not agree with such terms and conditions, you may not use the reference +// design file and please promptly destroy any copies you have made. +// +// This reference design file is being provided on an "as-is" basis and as an +// accommodation and therefore all warranties, representations or guarantees of +// any kind (whether express, implied or statutory) including, without +// limitation, warranties of merchantability, non-infringement, or fitness for +// a particular purpose, are specifically disclaimed. By making this reference +// design file available, Altera expressly does not recommend, suggest or +// require that this reference design file be used in combination with any +// other product not provided by Altera. +///////////////////////////////////////////////////////////////////////////// + +// baeckler - 02-15-2007 + +module vga_driver ( + r,g,b, + current_x,current_y,request, + vga_r,vga_g,vga_b,vga_hs,vga_vs,vga_blank,vga_clock, + clk27,rst27); + +input [9:0] r,g,b; +output [9:0] current_x; +output [9:0] current_y; +output request; + +output [9:0] vga_r, vga_g, vga_b; +output vga_hs, vga_vs, vga_blank, vga_clock; + +input clk27, rst27; + +//////////////////////////////////////////////////////////// + +// Horizontal Timing +parameter H_FRONT = 16; +parameter H_SYNC = 96; +parameter H_BACK = 48; +parameter H_ACT = 640; +parameter H_BLANK = H_FRONT+H_SYNC+H_BACK; +parameter H_TOTAL = H_FRONT+H_SYNC+H_BACK+H_ACT; + +// Vertical Timing +parameter V_FRONT = 11; +parameter V_SYNC = 2; +parameter V_BACK = 31; +parameter V_ACT = 480; +parameter V_BLANK = V_FRONT+V_SYNC+V_BACK; +parameter V_TOTAL = V_FRONT+V_SYNC+V_BACK+V_ACT; + +//////////////////////////////////////////////////////////// + +reg [9:0] h_cntr, v_cntr, current_x, current_y; +reg h_active, v_active, vga_hs, vga_vs; + +assign vga_blank = h_active & v_active; +assign vga_clock = ~clk27; +assign vga_r = r; +assign vga_g = g; +assign vga_b = b; +assign request = ((h_cntr>=H_BLANK && h_cntr=V_BLANK && v_cntr 255) ? 255 : rp; + g <= (gp < 0) ? 0 : (gp > 255) ? 255 : gp; + b <= (bp < 0) ? 0 : (bp > 255) ? 255 : bp; +end + +real error_bar = 2; +real rdelta,gdelta,bdelta; + +integer n = 0; +initial begin + clk = 0; + rst = 0; + @(negedge clk) y = 255; cb = 255; cr = 255; + @(negedge clk); @(negedge clk); + + @(negedge clk) y = 255; cb = 0; cr = 0; + @(negedge clk); @(negedge clk); + + for (n=0; n<1000000; n=n+1) + begin + @(negedge clk) y = $random; cb = $random; cr = $random; + @(negedge clk); + @(negedge clk); + + rdelta = r-red; + gdelta = g-green; + bdelta = b-blue; + if ((rdelta > error_bar) | + (rdelta < -error_bar) | + (gdelta > error_bar) | + (gdelta < -error_bar) | + (bdelta > error_bar) | + (bdelta < -error_bar)) + begin + $display ("Error margin too high at time %d", $time); + #100 + $stop(); + end + + end + + $display ("PASS"); + $stop(); +end + +always begin + #50 clk = ~clk; +end + +endmodule diff --git a/README.md b/README.md index 5fe9862..c94ff3f 100644 --- a/README.md +++ b/README.md @@ -1,7 +1,10 @@ # basic_verilog ### Some basic must-have verilog modules +####(licensed under CC BY-SA 4_0) +**/Advanced Synthesis Cookbook/** useful code from Altera`s cookbook + **ClkDivider.v** - wide reference clock divider **DeBounce.v** - two-cycle debounce for input buttons **EdgeDetect.v** - edge detector, gives one-tick pulses on every signal edge