2014-09-14 01:06:48 -07:00
|
|
|
/*
|
|
|
|
|
2018-02-26 12:50:51 -08:00
|
|
|
Copyright (c) 2014-2018 Alex Forencich
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
Permission is hereby granted, free of charge, to any person obtaining a copy
|
|
|
|
of this software and associated documentation files (the "Software"), to deal
|
|
|
|
in the Software without restriction, including without limitation the rights
|
|
|
|
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
|
|
|
copies of the Software, and to permit persons to whom the Software is
|
|
|
|
furnished to do so, subject to the following conditions:
|
|
|
|
|
|
|
|
The above copyright notice and this permission notice shall be included in
|
|
|
|
all copies or substantial portions of the Software.
|
|
|
|
|
|
|
|
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
|
|
|
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
|
|
|
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
|
|
|
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
|
|
|
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
|
|
|
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
|
|
|
THE SOFTWARE.
|
|
|
|
|
|
|
|
*/
|
|
|
|
|
|
|
|
// Language: Verilog 2001
|
|
|
|
|
|
|
|
`timescale 1ns / 1ps
|
|
|
|
|
|
|
|
/*
|
|
|
|
* AXI4-Stream ethernet frame receiver (AXI in, Ethernet frame out)
|
|
|
|
*/
|
|
|
|
module eth_axis_rx
|
|
|
|
(
|
|
|
|
input wire clk,
|
|
|
|
input wire rst,
|
|
|
|
|
|
|
|
/*
|
|
|
|
* AXI input
|
|
|
|
*/
|
2018-11-07 22:35:06 -08:00
|
|
|
input wire [7:0] s_axis_tdata,
|
|
|
|
input wire s_axis_tvalid,
|
|
|
|
output wire s_axis_tready,
|
|
|
|
input wire s_axis_tlast,
|
|
|
|
input wire s_axis_tuser,
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
/*
|
|
|
|
* Ethernet frame output
|
|
|
|
*/
|
2018-11-07 22:35:06 -08:00
|
|
|
output wire m_eth_hdr_valid,
|
|
|
|
input wire m_eth_hdr_ready,
|
|
|
|
output wire [47:0] m_eth_dest_mac,
|
|
|
|
output wire [47:0] m_eth_src_mac,
|
|
|
|
output wire [15:0] m_eth_type,
|
|
|
|
output wire [7:0] m_eth_payload_axis_tdata,
|
|
|
|
output wire m_eth_payload_axis_tvalid,
|
|
|
|
input wire m_eth_payload_axis_tready,
|
|
|
|
output wire m_eth_payload_axis_tlast,
|
|
|
|
output wire m_eth_payload_axis_tuser,
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
/*
|
|
|
|
* Status signals
|
|
|
|
*/
|
|
|
|
output wire busy,
|
2014-09-15 19:08:01 -07:00
|
|
|
output wire error_header_early_termination
|
2014-09-14 01:06:48 -07:00
|
|
|
);
|
|
|
|
|
|
|
|
/*
|
|
|
|
|
|
|
|
Ethernet frame
|
|
|
|
|
|
|
|
Field Length
|
|
|
|
Destination MAC address 6 octets
|
|
|
|
Source MAC address 6 octets
|
|
|
|
Ethertype 2 octets
|
|
|
|
|
2014-10-23 00:05:06 -07:00
|
|
|
This module receives an Ethernet frame on an AXI stream interface, decodes
|
|
|
|
and strips the headers, then produces the header fields in parallel along
|
|
|
|
with the payload in a separate AXI stream.
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
*/
|
|
|
|
|
2014-10-23 00:05:06 -07:00
|
|
|
localparam [1:0]
|
|
|
|
STATE_IDLE = 2'd0,
|
|
|
|
STATE_READ_HEADER = 2'd1,
|
|
|
|
STATE_READ_PAYLOAD = 2'd2;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2014-10-23 00:05:06 -07:00
|
|
|
reg [1:0] state_reg = STATE_IDLE, state_next;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
// datapath control signals
|
|
|
|
reg store_eth_dest_mac_0;
|
|
|
|
reg store_eth_dest_mac_1;
|
|
|
|
reg store_eth_dest_mac_2;
|
|
|
|
reg store_eth_dest_mac_3;
|
|
|
|
reg store_eth_dest_mac_4;
|
|
|
|
reg store_eth_dest_mac_5;
|
|
|
|
reg store_eth_src_mac_0;
|
|
|
|
reg store_eth_src_mac_1;
|
|
|
|
reg store_eth_src_mac_2;
|
|
|
|
reg store_eth_src_mac_3;
|
|
|
|
reg store_eth_src_mac_4;
|
|
|
|
reg store_eth_src_mac_5;
|
|
|
|
reg store_eth_type_0;
|
|
|
|
reg store_eth_type_1;
|
|
|
|
|
2015-11-09 23:50:34 -08:00
|
|
|
reg [7:0] frame_ptr_reg = 8'd0, frame_ptr_next;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
reg s_axis_tready_reg = 1'b0, s_axis_tready_next;
|
2014-10-28 00:54:15 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
reg m_eth_hdr_valid_reg = 1'b0, m_eth_hdr_valid_next;
|
|
|
|
reg [47:0] m_eth_dest_mac_reg = 48'd0;
|
|
|
|
reg [47:0] m_eth_src_mac_reg = 48'd0;
|
|
|
|
reg [15:0] m_eth_type_reg = 16'd0;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2015-11-09 23:50:34 -08:00
|
|
|
reg busy_reg = 1'b0;
|
|
|
|
reg error_header_early_termination_reg = 1'b0, error_header_early_termination_next;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2014-10-23 00:05:06 -07:00
|
|
|
// internal datapath
|
2018-11-07 22:35:06 -08:00
|
|
|
reg [7:0] m_eth_payload_axis_tdata_int;
|
|
|
|
reg m_eth_payload_axis_tvalid_int;
|
|
|
|
reg m_eth_payload_axis_tready_int_reg = 1'b0;
|
|
|
|
reg m_eth_payload_axis_tlast_int;
|
|
|
|
reg m_eth_payload_axis_tuser_int;
|
|
|
|
wire m_eth_payload_axis_tready_int_early;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
assign s_axis_tready = s_axis_tready_reg;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
assign m_eth_hdr_valid = m_eth_hdr_valid_reg;
|
|
|
|
assign m_eth_dest_mac = m_eth_dest_mac_reg;
|
|
|
|
assign m_eth_src_mac = m_eth_src_mac_reg;
|
|
|
|
assign m_eth_type = m_eth_type_reg;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
assign busy = busy_reg;
|
2014-09-15 19:08:01 -07:00
|
|
|
assign error_header_early_termination = error_header_early_termination_reg;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
always @* begin
|
2015-03-09 02:38:39 -07:00
|
|
|
state_next = STATE_IDLE;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
s_axis_tready_next = 1'b0;
|
2015-11-09 23:50:34 -08:00
|
|
|
|
|
|
|
store_eth_dest_mac_0 = 1'b0;
|
|
|
|
store_eth_dest_mac_1 = 1'b0;
|
|
|
|
store_eth_dest_mac_2 = 1'b0;
|
|
|
|
store_eth_dest_mac_3 = 1'b0;
|
|
|
|
store_eth_dest_mac_4 = 1'b0;
|
|
|
|
store_eth_dest_mac_5 = 1'b0;
|
|
|
|
store_eth_src_mac_0 = 1'b0;
|
|
|
|
store_eth_src_mac_1 = 1'b0;
|
|
|
|
store_eth_src_mac_2 = 1'b0;
|
|
|
|
store_eth_src_mac_3 = 1'b0;
|
|
|
|
store_eth_src_mac_4 = 1'b0;
|
|
|
|
store_eth_src_mac_5 = 1'b0;
|
|
|
|
store_eth_type_0 = 1'b0;
|
|
|
|
store_eth_type_1 = 1'b0;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
frame_ptr_next = frame_ptr_reg;
|
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_hdr_valid_next = m_eth_hdr_valid_reg && !m_eth_hdr_ready;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2015-11-09 23:50:34 -08:00
|
|
|
error_header_early_termination_next = 1'b0;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_payload_axis_tdata_int = 8'd0;
|
|
|
|
m_eth_payload_axis_tvalid_int = 1'b0;
|
|
|
|
m_eth_payload_axis_tlast_int = 1'b0;
|
|
|
|
m_eth_payload_axis_tuser_int = 1'b0;
|
2014-10-23 00:05:06 -07:00
|
|
|
|
2014-09-14 01:06:48 -07:00
|
|
|
case (state_reg)
|
|
|
|
STATE_IDLE: begin
|
|
|
|
// idle state - wait for data
|
2015-11-09 23:50:34 -08:00
|
|
|
frame_ptr_next = 8'd0;
|
2018-11-07 22:35:06 -08:00
|
|
|
s_axis_tready_next = !m_eth_hdr_valid_reg;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
if (s_axis_tready && s_axis_tvalid) begin
|
2014-10-23 00:05:06 -07:00
|
|
|
// got first word of packet
|
2018-11-07 22:35:06 -08:00
|
|
|
if (s_axis_tlast) begin
|
2014-10-23 00:05:06 -07:00
|
|
|
// tlast asserted on first word
|
2015-11-09 23:50:34 -08:00
|
|
|
error_header_early_termination_next = 1'b1;
|
2014-10-23 00:05:06 -07:00
|
|
|
state_next = STATE_IDLE;
|
|
|
|
end else begin
|
|
|
|
// move to read header state
|
2015-11-09 23:50:34 -08:00
|
|
|
frame_ptr_next = 1'b1;
|
|
|
|
store_eth_dest_mac_5 = 1'b1;
|
2014-10-23 00:05:06 -07:00
|
|
|
state_next = STATE_READ_HEADER;
|
2014-09-25 00:37:14 -07:00
|
|
|
end
|
2014-09-14 01:06:48 -07:00
|
|
|
end else begin
|
|
|
|
state_next = STATE_IDLE;
|
|
|
|
end
|
|
|
|
end
|
|
|
|
STATE_READ_HEADER: begin
|
2014-10-23 00:05:06 -07:00
|
|
|
// read header
|
2018-11-07 22:35:06 -08:00
|
|
|
s_axis_tready_next = 1'b1;
|
2014-10-23 00:05:06 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
if (s_axis_tready && s_axis_tvalid) begin
|
2014-09-14 01:06:48 -07:00
|
|
|
// word transfer in - store it
|
2015-11-09 23:50:34 -08:00
|
|
|
frame_ptr_next = frame_ptr_reg + 8'd1;
|
2014-09-14 01:06:48 -07:00
|
|
|
state_next = STATE_READ_HEADER;
|
|
|
|
case (frame_ptr_reg)
|
2015-11-09 23:50:34 -08:00
|
|
|
8'h00: store_eth_dest_mac_5 = 1'b1;
|
|
|
|
8'h01: store_eth_dest_mac_4 = 1'b1;
|
|
|
|
8'h02: store_eth_dest_mac_3 = 1'b1;
|
|
|
|
8'h03: store_eth_dest_mac_2 = 1'b1;
|
|
|
|
8'h04: store_eth_dest_mac_1 = 1'b1;
|
|
|
|
8'h05: store_eth_dest_mac_0 = 1'b1;
|
|
|
|
8'h06: store_eth_src_mac_5 = 1'b1;
|
|
|
|
8'h07: store_eth_src_mac_4 = 1'b1;
|
|
|
|
8'h08: store_eth_src_mac_3 = 1'b1;
|
|
|
|
8'h09: store_eth_src_mac_2 = 1'b1;
|
|
|
|
8'h0A: store_eth_src_mac_1 = 1'b1;
|
|
|
|
8'h0B: store_eth_src_mac_0 = 1'b1;
|
|
|
|
8'h0C: store_eth_type_1 = 1'b1;
|
2014-09-14 01:06:48 -07:00
|
|
|
8'h0D: begin
|
2015-11-09 23:50:34 -08:00
|
|
|
store_eth_type_0 = 1'b1;
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_hdr_valid_next = 1'b1;
|
|
|
|
s_axis_tready_next = m_eth_payload_axis_tready_int_early;
|
2014-10-23 00:05:06 -07:00
|
|
|
state_next = STATE_READ_PAYLOAD;
|
2014-09-14 01:06:48 -07:00
|
|
|
end
|
|
|
|
endcase
|
2018-11-07 22:35:06 -08:00
|
|
|
if (s_axis_tlast) begin
|
2015-11-09 23:50:34 -08:00
|
|
|
error_header_early_termination_next = 1'b1;
|
2018-11-07 22:35:06 -08:00
|
|
|
s_axis_tready_next = !m_eth_hdr_valid_reg;
|
2014-10-23 00:05:06 -07:00
|
|
|
state_next = STATE_IDLE;
|
2014-09-14 01:06:48 -07:00
|
|
|
end
|
|
|
|
end else begin
|
|
|
|
state_next = STATE_READ_HEADER;
|
|
|
|
end
|
|
|
|
end
|
2014-10-23 00:05:06 -07:00
|
|
|
STATE_READ_PAYLOAD: begin
|
|
|
|
// read payload
|
2018-11-07 22:35:06 -08:00
|
|
|
s_axis_tready_next = m_eth_payload_axis_tready_int_early;
|
2014-10-23 00:05:06 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_payload_axis_tdata_int = s_axis_tdata;
|
|
|
|
m_eth_payload_axis_tvalid_int = s_axis_tvalid;
|
|
|
|
m_eth_payload_axis_tlast_int = s_axis_tlast;
|
|
|
|
m_eth_payload_axis_tuser_int = s_axis_tuser;
|
2014-10-23 00:05:06 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
if (s_axis_tready && s_axis_tvalid) begin
|
2014-10-23 00:05:06 -07:00
|
|
|
// word transfer through
|
2018-11-07 22:35:06 -08:00
|
|
|
if (s_axis_tlast) begin
|
|
|
|
s_axis_tready_next = !m_eth_hdr_valid_reg;
|
2014-10-23 00:05:06 -07:00
|
|
|
state_next = STATE_IDLE;
|
2014-09-14 01:06:48 -07:00
|
|
|
end else begin
|
2014-10-23 00:05:06 -07:00
|
|
|
state_next = STATE_READ_PAYLOAD;
|
2014-09-14 01:06:48 -07:00
|
|
|
end
|
|
|
|
end else begin
|
2014-10-23 00:05:06 -07:00
|
|
|
state_next = STATE_READ_PAYLOAD;
|
2014-09-14 01:06:48 -07:00
|
|
|
end
|
|
|
|
end
|
|
|
|
endcase
|
|
|
|
end
|
|
|
|
|
2015-10-09 22:36:58 -07:00
|
|
|
always @(posedge clk) begin
|
2014-09-14 01:06:48 -07:00
|
|
|
if (rst) begin
|
|
|
|
state_reg <= STATE_IDLE;
|
2015-11-09 23:50:34 -08:00
|
|
|
frame_ptr_reg <= 8'd0;
|
2018-11-07 22:35:06 -08:00
|
|
|
s_axis_tready_reg <= 1'b0;
|
|
|
|
m_eth_hdr_valid_reg <= 1'b0;
|
2015-11-09 23:50:34 -08:00
|
|
|
busy_reg <= 1'b0;
|
|
|
|
error_header_early_termination_reg <= 1'b0;
|
2014-09-14 01:06:48 -07:00
|
|
|
end else begin
|
|
|
|
state_reg <= state_next;
|
|
|
|
|
|
|
|
frame_ptr_reg <= frame_ptr_next;
|
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
s_axis_tready_reg <= s_axis_tready_next;
|
2014-10-23 00:05:06 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_hdr_valid_reg <= m_eth_hdr_valid_next;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2014-09-15 19:08:01 -07:00
|
|
|
error_header_early_termination_reg <= error_header_early_termination_next;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
|
|
|
busy_reg <= state_next != STATE_IDLE;
|
2014-10-23 00:05:06 -07:00
|
|
|
end
|
2015-11-09 23:50:34 -08:00
|
|
|
|
|
|
|
// datapath
|
2018-11-07 22:35:06 -08:00
|
|
|
if (store_eth_dest_mac_0) m_eth_dest_mac_reg[ 7: 0] <= s_axis_tdata;
|
|
|
|
if (store_eth_dest_mac_1) m_eth_dest_mac_reg[15: 8] <= s_axis_tdata;
|
|
|
|
if (store_eth_dest_mac_2) m_eth_dest_mac_reg[23:16] <= s_axis_tdata;
|
|
|
|
if (store_eth_dest_mac_3) m_eth_dest_mac_reg[31:24] <= s_axis_tdata;
|
|
|
|
if (store_eth_dest_mac_4) m_eth_dest_mac_reg[39:32] <= s_axis_tdata;
|
|
|
|
if (store_eth_dest_mac_5) m_eth_dest_mac_reg[47:40] <= s_axis_tdata;
|
|
|
|
if (store_eth_src_mac_0) m_eth_src_mac_reg[ 7: 0] <= s_axis_tdata;
|
|
|
|
if (store_eth_src_mac_1) m_eth_src_mac_reg[15: 8] <= s_axis_tdata;
|
|
|
|
if (store_eth_src_mac_2) m_eth_src_mac_reg[23:16] <= s_axis_tdata;
|
|
|
|
if (store_eth_src_mac_3) m_eth_src_mac_reg[31:24] <= s_axis_tdata;
|
|
|
|
if (store_eth_src_mac_4) m_eth_src_mac_reg[39:32] <= s_axis_tdata;
|
|
|
|
if (store_eth_src_mac_5) m_eth_src_mac_reg[47:40] <= s_axis_tdata;
|
|
|
|
if (store_eth_type_0) m_eth_type_reg[ 7: 0] <= s_axis_tdata;
|
|
|
|
if (store_eth_type_1) m_eth_type_reg[15: 8] <= s_axis_tdata;
|
2014-10-23 00:05:06 -07:00
|
|
|
end
|
|
|
|
|
|
|
|
// output datapath logic
|
2018-11-07 22:35:06 -08:00
|
|
|
reg [7:0] m_eth_payload_axis_tdata_reg = 8'd0;
|
|
|
|
reg m_eth_payload_axis_tvalid_reg = 1'b0, m_eth_payload_axis_tvalid_next;
|
|
|
|
reg m_eth_payload_axis_tlast_reg = 1'b0;
|
|
|
|
reg m_eth_payload_axis_tuser_reg = 1'b0;
|
2014-10-23 00:05:06 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
reg [7:0] temp_m_eth_payload_axis_tdata_reg = 8'd0;
|
|
|
|
reg temp_m_eth_payload_axis_tvalid_reg = 1'b0, temp_m_eth_payload_axis_tvalid_next;
|
|
|
|
reg temp_m_eth_payload_axis_tlast_reg = 1'b0;
|
|
|
|
reg temp_m_eth_payload_axis_tuser_reg = 1'b0;
|
2014-09-14 01:06:48 -07:00
|
|
|
|
2015-11-09 23:50:34 -08:00
|
|
|
// datapath control
|
|
|
|
reg store_eth_payload_int_to_output;
|
|
|
|
reg store_eth_payload_int_to_temp;
|
2018-11-07 22:35:06 -08:00
|
|
|
reg store_eth_payload_axis_temp_to_output;
|
2014-10-23 00:05:06 -07:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
assign m_eth_payload_axis_tdata = m_eth_payload_axis_tdata_reg;
|
|
|
|
assign m_eth_payload_axis_tvalid = m_eth_payload_axis_tvalid_reg;
|
|
|
|
assign m_eth_payload_axis_tlast = m_eth_payload_axis_tlast_reg;
|
|
|
|
assign m_eth_payload_axis_tuser = m_eth_payload_axis_tuser_reg;
|
2014-10-23 00:05:06 -07:00
|
|
|
|
2015-11-09 23:50:34 -08:00
|
|
|
// enable ready input next cycle if output is ready or the temp reg will not be filled on the next cycle (output reg empty or no input)
|
2018-11-07 22:35:06 -08:00
|
|
|
assign m_eth_payload_axis_tready_int_early = m_eth_payload_axis_tready || (!temp_m_eth_payload_axis_tvalid_reg && (!m_eth_payload_axis_tvalid_reg || !m_eth_payload_axis_tvalid_int));
|
2015-11-09 23:50:34 -08:00
|
|
|
|
|
|
|
always @* begin
|
|
|
|
// transfer sink ready state to source
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_payload_axis_tvalid_next = m_eth_payload_axis_tvalid_reg;
|
|
|
|
temp_m_eth_payload_axis_tvalid_next = temp_m_eth_payload_axis_tvalid_reg;
|
2015-11-09 23:50:34 -08:00
|
|
|
|
|
|
|
store_eth_payload_int_to_output = 1'b0;
|
|
|
|
store_eth_payload_int_to_temp = 1'b0;
|
2018-11-07 22:35:06 -08:00
|
|
|
store_eth_payload_axis_temp_to_output = 1'b0;
|
2015-11-09 23:50:34 -08:00
|
|
|
|
2018-11-07 22:35:06 -08:00
|
|
|
if (m_eth_payload_axis_tready_int_reg) begin
|
2015-11-09 23:50:34 -08:00
|
|
|
// input is ready
|
2018-11-07 22:35:06 -08:00
|
|
|
if (m_eth_payload_axis_tready || !m_eth_payload_axis_tvalid_reg) begin
|
2015-11-09 23:50:34 -08:00
|
|
|
// output is ready or currently not valid, transfer data to output
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_payload_axis_tvalid_next = m_eth_payload_axis_tvalid_int;
|
2015-11-09 23:50:34 -08:00
|
|
|
store_eth_payload_int_to_output = 1'b1;
|
|
|
|
end else begin
|
|
|
|
// output is not ready, store input in temp
|
2018-11-07 22:35:06 -08:00
|
|
|
temp_m_eth_payload_axis_tvalid_next = m_eth_payload_axis_tvalid_int;
|
2015-11-09 23:50:34 -08:00
|
|
|
store_eth_payload_int_to_temp = 1'b1;
|
|
|
|
end
|
2018-11-07 22:35:06 -08:00
|
|
|
end else if (m_eth_payload_axis_tready) begin
|
2015-11-09 23:50:34 -08:00
|
|
|
// input is not ready, but output is ready
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_payload_axis_tvalid_next = temp_m_eth_payload_axis_tvalid_reg;
|
|
|
|
temp_m_eth_payload_axis_tvalid_next = 1'b0;
|
|
|
|
store_eth_payload_axis_temp_to_output = 1'b1;
|
2015-11-09 23:50:34 -08:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
2015-10-09 22:36:58 -07:00
|
|
|
always @(posedge clk) begin
|
2014-10-23 00:05:06 -07:00
|
|
|
if (rst) begin
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_payload_axis_tvalid_reg <= 1'b0;
|
|
|
|
m_eth_payload_axis_tready_int_reg <= 1'b0;
|
|
|
|
temp_m_eth_payload_axis_tvalid_reg <= 1'b0;
|
2014-10-23 00:05:06 -07:00
|
|
|
end else begin
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_payload_axis_tvalid_reg <= m_eth_payload_axis_tvalid_next;
|
|
|
|
m_eth_payload_axis_tready_int_reg <= m_eth_payload_axis_tready_int_early;
|
|
|
|
temp_m_eth_payload_axis_tvalid_reg <= temp_m_eth_payload_axis_tvalid_next;
|
2015-11-09 23:50:34 -08:00
|
|
|
end
|
|
|
|
|
|
|
|
// datapath
|
|
|
|
if (store_eth_payload_int_to_output) begin
|
2018-11-07 22:35:06 -08:00
|
|
|
m_eth_payload_axis_tdata_reg <= m_eth_payload_axis_tdata_int;
|
|
|
|
m_eth_payload_axis_tlast_reg <= m_eth_payload_axis_tlast_int;
|
|
|
|
m_eth_payload_axis_tuser_reg <= m_eth_payload_axis_tuser_int;
|
|
|
|
end else if (store_eth_payload_axis_temp_to_output) begin
|
|
|
|
m_eth_payload_axis_tdata_reg <= temp_m_eth_payload_axis_tdata_reg;
|
|
|
|
m_eth_payload_axis_tlast_reg <= temp_m_eth_payload_axis_tlast_reg;
|
|
|
|
m_eth_payload_axis_tuser_reg <= temp_m_eth_payload_axis_tuser_reg;
|
2015-11-09 23:50:34 -08:00
|
|
|
end
|
|
|
|
|
|
|
|
if (store_eth_payload_int_to_temp) begin
|
2018-11-07 22:35:06 -08:00
|
|
|
temp_m_eth_payload_axis_tdata_reg <= m_eth_payload_axis_tdata_int;
|
|
|
|
temp_m_eth_payload_axis_tlast_reg <= m_eth_payload_axis_tlast_int;
|
|
|
|
temp_m_eth_payload_axis_tuser_reg <= m_eth_payload_axis_tuser_int;
|
2014-09-14 01:06:48 -07:00
|
|
|
end
|
|
|
|
end
|
|
|
|
|
|
|
|
endmodule
|