mirror of
https://github.com/corundum/corundum.git
synced 2025-01-16 08:12:53 +08:00
Add ethernet demux module and testbench
This commit is contained in:
parent
885d847514
commit
4db581ae3c
349
rtl/eth_demux.py
Executable file
349
rtl/eth_demux.py
Executable file
@ -0,0 +1,349 @@
|
||||
#!/usr/bin/env python
|
||||
"""eth_demux
|
||||
|
||||
Generates an Ethernet demux with the specified number of ports
|
||||
|
||||
Usage: eth_demux [OPTION]...
|
||||
-?, --help display this help and exit
|
||||
-p, --ports specify number of ports
|
||||
-n, --name specify module name
|
||||
-o, --output specify output file name
|
||||
"""
|
||||
|
||||
import io
|
||||
import sys
|
||||
import getopt
|
||||
from math import *
|
||||
from jinja2 import Template
|
||||
|
||||
class Usage(Exception):
|
||||
def __init__(self, msg):
|
||||
self.msg = msg
|
||||
|
||||
def main(argv=None):
|
||||
if argv is None:
|
||||
argv = sys.argv
|
||||
try:
|
||||
try:
|
||||
opts, args = getopt.getopt(argv[1:], "?n:p:o:", ["help", "name=", "ports=", "output="])
|
||||
except getopt.error as msg:
|
||||
raise Usage(msg)
|
||||
# more code, unchanged
|
||||
except Usage as err:
|
||||
print(err.msg, file=sys.stderr)
|
||||
print("for help use --help", file=sys.stderr)
|
||||
return 2
|
||||
|
||||
ports = 4
|
||||
name = None
|
||||
out_name = None
|
||||
|
||||
# process options
|
||||
for o, a in opts:
|
||||
if o in ('-?', '--help'):
|
||||
print(__doc__)
|
||||
sys.exit(0)
|
||||
if o in ('-p', '--ports'):
|
||||
ports = int(a)
|
||||
if o in ('-n', '--name'):
|
||||
name = a
|
||||
if o in ('-o', '--output'):
|
||||
out_name = a
|
||||
|
||||
if name is None:
|
||||
name = "eth_demux_{0}".format(ports)
|
||||
|
||||
if out_name is None:
|
||||
out_name = name + ".v"
|
||||
|
||||
print("Opening file '%s'..." % out_name)
|
||||
|
||||
try:
|
||||
out_file = open(out_name, 'w')
|
||||
except Exception as ex:
|
||||
print("Error opening \"%s\": %s" %(out_name, ex.strerror), file=sys.stderr)
|
||||
exit(1)
|
||||
|
||||
print("Generating {0} port Ethernet demux {1}...".format(ports, name))
|
||||
|
||||
select_width = ceil(log2(ports))
|
||||
|
||||
t = Template(u"""/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* Ethernet {{n}} port demultiplexer
|
||||
*/
|
||||
module {{name}}
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* Ethernet frame input
|
||||
*/
|
||||
input wire input_eth_hdr_valid,
|
||||
output wire input_eth_hdr_ready,
|
||||
input wire [47:0] input_eth_dest_mac,
|
||||
input wire [47:0] input_eth_src_mac,
|
||||
input wire [15:0] input_eth_type,
|
||||
input wire [7:0] input_eth_payload_tdata,
|
||||
input wire input_eth_payload_tvalid,
|
||||
output wire input_eth_payload_tready,
|
||||
input wire input_eth_payload_tlast,
|
||||
input wire input_eth_payload_tuser,
|
||||
|
||||
/*
|
||||
* Ethernet frame outputs
|
||||
*/
|
||||
{%- for p in ports %}
|
||||
output wire output_{{p}}_eth_hdr_valid,
|
||||
input wire output_{{p}}_eth_hdr_ready,
|
||||
output wire [47:0] output_{{p}}_eth_dest_mac,
|
||||
output wire [47:0] output_{{p}}_eth_src_mac,
|
||||
output wire [15:0] output_{{p}}_eth_type,
|
||||
output wire [7:0] output_{{p}}_eth_payload_tdata,
|
||||
output wire output_{{p}}_eth_payload_tvalid,
|
||||
input wire output_{{p}}_eth_payload_tready,
|
||||
output wire output_{{p}}_eth_payload_tlast,
|
||||
output wire output_{{p}}_eth_payload_tuser,
|
||||
{% endfor %}
|
||||
/*
|
||||
* Control
|
||||
*/
|
||||
input wire enable,
|
||||
input wire [{{w-1}}:0] select
|
||||
);
|
||||
|
||||
reg [{{w-1}}:0] select_reg = 0, select_next;
|
||||
reg frame_reg = 0, frame_next;
|
||||
|
||||
reg input_eth_hdr_ready_reg = 0, input_eth_hdr_ready_next;
|
||||
reg input_eth_payload_tready_reg = 0, input_eth_payload_tready_next;
|
||||
{% for p in ports %}
|
||||
reg output_{{p}}_eth_hdr_valid_reg = 0, output_{{p}}_eth_hdr_valid_next;
|
||||
{%- endfor %}
|
||||
reg [47:0] output_eth_dest_mac_reg = 0, output_eth_dest_mac_next;
|
||||
reg [47:0] output_eth_src_mac_reg = 0, output_eth_src_mac_next;
|
||||
reg [15:0] output_eth_type_reg = 0, output_eth_type_next;
|
||||
|
||||
// internal datapath
|
||||
reg [7:0] output_eth_payload_tdata_int;
|
||||
reg output_eth_payload_tvalid_int;
|
||||
reg output_eth_payload_tready_int = 0;
|
||||
reg output_eth_payload_tlast_int;
|
||||
reg output_eth_payload_tuser_int;
|
||||
wire output_eth_payload_tready_int_early;
|
||||
|
||||
assign input_eth_hdr_ready = input_eth_hdr_ready_reg;
|
||||
assign input_eth_payload_tready = input_eth_payload_tready_reg;
|
||||
{% for p in ports %}
|
||||
assign output_{{p}}_eth_hdr_valid = output_{{p}}_eth_hdr_valid_reg;
|
||||
assign output_{{p}}_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_{{p}}_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_{{p}}_eth_type = output_eth_type_reg;
|
||||
{% endfor %}
|
||||
// mux for output control signals
|
||||
reg current_output_eth_hdr_valid;
|
||||
reg current_output_eth_hdr_ready;
|
||||
reg current_output_tvalid;
|
||||
reg current_output_tready;
|
||||
always @* begin
|
||||
case (select_reg)
|
||||
{%- for p in ports %}
|
||||
{{w}}'d{{p}}: begin
|
||||
current_output_eth_hdr_valid = output_{{p}}_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_{{p}}_eth_hdr_ready;
|
||||
current_output_tvalid = output_{{p}}_eth_payload_tvalid;
|
||||
current_output_tready = output_{{p}}_eth_payload_tready;
|
||||
end
|
||||
{%- endfor %}
|
||||
endcase
|
||||
end
|
||||
|
||||
always @* begin
|
||||
select_next = select_reg;
|
||||
frame_next = frame_reg;
|
||||
|
||||
input_eth_hdr_ready_next = input_eth_hdr_ready_reg & ~input_eth_hdr_valid;
|
||||
input_eth_payload_tready_next = 0;
|
||||
|
||||
{%- for p in ports %}
|
||||
output_{{p}}_eth_hdr_valid_next = output_{{p}}_eth_hdr_valid_reg & ~output_{{p}}_eth_hdr_ready;
|
||||
{%- endfor %}
|
||||
output_eth_dest_mac_next = output_eth_dest_mac_reg;
|
||||
output_eth_src_mac_next = output_eth_src_mac_reg;
|
||||
output_eth_type_next = output_eth_type_reg;
|
||||
|
||||
if (frame_reg) begin
|
||||
if (input_eth_payload_tvalid & input_eth_payload_tready) begin
|
||||
// end of frame detection
|
||||
frame_next = ~input_eth_payload_tlast;
|
||||
end
|
||||
end else if (enable & input_eth_hdr_valid & ~current_output_eth_hdr_valid & ~current_output_tvalid) begin
|
||||
// start of frame, grab select value
|
||||
frame_next = 1;
|
||||
select_next = select;
|
||||
|
||||
input_eth_hdr_ready_next = 1;
|
||||
|
||||
case (select)
|
||||
{%- for p in ports %}
|
||||
{{w}}'d{{p}}: output_{{p}}_eth_hdr_valid_next = 1;
|
||||
{%- endfor %}
|
||||
endcase
|
||||
output_eth_dest_mac_next = input_eth_dest_mac;
|
||||
output_eth_src_mac_next = input_eth_src_mac;
|
||||
output_eth_type_next = input_eth_type;
|
||||
end
|
||||
|
||||
input_eth_payload_tready_next = output_eth_payload_tready_int_early & frame_next;
|
||||
|
||||
output_eth_payload_tdata_int = input_eth_payload_tdata;
|
||||
output_eth_payload_tvalid_int = input_eth_payload_tvalid & input_eth_payload_tready;
|
||||
output_eth_payload_tlast_int = input_eth_payload_tlast;
|
||||
output_eth_payload_tuser_int = input_eth_payload_tuser;
|
||||
end
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
select_reg <= 0;
|
||||
frame_reg <= 0;
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 0;
|
||||
{%- for p in ports %}
|
||||
output_{{p}}_eth_hdr_valid_reg <= 0;
|
||||
{%- endfor %}
|
||||
output_eth_dest_mac_reg <= 0;
|
||||
output_eth_src_mac_reg <= 0;
|
||||
output_eth_type_reg <= 0;
|
||||
end else begin
|
||||
select_reg <= select_next;
|
||||
frame_reg <= frame_next;
|
||||
input_eth_hdr_ready_reg <= input_eth_hdr_ready_next;
|
||||
input_eth_payload_tready_reg <= input_eth_payload_tready_next;
|
||||
{%- for p in ports %}
|
||||
output_{{p}}_eth_hdr_valid_reg <= output_{{p}}_eth_hdr_valid_next;
|
||||
{%- endfor %}
|
||||
output_eth_dest_mac_reg <= output_eth_dest_mac_next;
|
||||
output_eth_src_mac_reg <= output_eth_src_mac_next;
|
||||
output_eth_type_reg <= output_eth_type_next;
|
||||
end
|
||||
end
|
||||
|
||||
// output datapath logic
|
||||
reg [7:0] output_eth_payload_tdata_reg = 0;
|
||||
{%- for p in ports %}
|
||||
reg output_{{p}}_eth_payload_tvalid_reg = 0;
|
||||
{%- endfor %}
|
||||
reg output_eth_payload_tlast_reg = 0;
|
||||
reg output_eth_payload_tuser_reg = 0;
|
||||
|
||||
reg [7:0] temp_eth_payload_tdata_reg = 0;
|
||||
reg temp_eth_payload_tvalid_reg = 0;
|
||||
reg temp_eth_payload_tlast_reg = 0;
|
||||
reg temp_eth_payload_tuser_reg = 0;
|
||||
{% for p in ports %}
|
||||
assign output_{{p}}_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_{{p}}_eth_payload_tvalid = output_{{p}}_eth_payload_tvalid_reg;
|
||||
assign output_{{p}}_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_{{p}}_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
{% endfor %}
|
||||
// enable ready input next cycle if output is ready or if there is space in both output registers or if there is space in the temp register that will not be filled next cycle
|
||||
assign output_eth_payload_tready_int_early = current_output_tready | (~temp_eth_payload_tvalid_reg & ~current_output_tvalid) | (~temp_eth_payload_tvalid_reg & ~output_eth_payload_tvalid_int);
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
output_eth_payload_tdata_reg <= 0;
|
||||
{%- for p in ports %}
|
||||
output_{{p}}_eth_payload_tvalid_reg <= 0;
|
||||
{%- endfor %}
|
||||
output_eth_payload_tlast_reg <= 0;
|
||||
output_eth_payload_tuser_reg <= 0;
|
||||
output_eth_payload_tready_int <= 0;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tvalid_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
end else begin
|
||||
// transfer sink ready state to source
|
||||
output_eth_payload_tready_int <= output_eth_payload_tready_int_early;
|
||||
|
||||
if (output_eth_payload_tready_int) begin
|
||||
// input is ready
|
||||
if (current_output_tready | ~current_output_tvalid) begin
|
||||
// output is ready or currently not valid, transfer data to output
|
||||
output_eth_payload_tdata_reg <= output_eth_payload_tdata_int;
|
||||
case (select_reg)
|
||||
{%- for p in ports %}
|
||||
{{w}}'d{{p}}: output_{{p}}_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
{%- endfor %}
|
||||
endcase
|
||||
output_eth_payload_tlast_reg <= output_eth_payload_tlast_int;
|
||||
output_eth_payload_tuser_reg <= output_eth_payload_tuser_int;
|
||||
end else begin
|
||||
// output is not ready, store input in temp
|
||||
temp_eth_payload_tdata_reg <= output_eth_payload_tdata_int;
|
||||
temp_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
temp_eth_payload_tlast_reg <= output_eth_payload_tlast_int;
|
||||
temp_eth_payload_tuser_reg <= output_eth_payload_tuser_int;
|
||||
end
|
||||
end else if (current_output_tready) begin
|
||||
// input is not ready, but output is ready
|
||||
output_eth_payload_tdata_reg <= temp_eth_payload_tdata_reg;
|
||||
case (select_reg)
|
||||
{%- for p in ports %}
|
||||
{{w}}'d{{p}}: output_{{p}}_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
{%- endfor %}
|
||||
endcase
|
||||
output_eth_payload_tlast_reg <= temp_eth_payload_tlast_reg;
|
||||
output_eth_payload_tuser_reg <= temp_eth_payload_tuser_reg;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tvalid_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
||||
""")
|
||||
|
||||
out_file.write(t.render(
|
||||
n=ports,
|
||||
w=select_width,
|
||||
name=name,
|
||||
ports=range(ports)
|
||||
))
|
||||
|
||||
print("Done")
|
||||
|
||||
if __name__ == "__main__":
|
||||
sys.exit(main())
|
||||
|
351
rtl/eth_demux_4.v
Normal file
351
rtl/eth_demux_4.v
Normal file
@ -0,0 +1,351 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* Ethernet 4 port demultiplexer
|
||||
*/
|
||||
module eth_demux_4
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* Ethernet frame input
|
||||
*/
|
||||
input wire input_eth_hdr_valid,
|
||||
output wire input_eth_hdr_ready,
|
||||
input wire [47:0] input_eth_dest_mac,
|
||||
input wire [47:0] input_eth_src_mac,
|
||||
input wire [15:0] input_eth_type,
|
||||
input wire [7:0] input_eth_payload_tdata,
|
||||
input wire input_eth_payload_tvalid,
|
||||
output wire input_eth_payload_tready,
|
||||
input wire input_eth_payload_tlast,
|
||||
input wire input_eth_payload_tuser,
|
||||
|
||||
/*
|
||||
* Ethernet frame outputs
|
||||
*/
|
||||
output wire output_0_eth_hdr_valid,
|
||||
input wire output_0_eth_hdr_ready,
|
||||
output wire [47:0] output_0_eth_dest_mac,
|
||||
output wire [47:0] output_0_eth_src_mac,
|
||||
output wire [15:0] output_0_eth_type,
|
||||
output wire [7:0] output_0_eth_payload_tdata,
|
||||
output wire output_0_eth_payload_tvalid,
|
||||
input wire output_0_eth_payload_tready,
|
||||
output wire output_0_eth_payload_tlast,
|
||||
output wire output_0_eth_payload_tuser,
|
||||
|
||||
output wire output_1_eth_hdr_valid,
|
||||
input wire output_1_eth_hdr_ready,
|
||||
output wire [47:0] output_1_eth_dest_mac,
|
||||
output wire [47:0] output_1_eth_src_mac,
|
||||
output wire [15:0] output_1_eth_type,
|
||||
output wire [7:0] output_1_eth_payload_tdata,
|
||||
output wire output_1_eth_payload_tvalid,
|
||||
input wire output_1_eth_payload_tready,
|
||||
output wire output_1_eth_payload_tlast,
|
||||
output wire output_1_eth_payload_tuser,
|
||||
|
||||
output wire output_2_eth_hdr_valid,
|
||||
input wire output_2_eth_hdr_ready,
|
||||
output wire [47:0] output_2_eth_dest_mac,
|
||||
output wire [47:0] output_2_eth_src_mac,
|
||||
output wire [15:0] output_2_eth_type,
|
||||
output wire [7:0] output_2_eth_payload_tdata,
|
||||
output wire output_2_eth_payload_tvalid,
|
||||
input wire output_2_eth_payload_tready,
|
||||
output wire output_2_eth_payload_tlast,
|
||||
output wire output_2_eth_payload_tuser,
|
||||
|
||||
output wire output_3_eth_hdr_valid,
|
||||
input wire output_3_eth_hdr_ready,
|
||||
output wire [47:0] output_3_eth_dest_mac,
|
||||
output wire [47:0] output_3_eth_src_mac,
|
||||
output wire [15:0] output_3_eth_type,
|
||||
output wire [7:0] output_3_eth_payload_tdata,
|
||||
output wire output_3_eth_payload_tvalid,
|
||||
input wire output_3_eth_payload_tready,
|
||||
output wire output_3_eth_payload_tlast,
|
||||
output wire output_3_eth_payload_tuser,
|
||||
|
||||
/*
|
||||
* Control
|
||||
*/
|
||||
input wire enable,
|
||||
input wire [1:0] select
|
||||
);
|
||||
|
||||
reg [1:0] select_reg = 0, select_next;
|
||||
reg frame_reg = 0, frame_next;
|
||||
|
||||
reg input_eth_hdr_ready_reg = 0, input_eth_hdr_ready_next;
|
||||
reg input_eth_payload_tready_reg = 0, input_eth_payload_tready_next;
|
||||
|
||||
reg output_0_eth_hdr_valid_reg = 0, output_0_eth_hdr_valid_next;
|
||||
reg output_1_eth_hdr_valid_reg = 0, output_1_eth_hdr_valid_next;
|
||||
reg output_2_eth_hdr_valid_reg = 0, output_2_eth_hdr_valid_next;
|
||||
reg output_3_eth_hdr_valid_reg = 0, output_3_eth_hdr_valid_next;
|
||||
reg [47:0] output_eth_dest_mac_reg = 0, output_eth_dest_mac_next;
|
||||
reg [47:0] output_eth_src_mac_reg = 0, output_eth_src_mac_next;
|
||||
reg [15:0] output_eth_type_reg = 0, output_eth_type_next;
|
||||
|
||||
// internal datapath
|
||||
reg [7:0] output_eth_payload_tdata_int;
|
||||
reg output_eth_payload_tvalid_int;
|
||||
reg output_eth_payload_tready_int = 0;
|
||||
reg output_eth_payload_tlast_int;
|
||||
reg output_eth_payload_tuser_int;
|
||||
wire output_eth_payload_tready_int_early;
|
||||
|
||||
assign input_eth_hdr_ready = input_eth_hdr_ready_reg;
|
||||
assign input_eth_payload_tready = input_eth_payload_tready_reg;
|
||||
|
||||
assign output_0_eth_hdr_valid = output_0_eth_hdr_valid_reg;
|
||||
assign output_0_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_0_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_0_eth_type = output_eth_type_reg;
|
||||
|
||||
assign output_1_eth_hdr_valid = output_1_eth_hdr_valid_reg;
|
||||
assign output_1_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_1_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_1_eth_type = output_eth_type_reg;
|
||||
|
||||
assign output_2_eth_hdr_valid = output_2_eth_hdr_valid_reg;
|
||||
assign output_2_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_2_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_2_eth_type = output_eth_type_reg;
|
||||
|
||||
assign output_3_eth_hdr_valid = output_3_eth_hdr_valid_reg;
|
||||
assign output_3_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_3_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_3_eth_type = output_eth_type_reg;
|
||||
|
||||
// mux for output control signals
|
||||
reg current_output_eth_hdr_valid;
|
||||
reg current_output_eth_hdr_ready;
|
||||
reg current_output_tvalid;
|
||||
reg current_output_tready;
|
||||
always @* begin
|
||||
case (select_reg)
|
||||
2'd0: begin
|
||||
current_output_eth_hdr_valid = output_0_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_0_eth_hdr_ready;
|
||||
current_output_tvalid = output_0_eth_payload_tvalid;
|
||||
current_output_tready = output_0_eth_payload_tready;
|
||||
end
|
||||
2'd1: begin
|
||||
current_output_eth_hdr_valid = output_1_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_1_eth_hdr_ready;
|
||||
current_output_tvalid = output_1_eth_payload_tvalid;
|
||||
current_output_tready = output_1_eth_payload_tready;
|
||||
end
|
||||
2'd2: begin
|
||||
current_output_eth_hdr_valid = output_2_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_2_eth_hdr_ready;
|
||||
current_output_tvalid = output_2_eth_payload_tvalid;
|
||||
current_output_tready = output_2_eth_payload_tready;
|
||||
end
|
||||
2'd3: begin
|
||||
current_output_eth_hdr_valid = output_3_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_3_eth_hdr_ready;
|
||||
current_output_tvalid = output_3_eth_payload_tvalid;
|
||||
current_output_tready = output_3_eth_payload_tready;
|
||||
end
|
||||
endcase
|
||||
end
|
||||
|
||||
always @* begin
|
||||
select_next = select_reg;
|
||||
frame_next = frame_reg;
|
||||
|
||||
input_eth_hdr_ready_next = input_eth_hdr_ready_reg & ~input_eth_hdr_valid;
|
||||
input_eth_payload_tready_next = 0;
|
||||
output_0_eth_hdr_valid_next = output_0_eth_hdr_valid_reg & ~output_0_eth_hdr_ready;
|
||||
output_1_eth_hdr_valid_next = output_1_eth_hdr_valid_reg & ~output_1_eth_hdr_ready;
|
||||
output_2_eth_hdr_valid_next = output_2_eth_hdr_valid_reg & ~output_2_eth_hdr_ready;
|
||||
output_3_eth_hdr_valid_next = output_3_eth_hdr_valid_reg & ~output_3_eth_hdr_ready;
|
||||
output_eth_dest_mac_next = output_eth_dest_mac_reg;
|
||||
output_eth_src_mac_next = output_eth_src_mac_reg;
|
||||
output_eth_type_next = output_eth_type_reg;
|
||||
|
||||
if (frame_reg) begin
|
||||
if (input_eth_payload_tvalid & input_eth_payload_tready) begin
|
||||
// end of frame detection
|
||||
frame_next = ~input_eth_payload_tlast;
|
||||
end
|
||||
end else if (enable & input_eth_hdr_valid & ~current_output_eth_hdr_valid & ~current_output_tvalid) begin
|
||||
// start of frame, grab select value
|
||||
frame_next = 1;
|
||||
select_next = select;
|
||||
|
||||
input_eth_hdr_ready_next = 1;
|
||||
|
||||
case (select)
|
||||
2'd0: output_0_eth_hdr_valid_next = 1;
|
||||
2'd1: output_1_eth_hdr_valid_next = 1;
|
||||
2'd2: output_2_eth_hdr_valid_next = 1;
|
||||
2'd3: output_3_eth_hdr_valid_next = 1;
|
||||
endcase
|
||||
output_eth_dest_mac_next = input_eth_dest_mac;
|
||||
output_eth_src_mac_next = input_eth_src_mac;
|
||||
output_eth_type_next = input_eth_type;
|
||||
end
|
||||
|
||||
input_eth_payload_tready_next = output_eth_payload_tready_int_early & frame_next;
|
||||
|
||||
output_eth_payload_tdata_int = input_eth_payload_tdata;
|
||||
output_eth_payload_tvalid_int = input_eth_payload_tvalid & input_eth_payload_tready;
|
||||
output_eth_payload_tlast_int = input_eth_payload_tlast;
|
||||
output_eth_payload_tuser_int = input_eth_payload_tuser;
|
||||
end
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
select_reg <= 0;
|
||||
frame_reg <= 0;
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 0;
|
||||
output_0_eth_hdr_valid_reg <= 0;
|
||||
output_1_eth_hdr_valid_reg <= 0;
|
||||
output_2_eth_hdr_valid_reg <= 0;
|
||||
output_3_eth_hdr_valid_reg <= 0;
|
||||
output_eth_dest_mac_reg <= 0;
|
||||
output_eth_src_mac_reg <= 0;
|
||||
output_eth_type_reg <= 0;
|
||||
end else begin
|
||||
select_reg <= select_next;
|
||||
frame_reg <= frame_next;
|
||||
input_eth_hdr_ready_reg <= input_eth_hdr_ready_next;
|
||||
input_eth_payload_tready_reg <= input_eth_payload_tready_next;
|
||||
output_0_eth_hdr_valid_reg <= output_0_eth_hdr_valid_next;
|
||||
output_1_eth_hdr_valid_reg <= output_1_eth_hdr_valid_next;
|
||||
output_2_eth_hdr_valid_reg <= output_2_eth_hdr_valid_next;
|
||||
output_3_eth_hdr_valid_reg <= output_3_eth_hdr_valid_next;
|
||||
output_eth_dest_mac_reg <= output_eth_dest_mac_next;
|
||||
output_eth_src_mac_reg <= output_eth_src_mac_next;
|
||||
output_eth_type_reg <= output_eth_type_next;
|
||||
end
|
||||
end
|
||||
|
||||
// output datapath logic
|
||||
reg [7:0] output_eth_payload_tdata_reg = 0;
|
||||
reg output_0_eth_payload_tvalid_reg = 0;
|
||||
reg output_1_eth_payload_tvalid_reg = 0;
|
||||
reg output_2_eth_payload_tvalid_reg = 0;
|
||||
reg output_3_eth_payload_tvalid_reg = 0;
|
||||
reg output_eth_payload_tlast_reg = 0;
|
||||
reg output_eth_payload_tuser_reg = 0;
|
||||
|
||||
reg [7:0] temp_eth_payload_tdata_reg = 0;
|
||||
reg temp_eth_payload_tvalid_reg = 0;
|
||||
reg temp_eth_payload_tlast_reg = 0;
|
||||
reg temp_eth_payload_tuser_reg = 0;
|
||||
|
||||
assign output_0_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_0_eth_payload_tvalid = output_0_eth_payload_tvalid_reg;
|
||||
assign output_0_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_0_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
assign output_1_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_1_eth_payload_tvalid = output_1_eth_payload_tvalid_reg;
|
||||
assign output_1_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_1_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
assign output_2_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_2_eth_payload_tvalid = output_2_eth_payload_tvalid_reg;
|
||||
assign output_2_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_2_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
assign output_3_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_3_eth_payload_tvalid = output_3_eth_payload_tvalid_reg;
|
||||
assign output_3_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_3_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
// enable ready input next cycle if output is ready or if there is space in both output registers or if there is space in the temp register that will not be filled next cycle
|
||||
assign output_eth_payload_tready_int_early = current_output_tready | (~temp_eth_payload_tvalid_reg & ~current_output_tvalid) | (~temp_eth_payload_tvalid_reg & ~output_eth_payload_tvalid_int);
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
output_eth_payload_tdata_reg <= 0;
|
||||
output_0_eth_payload_tvalid_reg <= 0;
|
||||
output_1_eth_payload_tvalid_reg <= 0;
|
||||
output_2_eth_payload_tvalid_reg <= 0;
|
||||
output_3_eth_payload_tvalid_reg <= 0;
|
||||
output_eth_payload_tlast_reg <= 0;
|
||||
output_eth_payload_tuser_reg <= 0;
|
||||
output_eth_payload_tready_int <= 0;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tvalid_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
end else begin
|
||||
// transfer sink ready state to source
|
||||
output_eth_payload_tready_int <= output_eth_payload_tready_int_early;
|
||||
|
||||
if (output_eth_payload_tready_int) begin
|
||||
// input is ready
|
||||
if (current_output_tready | ~current_output_tvalid) begin
|
||||
// output is ready or currently not valid, transfer data to output
|
||||
output_eth_payload_tdata_reg <= output_eth_payload_tdata_int;
|
||||
case (select_reg)
|
||||
2'd0: output_0_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
2'd1: output_1_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
2'd2: output_2_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
2'd3: output_3_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
endcase
|
||||
output_eth_payload_tlast_reg <= output_eth_payload_tlast_int;
|
||||
output_eth_payload_tuser_reg <= output_eth_payload_tuser_int;
|
||||
end else begin
|
||||
// output is not ready, store input in temp
|
||||
temp_eth_payload_tdata_reg <= output_eth_payload_tdata_int;
|
||||
temp_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
temp_eth_payload_tlast_reg <= output_eth_payload_tlast_int;
|
||||
temp_eth_payload_tuser_reg <= output_eth_payload_tuser_int;
|
||||
end
|
||||
end else if (current_output_tready) begin
|
||||
// input is not ready, but output is ready
|
||||
output_eth_payload_tdata_reg <= temp_eth_payload_tdata_reg;
|
||||
case (select_reg)
|
||||
2'd0: output_0_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
2'd1: output_1_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
2'd2: output_2_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
2'd3: output_3_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
endcase
|
||||
output_eth_payload_tlast_reg <= temp_eth_payload_tlast_reg;
|
||||
output_eth_payload_tuser_reg <= temp_eth_payload_tuser_reg;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tvalid_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
362
rtl/eth_demux_64.py
Executable file
362
rtl/eth_demux_64.py
Executable file
@ -0,0 +1,362 @@
|
||||
#!/usr/bin/env python
|
||||
"""eth_demux_64
|
||||
|
||||
Generates an Ethernet demux with the specified number of ports
|
||||
|
||||
Usage: eth_demux_64 [OPTION]...
|
||||
-?, --help display this help and exit
|
||||
-p, --ports specify number of ports
|
||||
-n, --name specify module name
|
||||
-o, --output specify output file name
|
||||
"""
|
||||
|
||||
import io
|
||||
import sys
|
||||
import getopt
|
||||
from math import *
|
||||
from jinja2 import Template
|
||||
|
||||
class Usage(Exception):
|
||||
def __init__(self, msg):
|
||||
self.msg = msg
|
||||
|
||||
def main(argv=None):
|
||||
if argv is None:
|
||||
argv = sys.argv
|
||||
try:
|
||||
try:
|
||||
opts, args = getopt.getopt(argv[1:], "?n:p:o:", ["help", "name=", "ports=", "output="])
|
||||
except getopt.error as msg:
|
||||
raise Usage(msg)
|
||||
# more code, unchanged
|
||||
except Usage as err:
|
||||
print(err.msg, file=sys.stderr)
|
||||
print("for help use --help", file=sys.stderr)
|
||||
return 2
|
||||
|
||||
ports = 4
|
||||
name = None
|
||||
out_name = None
|
||||
|
||||
# process options
|
||||
for o, a in opts:
|
||||
if o in ('-?', '--help'):
|
||||
print(__doc__)
|
||||
sys.exit(0)
|
||||
if o in ('-p', '--ports'):
|
||||
ports = int(a)
|
||||
if o in ('-n', '--name'):
|
||||
name = a
|
||||
if o in ('-o', '--output'):
|
||||
out_name = a
|
||||
|
||||
if name is None:
|
||||
name = "eth_demux_64_{0}".format(ports)
|
||||
|
||||
if out_name is None:
|
||||
out_name = name + ".v"
|
||||
|
||||
print("Opening file '%s'..." % out_name)
|
||||
|
||||
try:
|
||||
out_file = open(out_name, 'w')
|
||||
except Exception as ex:
|
||||
print("Error opening \"%s\": %s" %(out_name, ex.strerror), file=sys.stderr)
|
||||
exit(1)
|
||||
|
||||
print("Generating {0} port Ethernet demux {1}...".format(ports, name))
|
||||
|
||||
select_width = ceil(log2(ports))
|
||||
|
||||
t = Template(u"""/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* Ethernet {{n}} port demultiplexer (64 bit datapath)
|
||||
*/
|
||||
module {{name}}
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* Ethernet frame input
|
||||
*/
|
||||
input wire input_eth_hdr_valid,
|
||||
output wire input_eth_hdr_ready,
|
||||
input wire [47:0] input_eth_dest_mac,
|
||||
input wire [47:0] input_eth_src_mac,
|
||||
input wire [15:0] input_eth_type,
|
||||
input wire [63:0] input_eth_payload_tdata,
|
||||
input wire [7:0] input_eth_payload_tkeep,
|
||||
input wire input_eth_payload_tvalid,
|
||||
output wire input_eth_payload_tready,
|
||||
input wire input_eth_payload_tlast,
|
||||
input wire input_eth_payload_tuser,
|
||||
|
||||
/*
|
||||
* Ethernet frame outputs
|
||||
*/
|
||||
{%- for p in ports %}
|
||||
output wire output_{{p}}_eth_hdr_valid,
|
||||
input wire output_{{p}}_eth_hdr_ready,
|
||||
output wire [47:0] output_{{p}}_eth_dest_mac,
|
||||
output wire [47:0] output_{{p}}_eth_src_mac,
|
||||
output wire [15:0] output_{{p}}_eth_type,
|
||||
output wire [63:0] output_{{p}}_eth_payload_tdata,
|
||||
output wire [7:0] output_{{p}}_eth_payload_tkeep,
|
||||
output wire output_{{p}}_eth_payload_tvalid,
|
||||
input wire output_{{p}}_eth_payload_tready,
|
||||
output wire output_{{p}}_eth_payload_tlast,
|
||||
output wire output_{{p}}_eth_payload_tuser,
|
||||
{% endfor %}
|
||||
/*
|
||||
* Control
|
||||
*/
|
||||
input wire enable,
|
||||
input wire [{{w-1}}:0] select
|
||||
);
|
||||
|
||||
reg [{{w-1}}:0] select_reg = 0, select_next;
|
||||
reg frame_reg = 0, frame_next;
|
||||
|
||||
reg input_eth_hdr_ready_reg = 0, input_eth_hdr_ready_next;
|
||||
reg input_eth_payload_tready_reg = 0, input_eth_payload_tready_next;
|
||||
{% for p in ports %}
|
||||
reg output_{{p}}_eth_hdr_valid_reg = 0, output_{{p}}_eth_hdr_valid_next;
|
||||
{%- endfor %}
|
||||
reg [47:0] output_eth_dest_mac_reg = 0, output_eth_dest_mac_next;
|
||||
reg [47:0] output_eth_src_mac_reg = 0, output_eth_src_mac_next;
|
||||
reg [15:0] output_eth_type_reg = 0, output_eth_type_next;
|
||||
|
||||
// internal datapath
|
||||
reg [63:0] output_eth_payload_tdata_int;
|
||||
reg [7:0] output_eth_payload_tkeep_int;
|
||||
reg output_eth_payload_tvalid_int;
|
||||
reg output_eth_payload_tready_int = 0;
|
||||
reg output_eth_payload_tlast_int;
|
||||
reg output_eth_payload_tuser_int;
|
||||
wire output_eth_payload_tready_int_early;
|
||||
|
||||
assign input_eth_hdr_ready = input_eth_hdr_ready_reg;
|
||||
assign input_eth_payload_tready = input_eth_payload_tready_reg;
|
||||
{% for p in ports %}
|
||||
assign output_{{p}}_eth_hdr_valid = output_{{p}}_eth_hdr_valid_reg;
|
||||
assign output_{{p}}_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_{{p}}_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_{{p}}_eth_type = output_eth_type_reg;
|
||||
{% endfor %}
|
||||
// mux for output control signals
|
||||
reg current_output_eth_hdr_valid;
|
||||
reg current_output_eth_hdr_ready;
|
||||
reg current_output_tvalid;
|
||||
reg current_output_tready;
|
||||
always @* begin
|
||||
case (select_reg)
|
||||
{%- for p in ports %}
|
||||
{{w}}'d{{p}}: begin
|
||||
current_output_eth_hdr_valid = output_{{p}}_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_{{p}}_eth_hdr_ready;
|
||||
current_output_tvalid = output_{{p}}_eth_payload_tvalid;
|
||||
current_output_tready = output_{{p}}_eth_payload_tready;
|
||||
end
|
||||
{%- endfor %}
|
||||
endcase
|
||||
end
|
||||
|
||||
always @* begin
|
||||
select_next = select_reg;
|
||||
frame_next = frame_reg;
|
||||
|
||||
input_eth_hdr_ready_next = input_eth_hdr_ready_reg & ~input_eth_hdr_valid;
|
||||
input_eth_payload_tready_next = 0;
|
||||
|
||||
{%- for p in ports %}
|
||||
output_{{p}}_eth_hdr_valid_next = output_{{p}}_eth_hdr_valid_reg & ~output_{{p}}_eth_hdr_ready;
|
||||
{%- endfor %}
|
||||
output_eth_dest_mac_next = output_eth_dest_mac_reg;
|
||||
output_eth_src_mac_next = output_eth_src_mac_reg;
|
||||
output_eth_type_next = output_eth_type_reg;
|
||||
|
||||
if (frame_reg) begin
|
||||
if (input_eth_payload_tvalid & input_eth_payload_tready) begin
|
||||
// end of frame detection
|
||||
frame_next = ~input_eth_payload_tlast;
|
||||
end
|
||||
end else if (enable & input_eth_hdr_valid & ~current_output_eth_hdr_valid & ~current_output_tvalid) begin
|
||||
// start of frame, grab select value
|
||||
frame_next = 1;
|
||||
select_next = select;
|
||||
|
||||
input_eth_hdr_ready_next = 1;
|
||||
|
||||
case (select)
|
||||
{%- for p in ports %}
|
||||
{{w}}'d{{p}}: output_{{p}}_eth_hdr_valid_next = 1;
|
||||
{%- endfor %}
|
||||
endcase
|
||||
output_eth_dest_mac_next = input_eth_dest_mac;
|
||||
output_eth_src_mac_next = input_eth_src_mac;
|
||||
output_eth_type_next = input_eth_type;
|
||||
end
|
||||
|
||||
input_eth_payload_tready_next = output_eth_payload_tready_int_early & frame_next;
|
||||
|
||||
output_eth_payload_tdata_int = input_eth_payload_tdata;
|
||||
output_eth_payload_tkeep_int = input_eth_payload_tkeep;
|
||||
output_eth_payload_tvalid_int = input_eth_payload_tvalid & input_eth_payload_tready;
|
||||
output_eth_payload_tlast_int = input_eth_payload_tlast;
|
||||
output_eth_payload_tuser_int = input_eth_payload_tuser;
|
||||
end
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
select_reg <= 0;
|
||||
frame_reg <= 0;
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 0;
|
||||
{%- for p in ports %}
|
||||
output_{{p}}_eth_hdr_valid_reg <= 0;
|
||||
{%- endfor %}
|
||||
output_eth_dest_mac_reg <= 0;
|
||||
output_eth_src_mac_reg <= 0;
|
||||
output_eth_type_reg <= 0;
|
||||
end else begin
|
||||
select_reg <= select_next;
|
||||
frame_reg <= frame_next;
|
||||
input_eth_hdr_ready_reg <= input_eth_hdr_ready_next;
|
||||
input_eth_payload_tready_reg <= input_eth_payload_tready_next;
|
||||
{%- for p in ports %}
|
||||
output_{{p}}_eth_hdr_valid_reg <= output_{{p}}_eth_hdr_valid_next;
|
||||
{%- endfor %}
|
||||
output_eth_dest_mac_reg <= output_eth_dest_mac_next;
|
||||
output_eth_src_mac_reg <= output_eth_src_mac_next;
|
||||
output_eth_type_reg <= output_eth_type_next;
|
||||
end
|
||||
end
|
||||
|
||||
// output datapath logic
|
||||
reg [63:0] output_eth_payload_tdata_reg = 0;
|
||||
reg [7:0] output_eth_payload_tkeep_reg = 0;
|
||||
{%- for p in ports %}
|
||||
reg output_{{p}}_eth_payload_tvalid_reg = 0;
|
||||
{%- endfor %}
|
||||
reg output_eth_payload_tlast_reg = 0;
|
||||
reg output_eth_payload_tuser_reg = 0;
|
||||
|
||||
reg [63:0] temp_eth_payload_tdata_reg = 0;
|
||||
reg [7:0] temp_eth_payload_tkeep_reg = 0;
|
||||
reg temp_eth_payload_tvalid_reg = 0;
|
||||
reg temp_eth_payload_tlast_reg = 0;
|
||||
reg temp_eth_payload_tuser_reg = 0;
|
||||
{% for p in ports %}
|
||||
assign output_{{p}}_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_{{p}}_eth_payload_tkeep = output_eth_payload_tkeep_reg;
|
||||
assign output_{{p}}_eth_payload_tvalid = output_{{p}}_eth_payload_tvalid_reg;
|
||||
assign output_{{p}}_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_{{p}}_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
{% endfor %}
|
||||
// enable ready input next cycle if output is ready or if there is space in both output registers or if there is space in the temp register that will not be filled next cycle
|
||||
assign output_eth_payload_tready_int_early = current_output_tready | (~temp_eth_payload_tvalid_reg & ~current_output_tvalid) | (~temp_eth_payload_tvalid_reg & ~output_eth_payload_tvalid_int);
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
output_eth_payload_tdata_reg <= 0;
|
||||
output_eth_payload_tkeep_reg <= 0;
|
||||
{%- for p in ports %}
|
||||
output_{{p}}_eth_payload_tvalid_reg <= 0;
|
||||
{%- endfor %}
|
||||
output_eth_payload_tlast_reg <= 0;
|
||||
output_eth_payload_tuser_reg <= 0;
|
||||
output_eth_payload_tready_int <= 0;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tkeep_reg <= 0;
|
||||
temp_eth_payload_tvalid_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
end else begin
|
||||
// transfer sink ready state to source
|
||||
output_eth_payload_tready_int <= output_eth_payload_tready_int_early;
|
||||
|
||||
if (output_eth_payload_tready_int) begin
|
||||
// input is ready
|
||||
if (current_output_tready | ~current_output_tvalid) begin
|
||||
// output is ready or currently not valid, transfer data to output
|
||||
output_eth_payload_tdata_reg <= output_eth_payload_tdata_int;
|
||||
output_eth_payload_tkeep_reg <= output_eth_payload_tkeep_int;
|
||||
case (select_reg)
|
||||
{%- for p in ports %}
|
||||
{{w}}'d{{p}}: output_{{p}}_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
{%- endfor %}
|
||||
endcase
|
||||
output_eth_payload_tlast_reg <= output_eth_payload_tlast_int;
|
||||
output_eth_payload_tuser_reg <= output_eth_payload_tuser_int;
|
||||
end else begin
|
||||
// output is not ready, store input in temp
|
||||
temp_eth_payload_tdata_reg <= output_eth_payload_tdata_int;
|
||||
temp_eth_payload_tkeep_reg <= output_eth_payload_tkeep_int;
|
||||
temp_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
temp_eth_payload_tlast_reg <= output_eth_payload_tlast_int;
|
||||
temp_eth_payload_tuser_reg <= output_eth_payload_tuser_int;
|
||||
end
|
||||
end else if (current_output_tready) begin
|
||||
// input is not ready, but output is ready
|
||||
output_eth_payload_tdata_reg <= temp_eth_payload_tdata_reg;
|
||||
output_eth_payload_tkeep_reg <= temp_eth_payload_tkeep_reg;
|
||||
case (select_reg)
|
||||
{%- for p in ports %}
|
||||
{{w}}'d{{p}}: output_{{p}}_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
{%- endfor %}
|
||||
endcase
|
||||
output_eth_payload_tlast_reg <= temp_eth_payload_tlast_reg;
|
||||
output_eth_payload_tuser_reg <= temp_eth_payload_tuser_reg;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tkeep_reg <= 0;
|
||||
temp_eth_payload_tvalid_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
||||
""")
|
||||
|
||||
out_file.write(t.render(
|
||||
n=ports,
|
||||
w=select_width,
|
||||
name=name,
|
||||
ports=range(ports)
|
||||
))
|
||||
|
||||
print("Done")
|
||||
|
||||
if __name__ == "__main__":
|
||||
sys.exit(main())
|
||||
|
370
rtl/eth_demux_64_4.v
Normal file
370
rtl/eth_demux_64_4.v
Normal file
@ -0,0 +1,370 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* Ethernet 4 port demultiplexer (64 bit datapath)
|
||||
*/
|
||||
module eth_demux_64_4
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* Ethernet frame input
|
||||
*/
|
||||
input wire input_eth_hdr_valid,
|
||||
output wire input_eth_hdr_ready,
|
||||
input wire [47:0] input_eth_dest_mac,
|
||||
input wire [47:0] input_eth_src_mac,
|
||||
input wire [15:0] input_eth_type,
|
||||
input wire [63:0] input_eth_payload_tdata,
|
||||
input wire [7:0] input_eth_payload_tkeep,
|
||||
input wire input_eth_payload_tvalid,
|
||||
output wire input_eth_payload_tready,
|
||||
input wire input_eth_payload_tlast,
|
||||
input wire input_eth_payload_tuser,
|
||||
|
||||
/*
|
||||
* Ethernet frame outputs
|
||||
*/
|
||||
output wire output_0_eth_hdr_valid,
|
||||
input wire output_0_eth_hdr_ready,
|
||||
output wire [47:0] output_0_eth_dest_mac,
|
||||
output wire [47:0] output_0_eth_src_mac,
|
||||
output wire [15:0] output_0_eth_type,
|
||||
output wire [63:0] output_0_eth_payload_tdata,
|
||||
output wire [7:0] output_0_eth_payload_tkeep,
|
||||
output wire output_0_eth_payload_tvalid,
|
||||
input wire output_0_eth_payload_tready,
|
||||
output wire output_0_eth_payload_tlast,
|
||||
output wire output_0_eth_payload_tuser,
|
||||
|
||||
output wire output_1_eth_hdr_valid,
|
||||
input wire output_1_eth_hdr_ready,
|
||||
output wire [47:0] output_1_eth_dest_mac,
|
||||
output wire [47:0] output_1_eth_src_mac,
|
||||
output wire [15:0] output_1_eth_type,
|
||||
output wire [63:0] output_1_eth_payload_tdata,
|
||||
output wire [7:0] output_1_eth_payload_tkeep,
|
||||
output wire output_1_eth_payload_tvalid,
|
||||
input wire output_1_eth_payload_tready,
|
||||
output wire output_1_eth_payload_tlast,
|
||||
output wire output_1_eth_payload_tuser,
|
||||
|
||||
output wire output_2_eth_hdr_valid,
|
||||
input wire output_2_eth_hdr_ready,
|
||||
output wire [47:0] output_2_eth_dest_mac,
|
||||
output wire [47:0] output_2_eth_src_mac,
|
||||
output wire [15:0] output_2_eth_type,
|
||||
output wire [63:0] output_2_eth_payload_tdata,
|
||||
output wire [7:0] output_2_eth_payload_tkeep,
|
||||
output wire output_2_eth_payload_tvalid,
|
||||
input wire output_2_eth_payload_tready,
|
||||
output wire output_2_eth_payload_tlast,
|
||||
output wire output_2_eth_payload_tuser,
|
||||
|
||||
output wire output_3_eth_hdr_valid,
|
||||
input wire output_3_eth_hdr_ready,
|
||||
output wire [47:0] output_3_eth_dest_mac,
|
||||
output wire [47:0] output_3_eth_src_mac,
|
||||
output wire [15:0] output_3_eth_type,
|
||||
output wire [63:0] output_3_eth_payload_tdata,
|
||||
output wire [7:0] output_3_eth_payload_tkeep,
|
||||
output wire output_3_eth_payload_tvalid,
|
||||
input wire output_3_eth_payload_tready,
|
||||
output wire output_3_eth_payload_tlast,
|
||||
output wire output_3_eth_payload_tuser,
|
||||
|
||||
/*
|
||||
* Control
|
||||
*/
|
||||
input wire enable,
|
||||
input wire [1:0] select
|
||||
);
|
||||
|
||||
reg [1:0] select_reg = 0, select_next;
|
||||
reg frame_reg = 0, frame_next;
|
||||
|
||||
reg input_eth_hdr_ready_reg = 0, input_eth_hdr_ready_next;
|
||||
reg input_eth_payload_tready_reg = 0, input_eth_payload_tready_next;
|
||||
|
||||
reg output_0_eth_hdr_valid_reg = 0, output_0_eth_hdr_valid_next;
|
||||
reg output_1_eth_hdr_valid_reg = 0, output_1_eth_hdr_valid_next;
|
||||
reg output_2_eth_hdr_valid_reg = 0, output_2_eth_hdr_valid_next;
|
||||
reg output_3_eth_hdr_valid_reg = 0, output_3_eth_hdr_valid_next;
|
||||
reg [47:0] output_eth_dest_mac_reg = 0, output_eth_dest_mac_next;
|
||||
reg [47:0] output_eth_src_mac_reg = 0, output_eth_src_mac_next;
|
||||
reg [15:0] output_eth_type_reg = 0, output_eth_type_next;
|
||||
|
||||
// internal datapath
|
||||
reg [63:0] output_eth_payload_tdata_int;
|
||||
reg [7:0] output_eth_payload_tkeep_int;
|
||||
reg output_eth_payload_tvalid_int;
|
||||
reg output_eth_payload_tready_int = 0;
|
||||
reg output_eth_payload_tlast_int;
|
||||
reg output_eth_payload_tuser_int;
|
||||
wire output_eth_payload_tready_int_early;
|
||||
|
||||
assign input_eth_hdr_ready = input_eth_hdr_ready_reg;
|
||||
assign input_eth_payload_tready = input_eth_payload_tready_reg;
|
||||
|
||||
assign output_0_eth_hdr_valid = output_0_eth_hdr_valid_reg;
|
||||
assign output_0_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_0_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_0_eth_type = output_eth_type_reg;
|
||||
|
||||
assign output_1_eth_hdr_valid = output_1_eth_hdr_valid_reg;
|
||||
assign output_1_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_1_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_1_eth_type = output_eth_type_reg;
|
||||
|
||||
assign output_2_eth_hdr_valid = output_2_eth_hdr_valid_reg;
|
||||
assign output_2_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_2_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_2_eth_type = output_eth_type_reg;
|
||||
|
||||
assign output_3_eth_hdr_valid = output_3_eth_hdr_valid_reg;
|
||||
assign output_3_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_3_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_3_eth_type = output_eth_type_reg;
|
||||
|
||||
// mux for output control signals
|
||||
reg current_output_eth_hdr_valid;
|
||||
reg current_output_eth_hdr_ready;
|
||||
reg current_output_tvalid;
|
||||
reg current_output_tready;
|
||||
always @* begin
|
||||
case (select_reg)
|
||||
2'd0: begin
|
||||
current_output_eth_hdr_valid = output_0_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_0_eth_hdr_ready;
|
||||
current_output_tvalid = output_0_eth_payload_tvalid;
|
||||
current_output_tready = output_0_eth_payload_tready;
|
||||
end
|
||||
2'd1: begin
|
||||
current_output_eth_hdr_valid = output_1_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_1_eth_hdr_ready;
|
||||
current_output_tvalid = output_1_eth_payload_tvalid;
|
||||
current_output_tready = output_1_eth_payload_tready;
|
||||
end
|
||||
2'd2: begin
|
||||
current_output_eth_hdr_valid = output_2_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_2_eth_hdr_ready;
|
||||
current_output_tvalid = output_2_eth_payload_tvalid;
|
||||
current_output_tready = output_2_eth_payload_tready;
|
||||
end
|
||||
2'd3: begin
|
||||
current_output_eth_hdr_valid = output_3_eth_hdr_valid;
|
||||
current_output_eth_hdr_ready = output_3_eth_hdr_ready;
|
||||
current_output_tvalid = output_3_eth_payload_tvalid;
|
||||
current_output_tready = output_3_eth_payload_tready;
|
||||
end
|
||||
endcase
|
||||
end
|
||||
|
||||
always @* begin
|
||||
select_next = select_reg;
|
||||
frame_next = frame_reg;
|
||||
|
||||
input_eth_hdr_ready_next = input_eth_hdr_ready_reg & ~input_eth_hdr_valid;
|
||||
input_eth_payload_tready_next = 0;
|
||||
output_0_eth_hdr_valid_next = output_0_eth_hdr_valid_reg & ~output_0_eth_hdr_ready;
|
||||
output_1_eth_hdr_valid_next = output_1_eth_hdr_valid_reg & ~output_1_eth_hdr_ready;
|
||||
output_2_eth_hdr_valid_next = output_2_eth_hdr_valid_reg & ~output_2_eth_hdr_ready;
|
||||
output_3_eth_hdr_valid_next = output_3_eth_hdr_valid_reg & ~output_3_eth_hdr_ready;
|
||||
output_eth_dest_mac_next = output_eth_dest_mac_reg;
|
||||
output_eth_src_mac_next = output_eth_src_mac_reg;
|
||||
output_eth_type_next = output_eth_type_reg;
|
||||
|
||||
if (frame_reg) begin
|
||||
if (input_eth_payload_tvalid & input_eth_payload_tready) begin
|
||||
// end of frame detection
|
||||
frame_next = ~input_eth_payload_tlast;
|
||||
end
|
||||
end else if (enable & input_eth_hdr_valid & ~current_output_eth_hdr_valid & ~current_output_tvalid) begin
|
||||
// start of frame, grab select value
|
||||
frame_next = 1;
|
||||
select_next = select;
|
||||
|
||||
input_eth_hdr_ready_next = 1;
|
||||
|
||||
case (select)
|
||||
2'd0: output_0_eth_hdr_valid_next = 1;
|
||||
2'd1: output_1_eth_hdr_valid_next = 1;
|
||||
2'd2: output_2_eth_hdr_valid_next = 1;
|
||||
2'd3: output_3_eth_hdr_valid_next = 1;
|
||||
endcase
|
||||
output_eth_dest_mac_next = input_eth_dest_mac;
|
||||
output_eth_src_mac_next = input_eth_src_mac;
|
||||
output_eth_type_next = input_eth_type;
|
||||
end
|
||||
|
||||
input_eth_payload_tready_next = output_eth_payload_tready_int_early & frame_next;
|
||||
|
||||
output_eth_payload_tdata_int = input_eth_payload_tdata;
|
||||
output_eth_payload_tkeep_int = input_eth_payload_tkeep;
|
||||
output_eth_payload_tvalid_int = input_eth_payload_tvalid & input_eth_payload_tready;
|
||||
output_eth_payload_tlast_int = input_eth_payload_tlast;
|
||||
output_eth_payload_tuser_int = input_eth_payload_tuser;
|
||||
end
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
select_reg <= 0;
|
||||
frame_reg <= 0;
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 0;
|
||||
output_0_eth_hdr_valid_reg <= 0;
|
||||
output_1_eth_hdr_valid_reg <= 0;
|
||||
output_2_eth_hdr_valid_reg <= 0;
|
||||
output_3_eth_hdr_valid_reg <= 0;
|
||||
output_eth_dest_mac_reg <= 0;
|
||||
output_eth_src_mac_reg <= 0;
|
||||
output_eth_type_reg <= 0;
|
||||
end else begin
|
||||
select_reg <= select_next;
|
||||
frame_reg <= frame_next;
|
||||
input_eth_hdr_ready_reg <= input_eth_hdr_ready_next;
|
||||
input_eth_payload_tready_reg <= input_eth_payload_tready_next;
|
||||
output_0_eth_hdr_valid_reg <= output_0_eth_hdr_valid_next;
|
||||
output_1_eth_hdr_valid_reg <= output_1_eth_hdr_valid_next;
|
||||
output_2_eth_hdr_valid_reg <= output_2_eth_hdr_valid_next;
|
||||
output_3_eth_hdr_valid_reg <= output_3_eth_hdr_valid_next;
|
||||
output_eth_dest_mac_reg <= output_eth_dest_mac_next;
|
||||
output_eth_src_mac_reg <= output_eth_src_mac_next;
|
||||
output_eth_type_reg <= output_eth_type_next;
|
||||
end
|
||||
end
|
||||
|
||||
// output datapath logic
|
||||
reg [63:0] output_eth_payload_tdata_reg = 0;
|
||||
reg [7:0] output_eth_payload_tkeep_reg = 0;
|
||||
reg output_0_eth_payload_tvalid_reg = 0;
|
||||
reg output_1_eth_payload_tvalid_reg = 0;
|
||||
reg output_2_eth_payload_tvalid_reg = 0;
|
||||
reg output_3_eth_payload_tvalid_reg = 0;
|
||||
reg output_eth_payload_tlast_reg = 0;
|
||||
reg output_eth_payload_tuser_reg = 0;
|
||||
|
||||
reg [63:0] temp_eth_payload_tdata_reg = 0;
|
||||
reg [7:0] temp_eth_payload_tkeep_reg = 0;
|
||||
reg temp_eth_payload_tvalid_reg = 0;
|
||||
reg temp_eth_payload_tlast_reg = 0;
|
||||
reg temp_eth_payload_tuser_reg = 0;
|
||||
|
||||
assign output_0_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_0_eth_payload_tkeep = output_eth_payload_tkeep_reg;
|
||||
assign output_0_eth_payload_tvalid = output_0_eth_payload_tvalid_reg;
|
||||
assign output_0_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_0_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
assign output_1_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_1_eth_payload_tkeep = output_eth_payload_tkeep_reg;
|
||||
assign output_1_eth_payload_tvalid = output_1_eth_payload_tvalid_reg;
|
||||
assign output_1_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_1_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
assign output_2_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_2_eth_payload_tkeep = output_eth_payload_tkeep_reg;
|
||||
assign output_2_eth_payload_tvalid = output_2_eth_payload_tvalid_reg;
|
||||
assign output_2_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_2_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
assign output_3_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_3_eth_payload_tkeep = output_eth_payload_tkeep_reg;
|
||||
assign output_3_eth_payload_tvalid = output_3_eth_payload_tvalid_reg;
|
||||
assign output_3_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_3_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
// enable ready input next cycle if output is ready or if there is space in both output registers or if there is space in the temp register that will not be filled next cycle
|
||||
assign output_eth_payload_tready_int_early = current_output_tready | (~temp_eth_payload_tvalid_reg & ~current_output_tvalid) | (~temp_eth_payload_tvalid_reg & ~output_eth_payload_tvalid_int);
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
output_eth_payload_tdata_reg <= 0;
|
||||
output_eth_payload_tkeep_reg <= 0;
|
||||
output_0_eth_payload_tvalid_reg <= 0;
|
||||
output_1_eth_payload_tvalid_reg <= 0;
|
||||
output_2_eth_payload_tvalid_reg <= 0;
|
||||
output_3_eth_payload_tvalid_reg <= 0;
|
||||
output_eth_payload_tlast_reg <= 0;
|
||||
output_eth_payload_tuser_reg <= 0;
|
||||
output_eth_payload_tready_int <= 0;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tkeep_reg <= 0;
|
||||
temp_eth_payload_tvalid_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
end else begin
|
||||
// transfer sink ready state to source
|
||||
output_eth_payload_tready_int <= output_eth_payload_tready_int_early;
|
||||
|
||||
if (output_eth_payload_tready_int) begin
|
||||
// input is ready
|
||||
if (current_output_tready | ~current_output_tvalid) begin
|
||||
// output is ready or currently not valid, transfer data to output
|
||||
output_eth_payload_tdata_reg <= output_eth_payload_tdata_int;
|
||||
output_eth_payload_tkeep_reg <= output_eth_payload_tkeep_int;
|
||||
case (select_reg)
|
||||
2'd0: output_0_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
2'd1: output_1_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
2'd2: output_2_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
2'd3: output_3_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
endcase
|
||||
output_eth_payload_tlast_reg <= output_eth_payload_tlast_int;
|
||||
output_eth_payload_tuser_reg <= output_eth_payload_tuser_int;
|
||||
end else begin
|
||||
// output is not ready, store input in temp
|
||||
temp_eth_payload_tdata_reg <= output_eth_payload_tdata_int;
|
||||
temp_eth_payload_tkeep_reg <= output_eth_payload_tkeep_int;
|
||||
temp_eth_payload_tvalid_reg <= output_eth_payload_tvalid_int;
|
||||
temp_eth_payload_tlast_reg <= output_eth_payload_tlast_int;
|
||||
temp_eth_payload_tuser_reg <= output_eth_payload_tuser_int;
|
||||
end
|
||||
end else if (current_output_tready) begin
|
||||
// input is not ready, but output is ready
|
||||
output_eth_payload_tdata_reg <= temp_eth_payload_tdata_reg;
|
||||
output_eth_payload_tkeep_reg <= temp_eth_payload_tkeep_reg;
|
||||
case (select_reg)
|
||||
2'd0: output_0_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
2'd1: output_1_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
2'd2: output_2_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
2'd3: output_3_eth_payload_tvalid_reg <= temp_eth_payload_tvalid_reg;
|
||||
endcase
|
||||
output_eth_payload_tlast_reg <= temp_eth_payload_tlast_reg;
|
||||
output_eth_payload_tuser_reg <= temp_eth_payload_tuser_reg;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tkeep_reg <= 0;
|
||||
temp_eth_payload_tvalid_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
646
tb/test_eth_demux_4.py
Executable file
646
tb/test_eth_demux_4.py
Executable file
@ -0,0 +1,646 @@
|
||||
#!/usr/bin/env python2
|
||||
"""
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
"""
|
||||
|
||||
from myhdl import *
|
||||
import os
|
||||
from Queue import Queue
|
||||
|
||||
import eth_ep
|
||||
|
||||
module = 'eth_demux_4'
|
||||
|
||||
srcs = []
|
||||
|
||||
srcs.append("../rtl/%s.v" % module)
|
||||
srcs.append("test_%s.v" % module)
|
||||
|
||||
src = ' '.join(srcs)
|
||||
|
||||
build_cmd = "iverilog -o test_%s.vvp %s" % (module, src)
|
||||
|
||||
def dut_eth_demux_4(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_eth_hdr_valid,
|
||||
input_eth_hdr_ready,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tready,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
|
||||
output_0_eth_hdr_valid,
|
||||
output_0_eth_hdr_ready,
|
||||
output_0_eth_dest_mac,
|
||||
output_0_eth_src_mac,
|
||||
output_0_eth_type,
|
||||
output_0_eth_payload_tdata,
|
||||
output_0_eth_payload_tvalid,
|
||||
output_0_eth_payload_tready,
|
||||
output_0_eth_payload_tlast,
|
||||
output_0_eth_payload_tuser,
|
||||
output_1_eth_hdr_valid,
|
||||
output_1_eth_hdr_ready,
|
||||
output_1_eth_dest_mac,
|
||||
output_1_eth_src_mac,
|
||||
output_1_eth_type,
|
||||
output_1_eth_payload_tdata,
|
||||
output_1_eth_payload_tvalid,
|
||||
output_1_eth_payload_tready,
|
||||
output_1_eth_payload_tlast,
|
||||
output_1_eth_payload_tuser,
|
||||
output_2_eth_hdr_valid,
|
||||
output_2_eth_hdr_ready,
|
||||
output_2_eth_dest_mac,
|
||||
output_2_eth_src_mac,
|
||||
output_2_eth_type,
|
||||
output_2_eth_payload_tdata,
|
||||
output_2_eth_payload_tvalid,
|
||||
output_2_eth_payload_tready,
|
||||
output_2_eth_payload_tlast,
|
||||
output_2_eth_payload_tuser,
|
||||
output_3_eth_hdr_valid,
|
||||
output_3_eth_hdr_ready,
|
||||
output_3_eth_dest_mac,
|
||||
output_3_eth_src_mac,
|
||||
output_3_eth_type,
|
||||
output_3_eth_payload_tdata,
|
||||
output_3_eth_payload_tvalid,
|
||||
output_3_eth_payload_tready,
|
||||
output_3_eth_payload_tlast,
|
||||
output_3_eth_payload_tuser,
|
||||
|
||||
enable,
|
||||
select):
|
||||
|
||||
if os.system(build_cmd):
|
||||
raise Exception("Error running build command")
|
||||
return Cosimulation("vvp -m myhdl test_%s.vvp -lxt2" % module,
|
||||
clk=clk,
|
||||
rst=rst,
|
||||
current_test=current_test,
|
||||
|
||||
input_eth_hdr_valid=input_eth_hdr_valid,
|
||||
input_eth_hdr_ready=input_eth_hdr_ready,
|
||||
input_eth_dest_mac=input_eth_dest_mac,
|
||||
input_eth_src_mac=input_eth_src_mac,
|
||||
input_eth_type=input_eth_type,
|
||||
input_eth_payload_tdata=input_eth_payload_tdata,
|
||||
input_eth_payload_tvalid=input_eth_payload_tvalid,
|
||||
input_eth_payload_tready=input_eth_payload_tready,
|
||||
input_eth_payload_tlast=input_eth_payload_tlast,
|
||||
input_eth_payload_tuser=input_eth_payload_tuser,
|
||||
|
||||
output_0_eth_hdr_valid=output_0_eth_hdr_valid,
|
||||
output_0_eth_hdr_ready=output_0_eth_hdr_ready,
|
||||
output_0_eth_dest_mac=output_0_eth_dest_mac,
|
||||
output_0_eth_src_mac=output_0_eth_src_mac,
|
||||
output_0_eth_type=output_0_eth_type,
|
||||
output_0_eth_payload_tdata=output_0_eth_payload_tdata,
|
||||
output_0_eth_payload_tvalid=output_0_eth_payload_tvalid,
|
||||
output_0_eth_payload_tready=output_0_eth_payload_tready,
|
||||
output_0_eth_payload_tlast=output_0_eth_payload_tlast,
|
||||
output_0_eth_payload_tuser=output_0_eth_payload_tuser,
|
||||
output_1_eth_hdr_valid=output_1_eth_hdr_valid,
|
||||
output_1_eth_hdr_ready=output_1_eth_hdr_ready,
|
||||
output_1_eth_dest_mac=output_1_eth_dest_mac,
|
||||
output_1_eth_src_mac=output_1_eth_src_mac,
|
||||
output_1_eth_type=output_1_eth_type,
|
||||
output_1_eth_payload_tdata=output_1_eth_payload_tdata,
|
||||
output_1_eth_payload_tvalid=output_1_eth_payload_tvalid,
|
||||
output_1_eth_payload_tready=output_1_eth_payload_tready,
|
||||
output_1_eth_payload_tlast=output_1_eth_payload_tlast,
|
||||
output_1_eth_payload_tuser=output_1_eth_payload_tuser,
|
||||
output_2_eth_hdr_valid=output_2_eth_hdr_valid,
|
||||
output_2_eth_hdr_ready=output_2_eth_hdr_ready,
|
||||
output_2_eth_dest_mac=output_2_eth_dest_mac,
|
||||
output_2_eth_src_mac=output_2_eth_src_mac,
|
||||
output_2_eth_type=output_2_eth_type,
|
||||
output_2_eth_payload_tdata=output_2_eth_payload_tdata,
|
||||
output_2_eth_payload_tvalid=output_2_eth_payload_tvalid,
|
||||
output_2_eth_payload_tready=output_2_eth_payload_tready,
|
||||
output_2_eth_payload_tlast=output_2_eth_payload_tlast,
|
||||
output_2_eth_payload_tuser=output_2_eth_payload_tuser,
|
||||
output_3_eth_hdr_valid=output_3_eth_hdr_valid,
|
||||
output_3_eth_hdr_ready=output_3_eth_hdr_ready,
|
||||
output_3_eth_dest_mac=output_3_eth_dest_mac,
|
||||
output_3_eth_src_mac=output_3_eth_src_mac,
|
||||
output_3_eth_type=output_3_eth_type,
|
||||
output_3_eth_payload_tdata=output_3_eth_payload_tdata,
|
||||
output_3_eth_payload_tvalid=output_3_eth_payload_tvalid,
|
||||
output_3_eth_payload_tready=output_3_eth_payload_tready,
|
||||
output_3_eth_payload_tlast=output_3_eth_payload_tlast,
|
||||
output_3_eth_payload_tuser=output_3_eth_payload_tuser,
|
||||
|
||||
enable=enable,
|
||||
select=select)
|
||||
|
||||
def bench():
|
||||
|
||||
# Inputs
|
||||
clk = Signal(bool(0))
|
||||
rst = Signal(bool(0))
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_eth_hdr_valid = Signal(bool(0))
|
||||
input_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
input_eth_src_mac = Signal(intbv(0)[48:])
|
||||
input_eth_type = Signal(intbv(0)[16:])
|
||||
input_eth_payload_tdata = Signal(intbv(0)[8:])
|
||||
input_eth_payload_tvalid = Signal(bool(0))
|
||||
input_eth_payload_tlast = Signal(bool(0))
|
||||
input_eth_payload_tuser = Signal(bool(0))
|
||||
|
||||
output_0_eth_hdr_ready = Signal(bool(0))
|
||||
output_0_eth_payload_tready = Signal(bool(0))
|
||||
output_1_eth_hdr_ready = Signal(bool(0))
|
||||
output_1_eth_payload_tready = Signal(bool(0))
|
||||
output_2_eth_hdr_ready = Signal(bool(0))
|
||||
output_2_eth_payload_tready = Signal(bool(0))
|
||||
output_3_eth_hdr_ready = Signal(bool(0))
|
||||
output_3_eth_payload_tready = Signal(bool(0))
|
||||
|
||||
enable = Signal(bool(0))
|
||||
select = Signal(intbv(0)[2:])
|
||||
|
||||
# Outputs
|
||||
input_eth_hdr_ready = Signal(bool(0))
|
||||
input_eth_payload_tready = Signal(bool(0))
|
||||
|
||||
output_0_eth_hdr_valid = Signal(bool(0))
|
||||
output_0_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_0_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_0_eth_type = Signal(intbv(0)[16:])
|
||||
output_0_eth_payload_tdata = Signal(intbv(0)[8:])
|
||||
output_0_eth_payload_tvalid = Signal(bool(0))
|
||||
output_0_eth_payload_tlast = Signal(bool(0))
|
||||
output_0_eth_payload_tuser = Signal(bool(0))
|
||||
output_1_eth_hdr_valid = Signal(bool(0))
|
||||
output_1_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_1_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_1_eth_type = Signal(intbv(0)[16:])
|
||||
output_1_eth_payload_tdata = Signal(intbv(0)[8:])
|
||||
output_1_eth_payload_tvalid = Signal(bool(0))
|
||||
output_1_eth_payload_tlast = Signal(bool(0))
|
||||
output_1_eth_payload_tuser = Signal(bool(0))
|
||||
output_2_eth_hdr_valid = Signal(bool(0))
|
||||
output_2_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_2_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_2_eth_type = Signal(intbv(0)[16:])
|
||||
output_2_eth_payload_tdata = Signal(intbv(0)[8:])
|
||||
output_2_eth_payload_tvalid = Signal(bool(0))
|
||||
output_2_eth_payload_tlast = Signal(bool(0))
|
||||
output_2_eth_payload_tuser = Signal(bool(0))
|
||||
output_3_eth_hdr_valid = Signal(bool(0))
|
||||
output_3_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_3_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_3_eth_type = Signal(intbv(0)[16:])
|
||||
output_3_eth_payload_tdata = Signal(intbv(0)[8:])
|
||||
output_3_eth_payload_tvalid = Signal(bool(0))
|
||||
output_3_eth_payload_tlast = Signal(bool(0))
|
||||
output_3_eth_payload_tuser = Signal(bool(0))
|
||||
|
||||
# sources and sinks
|
||||
source_queue = Queue()
|
||||
source_pause = Signal(bool(0))
|
||||
sink_0_queue = Queue()
|
||||
sink_0_pause = Signal(bool(0))
|
||||
sink_1_queue = Queue()
|
||||
sink_1_pause = Signal(bool(0))
|
||||
sink_2_queue = Queue()
|
||||
sink_2_pause = Signal(bool(0))
|
||||
sink_3_queue = Queue()
|
||||
sink_3_pause = Signal(bool(0))
|
||||
|
||||
source = eth_ep.EthFrameSource(clk,
|
||||
rst,
|
||||
eth_hdr_ready=input_eth_hdr_ready,
|
||||
eth_hdr_valid=input_eth_hdr_valid,
|
||||
eth_dest_mac=input_eth_dest_mac,
|
||||
eth_src_mac=input_eth_src_mac,
|
||||
eth_type=input_eth_type,
|
||||
eth_payload_tdata=input_eth_payload_tdata,
|
||||
eth_payload_tvalid=input_eth_payload_tvalid,
|
||||
eth_payload_tready=input_eth_payload_tready,
|
||||
eth_payload_tlast=input_eth_payload_tlast,
|
||||
eth_payload_tuser=input_eth_payload_tuser,
|
||||
fifo=source_queue,
|
||||
pause=source_pause,
|
||||
name='source')
|
||||
|
||||
sink_0 = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_0_eth_hdr_ready,
|
||||
eth_hdr_valid=output_0_eth_hdr_valid,
|
||||
eth_dest_mac=output_0_eth_dest_mac,
|
||||
eth_src_mac=output_0_eth_src_mac,
|
||||
eth_type=output_0_eth_type,
|
||||
eth_payload_tdata=output_0_eth_payload_tdata,
|
||||
eth_payload_tvalid=output_0_eth_payload_tvalid,
|
||||
eth_payload_tready=output_0_eth_payload_tready,
|
||||
eth_payload_tlast=output_0_eth_payload_tlast,
|
||||
eth_payload_tuser=output_0_eth_payload_tuser,
|
||||
fifo=sink_0_queue,
|
||||
pause=sink_0_pause,
|
||||
name='sink0')
|
||||
|
||||
sink_1 = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_1_eth_hdr_ready,
|
||||
eth_hdr_valid=output_1_eth_hdr_valid,
|
||||
eth_dest_mac=output_1_eth_dest_mac,
|
||||
eth_src_mac=output_1_eth_src_mac,
|
||||
eth_type=output_1_eth_type,
|
||||
eth_payload_tdata=output_1_eth_payload_tdata,
|
||||
eth_payload_tvalid=output_1_eth_payload_tvalid,
|
||||
eth_payload_tready=output_1_eth_payload_tready,
|
||||
eth_payload_tlast=output_1_eth_payload_tlast,
|
||||
eth_payload_tuser=output_1_eth_payload_tuser,
|
||||
fifo=sink_1_queue,
|
||||
pause=sink_1_pause,
|
||||
name='sink1')
|
||||
|
||||
sink_2 = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_2_eth_hdr_ready,
|
||||
eth_hdr_valid=output_2_eth_hdr_valid,
|
||||
eth_dest_mac=output_2_eth_dest_mac,
|
||||
eth_src_mac=output_2_eth_src_mac,
|
||||
eth_type=output_2_eth_type,
|
||||
eth_payload_tdata=output_2_eth_payload_tdata,
|
||||
eth_payload_tvalid=output_2_eth_payload_tvalid,
|
||||
eth_payload_tready=output_2_eth_payload_tready,
|
||||
eth_payload_tlast=output_2_eth_payload_tlast,
|
||||
eth_payload_tuser=output_2_eth_payload_tuser,
|
||||
fifo=sink_2_queue,
|
||||
pause=sink_2_pause,
|
||||
name='sink2')
|
||||
|
||||
sink_3 = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_3_eth_hdr_ready,
|
||||
eth_hdr_valid=output_3_eth_hdr_valid,
|
||||
eth_dest_mac=output_3_eth_dest_mac,
|
||||
eth_src_mac=output_3_eth_src_mac,
|
||||
eth_type=output_3_eth_type,
|
||||
eth_payload_tdata=output_3_eth_payload_tdata,
|
||||
eth_payload_tvalid=output_3_eth_payload_tvalid,
|
||||
eth_payload_tready=output_3_eth_payload_tready,
|
||||
eth_payload_tlast=output_3_eth_payload_tlast,
|
||||
eth_payload_tuser=output_3_eth_payload_tuser,
|
||||
fifo=sink_3_queue,
|
||||
pause=sink_3_pause,
|
||||
name='sink3')
|
||||
|
||||
# DUT
|
||||
dut = dut_eth_demux_4(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_eth_hdr_valid,
|
||||
input_eth_hdr_ready,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tready,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
|
||||
output_0_eth_hdr_valid,
|
||||
output_0_eth_hdr_ready,
|
||||
output_0_eth_dest_mac,
|
||||
output_0_eth_src_mac,
|
||||
output_0_eth_type,
|
||||
output_0_eth_payload_tdata,
|
||||
output_0_eth_payload_tvalid,
|
||||
output_0_eth_payload_tready,
|
||||
output_0_eth_payload_tlast,
|
||||
output_0_eth_payload_tuser,
|
||||
output_1_eth_hdr_valid,
|
||||
output_1_eth_hdr_ready,
|
||||
output_1_eth_dest_mac,
|
||||
output_1_eth_src_mac,
|
||||
output_1_eth_type,
|
||||
output_1_eth_payload_tdata,
|
||||
output_1_eth_payload_tvalid,
|
||||
output_1_eth_payload_tready,
|
||||
output_1_eth_payload_tlast,
|
||||
output_1_eth_payload_tuser,
|
||||
output_2_eth_hdr_valid,
|
||||
output_2_eth_hdr_ready,
|
||||
output_2_eth_dest_mac,
|
||||
output_2_eth_src_mac,
|
||||
output_2_eth_type,
|
||||
output_2_eth_payload_tdata,
|
||||
output_2_eth_payload_tvalid,
|
||||
output_2_eth_payload_tready,
|
||||
output_2_eth_payload_tlast,
|
||||
output_2_eth_payload_tuser,
|
||||
output_3_eth_hdr_valid,
|
||||
output_3_eth_hdr_ready,
|
||||
output_3_eth_dest_mac,
|
||||
output_3_eth_src_mac,
|
||||
output_3_eth_type,
|
||||
output_3_eth_payload_tdata,
|
||||
output_3_eth_payload_tvalid,
|
||||
output_3_eth_payload_tready,
|
||||
output_3_eth_payload_tlast,
|
||||
output_3_eth_payload_tuser,
|
||||
|
||||
enable,
|
||||
select)
|
||||
|
||||
@always(delay(4))
|
||||
def clkgen():
|
||||
clk.next = not clk
|
||||
|
||||
@instance
|
||||
def check():
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
rst.next = 1
|
||||
yield clk.posedge
|
||||
rst.next = 0
|
||||
yield clk.posedge
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
enable.next = True
|
||||
|
||||
yield clk.posedge
|
||||
print("test 1: select port 0")
|
||||
current_test.next = 1
|
||||
|
||||
select.next = 0
|
||||
|
||||
test_frame = eth_ep.EthFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x8000
|
||||
test_frame.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_0_queue.empty():
|
||||
rx_frame = sink_0_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 2: select port 1")
|
||||
current_test.next = 2
|
||||
|
||||
select.next = 1
|
||||
|
||||
test_frame = eth_ep.EthFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x8000
|
||||
test_frame.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_1_queue.empty():
|
||||
rx_frame = sink_1_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 3: back-to-back packets, same port")
|
||||
current_test.next = 3
|
||||
|
||||
select.next = 0
|
||||
|
||||
test_frame1 = eth_ep.EthFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x8000
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame2 = eth_ep.EthFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x8000
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_0_queue.empty():
|
||||
rx_frame = sink_0_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_0_queue.empty():
|
||||
rx_frame = sink_0_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 4: back-to-back packets, different ports")
|
||||
current_test.next = 4
|
||||
|
||||
select.next = 1
|
||||
|
||||
test_frame1 = eth_ep.EthFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x8000
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame2 = eth_ep.EthFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x8000
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
yield clk.posedge
|
||||
select.next = 2
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_2_queue.empty():
|
||||
rx_frame = sink_1_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_2_queue.empty():
|
||||
rx_frame = sink_2_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 5: alterate pause source")
|
||||
current_test.next = 5
|
||||
|
||||
select.next = 1
|
||||
|
||||
test_frame1 = eth_ep.EthFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x8000
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame2 = eth_ep.EthFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x8000
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
source_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
source_pause.next = False
|
||||
yield clk.posedge
|
||||
select.next = 2
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_1_queue.empty():
|
||||
rx_frame = sink_1_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_2_queue.empty():
|
||||
rx_frame = sink_2_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 6: alterate pause sink")
|
||||
current_test.next = 6
|
||||
|
||||
select.next = 1
|
||||
|
||||
test_frame1 = eth_ep.EthFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x8000
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame2 = eth_ep.EthFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x8000
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
sink_0_pause.next = True
|
||||
sink_1_pause.next = True
|
||||
sink_2_pause.next = True
|
||||
sink_3_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
sink_0_pause.next = False
|
||||
sink_1_pause.next = False
|
||||
sink_2_pause.next = False
|
||||
sink_3_pause.next = False
|
||||
yield clk.posedge
|
||||
select.next = 2
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_1_queue.empty():
|
||||
rx_frame = sink_1_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_2_queue.empty():
|
||||
rx_frame = sink_2_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
raise StopSimulation
|
||||
|
||||
return dut, source, sink_0, sink_1, sink_2, sink_3, clkgen, check
|
||||
|
||||
def test_bench():
|
||||
os.chdir(os.path.dirname(os.path.abspath(__file__)))
|
||||
sim = Simulation(bench())
|
||||
sim.run()
|
||||
|
||||
if __name__ == '__main__':
|
||||
print("Running test...")
|
||||
test_bench()
|
||||
|
218
tb/test_eth_demux_4.v
Normal file
218
tb/test_eth_demux_4.v
Normal file
@ -0,0 +1,218 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1 ns / 1 ps
|
||||
|
||||
module test_eth_demux_4;
|
||||
|
||||
// Inputs
|
||||
reg clk = 0;
|
||||
reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg input_eth_hdr_valid = 0;
|
||||
reg [47:0] input_eth_dest_mac = 0;
|
||||
reg [47:0] input_eth_src_mac = 0;
|
||||
reg [15:0] input_eth_type = 0;
|
||||
reg [7:0] input_eth_payload_tdata = 0;
|
||||
reg input_eth_payload_tvalid = 0;
|
||||
reg input_eth_payload_tlast = 0;
|
||||
reg input_eth_payload_tuser = 0;
|
||||
|
||||
reg output_0_eth_hdr_ready = 0;
|
||||
reg output_0_eth_payload_tready = 0;
|
||||
reg output_1_eth_hdr_ready = 0;
|
||||
reg output_1_eth_payload_tready = 0;
|
||||
reg output_2_eth_hdr_ready = 0;
|
||||
reg output_2_eth_payload_tready = 0;
|
||||
reg output_3_eth_hdr_ready = 0;
|
||||
reg output_3_eth_payload_tready = 0;
|
||||
|
||||
reg enable = 0;
|
||||
reg [1:0] select = 0;
|
||||
|
||||
// Outputs
|
||||
wire input_eth_hdr_ready;
|
||||
wire input_eth_payload_tready;
|
||||
|
||||
wire output_0_eth_hdr_valid;
|
||||
wire [47:0] output_0_eth_dest_mac;
|
||||
wire [47:0] output_0_eth_src_mac;
|
||||
wire [15:0] output_0_eth_type;
|
||||
wire [7:0] output_0_eth_payload_tdata;
|
||||
wire output_0_eth_payload_tvalid;
|
||||
wire output_0_eth_payload_tlast;
|
||||
wire output_0_eth_payload_tuser;
|
||||
wire output_1_eth_hdr_valid;
|
||||
wire [47:0] output_1_eth_dest_mac;
|
||||
wire [47:0] output_1_eth_src_mac;
|
||||
wire [15:0] output_1_eth_type;
|
||||
wire [7:0] output_1_eth_payload_tdata;
|
||||
wire output_1_eth_payload_tvalid;
|
||||
wire output_1_eth_payload_tlast;
|
||||
wire output_1_eth_payload_tuser;
|
||||
wire output_2_eth_hdr_valid;
|
||||
wire [47:0] output_2_eth_dest_mac;
|
||||
wire [47:0] output_2_eth_src_mac;
|
||||
wire [15:0] output_2_eth_type;
|
||||
wire [7:0] output_2_eth_payload_tdata;
|
||||
wire output_2_eth_payload_tvalid;
|
||||
wire output_2_eth_payload_tlast;
|
||||
wire output_2_eth_payload_tuser;
|
||||
wire output_3_eth_hdr_valid;
|
||||
wire [47:0] output_3_eth_dest_mac;
|
||||
wire [47:0] output_3_eth_src_mac;
|
||||
wire [15:0] output_3_eth_type;
|
||||
wire [7:0] output_3_eth_payload_tdata;
|
||||
wire output_3_eth_payload_tvalid;
|
||||
wire output_3_eth_payload_tlast;
|
||||
wire output_3_eth_payload_tuser;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
$from_myhdl(clk,
|
||||
rst,
|
||||
current_test,
|
||||
input_eth_hdr_valid,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
output_0_eth_hdr_ready,
|
||||
output_0_eth_payload_tready,
|
||||
output_1_eth_hdr_ready,
|
||||
output_1_eth_payload_tready,
|
||||
output_2_eth_hdr_ready,
|
||||
output_2_eth_payload_tready,
|
||||
output_3_eth_hdr_ready,
|
||||
output_3_eth_payload_tready,
|
||||
enable,
|
||||
select);
|
||||
$to_myhdl(input_eth_hdr_ready,
|
||||
input_eth_payload_tready,
|
||||
output_0_eth_hdr_valid,
|
||||
output_0_eth_dest_mac,
|
||||
output_0_eth_src_mac,
|
||||
output_0_eth_type,
|
||||
output_0_eth_payload_tdata,
|
||||
output_0_eth_payload_tvalid,
|
||||
output_0_eth_payload_tlast,
|
||||
output_0_eth_payload_tuser,
|
||||
output_1_eth_hdr_valid,
|
||||
output_1_eth_dest_mac,
|
||||
output_1_eth_src_mac,
|
||||
output_1_eth_type,
|
||||
output_1_eth_payload_tdata,
|
||||
output_1_eth_payload_tvalid,
|
||||
output_1_eth_payload_tlast,
|
||||
output_1_eth_payload_tuser,
|
||||
output_2_eth_hdr_valid,
|
||||
output_2_eth_dest_mac,
|
||||
output_2_eth_src_mac,
|
||||
output_2_eth_type,
|
||||
output_2_eth_payload_tdata,
|
||||
output_2_eth_payload_tvalid,
|
||||
output_2_eth_payload_tlast,
|
||||
output_2_eth_payload_tuser,
|
||||
output_3_eth_hdr_valid,
|
||||
output_3_eth_dest_mac,
|
||||
output_3_eth_src_mac,
|
||||
output_3_eth_type,
|
||||
output_3_eth_payload_tdata,
|
||||
output_3_eth_payload_tvalid,
|
||||
output_3_eth_payload_tlast,
|
||||
output_3_eth_payload_tuser);
|
||||
|
||||
// dump file
|
||||
$dumpfile("test_eth_demux_4.lxt");
|
||||
$dumpvars(0, test_eth_demux_4);
|
||||
end
|
||||
|
||||
eth_demux_4
|
||||
UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// Ethernet frame input
|
||||
.input_eth_hdr_valid(input_eth_hdr_valid),
|
||||
.input_eth_hdr_ready(input_eth_hdr_ready),
|
||||
.input_eth_dest_mac(input_eth_dest_mac),
|
||||
.input_eth_src_mac(input_eth_src_mac),
|
||||
.input_eth_type(input_eth_type),
|
||||
.input_eth_payload_tdata(input_eth_payload_tdata),
|
||||
.input_eth_payload_tvalid(input_eth_payload_tvalid),
|
||||
.input_eth_payload_tready(input_eth_payload_tready),
|
||||
.input_eth_payload_tlast(input_eth_payload_tlast),
|
||||
.input_eth_payload_tuser(input_eth_payload_tuser),
|
||||
// Ethernet frame outputs
|
||||
.output_0_eth_hdr_valid(output_0_eth_hdr_valid),
|
||||
.output_0_eth_hdr_ready(output_0_eth_hdr_ready),
|
||||
.output_0_eth_dest_mac(output_0_eth_dest_mac),
|
||||
.output_0_eth_src_mac(output_0_eth_src_mac),
|
||||
.output_0_eth_type(output_0_eth_type),
|
||||
.output_0_eth_payload_tdata(output_0_eth_payload_tdata),
|
||||
.output_0_eth_payload_tvalid(output_0_eth_payload_tvalid),
|
||||
.output_0_eth_payload_tready(output_0_eth_payload_tready),
|
||||
.output_0_eth_payload_tlast(output_0_eth_payload_tlast),
|
||||
.output_0_eth_payload_tuser(output_0_eth_payload_tuser),
|
||||
.output_1_eth_hdr_valid(output_1_eth_hdr_valid),
|
||||
.output_1_eth_hdr_ready(output_1_eth_hdr_ready),
|
||||
.output_1_eth_dest_mac(output_1_eth_dest_mac),
|
||||
.output_1_eth_src_mac(output_1_eth_src_mac),
|
||||
.output_1_eth_type(output_1_eth_type),
|
||||
.output_1_eth_payload_tdata(output_1_eth_payload_tdata),
|
||||
.output_1_eth_payload_tvalid(output_1_eth_payload_tvalid),
|
||||
.output_1_eth_payload_tready(output_1_eth_payload_tready),
|
||||
.output_1_eth_payload_tlast(output_1_eth_payload_tlast),
|
||||
.output_1_eth_payload_tuser(output_1_eth_payload_tuser),
|
||||
.output_2_eth_hdr_valid(output_2_eth_hdr_valid),
|
||||
.output_2_eth_hdr_ready(output_2_eth_hdr_ready),
|
||||
.output_2_eth_dest_mac(output_2_eth_dest_mac),
|
||||
.output_2_eth_src_mac(output_2_eth_src_mac),
|
||||
.output_2_eth_type(output_2_eth_type),
|
||||
.output_2_eth_payload_tdata(output_2_eth_payload_tdata),
|
||||
.output_2_eth_payload_tvalid(output_2_eth_payload_tvalid),
|
||||
.output_2_eth_payload_tready(output_2_eth_payload_tready),
|
||||
.output_2_eth_payload_tlast(output_2_eth_payload_tlast),
|
||||
.output_2_eth_payload_tuser(output_2_eth_payload_tuser),
|
||||
.output_3_eth_hdr_valid(output_3_eth_hdr_valid),
|
||||
.output_3_eth_hdr_ready(output_3_eth_hdr_ready),
|
||||
.output_3_eth_dest_mac(output_3_eth_dest_mac),
|
||||
.output_3_eth_src_mac(output_3_eth_src_mac),
|
||||
.output_3_eth_type(output_3_eth_type),
|
||||
.output_3_eth_payload_tdata(output_3_eth_payload_tdata),
|
||||
.output_3_eth_payload_tvalid(output_3_eth_payload_tvalid),
|
||||
.output_3_eth_payload_tready(output_3_eth_payload_tready),
|
||||
.output_3_eth_payload_tlast(output_3_eth_payload_tlast),
|
||||
.output_3_eth_payload_tuser(output_3_eth_payload_tuser),
|
||||
// Control
|
||||
.enable(enable),
|
||||
.select(select)
|
||||
);
|
||||
|
||||
endmodule
|
671
tb/test_eth_demux_64_4.py
Executable file
671
tb/test_eth_demux_64_4.py
Executable file
@ -0,0 +1,671 @@
|
||||
#!/usr/bin/env python2
|
||||
"""
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
"""
|
||||
|
||||
from myhdl import *
|
||||
import os
|
||||
from Queue import Queue
|
||||
|
||||
import eth_ep
|
||||
|
||||
module = 'eth_demux_64_4'
|
||||
|
||||
srcs = []
|
||||
|
||||
srcs.append("../rtl/%s.v" % module)
|
||||
srcs.append("test_%s.v" % module)
|
||||
|
||||
src = ' '.join(srcs)
|
||||
|
||||
build_cmd = "iverilog -o test_%s.vvp %s" % (module, src)
|
||||
|
||||
def dut_eth_demux_64_4(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_eth_hdr_valid,
|
||||
input_eth_hdr_ready,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tkeep,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tready,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
|
||||
output_0_eth_hdr_valid,
|
||||
output_0_eth_hdr_ready,
|
||||
output_0_eth_dest_mac,
|
||||
output_0_eth_src_mac,
|
||||
output_0_eth_type,
|
||||
output_0_eth_payload_tdata,
|
||||
output_0_eth_payload_tkeep,
|
||||
output_0_eth_payload_tvalid,
|
||||
output_0_eth_payload_tready,
|
||||
output_0_eth_payload_tlast,
|
||||
output_0_eth_payload_tuser,
|
||||
output_1_eth_hdr_valid,
|
||||
output_1_eth_hdr_ready,
|
||||
output_1_eth_dest_mac,
|
||||
output_1_eth_src_mac,
|
||||
output_1_eth_type,
|
||||
output_1_eth_payload_tdata,
|
||||
output_1_eth_payload_tkeep,
|
||||
output_1_eth_payload_tvalid,
|
||||
output_1_eth_payload_tready,
|
||||
output_1_eth_payload_tlast,
|
||||
output_1_eth_payload_tuser,
|
||||
output_2_eth_hdr_valid,
|
||||
output_2_eth_hdr_ready,
|
||||
output_2_eth_dest_mac,
|
||||
output_2_eth_src_mac,
|
||||
output_2_eth_type,
|
||||
output_2_eth_payload_tdata,
|
||||
output_2_eth_payload_tkeep,
|
||||
output_2_eth_payload_tvalid,
|
||||
output_2_eth_payload_tready,
|
||||
output_2_eth_payload_tlast,
|
||||
output_2_eth_payload_tuser,
|
||||
output_3_eth_hdr_valid,
|
||||
output_3_eth_hdr_ready,
|
||||
output_3_eth_dest_mac,
|
||||
output_3_eth_src_mac,
|
||||
output_3_eth_type,
|
||||
output_3_eth_payload_tdata,
|
||||
output_3_eth_payload_tkeep,
|
||||
output_3_eth_payload_tvalid,
|
||||
output_3_eth_payload_tready,
|
||||
output_3_eth_payload_tlast,
|
||||
output_3_eth_payload_tuser,
|
||||
|
||||
enable,
|
||||
select):
|
||||
|
||||
if os.system(build_cmd):
|
||||
raise Exception("Error running build command")
|
||||
return Cosimulation("vvp -m myhdl test_%s.vvp -lxt2" % module,
|
||||
clk=clk,
|
||||
rst=rst,
|
||||
current_test=current_test,
|
||||
|
||||
input_eth_hdr_valid=input_eth_hdr_valid,
|
||||
input_eth_hdr_ready=input_eth_hdr_ready,
|
||||
input_eth_dest_mac=input_eth_dest_mac,
|
||||
input_eth_src_mac=input_eth_src_mac,
|
||||
input_eth_type=input_eth_type,
|
||||
input_eth_payload_tdata=input_eth_payload_tdata,
|
||||
input_eth_payload_tkeep=input_eth_payload_tkeep,
|
||||
input_eth_payload_tvalid=input_eth_payload_tvalid,
|
||||
input_eth_payload_tready=input_eth_payload_tready,
|
||||
input_eth_payload_tlast=input_eth_payload_tlast,
|
||||
input_eth_payload_tuser=input_eth_payload_tuser,
|
||||
|
||||
output_0_eth_hdr_valid=output_0_eth_hdr_valid,
|
||||
output_0_eth_hdr_ready=output_0_eth_hdr_ready,
|
||||
output_0_eth_dest_mac=output_0_eth_dest_mac,
|
||||
output_0_eth_src_mac=output_0_eth_src_mac,
|
||||
output_0_eth_type=output_0_eth_type,
|
||||
output_0_eth_payload_tdata=output_0_eth_payload_tdata,
|
||||
output_0_eth_payload_tkeep=output_0_eth_payload_tkeep,
|
||||
output_0_eth_payload_tvalid=output_0_eth_payload_tvalid,
|
||||
output_0_eth_payload_tready=output_0_eth_payload_tready,
|
||||
output_0_eth_payload_tlast=output_0_eth_payload_tlast,
|
||||
output_0_eth_payload_tuser=output_0_eth_payload_tuser,
|
||||
output_1_eth_hdr_valid=output_1_eth_hdr_valid,
|
||||
output_1_eth_hdr_ready=output_1_eth_hdr_ready,
|
||||
output_1_eth_dest_mac=output_1_eth_dest_mac,
|
||||
output_1_eth_src_mac=output_1_eth_src_mac,
|
||||
output_1_eth_type=output_1_eth_type,
|
||||
output_1_eth_payload_tdata=output_1_eth_payload_tdata,
|
||||
output_1_eth_payload_tkeep=output_1_eth_payload_tkeep,
|
||||
output_1_eth_payload_tvalid=output_1_eth_payload_tvalid,
|
||||
output_1_eth_payload_tready=output_1_eth_payload_tready,
|
||||
output_1_eth_payload_tlast=output_1_eth_payload_tlast,
|
||||
output_1_eth_payload_tuser=output_1_eth_payload_tuser,
|
||||
output_2_eth_hdr_valid=output_2_eth_hdr_valid,
|
||||
output_2_eth_hdr_ready=output_2_eth_hdr_ready,
|
||||
output_2_eth_dest_mac=output_2_eth_dest_mac,
|
||||
output_2_eth_src_mac=output_2_eth_src_mac,
|
||||
output_2_eth_type=output_2_eth_type,
|
||||
output_2_eth_payload_tdata=output_2_eth_payload_tdata,
|
||||
output_2_eth_payload_tkeep=output_2_eth_payload_tkeep,
|
||||
output_2_eth_payload_tvalid=output_2_eth_payload_tvalid,
|
||||
output_2_eth_payload_tready=output_2_eth_payload_tready,
|
||||
output_2_eth_payload_tlast=output_2_eth_payload_tlast,
|
||||
output_2_eth_payload_tuser=output_2_eth_payload_tuser,
|
||||
output_3_eth_hdr_valid=output_3_eth_hdr_valid,
|
||||
output_3_eth_hdr_ready=output_3_eth_hdr_ready,
|
||||
output_3_eth_dest_mac=output_3_eth_dest_mac,
|
||||
output_3_eth_src_mac=output_3_eth_src_mac,
|
||||
output_3_eth_type=output_3_eth_type,
|
||||
output_3_eth_payload_tdata=output_3_eth_payload_tdata,
|
||||
output_3_eth_payload_tkeep=output_3_eth_payload_tkeep,
|
||||
output_3_eth_payload_tvalid=output_3_eth_payload_tvalid,
|
||||
output_3_eth_payload_tready=output_3_eth_payload_tready,
|
||||
output_3_eth_payload_tlast=output_3_eth_payload_tlast,
|
||||
output_3_eth_payload_tuser=output_3_eth_payload_tuser,
|
||||
|
||||
enable=enable,
|
||||
select=select)
|
||||
|
||||
def bench():
|
||||
|
||||
# Inputs
|
||||
clk = Signal(bool(0))
|
||||
rst = Signal(bool(0))
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_eth_hdr_valid = Signal(bool(0))
|
||||
input_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
input_eth_src_mac = Signal(intbv(0)[48:])
|
||||
input_eth_type = Signal(intbv(0)[16:])
|
||||
input_eth_payload_tdata = Signal(intbv(0)[64:])
|
||||
input_eth_payload_tkeep = Signal(intbv(0)[8:])
|
||||
input_eth_payload_tvalid = Signal(bool(0))
|
||||
input_eth_payload_tlast = Signal(bool(0))
|
||||
input_eth_payload_tuser = Signal(bool(0))
|
||||
|
||||
output_0_eth_hdr_ready = Signal(bool(0))
|
||||
output_0_eth_payload_tready = Signal(bool(0))
|
||||
output_1_eth_hdr_ready = Signal(bool(0))
|
||||
output_1_eth_payload_tready = Signal(bool(0))
|
||||
output_2_eth_hdr_ready = Signal(bool(0))
|
||||
output_2_eth_payload_tready = Signal(bool(0))
|
||||
output_3_eth_hdr_ready = Signal(bool(0))
|
||||
output_3_eth_payload_tready = Signal(bool(0))
|
||||
|
||||
enable = Signal(bool(0))
|
||||
select = Signal(intbv(0)[2:])
|
||||
|
||||
# Outputs
|
||||
input_eth_hdr_ready = Signal(bool(0))
|
||||
input_eth_payload_tready = Signal(bool(0))
|
||||
|
||||
output_0_eth_hdr_valid = Signal(bool(0))
|
||||
output_0_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_0_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_0_eth_type = Signal(intbv(0)[16:])
|
||||
output_0_eth_payload_tdata = Signal(intbv(0)[64:])
|
||||
output_0_eth_payload_tkeep = Signal(intbv(0)[8:])
|
||||
output_0_eth_payload_tvalid = Signal(bool(0))
|
||||
output_0_eth_payload_tlast = Signal(bool(0))
|
||||
output_0_eth_payload_tuser = Signal(bool(0))
|
||||
output_1_eth_hdr_valid = Signal(bool(0))
|
||||
output_1_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_1_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_1_eth_type = Signal(intbv(0)[16:])
|
||||
output_1_eth_payload_tdata = Signal(intbv(0)[64:])
|
||||
output_1_eth_payload_tkeep = Signal(intbv(0)[8:])
|
||||
output_1_eth_payload_tvalid = Signal(bool(0))
|
||||
output_1_eth_payload_tlast = Signal(bool(0))
|
||||
output_1_eth_payload_tuser = Signal(bool(0))
|
||||
output_2_eth_hdr_valid = Signal(bool(0))
|
||||
output_2_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_2_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_2_eth_type = Signal(intbv(0)[16:])
|
||||
output_2_eth_payload_tdata = Signal(intbv(0)[64:])
|
||||
output_2_eth_payload_tkeep = Signal(intbv(0)[8:])
|
||||
output_2_eth_payload_tvalid = Signal(bool(0))
|
||||
output_2_eth_payload_tlast = Signal(bool(0))
|
||||
output_2_eth_payload_tuser = Signal(bool(0))
|
||||
output_3_eth_hdr_valid = Signal(bool(0))
|
||||
output_3_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_3_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_3_eth_type = Signal(intbv(0)[16:])
|
||||
output_3_eth_payload_tdata = Signal(intbv(0)[64:])
|
||||
output_3_eth_payload_tkeep = Signal(intbv(0)[8:])
|
||||
output_3_eth_payload_tvalid = Signal(bool(0))
|
||||
output_3_eth_payload_tlast = Signal(bool(0))
|
||||
output_3_eth_payload_tuser = Signal(bool(0))
|
||||
|
||||
# sources and sinks
|
||||
source_queue = Queue()
|
||||
source_pause = Signal(bool(0))
|
||||
sink_0_queue = Queue()
|
||||
sink_0_pause = Signal(bool(0))
|
||||
sink_1_queue = Queue()
|
||||
sink_1_pause = Signal(bool(0))
|
||||
sink_2_queue = Queue()
|
||||
sink_2_pause = Signal(bool(0))
|
||||
sink_3_queue = Queue()
|
||||
sink_3_pause = Signal(bool(0))
|
||||
|
||||
source = eth_ep.EthFrameSource(clk,
|
||||
rst,
|
||||
eth_hdr_ready=input_eth_hdr_ready,
|
||||
eth_hdr_valid=input_eth_hdr_valid,
|
||||
eth_dest_mac=input_eth_dest_mac,
|
||||
eth_src_mac=input_eth_src_mac,
|
||||
eth_type=input_eth_type,
|
||||
eth_payload_tdata=input_eth_payload_tdata,
|
||||
eth_payload_tkeep=input_eth_payload_tkeep,
|
||||
eth_payload_tvalid=input_eth_payload_tvalid,
|
||||
eth_payload_tready=input_eth_payload_tready,
|
||||
eth_payload_tlast=input_eth_payload_tlast,
|
||||
eth_payload_tuser=input_eth_payload_tuser,
|
||||
fifo=source_queue,
|
||||
pause=source_pause,
|
||||
name='source')
|
||||
|
||||
sink_0 = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_0_eth_hdr_ready,
|
||||
eth_hdr_valid=output_0_eth_hdr_valid,
|
||||
eth_dest_mac=output_0_eth_dest_mac,
|
||||
eth_src_mac=output_0_eth_src_mac,
|
||||
eth_type=output_0_eth_type,
|
||||
eth_payload_tdata=output_0_eth_payload_tdata,
|
||||
eth_payload_tkeep=output_0_eth_payload_tkeep,
|
||||
eth_payload_tvalid=output_0_eth_payload_tvalid,
|
||||
eth_payload_tready=output_0_eth_payload_tready,
|
||||
eth_payload_tlast=output_0_eth_payload_tlast,
|
||||
eth_payload_tuser=output_0_eth_payload_tuser,
|
||||
fifo=sink_0_queue,
|
||||
pause=sink_0_pause,
|
||||
name='sink0')
|
||||
|
||||
sink_1 = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_1_eth_hdr_ready,
|
||||
eth_hdr_valid=output_1_eth_hdr_valid,
|
||||
eth_dest_mac=output_1_eth_dest_mac,
|
||||
eth_src_mac=output_1_eth_src_mac,
|
||||
eth_type=output_1_eth_type,
|
||||
eth_payload_tdata=output_1_eth_payload_tdata,
|
||||
eth_payload_tkeep=output_1_eth_payload_tkeep,
|
||||
eth_payload_tvalid=output_1_eth_payload_tvalid,
|
||||
eth_payload_tready=output_1_eth_payload_tready,
|
||||
eth_payload_tlast=output_1_eth_payload_tlast,
|
||||
eth_payload_tuser=output_1_eth_payload_tuser,
|
||||
fifo=sink_1_queue,
|
||||
pause=sink_1_pause,
|
||||
name='sink1')
|
||||
|
||||
sink_2 = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_2_eth_hdr_ready,
|
||||
eth_hdr_valid=output_2_eth_hdr_valid,
|
||||
eth_dest_mac=output_2_eth_dest_mac,
|
||||
eth_src_mac=output_2_eth_src_mac,
|
||||
eth_type=output_2_eth_type,
|
||||
eth_payload_tdata=output_2_eth_payload_tdata,
|
||||
eth_payload_tkeep=output_2_eth_payload_tkeep,
|
||||
eth_payload_tvalid=output_2_eth_payload_tvalid,
|
||||
eth_payload_tready=output_2_eth_payload_tready,
|
||||
eth_payload_tlast=output_2_eth_payload_tlast,
|
||||
eth_payload_tuser=output_2_eth_payload_tuser,
|
||||
fifo=sink_2_queue,
|
||||
pause=sink_2_pause,
|
||||
name='sink2')
|
||||
|
||||
sink_3 = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_3_eth_hdr_ready,
|
||||
eth_hdr_valid=output_3_eth_hdr_valid,
|
||||
eth_dest_mac=output_3_eth_dest_mac,
|
||||
eth_src_mac=output_3_eth_src_mac,
|
||||
eth_type=output_3_eth_type,
|
||||
eth_payload_tdata=output_3_eth_payload_tdata,
|
||||
eth_payload_tkeep=output_3_eth_payload_tkeep,
|
||||
eth_payload_tvalid=output_3_eth_payload_tvalid,
|
||||
eth_payload_tready=output_3_eth_payload_tready,
|
||||
eth_payload_tlast=output_3_eth_payload_tlast,
|
||||
eth_payload_tuser=output_3_eth_payload_tuser,
|
||||
fifo=sink_3_queue,
|
||||
pause=sink_3_pause,
|
||||
name='sink3')
|
||||
|
||||
# DUT
|
||||
dut = dut_eth_demux_64_4(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_eth_hdr_valid,
|
||||
input_eth_hdr_ready,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tkeep,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tready,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
|
||||
output_0_eth_hdr_valid,
|
||||
output_0_eth_hdr_ready,
|
||||
output_0_eth_dest_mac,
|
||||
output_0_eth_src_mac,
|
||||
output_0_eth_type,
|
||||
output_0_eth_payload_tdata,
|
||||
output_0_eth_payload_tkeep,
|
||||
output_0_eth_payload_tvalid,
|
||||
output_0_eth_payload_tready,
|
||||
output_0_eth_payload_tlast,
|
||||
output_0_eth_payload_tuser,
|
||||
output_1_eth_hdr_valid,
|
||||
output_1_eth_hdr_ready,
|
||||
output_1_eth_dest_mac,
|
||||
output_1_eth_src_mac,
|
||||
output_1_eth_type,
|
||||
output_1_eth_payload_tdata,
|
||||
output_1_eth_payload_tkeep,
|
||||
output_1_eth_payload_tvalid,
|
||||
output_1_eth_payload_tready,
|
||||
output_1_eth_payload_tlast,
|
||||
output_1_eth_payload_tuser,
|
||||
output_2_eth_hdr_valid,
|
||||
output_2_eth_hdr_ready,
|
||||
output_2_eth_dest_mac,
|
||||
output_2_eth_src_mac,
|
||||
output_2_eth_type,
|
||||
output_2_eth_payload_tdata,
|
||||
output_2_eth_payload_tkeep,
|
||||
output_2_eth_payload_tvalid,
|
||||
output_2_eth_payload_tready,
|
||||
output_2_eth_payload_tlast,
|
||||
output_2_eth_payload_tuser,
|
||||
output_3_eth_hdr_valid,
|
||||
output_3_eth_hdr_ready,
|
||||
output_3_eth_dest_mac,
|
||||
output_3_eth_src_mac,
|
||||
output_3_eth_type,
|
||||
output_3_eth_payload_tdata,
|
||||
output_3_eth_payload_tkeep,
|
||||
output_3_eth_payload_tvalid,
|
||||
output_3_eth_payload_tready,
|
||||
output_3_eth_payload_tlast,
|
||||
output_3_eth_payload_tuser,
|
||||
|
||||
enable,
|
||||
select)
|
||||
|
||||
@always(delay(4))
|
||||
def clkgen():
|
||||
clk.next = not clk
|
||||
|
||||
@instance
|
||||
def check():
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
rst.next = 1
|
||||
yield clk.posedge
|
||||
rst.next = 0
|
||||
yield clk.posedge
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
enable.next = True
|
||||
|
||||
yield clk.posedge
|
||||
print("test 1: select port 0")
|
||||
current_test.next = 1
|
||||
|
||||
select.next = 0
|
||||
|
||||
test_frame = eth_ep.EthFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x8000
|
||||
test_frame.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_0_queue.empty():
|
||||
rx_frame = sink_0_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 2: select port 1")
|
||||
current_test.next = 2
|
||||
|
||||
select.next = 1
|
||||
|
||||
test_frame = eth_ep.EthFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x8000
|
||||
test_frame.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_1_queue.empty():
|
||||
rx_frame = sink_1_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 3: back-to-back packets, same port")
|
||||
current_test.next = 3
|
||||
|
||||
select.next = 0
|
||||
|
||||
test_frame1 = eth_ep.EthFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x8000
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame2 = eth_ep.EthFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x8000
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_0_queue.empty():
|
||||
rx_frame = sink_0_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_0_queue.empty():
|
||||
rx_frame = sink_0_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 4: back-to-back packets, different ports")
|
||||
current_test.next = 4
|
||||
|
||||
select.next = 1
|
||||
|
||||
test_frame1 = eth_ep.EthFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x8000
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame2 = eth_ep.EthFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x8000
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
yield clk.posedge
|
||||
select.next = 2
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_2_queue.empty():
|
||||
rx_frame = sink_1_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_2_queue.empty():
|
||||
rx_frame = sink_2_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 5: alterate pause source")
|
||||
current_test.next = 5
|
||||
|
||||
select.next = 1
|
||||
|
||||
test_frame1 = eth_ep.EthFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x8000
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame2 = eth_ep.EthFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x8000
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
source_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
source_pause.next = False
|
||||
yield clk.posedge
|
||||
select.next = 2
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_1_queue.empty():
|
||||
rx_frame = sink_1_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_2_queue.empty():
|
||||
rx_frame = sink_2_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 6: alterate pause sink")
|
||||
current_test.next = 6
|
||||
|
||||
select.next = 1
|
||||
|
||||
test_frame1 = eth_ep.EthFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x8000
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame2 = eth_ep.EthFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x8000
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or input_eth_hdr_valid:
|
||||
sink_0_pause.next = True
|
||||
sink_1_pause.next = True
|
||||
sink_2_pause.next = True
|
||||
sink_3_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
sink_0_pause.next = False
|
||||
sink_1_pause.next = False
|
||||
sink_2_pause.next = False
|
||||
sink_3_pause.next = False
|
||||
yield clk.posedge
|
||||
select.next = 2
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_1_queue.empty():
|
||||
rx_frame = sink_1_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_2_queue.empty():
|
||||
rx_frame = sink_2_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
raise StopSimulation
|
||||
|
||||
return dut, source, sink_0, sink_1, sink_2, sink_3, clkgen, check
|
||||
|
||||
def test_bench():
|
||||
os.chdir(os.path.dirname(os.path.abspath(__file__)))
|
||||
sim = Simulation(bench())
|
||||
sim.run()
|
||||
|
||||
if __name__ == '__main__':
|
||||
print("Running test...")
|
||||
test_bench()
|
||||
|
233
tb/test_eth_demux_64_4.v
Normal file
233
tb/test_eth_demux_64_4.v
Normal file
@ -0,0 +1,233 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1 ns / 1 ps
|
||||
|
||||
module test_eth_demux_64_4;
|
||||
|
||||
// Inputs
|
||||
reg clk = 0;
|
||||
reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg input_eth_hdr_valid = 0;
|
||||
reg [47:0] input_eth_dest_mac = 0;
|
||||
reg [47:0] input_eth_src_mac = 0;
|
||||
reg [15:0] input_eth_type = 0;
|
||||
reg [63:0] input_eth_payload_tdata = 0;
|
||||
reg [7:0] input_eth_payload_tkeep = 0;
|
||||
reg input_eth_payload_tvalid = 0;
|
||||
reg input_eth_payload_tlast = 0;
|
||||
reg input_eth_payload_tuser = 0;
|
||||
|
||||
reg output_0_eth_hdr_ready = 0;
|
||||
reg output_0_eth_payload_tready = 0;
|
||||
reg output_1_eth_hdr_ready = 0;
|
||||
reg output_1_eth_payload_tready = 0;
|
||||
reg output_2_eth_hdr_ready = 0;
|
||||
reg output_2_eth_payload_tready = 0;
|
||||
reg output_3_eth_hdr_ready = 0;
|
||||
reg output_3_eth_payload_tready = 0;
|
||||
|
||||
reg enable = 0;
|
||||
reg [1:0] select = 0;
|
||||
|
||||
// Outputs
|
||||
wire input_eth_hdr_ready;
|
||||
wire input_eth_payload_tready;
|
||||
|
||||
wire output_0_eth_hdr_valid;
|
||||
wire [47:0] output_0_eth_dest_mac;
|
||||
wire [47:0] output_0_eth_src_mac;
|
||||
wire [15:0] output_0_eth_type;
|
||||
wire [63:0] output_0_eth_payload_tdata;
|
||||
wire [7:0] output_0_eth_payload_tkeep;
|
||||
wire output_0_eth_payload_tvalid;
|
||||
wire output_0_eth_payload_tlast;
|
||||
wire output_0_eth_payload_tuser;
|
||||
wire output_1_eth_hdr_valid;
|
||||
wire [47:0] output_1_eth_dest_mac;
|
||||
wire [47:0] output_1_eth_src_mac;
|
||||
wire [15:0] output_1_eth_type;
|
||||
wire [63:0] output_1_eth_payload_tdata;
|
||||
wire [7:0] output_1_eth_payload_tkeep;
|
||||
wire output_1_eth_payload_tvalid;
|
||||
wire output_1_eth_payload_tlast;
|
||||
wire output_1_eth_payload_tuser;
|
||||
wire output_2_eth_hdr_valid;
|
||||
wire [47:0] output_2_eth_dest_mac;
|
||||
wire [47:0] output_2_eth_src_mac;
|
||||
wire [15:0] output_2_eth_type;
|
||||
wire [63:0] output_2_eth_payload_tdata;
|
||||
wire [7:0] output_2_eth_payload_tkeep;
|
||||
wire output_2_eth_payload_tvalid;
|
||||
wire output_2_eth_payload_tlast;
|
||||
wire output_2_eth_payload_tuser;
|
||||
wire output_3_eth_hdr_valid;
|
||||
wire [47:0] output_3_eth_dest_mac;
|
||||
wire [47:0] output_3_eth_src_mac;
|
||||
wire [15:0] output_3_eth_type;
|
||||
wire [63:0] output_3_eth_payload_tdata;
|
||||
wire [7:0] output_3_eth_payload_tkeep;
|
||||
wire output_3_eth_payload_tvalid;
|
||||
wire output_3_eth_payload_tlast;
|
||||
wire output_3_eth_payload_tuser;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
$from_myhdl(clk,
|
||||
rst,
|
||||
current_test,
|
||||
input_eth_hdr_valid,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tkeep,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
output_0_eth_hdr_ready,
|
||||
output_0_eth_payload_tready,
|
||||
output_1_eth_hdr_ready,
|
||||
output_1_eth_payload_tready,
|
||||
output_2_eth_hdr_ready,
|
||||
output_2_eth_payload_tready,
|
||||
output_3_eth_hdr_ready,
|
||||
output_3_eth_payload_tready,
|
||||
enable,
|
||||
select);
|
||||
$to_myhdl(input_eth_hdr_ready,
|
||||
input_eth_payload_tready,
|
||||
output_0_eth_hdr_valid,
|
||||
output_0_eth_dest_mac,
|
||||
output_0_eth_src_mac,
|
||||
output_0_eth_type,
|
||||
output_0_eth_payload_tdata,
|
||||
output_0_eth_payload_tkeep,
|
||||
output_0_eth_payload_tvalid,
|
||||
output_0_eth_payload_tlast,
|
||||
output_0_eth_payload_tuser,
|
||||
output_1_eth_hdr_valid,
|
||||
output_1_eth_dest_mac,
|
||||
output_1_eth_src_mac,
|
||||
output_1_eth_type,
|
||||
output_1_eth_payload_tdata,
|
||||
output_1_eth_payload_tkeep,
|
||||
output_1_eth_payload_tvalid,
|
||||
output_1_eth_payload_tlast,
|
||||
output_1_eth_payload_tuser,
|
||||
output_2_eth_hdr_valid,
|
||||
output_2_eth_dest_mac,
|
||||
output_2_eth_src_mac,
|
||||
output_2_eth_type,
|
||||
output_2_eth_payload_tdata,
|
||||
output_2_eth_payload_tkeep,
|
||||
output_2_eth_payload_tvalid,
|
||||
output_2_eth_payload_tlast,
|
||||
output_2_eth_payload_tuser,
|
||||
output_3_eth_hdr_valid,
|
||||
output_3_eth_dest_mac,
|
||||
output_3_eth_src_mac,
|
||||
output_3_eth_type,
|
||||
output_3_eth_payload_tdata,
|
||||
output_3_eth_payload_tkeep,
|
||||
output_3_eth_payload_tvalid,
|
||||
output_3_eth_payload_tlast,
|
||||
output_3_eth_payload_tuser);
|
||||
|
||||
// dump file
|
||||
$dumpfile("test_eth_demux_64_4.lxt");
|
||||
$dumpvars(0, test_eth_demux_64_4);
|
||||
end
|
||||
|
||||
eth_demux_64_4
|
||||
UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// Ethernet frame input
|
||||
.input_eth_hdr_valid(input_eth_hdr_valid),
|
||||
.input_eth_hdr_ready(input_eth_hdr_ready),
|
||||
.input_eth_dest_mac(input_eth_dest_mac),
|
||||
.input_eth_src_mac(input_eth_src_mac),
|
||||
.input_eth_type(input_eth_type),
|
||||
.input_eth_payload_tdata(input_eth_payload_tdata),
|
||||
.input_eth_payload_tkeep(input_eth_payload_tkeep),
|
||||
.input_eth_payload_tvalid(input_eth_payload_tvalid),
|
||||
.input_eth_payload_tready(input_eth_payload_tready),
|
||||
.input_eth_payload_tlast(input_eth_payload_tlast),
|
||||
.input_eth_payload_tuser(input_eth_payload_tuser),
|
||||
// Ethernet frame outputs
|
||||
.output_0_eth_hdr_valid(output_0_eth_hdr_valid),
|
||||
.output_0_eth_hdr_ready(output_0_eth_hdr_ready),
|
||||
.output_0_eth_dest_mac(output_0_eth_dest_mac),
|
||||
.output_0_eth_src_mac(output_0_eth_src_mac),
|
||||
.output_0_eth_type(output_0_eth_type),
|
||||
.output_0_eth_payload_tdata(output_0_eth_payload_tdata),
|
||||
.output_0_eth_payload_tkeep(output_0_eth_payload_tkeep),
|
||||
.output_0_eth_payload_tvalid(output_0_eth_payload_tvalid),
|
||||
.output_0_eth_payload_tready(output_0_eth_payload_tready),
|
||||
.output_0_eth_payload_tlast(output_0_eth_payload_tlast),
|
||||
.output_0_eth_payload_tuser(output_0_eth_payload_tuser),
|
||||
.output_1_eth_hdr_valid(output_1_eth_hdr_valid),
|
||||
.output_1_eth_hdr_ready(output_1_eth_hdr_ready),
|
||||
.output_1_eth_dest_mac(output_1_eth_dest_mac),
|
||||
.output_1_eth_src_mac(output_1_eth_src_mac),
|
||||
.output_1_eth_type(output_1_eth_type),
|
||||
.output_1_eth_payload_tdata(output_1_eth_payload_tdata),
|
||||
.output_1_eth_payload_tkeep(output_1_eth_payload_tkeep),
|
||||
.output_1_eth_payload_tvalid(output_1_eth_payload_tvalid),
|
||||
.output_1_eth_payload_tready(output_1_eth_payload_tready),
|
||||
.output_1_eth_payload_tlast(output_1_eth_payload_tlast),
|
||||
.output_1_eth_payload_tuser(output_1_eth_payload_tuser),
|
||||
.output_2_eth_hdr_valid(output_2_eth_hdr_valid),
|
||||
.output_2_eth_hdr_ready(output_2_eth_hdr_ready),
|
||||
.output_2_eth_dest_mac(output_2_eth_dest_mac),
|
||||
.output_2_eth_src_mac(output_2_eth_src_mac),
|
||||
.output_2_eth_type(output_2_eth_type),
|
||||
.output_2_eth_payload_tdata(output_2_eth_payload_tdata),
|
||||
.output_2_eth_payload_tkeep(output_2_eth_payload_tkeep),
|
||||
.output_2_eth_payload_tvalid(output_2_eth_payload_tvalid),
|
||||
.output_2_eth_payload_tready(output_2_eth_payload_tready),
|
||||
.output_2_eth_payload_tlast(output_2_eth_payload_tlast),
|
||||
.output_2_eth_payload_tuser(output_2_eth_payload_tuser),
|
||||
.output_3_eth_hdr_valid(output_3_eth_hdr_valid),
|
||||
.output_3_eth_hdr_ready(output_3_eth_hdr_ready),
|
||||
.output_3_eth_dest_mac(output_3_eth_dest_mac),
|
||||
.output_3_eth_src_mac(output_3_eth_src_mac),
|
||||
.output_3_eth_type(output_3_eth_type),
|
||||
.output_3_eth_payload_tdata(output_3_eth_payload_tdata),
|
||||
.output_3_eth_payload_tkeep(output_3_eth_payload_tkeep),
|
||||
.output_3_eth_payload_tvalid(output_3_eth_payload_tvalid),
|
||||
.output_3_eth_payload_tready(output_3_eth_payload_tready),
|
||||
.output_3_eth_payload_tlast(output_3_eth_payload_tlast),
|
||||
.output_3_eth_payload_tuser(output_3_eth_payload_tuser),
|
||||
// Control
|
||||
.enable(enable),
|
||||
.select(select)
|
||||
);
|
||||
|
||||
endmodule
|
Loading…
x
Reference in New Issue
Block a user