1
0
mirror of https://github.com/corundum/corundum.git synced 2025-01-16 08:12:53 +08:00

Remove unused parameter; update XDC file

This commit is contained in:
Alex Forencich 2016-07-13 11:57:14 -04:00
parent 5afe1d7e1e
commit 61d41789d7
4 changed files with 3 additions and 9 deletions

View File

@ -72,6 +72,7 @@ set_property -dict {LOC AT21 IOSTANDARD LVCMOS18} [get_ports phy_int_n]
#set_property -dict {LOC AV24 IOSTANDARD LVCMOS18 SLEW SLOW DRIVE 8} [get_ports phy_mdio]
#set_property -dict {LOC AV21 IOSTANDARD LVCMOS18 SLEW SLOW DRIVE 8} [get_ports phy_mdc]
# 625 MHz ref clock from SGMII PHY
create_clock -period 1.600 -name phy_sgmii_clk [get_ports phy_sgmii_clk_p]
set_clock_groups -asynchronous -group phy_sgmii_clk

View File

@ -29,10 +29,7 @@ THE SOFTWARE.
/*
* FPGA core logic
*/
module fpga_core #
(
parameter TARGET = "XILINX"
)
module fpga_core
(
/*
* Clock: 125MHz

View File

@ -136,7 +136,6 @@ def dut_fpga_core(clk,
def bench():
# Parameters
TARGET = "SIM"
# Inputs
clk = Signal(bool(0))

View File

@ -32,7 +32,6 @@ THE SOFTWARE.
module test_fpga_core;
// Parameters
parameter TARGET = "SIM";
// Inputs
reg clk = 0;
@ -96,9 +95,7 @@ initial begin
$dumpvars(0, test_fpga_core);
end
fpga_core #(
.TARGET(TARGET)
)
fpga_core
UUT (
.clk(clk),
.rst(rst),