diff --git a/rtl/axis_async_fifo.v b/rtl/axis_async_fifo.v index d1b9fb4a4..d3fb7079b 100644 --- a/rtl/axis_async_fifo.v +++ b/rtl/axis_async_fifo.v @@ -31,7 +31,7 @@ THE SOFTWARE. */ module axis_async_fifo # ( - parameter ADDR_WIDTH = 12, + parameter DEPTH = 4096, parameter DATA_WIDTH = 8, parameter KEEP_ENABLE = (DATA_WIDTH>8), parameter KEEP_WIDTH = (DATA_WIDTH/8), @@ -91,6 +91,8 @@ module axis_async_fifo # output wire m_status_good_frame ); +parameter ADDR_WIDTH = (KEEP_ENABLE && KEEP_WIDTH > 1) ? $clog2(DEPTH/KEEP_WIDTH) : $clog2(DEPTH); + // check configuration initial begin if (FRAME_FIFO && !LAST_ENABLE) begin diff --git a/rtl/axis_async_fifo_adapter.v b/rtl/axis_async_fifo_adapter.v index d8e626096..972de61a2 100644 --- a/rtl/axis_async_fifo_adapter.v +++ b/rtl/axis_async_fifo_adapter.v @@ -31,7 +31,7 @@ THE SOFTWARE. */ module axis_async_fifo_adapter # ( - parameter ADDR_WIDTH = 12, + parameter DEPTH = 4096, parameter S_DATA_WIDTH = 8, parameter S_KEEP_ENABLE = (S_DATA_WIDTH>8), parameter S_KEEP_WIDTH = (S_DATA_WIDTH/8), @@ -269,7 +269,7 @@ end endgenerate axis_async_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(EXPAND_BUS ? M_KEEP_ENABLE : S_KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/rtl/axis_cobs_encode.v b/rtl/axis_cobs_encode.v index 00a8e4ccb..7a2d4b385 100644 --- a/rtl/axis_cobs_encode.v +++ b/rtl/axis_cobs_encode.v @@ -101,7 +101,7 @@ wire code_fifo_out_tuser; reg code_fifo_out_tready; axis_fifo #( - .ADDR_WIDTH(8), + .DEPTH(256), .DATA_WIDTH(8), .KEEP_ENABLE(0), .LAST_ENABLE(1), @@ -149,7 +149,7 @@ wire data_fifo_out_tlast; reg data_fifo_out_tready; axis_fifo #( - .ADDR_WIDTH(8), + .DEPTH(256), .DATA_WIDTH(8), .KEEP_ENABLE(0), .LAST_ENABLE(1), diff --git a/rtl/axis_fifo.v b/rtl/axis_fifo.v index d6329b1d9..da9693e09 100644 --- a/rtl/axis_fifo.v +++ b/rtl/axis_fifo.v @@ -31,7 +31,7 @@ THE SOFTWARE. */ module axis_fifo # ( - parameter ADDR_WIDTH = 12, + parameter DEPTH = 4096, parameter DATA_WIDTH = 8, parameter KEEP_ENABLE = (DATA_WIDTH>8), parameter KEEP_WIDTH = (DATA_WIDTH/8), @@ -84,6 +84,8 @@ module axis_fifo # output wire status_good_frame ); +parameter ADDR_WIDTH = (KEEP_ENABLE && KEEP_WIDTH > 1) ? $clog2(DEPTH/KEEP_WIDTH) : $clog2(DEPTH); + // check configuration initial begin if (FRAME_FIFO && !LAST_ENABLE) begin diff --git a/rtl/axis_fifo_adapter.v b/rtl/axis_fifo_adapter.v index fac142c7d..0d0fd49c8 100644 --- a/rtl/axis_fifo_adapter.v +++ b/rtl/axis_fifo_adapter.v @@ -31,7 +31,7 @@ THE SOFTWARE. */ module axis_fifo_adapter # ( - parameter ADDR_WIDTH = 12, + parameter DEPTH = 4096, parameter S_DATA_WIDTH = 8, parameter S_KEEP_ENABLE = (S_DATA_WIDTH>8), parameter S_KEEP_WIDTH = (S_DATA_WIDTH/8), @@ -264,7 +264,7 @@ end endgenerate axis_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(EXPAND_BUS ? M_KEEP_ENABLE : S_KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/rtl/axis_frame_length_adjust_fifo.v b/rtl/axis_frame_length_adjust_fifo.v index 0bcb9f3d1..739a6ece2 100644 --- a/rtl/axis_frame_length_adjust_fifo.v +++ b/rtl/axis_frame_length_adjust_fifo.v @@ -40,8 +40,8 @@ module axis_frame_length_adjust_fifo # parameter DEST_WIDTH = 8, parameter USER_ENABLE = 1, parameter USER_WIDTH = 1, - parameter FRAME_FIFO_ADDR_WIDTH = 12, - parameter HEADER_FIFO_ADDR_WIDTH = 3 + parameter FRAME_FIFO_DEPTH = 4096, + parameter HEADER_FIFO_DEPTH = 8 ) ( input wire clk, @@ -145,7 +145,7 @@ axis_frame_length_adjust_inst ( ); axis_fifo #( - .ADDR_WIDTH(FRAME_FIFO_ADDR_WIDTH), + .DEPTH(FRAME_FIFO_DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), @@ -186,7 +186,7 @@ frame_fifo_inst ( ); axis_fifo #( - .ADDR_WIDTH(HEADER_FIFO_ADDR_WIDTH), + .DEPTH(HEADER_FIFO_DEPTH), .DATA_WIDTH(1+1+16+16), .KEEP_ENABLE(0), .LAST_ENABLE(0), diff --git a/tb/test_axis_async_fifo.py b/tb/test_axis_async_fifo.py index 67989257e..3daf0bbab 100755 --- a/tb/test_axis_async_fifo.py +++ b/tb/test_axis_async_fifo.py @@ -43,7 +43,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 2 + DEPTH = 4 DATA_WIDTH = 8 KEEP_ENABLE = (DATA_WIDTH>8) KEEP_WIDTH = (DATA_WIDTH/8) diff --git a/tb/test_axis_async_fifo.v b/tb/test_axis_async_fifo.v index 9851813e7..bb8f70501 100644 --- a/tb/test_axis_async_fifo.v +++ b/tb/test_axis_async_fifo.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_async_fifo; // Parameters -parameter ADDR_WIDTH = 2; +parameter DEPTH = 4; parameter DATA_WIDTH = 8; parameter KEEP_ENABLE = (DATA_WIDTH>8); parameter KEEP_WIDTH = (DATA_WIDTH/8); @@ -107,7 +107,7 @@ initial begin end axis_async_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/tb/test_axis_async_fifo_64.py b/tb/test_axis_async_fifo_64.py index 44925adf4..6ff3ca336 100755 --- a/tb/test_axis_async_fifo_64.py +++ b/tb/test_axis_async_fifo_64.py @@ -43,7 +43,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 2 + DEPTH = 32 DATA_WIDTH = 64 KEEP_ENABLE = (DATA_WIDTH>8) KEEP_WIDTH = (DATA_WIDTH/8) diff --git a/tb/test_axis_async_fifo_64.v b/tb/test_axis_async_fifo_64.v index 5605f7ab8..05fdd0c14 100644 --- a/tb/test_axis_async_fifo_64.v +++ b/tb/test_axis_async_fifo_64.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_async_fifo_64; // Parameters -parameter ADDR_WIDTH = 2; +parameter DEPTH = 32; parameter DATA_WIDTH = 64; parameter KEEP_ENABLE = (DATA_WIDTH>8); parameter KEEP_WIDTH = (DATA_WIDTH/8); @@ -107,7 +107,7 @@ initial begin end axis_async_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/tb/test_axis_async_fifo_adapter_64_8.py b/tb/test_axis_async_fifo_adapter_64_8.py index 9f1cca6a7..dfb11f788 100755 --- a/tb/test_axis_async_fifo_adapter_64_8.py +++ b/tb/test_axis_async_fifo_adapter_64_8.py @@ -45,7 +45,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 2 + DEPTH = 32 S_DATA_WIDTH = 64 S_KEEP_ENABLE = (S_DATA_WIDTH>8) S_KEEP_WIDTH = (S_DATA_WIDTH/8) diff --git a/tb/test_axis_async_fifo_adapter_64_8.v b/tb/test_axis_async_fifo_adapter_64_8.v index 22e206694..a6e485136 100644 --- a/tb/test_axis_async_fifo_adapter_64_8.v +++ b/tb/test_axis_async_fifo_adapter_64_8.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_async_fifo_adapter_64_8; // Parameters -parameter ADDR_WIDTH = 2; +parameter DEPTH = 32; parameter S_DATA_WIDTH = 64; parameter S_KEEP_ENABLE = (S_DATA_WIDTH>8); parameter S_KEEP_WIDTH = (S_DATA_WIDTH/8); @@ -111,7 +111,7 @@ initial begin end axis_async_fifo_adapter #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .S_DATA_WIDTH(S_DATA_WIDTH), .S_KEEP_ENABLE(S_KEEP_ENABLE), .S_KEEP_WIDTH(S_KEEP_WIDTH), diff --git a/tb/test_axis_async_fifo_adapter_8_64.py b/tb/test_axis_async_fifo_adapter_8_64.py index d04ab1312..93b36acf9 100755 --- a/tb/test_axis_async_fifo_adapter_8_64.py +++ b/tb/test_axis_async_fifo_adapter_8_64.py @@ -45,7 +45,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 2 + DEPTH = 32 S_DATA_WIDTH = 8 S_KEEP_ENABLE = (S_DATA_WIDTH>8) S_KEEP_WIDTH = (S_DATA_WIDTH/8) diff --git a/tb/test_axis_async_fifo_adapter_8_64.v b/tb/test_axis_async_fifo_adapter_8_64.v index ddb4a1f35..80ffc5de8 100644 --- a/tb/test_axis_async_fifo_adapter_8_64.v +++ b/tb/test_axis_async_fifo_adapter_8_64.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_async_fifo_adapter_8_64; // Parameters -parameter ADDR_WIDTH = 2; +parameter DEPTH = 32; parameter S_DATA_WIDTH = 8; parameter S_KEEP_ENABLE = (S_DATA_WIDTH>8); parameter S_KEEP_WIDTH = (S_DATA_WIDTH/8); @@ -111,7 +111,7 @@ initial begin end axis_async_fifo_adapter #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .S_DATA_WIDTH(S_DATA_WIDTH), .S_KEEP_ENABLE(S_KEEP_ENABLE), .S_KEEP_WIDTH(S_KEEP_WIDTH), diff --git a/tb/test_axis_async_frame_fifo.py b/tb/test_axis_async_frame_fifo.py index 367efd19d..6064c91b0 100755 --- a/tb/test_axis_async_frame_fifo.py +++ b/tb/test_axis_async_frame_fifo.py @@ -43,7 +43,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 9 + DEPTH = 512 DATA_WIDTH = 8 KEEP_ENABLE = (DATA_WIDTH>8) KEEP_WIDTH = (DATA_WIDTH/8) diff --git a/tb/test_axis_async_frame_fifo.v b/tb/test_axis_async_frame_fifo.v index 4f8e7829e..914c0e1a6 100644 --- a/tb/test_axis_async_frame_fifo.v +++ b/tb/test_axis_async_frame_fifo.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_async_frame_fifo; // Parameters -parameter ADDR_WIDTH = 9; +parameter DEPTH = 512; parameter DATA_WIDTH = 8; parameter KEEP_ENABLE = (DATA_WIDTH>8); parameter KEEP_WIDTH = (DATA_WIDTH/8); @@ -119,7 +119,7 @@ initial begin end axis_async_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/tb/test_axis_async_frame_fifo_64.py b/tb/test_axis_async_frame_fifo_64.py index fbd5a76d2..921103698 100755 --- a/tb/test_axis_async_frame_fifo_64.py +++ b/tb/test_axis_async_frame_fifo_64.py @@ -43,7 +43,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 6 + DEPTH = 512 DATA_WIDTH = 64 KEEP_ENABLE = (DATA_WIDTH>8) KEEP_WIDTH = (DATA_WIDTH/8) diff --git a/tb/test_axis_async_frame_fifo_64.v b/tb/test_axis_async_frame_fifo_64.v index ada5bc5cb..9017641e4 100644 --- a/tb/test_axis_async_frame_fifo_64.v +++ b/tb/test_axis_async_frame_fifo_64.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_async_frame_fifo_64; // Parameters -parameter ADDR_WIDTH = 6; +parameter DEPTH = 512; parameter DATA_WIDTH = 64; parameter KEEP_ENABLE = (DATA_WIDTH>8); parameter KEEP_WIDTH = (DATA_WIDTH/8); @@ -119,7 +119,7 @@ initial begin end axis_async_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/tb/test_axis_fifo.py b/tb/test_axis_fifo.py index c28c90189..4ea6111a6 100755 --- a/tb/test_axis_fifo.py +++ b/tb/test_axis_fifo.py @@ -43,7 +43,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 2 + DEPTH = 4 DATA_WIDTH = 8 KEEP_ENABLE = (DATA_WIDTH>8) KEEP_WIDTH = (DATA_WIDTH/8) diff --git a/tb/test_axis_fifo.v b/tb/test_axis_fifo.v index 6a8d4ee77..5660e1624 100644 --- a/tb/test_axis_fifo.v +++ b/tb/test_axis_fifo.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_fifo; // Parameters -parameter ADDR_WIDTH = 2; +parameter DEPTH = 4; parameter DATA_WIDTH = 8; parameter KEEP_ENABLE = (DATA_WIDTH>8); parameter KEEP_WIDTH = (DATA_WIDTH/8); @@ -105,7 +105,7 @@ initial begin end axis_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/tb/test_axis_fifo_64.py b/tb/test_axis_fifo_64.py index eeb28ba4a..6c0626411 100755 --- a/tb/test_axis_fifo_64.py +++ b/tb/test_axis_fifo_64.py @@ -43,7 +43,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 2 + DEPTH = 32 DATA_WIDTH = 64 KEEP_ENABLE = (DATA_WIDTH>8) KEEP_WIDTH = (DATA_WIDTH/8) diff --git a/tb/test_axis_fifo_64.v b/tb/test_axis_fifo_64.v index e1ea50f6a..bc07a8b53 100644 --- a/tb/test_axis_fifo_64.v +++ b/tb/test_axis_fifo_64.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_fifo_64; // Parameters -parameter ADDR_WIDTH = 2; +parameter DEPTH = 32; parameter DATA_WIDTH = 64; parameter KEEP_ENABLE = (DATA_WIDTH>8); parameter KEEP_WIDTH = (DATA_WIDTH/8); @@ -105,7 +105,7 @@ initial begin end axis_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/tb/test_axis_fifo_adapter_64_8.py b/tb/test_axis_fifo_adapter_64_8.py index 2129221e1..7ad0e7067 100755 --- a/tb/test_axis_fifo_adapter_64_8.py +++ b/tb/test_axis_fifo_adapter_64_8.py @@ -45,7 +45,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 2 + DEPTH = 32 S_DATA_WIDTH = 64 S_KEEP_ENABLE = (S_DATA_WIDTH>8) S_KEEP_WIDTH = (S_DATA_WIDTH/8) diff --git a/tb/test_axis_fifo_adapter_64_8.v b/tb/test_axis_fifo_adapter_64_8.v index 5afd8d8d2..8b21b3c8e 100644 --- a/tb/test_axis_fifo_adapter_64_8.v +++ b/tb/test_axis_fifo_adapter_64_8.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_fifo_adapter_64_8; // Parameters -parameter ADDR_WIDTH = 2; +parameter DEPTH = 32; parameter S_DATA_WIDTH = 64; parameter S_KEEP_ENABLE = (S_DATA_WIDTH>8); parameter S_KEEP_WIDTH = (S_DATA_WIDTH/8); @@ -107,7 +107,7 @@ initial begin end axis_fifo_adapter #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .S_DATA_WIDTH(S_DATA_WIDTH), .S_KEEP_ENABLE(S_KEEP_ENABLE), .S_KEEP_WIDTH(S_KEEP_WIDTH), diff --git a/tb/test_axis_fifo_adapter_8_64.py b/tb/test_axis_fifo_adapter_8_64.py index f59dd451a..3430fcd4e 100755 --- a/tb/test_axis_fifo_adapter_8_64.py +++ b/tb/test_axis_fifo_adapter_8_64.py @@ -45,7 +45,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 2 + DEPTH = 32 S_DATA_WIDTH = 8 S_KEEP_ENABLE = (S_DATA_WIDTH>8) S_KEEP_WIDTH = (S_DATA_WIDTH/8) diff --git a/tb/test_axis_fifo_adapter_8_64.v b/tb/test_axis_fifo_adapter_8_64.v index c97a3a422..b331a50be 100644 --- a/tb/test_axis_fifo_adapter_8_64.v +++ b/tb/test_axis_fifo_adapter_8_64.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_fifo_adapter_8_64; // Parameters -parameter ADDR_WIDTH = 2; +parameter DEPTH = 32; parameter S_DATA_WIDTH = 8; parameter S_KEEP_ENABLE = (S_DATA_WIDTH>8); parameter S_KEEP_WIDTH = (S_DATA_WIDTH/8); @@ -107,7 +107,7 @@ initial begin end axis_fifo_adapter #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .S_DATA_WIDTH(S_DATA_WIDTH), .S_KEEP_ENABLE(S_KEEP_ENABLE), .S_KEEP_WIDTH(S_KEEP_WIDTH), diff --git a/tb/test_axis_frame_fifo.py b/tb/test_axis_frame_fifo.py index b5ebab517..d5aec8b66 100755 --- a/tb/test_axis_frame_fifo.py +++ b/tb/test_axis_frame_fifo.py @@ -43,7 +43,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 9 + DEPTH = 512 DATA_WIDTH = 8 KEEP_ENABLE = (DATA_WIDTH>8) KEEP_WIDTH = (DATA_WIDTH/8) diff --git a/tb/test_axis_frame_fifo.v b/tb/test_axis_frame_fifo.v index 8ba096c22..0dd106793 100644 --- a/tb/test_axis_frame_fifo.v +++ b/tb/test_axis_frame_fifo.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_frame_fifo; // Parameters -parameter ADDR_WIDTH = 9; +parameter DEPTH = 512; parameter DATA_WIDTH = 8; parameter KEEP_ENABLE = (DATA_WIDTH>8); parameter KEEP_WIDTH = (DATA_WIDTH/8); @@ -111,7 +111,7 @@ initial begin end axis_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/tb/test_axis_frame_fifo_64.py b/tb/test_axis_frame_fifo_64.py index e0200d27a..05162879b 100755 --- a/tb/test_axis_frame_fifo_64.py +++ b/tb/test_axis_frame_fifo_64.py @@ -43,7 +43,7 @@ build_cmd = "iverilog -o %s.vvp %s" % (testbench, src) def bench(): # Parameters - ADDR_WIDTH = 6 + DEPTH = 512 DATA_WIDTH = 64 KEEP_ENABLE = (DATA_WIDTH>8) KEEP_WIDTH = (DATA_WIDTH/8) diff --git a/tb/test_axis_frame_fifo_64.v b/tb/test_axis_frame_fifo_64.v index 94e858918..91da3d671 100644 --- a/tb/test_axis_frame_fifo_64.v +++ b/tb/test_axis_frame_fifo_64.v @@ -32,7 +32,7 @@ THE SOFTWARE. module test_axis_frame_fifo_64; // Parameters -parameter ADDR_WIDTH = 6; +parameter DEPTH = 512; parameter DATA_WIDTH = 64; parameter KEEP_ENABLE = (DATA_WIDTH>8); parameter KEEP_WIDTH = (DATA_WIDTH/8); @@ -111,7 +111,7 @@ initial begin end axis_fifo #( - .ADDR_WIDTH(ADDR_WIDTH), + .DEPTH(DEPTH), .DATA_WIDTH(DATA_WIDTH), .KEEP_ENABLE(KEEP_ENABLE), .KEEP_WIDTH(KEEP_WIDTH), diff --git a/tb/test_axis_frame_length_adjust_fifo.py b/tb/test_axis_frame_length_adjust_fifo.py index 7cf95db9c..8e74e4c6e 100755 --- a/tb/test_axis_frame_length_adjust_fifo.py +++ b/tb/test_axis_frame_length_adjust_fifo.py @@ -54,8 +54,8 @@ def bench(): DEST_WIDTH = 8 USER_ENABLE = 1 USER_WIDTH = 1 - FRAME_FIFO_ADDR_WIDTH = 12 - HEADER_FIFO_ADDR_WIDTH = 3 + FRAME_FIFO_DEPTH = 4096 + HEADER_FIFO_DEPTH = 8 # Inputs clk = Signal(bool(0)) diff --git a/tb/test_axis_frame_length_adjust_fifo.v b/tb/test_axis_frame_length_adjust_fifo.v index c8ae07b8f..05650ec61 100644 --- a/tb/test_axis_frame_length_adjust_fifo.v +++ b/tb/test_axis_frame_length_adjust_fifo.v @@ -41,8 +41,8 @@ parameter DEST_ENABLE = 1; parameter DEST_WIDTH = 8; parameter USER_ENABLE = 1; parameter USER_WIDTH = 1; -parameter FRAME_FIFO_ADDR_WIDTH = 12; -parameter HEADER_FIFO_ADDR_WIDTH = 3; +parameter FRAME_FIFO_DEPTH = 4096; +parameter HEADER_FIFO_DEPTH = 8; // Inputs reg clk = 0; @@ -125,8 +125,8 @@ axis_frame_length_adjust_fifo #( .DEST_WIDTH(DEST_WIDTH), .USER_ENABLE(USER_ENABLE), .USER_WIDTH(USER_WIDTH), - .FRAME_FIFO_ADDR_WIDTH(FRAME_FIFO_ADDR_WIDTH), - .HEADER_FIFO_ADDR_WIDTH(HEADER_FIFO_ADDR_WIDTH) + .FRAME_FIFO_DEPTH(FRAME_FIFO_DEPTH), + .HEADER_FIFO_DEPTH(HEADER_FIFO_DEPTH) ) UUT ( .clk(clk), diff --git a/tb/test_axis_frame_length_adjust_fifo_64.py b/tb/test_axis_frame_length_adjust_fifo_64.py index cb7d0163c..1c1a623c8 100755 --- a/tb/test_axis_frame_length_adjust_fifo_64.py +++ b/tb/test_axis_frame_length_adjust_fifo_64.py @@ -54,8 +54,8 @@ def bench(): DEST_WIDTH = 8 USER_ENABLE = 1 USER_WIDTH = 1 - FRAME_FIFO_ADDR_WIDTH = 9 - HEADER_FIFO_ADDR_WIDTH = 3 + FRAME_FIFO_DEPTH = 4096 + HEADER_FIFO_DEPTH = 8 # Inputs clk = Signal(bool(0)) diff --git a/tb/test_axis_frame_length_adjust_fifo_64.v b/tb/test_axis_frame_length_adjust_fifo_64.v index f5fdc6d61..755cee248 100644 --- a/tb/test_axis_frame_length_adjust_fifo_64.v +++ b/tb/test_axis_frame_length_adjust_fifo_64.v @@ -41,8 +41,8 @@ parameter DEST_ENABLE = 1; parameter DEST_WIDTH = 8; parameter USER_ENABLE = 1; parameter USER_WIDTH = 1; -parameter FRAME_FIFO_ADDR_WIDTH = 9; -parameter HEADER_FIFO_ADDR_WIDTH = 3; +parameter FRAME_FIFO_DEPTH = 4096; +parameter HEADER_FIFO_DEPTH = 8; // Inputs reg clk = 0; @@ -125,8 +125,8 @@ axis_frame_length_adjust_fifo #( .DEST_WIDTH(DEST_WIDTH), .USER_ENABLE(USER_ENABLE), .USER_WIDTH(USER_WIDTH), - .FRAME_FIFO_ADDR_WIDTH(FRAME_FIFO_ADDR_WIDTH), - .HEADER_FIFO_ADDR_WIDTH(HEADER_FIFO_ADDR_WIDTH) + .FRAME_FIFO_DEPTH(FRAME_FIFO_DEPTH), + .HEADER_FIFO_DEPTH(HEADER_FIFO_DEPTH) ) UUT ( .clk(clk),