1
0
mirror of https://github.com/corundum/corundum.git synced 2025-01-16 08:12:53 +08:00

Add default_nettype none and resetall directives

This commit is contained in:
Alex Forencich 2021-10-20 17:29:12 -07:00
parent 9ff4454db0
commit 6b18e56cb1
264 changed files with 1072 additions and 0 deletions

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -293,3 +295,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -782,3 +784,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -659,3 +661,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -293,3 +295,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -806,3 +808,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -659,3 +661,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -222,3 +224,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -581,3 +583,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -293,3 +295,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -898,3 +900,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -662,3 +664,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -293,3 +295,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -898,3 +900,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -662,3 +664,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -293,3 +295,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -762,3 +764,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -647,3 +649,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -293,3 +295,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -464,3 +466,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -614,3 +616,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -268,3 +270,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -588,3 +590,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -230,3 +232,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -565,3 +567,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -263,3 +265,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -673,3 +675,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* 7 segment display hexadecimal encoding
@ -68,3 +70,5 @@ end
assign out = INVERT ? ~enc : enc;
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -468,3 +470,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -612,3 +614,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* I2C master
@ -893,3 +895,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* si570_i2c_init
@ -453,3 +455,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -301,3 +303,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -349,3 +351,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -592,3 +594,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -301,3 +303,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -349,3 +351,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -592,3 +594,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* Transceiver and PHY wrapper
@ -293,3 +295,5 @@ phy_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -2845,3 +2847,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -955,3 +957,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* I2C master
@ -895,3 +897,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -130,7 +130,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* {{name}}
@ -581,6 +583,8 @@ end
endmodule
`resetall
""")
print(f"Writing file '{output}'...")

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* si5341_i2c_init
@ -1035,3 +1037,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -22,6 +22,12 @@ THE SOFTWARE.
*/
// Language: Verilog 2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
module eth_gth_phy_quad (
/*
* Clock and reset
@ -567,3 +573,5 @@ mgmt_arb_inst
);
endmodule
`resetall

View File

@ -22,6 +22,12 @@ THE SOFTWARE.
*/
// Language: Verilog 2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
module fpga (
/*
* Clock: 50MHz
@ -1129,3 +1135,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
module fpga_core
(
@ -756,3 +758,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* gth_i2c_init
@ -506,3 +508,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* I2C master
@ -893,3 +895,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -22,6 +22,12 @@ THE SOFTWARE.
*/
// Language: Verilog 2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
module eth_gth_phy_quad (
/*
* Clock and reset
@ -567,3 +573,5 @@ mgmt_arb_inst
);
endmodule
`resetall

View File

@ -22,6 +22,12 @@ THE SOFTWARE.
*/
// Language: Verilog 2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
module fpga (
/*
* Clock: 50MHz
@ -1129,3 +1135,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
module fpga_core
(
@ -459,3 +461,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* gth_i2c_init
@ -506,3 +508,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* I2C master
@ -893,3 +895,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -253,3 +255,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -585,3 +587,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -369,3 +371,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -582,3 +584,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -363,3 +365,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -582,3 +584,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -279,3 +281,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -594,3 +596,5 @@ udp_payload_fifo (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes an asyncronous signal to a given clock by using a pipeline of
@ -56,3 +58,5 @@ always @(posedge clk) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog-2001
`resetall
`timescale 1 ns / 1 ps
`default_nettype none
/*
* Synchronizes switch and button inputs with a slow sampled shift register
@ -87,3 +89,5 @@ always @(posedge clk or posedge rst) begin
end
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA top-level module
@ -282,3 +284,5 @@ core_inst (
);
endmodule
`resetall

View File

@ -24,7 +24,9 @@ THE SOFTWARE.
// Language: Verilog 2001
`resetall
`timescale 1ns / 1ps
`default_nettype none
/*
* FPGA core logic
@ -591,3 +593,5 @@ udp_payload_fifo (
);
endmodule
`resetall

Some files were not shown because too many files have changed in this diff Show More