mirror of
https://github.com/corundum/corundum.git
synced 2025-01-30 08:32:52 +08:00
Add UDP modules
This commit is contained in:
parent
ca94f1ded9
commit
d34aaf784d
417
rtl/udp.v
Normal file
417
rtl/udp.v
Normal file
@ -0,0 +1,417 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* UDP block, IP interface
|
||||
*/
|
||||
module udp #
|
||||
(
|
||||
parameter CHECKSUM_ENABLE = 1,
|
||||
parameter CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH = 11,
|
||||
parameter CHECKSUM_HEADER_FIFO_ADDR_WIDTH = 3
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* IP frame input
|
||||
*/
|
||||
input wire input_ip_hdr_valid,
|
||||
output wire input_ip_hdr_ready,
|
||||
input wire [47:0] input_ip_eth_dest_mac,
|
||||
input wire [47:0] input_ip_eth_src_mac,
|
||||
input wire [15:0] input_ip_eth_type,
|
||||
input wire [3:0] input_ip_version,
|
||||
input wire [3:0] input_ip_ihl,
|
||||
input wire [5:0] input_ip_dscp,
|
||||
input wire [1:0] input_ip_ecn,
|
||||
input wire [15:0] input_ip_length,
|
||||
input wire [15:0] input_ip_identification,
|
||||
input wire [2:0] input_ip_flags,
|
||||
input wire [12:0] input_ip_fragment_offset,
|
||||
input wire [7:0] input_ip_ttl,
|
||||
input wire [7:0] input_ip_protocol,
|
||||
input wire [15:0] input_ip_header_checksum,
|
||||
input wire [31:0] input_ip_source_ip,
|
||||
input wire [31:0] input_ip_dest_ip,
|
||||
input wire [7:0] input_ip_payload_tdata,
|
||||
input wire input_ip_payload_tvalid,
|
||||
output wire input_ip_payload_tready,
|
||||
input wire input_ip_payload_tlast,
|
||||
input wire input_ip_payload_tuser,
|
||||
|
||||
/*
|
||||
* IP frame output
|
||||
*/
|
||||
output wire output_ip_hdr_valid,
|
||||
input wire output_ip_hdr_ready,
|
||||
output wire [47:0] output_ip_eth_dest_mac,
|
||||
output wire [47:0] output_ip_eth_src_mac,
|
||||
output wire [15:0] output_ip_eth_type,
|
||||
output wire [3:0] output_ip_version,
|
||||
output wire [3:0] output_ip_ihl,
|
||||
output wire [5:0] output_ip_dscp,
|
||||
output wire [1:0] output_ip_ecn,
|
||||
output wire [15:0] output_ip_length,
|
||||
output wire [15:0] output_ip_identification,
|
||||
output wire [2:0] output_ip_flags,
|
||||
output wire [12:0] output_ip_fragment_offset,
|
||||
output wire [7:0] output_ip_ttl,
|
||||
output wire [7:0] output_ip_protocol,
|
||||
output wire [15:0] output_ip_header_checksum,
|
||||
output wire [31:0] output_ip_source_ip,
|
||||
output wire [31:0] output_ip_dest_ip,
|
||||
output wire [7:0] output_ip_payload_tdata,
|
||||
output wire output_ip_payload_tvalid,
|
||||
input wire output_ip_payload_tready,
|
||||
output wire output_ip_payload_tlast,
|
||||
output wire output_ip_payload_tuser,
|
||||
|
||||
/*
|
||||
* UDP frame input
|
||||
*/
|
||||
input wire input_udp_hdr_valid,
|
||||
output wire input_udp_hdr_ready,
|
||||
input wire [47:0] input_udp_eth_dest_mac,
|
||||
input wire [47:0] input_udp_eth_src_mac,
|
||||
input wire [15:0] input_udp_eth_type,
|
||||
input wire [3:0] input_udp_ip_version,
|
||||
input wire [3:0] input_udp_ip_ihl,
|
||||
input wire [5:0] input_udp_ip_dscp,
|
||||
input wire [1:0] input_udp_ip_ecn,
|
||||
input wire [15:0] input_udp_ip_identification,
|
||||
input wire [2:0] input_udp_ip_flags,
|
||||
input wire [12:0] input_udp_ip_fragment_offset,
|
||||
input wire [7:0] input_udp_ip_ttl,
|
||||
input wire [7:0] input_udp_ip_protocol,
|
||||
input wire [15:0] input_udp_ip_header_checksum,
|
||||
input wire [31:0] input_udp_ip_source_ip,
|
||||
input wire [31:0] input_udp_ip_dest_ip,
|
||||
input wire [15:0] input_udp_source_port,
|
||||
input wire [15:0] input_udp_dest_port,
|
||||
input wire [15:0] input_udp_length,
|
||||
input wire [15:0] input_udp_checksum,
|
||||
input wire [7:0] input_udp_payload_tdata,
|
||||
input wire input_udp_payload_tvalid,
|
||||
output wire input_udp_payload_tready,
|
||||
input wire input_udp_payload_tlast,
|
||||
input wire input_udp_payload_tuser,
|
||||
|
||||
/*
|
||||
* UDP frame output
|
||||
*/
|
||||
output wire output_udp_hdr_valid,
|
||||
input wire output_udp_hdr_ready,
|
||||
output wire [47:0] output_udp_eth_dest_mac,
|
||||
output wire [47:0] output_udp_eth_src_mac,
|
||||
output wire [15:0] output_udp_eth_type,
|
||||
output wire [3:0] output_udp_ip_version,
|
||||
output wire [3:0] output_udp_ip_ihl,
|
||||
output wire [5:0] output_udp_ip_dscp,
|
||||
output wire [1:0] output_udp_ip_ecn,
|
||||
output wire [15:0] output_udp_ip_length,
|
||||
output wire [15:0] output_udp_ip_identification,
|
||||
output wire [2:0] output_udp_ip_flags,
|
||||
output wire [12:0] output_udp_ip_fragment_offset,
|
||||
output wire [7:0] output_udp_ip_ttl,
|
||||
output wire [7:0] output_udp_ip_protocol,
|
||||
output wire [15:0] output_udp_ip_header_checksum,
|
||||
output wire [31:0] output_udp_ip_source_ip,
|
||||
output wire [31:0] output_udp_ip_dest_ip,
|
||||
output wire [15:0] output_udp_source_port,
|
||||
output wire [15:0] output_udp_dest_port,
|
||||
output wire [15:0] output_udp_length,
|
||||
output wire [15:0] output_udp_checksum,
|
||||
output wire [7:0] output_udp_payload_tdata,
|
||||
output wire output_udp_payload_tvalid,
|
||||
input wire output_udp_payload_tready,
|
||||
output wire output_udp_payload_tlast,
|
||||
output wire output_udp_payload_tuser,
|
||||
|
||||
/*
|
||||
* Status signals
|
||||
*/
|
||||
output wire rx_busy,
|
||||
output wire tx_busy,
|
||||
output wire rx_error_header_early_termination,
|
||||
output wire rx_error_payload_early_termination,
|
||||
output wire tx_error_payload_early_termination
|
||||
);
|
||||
|
||||
wire tx_udp_hdr_valid;
|
||||
wire tx_udp_hdr_ready;
|
||||
wire [47:0] tx_udp_eth_dest_mac;
|
||||
wire [47:0] tx_udp_eth_src_mac;
|
||||
wire [15:0] tx_udp_eth_type;
|
||||
wire [3:0] tx_udp_ip_version;
|
||||
wire [3:0] tx_udp_ip_ihl;
|
||||
wire [5:0] tx_udp_ip_dscp;
|
||||
wire [1:0] tx_udp_ip_ecn;
|
||||
wire [15:0] tx_udp_ip_identification;
|
||||
wire [2:0] tx_udp_ip_flags;
|
||||
wire [12:0] tx_udp_ip_fragment_offset;
|
||||
wire [7:0] tx_udp_ip_ttl;
|
||||
wire [7:0] tx_udp_ip_protocol;
|
||||
wire [15:0] tx_udp_ip_header_checksum;
|
||||
wire [31:0] tx_udp_ip_source_ip;
|
||||
wire [31:0] tx_udp_ip_dest_ip;
|
||||
wire [15:0] tx_udp_source_port;
|
||||
wire [15:0] tx_udp_dest_port;
|
||||
wire [15:0] tx_udp_length;
|
||||
wire [15:0] tx_udp_checksum;
|
||||
wire [7:0] tx_udp_payload_tdata;
|
||||
wire tx_udp_payload_tvalid;
|
||||
wire tx_udp_payload_tready;
|
||||
wire tx_udp_payload_tlast;
|
||||
wire tx_udp_payload_tuser;
|
||||
|
||||
udp_ip_rx
|
||||
udp_ip_rx_inst (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// IP frame input
|
||||
.input_ip_hdr_valid(input_ip_hdr_valid),
|
||||
.input_ip_hdr_ready(input_ip_hdr_ready),
|
||||
.input_eth_dest_mac(input_ip_eth_dest_mac),
|
||||
.input_eth_src_mac(input_ip_eth_src_mac),
|
||||
.input_eth_type(input_ip_eth_type),
|
||||
.input_ip_version(input_ip_version),
|
||||
.input_ip_ihl(input_ip_ihl),
|
||||
.input_ip_dscp(input_ip_dscp),
|
||||
.input_ip_ecn(input_ip_ecn),
|
||||
.input_ip_length(input_ip_length),
|
||||
.input_ip_identification(input_ip_identification),
|
||||
.input_ip_flags(input_ip_flags),
|
||||
.input_ip_fragment_offset(input_ip_fragment_offset),
|
||||
.input_ip_ttl(input_ip_ttl),
|
||||
.input_ip_protocol(input_ip_protocol),
|
||||
.input_ip_header_checksum(input_ip_header_checksum),
|
||||
.input_ip_source_ip(input_ip_source_ip),
|
||||
.input_ip_dest_ip(input_ip_dest_ip),
|
||||
.input_ip_payload_tdata(input_ip_payload_tdata),
|
||||
.input_ip_payload_tvalid(input_ip_payload_tvalid),
|
||||
.input_ip_payload_tready(input_ip_payload_tready),
|
||||
.input_ip_payload_tlast(input_ip_payload_tlast),
|
||||
.input_ip_payload_tuser(input_ip_payload_tuser),
|
||||
// UDP frame output
|
||||
.output_udp_hdr_valid(output_udp_hdr_valid),
|
||||
.output_udp_hdr_ready(output_udp_hdr_ready),
|
||||
.output_eth_dest_mac(output_udp_eth_dest_mac),
|
||||
.output_eth_src_mac(output_udp_eth_src_mac),
|
||||
.output_eth_type(output_udp_eth_type),
|
||||
.output_ip_version(output_udp_ip_version),
|
||||
.output_ip_ihl(output_udp_ip_ihl),
|
||||
.output_ip_dscp(output_udp_ip_dscp),
|
||||
.output_ip_ecn(output_udp_ip_ecn),
|
||||
.output_ip_length(output_udp_ip_length),
|
||||
.output_ip_identification(output_udp_ip_identification),
|
||||
.output_ip_flags(output_udp_ip_flags),
|
||||
.output_ip_fragment_offset(output_udp_ip_fragment_offset),
|
||||
.output_ip_ttl(output_udp_ip_ttl),
|
||||
.output_ip_protocol(output_udp_ip_protocol),
|
||||
.output_ip_header_checksum(output_udp_ip_header_checksum),
|
||||
.output_ip_source_ip(output_udp_ip_source_ip),
|
||||
.output_ip_dest_ip(output_udp_ip_dest_ip),
|
||||
.output_udp_source_port(output_udp_source_port),
|
||||
.output_udp_dest_port(output_udp_dest_port),
|
||||
.output_udp_length(output_udp_length),
|
||||
.output_udp_checksum(output_udp_checksum),
|
||||
.output_udp_payload_tdata(output_udp_payload_tdata),
|
||||
.output_udp_payload_tvalid(output_udp_payload_tvalid),
|
||||
.output_udp_payload_tready(output_udp_payload_tready),
|
||||
.output_udp_payload_tlast(output_udp_payload_tlast),
|
||||
.output_udp_payload_tuser(output_udp_payload_tuser),
|
||||
// Status signals
|
||||
.busy(rx_busy),
|
||||
.error_header_early_termination(rx_error_header_early_termination),
|
||||
.error_payload_early_termination(rx_error_payload_early_termination)
|
||||
);
|
||||
|
||||
generate
|
||||
|
||||
if (CHECKSUM_ENABLE) begin
|
||||
|
||||
udp_checksum #(
|
||||
.PAYLOAD_FIFO_ADDR_WIDTH(CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH),
|
||||
.HEADER_FIFO_ADDR_WIDTH(CHECKSUM_HEADER_FIFO_ADDR_WIDTH)
|
||||
)
|
||||
udp_checksum_inst (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// UDP frame input
|
||||
.input_udp_hdr_valid(input_udp_hdr_valid),
|
||||
.input_udp_hdr_ready(input_udp_hdr_ready),
|
||||
.input_eth_dest_mac(input_udp_eth_dest_mac),
|
||||
.input_eth_src_mac(input_udp_eth_src_mac),
|
||||
.input_eth_type(input_udp_eth_type),
|
||||
.input_ip_version(input_udp_ip_version),
|
||||
.input_ip_ihl(input_udp_ip_ihl),
|
||||
.input_ip_dscp(input_udp_ip_dscp),
|
||||
.input_ip_ecn(input_udp_ip_ecn),
|
||||
.input_ip_identification(input_udp_ip_identification),
|
||||
.input_ip_flags(input_udp_ip_flags),
|
||||
.input_ip_fragment_offset(input_udp_ip_fragment_offset),
|
||||
.input_ip_ttl(input_udp_ip_ttl),
|
||||
.input_ip_protocol(input_udp_ip_protocol),
|
||||
.input_ip_header_checksum(input_udp_ip_header_checksum),
|
||||
.input_ip_source_ip(input_udp_ip_source_ip),
|
||||
.input_ip_dest_ip(input_udp_ip_dest_ip),
|
||||
.input_udp_source_port(input_udp_source_port),
|
||||
.input_udp_dest_port(input_udp_dest_port),
|
||||
.input_udp_length(input_udp_length),
|
||||
.input_udp_checksum(input_udp_checksum),
|
||||
.input_udp_payload_tdata(input_udp_payload_tdata),
|
||||
.input_udp_payload_tvalid(input_udp_payload_tvalid),
|
||||
.input_udp_payload_tready(input_udp_payload_tready),
|
||||
.input_udp_payload_tlast(input_udp_payload_tlast),
|
||||
.input_udp_payload_tuser(input_udp_payload_tuser),
|
||||
// UDP frame output
|
||||
.output_udp_hdr_valid(tx_udp_hdr_valid),
|
||||
.output_udp_hdr_ready(tx_udp_hdr_ready),
|
||||
.output_eth_dest_mac(tx_udp_eth_dest_mac),
|
||||
.output_eth_src_mac(tx_udp_eth_src_mac),
|
||||
.output_eth_type(tx_udp_eth_type),
|
||||
.output_ip_version(tx_udp_ip_version),
|
||||
.output_ip_ihl(tx_udp_ip_ihl),
|
||||
.output_ip_dscp(tx_udp_ip_dscp),
|
||||
.output_ip_ecn(tx_udp_ip_ecn),
|
||||
.output_ip_length(),
|
||||
.output_ip_identification(tx_udp_ip_identification),
|
||||
.output_ip_flags(tx_udp_ip_flags),
|
||||
.output_ip_fragment_offset(tx_udp_ip_fragment_offset),
|
||||
.output_ip_ttl(tx_udp_ip_ttl),
|
||||
.output_ip_protocol(tx_udp_ip_protocol),
|
||||
.output_ip_header_checksum(tx_udp_ip_header_checksum),
|
||||
.output_ip_source_ip(tx_udp_ip_source_ip),
|
||||
.output_ip_dest_ip(tx_udp_ip_dest_ip),
|
||||
.output_udp_source_port(tx_udp_source_port),
|
||||
.output_udp_dest_port(tx_udp_dest_port),
|
||||
.output_udp_length(tx_udp_length),
|
||||
.output_udp_checksum(tx_udp_checksum),
|
||||
.output_udp_payload_tdata(tx_udp_payload_tdata),
|
||||
.output_udp_payload_tvalid(tx_udp_payload_tvalid),
|
||||
.output_udp_payload_tready(tx_udp_payload_tready),
|
||||
.output_udp_payload_tlast(tx_udp_payload_tlast),
|
||||
.output_udp_payload_tuser(tx_udp_payload_tuser)
|
||||
);
|
||||
|
||||
end else begin
|
||||
|
||||
assign tx_udp_hdr_valid = input_udp_hdr_valid;
|
||||
assign input_udp_hdr_ready = tx_udp_hdr_ready;
|
||||
assign tx_udp_eth_dest_mac = input_udp_eth_dest_mac;
|
||||
assign tx_udp_eth_src_mac = input_udp_eth_src_mac;
|
||||
assign tx_udp_eth_type = input_udp_eth_type;
|
||||
assign tx_udp_ip_version = input_udp_ip_version;
|
||||
assign tx_udp_ip_ihl = input_udp_ip_ihl;
|
||||
assign tx_udp_ip_dscp = input_udp_ip_dscp;
|
||||
assign tx_udp_ip_ecn = input_udp_ip_ecn;
|
||||
assign tx_udp_ip_identification = input_udp_ip_identification;
|
||||
assign tx_udp_ip_flags = input_udp_ip_flags;
|
||||
assign tx_udp_ip_fragment_offset = input_udp_ip_fragment_offset;
|
||||
assign tx_udp_ip_ttl = input_udp_ip_ttl;
|
||||
assign tx_udp_ip_protocol = input_udp_ip_protocol;
|
||||
assign tx_udp_ip_header_checksum = input_udp_ip_header_checksum;
|
||||
assign tx_udp_ip_source_ip = input_udp_ip_source_ip;
|
||||
assign tx_udp_ip_dest_ip = input_udp_ip_dest_ip;
|
||||
assign tx_udp_source_port = input_udp_source_port;
|
||||
assign tx_udp_dest_port = input_udp_dest_port;
|
||||
assign tx_udp_length = input_udp_length;
|
||||
assign tx_udp_checksum = input_udp_checksum;
|
||||
assign tx_udp_payload_tdata = input_udp_payload_tdata;
|
||||
assign tx_udp_payload_tvalid = input_udp_payload_tvalid;
|
||||
assign input_udp_payload_tready = tx_udp_payload_tready;
|
||||
assign tx_udp_payload_tlast = input_udp_payload_tlast;
|
||||
assign tx_udp_payload_tuser = input_udp_payload_tuser;
|
||||
|
||||
end
|
||||
|
||||
endgenerate
|
||||
|
||||
udp_ip_tx
|
||||
udp_ip_tx_inst (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// UDP frame input
|
||||
.input_udp_hdr_valid(tx_udp_hdr_valid),
|
||||
.input_udp_hdr_ready(tx_udp_hdr_ready),
|
||||
.input_eth_dest_mac(tx_udp_eth_dest_mac),
|
||||
.input_eth_src_mac(tx_udp_eth_src_mac),
|
||||
.input_eth_type(tx_udp_eth_type),
|
||||
.input_ip_version(tx_udp_ip_version),
|
||||
.input_ip_ihl(tx_udp_ip_ihl),
|
||||
.input_ip_dscp(tx_udp_ip_dscp),
|
||||
.input_ip_ecn(tx_udp_ip_ecn),
|
||||
.input_ip_identification(tx_udp_ip_identification),
|
||||
.input_ip_flags(tx_udp_ip_flags),
|
||||
.input_ip_fragment_offset(tx_udp_ip_fragment_offset),
|
||||
.input_ip_ttl(tx_udp_ip_ttl),
|
||||
.input_ip_protocol(tx_udp_ip_protocol),
|
||||
.input_ip_header_checksum(tx_udp_ip_header_checksum),
|
||||
.input_ip_source_ip(tx_udp_ip_source_ip),
|
||||
.input_ip_dest_ip(tx_udp_ip_dest_ip),
|
||||
.input_udp_source_port(tx_udp_source_port),
|
||||
.input_udp_dest_port(tx_udp_dest_port),
|
||||
.input_udp_length(tx_udp_length),
|
||||
.input_udp_checksum(tx_udp_checksum),
|
||||
.input_udp_payload_tdata(tx_udp_payload_tdata),
|
||||
.input_udp_payload_tvalid(tx_udp_payload_tvalid),
|
||||
.input_udp_payload_tready(tx_udp_payload_tready),
|
||||
.input_udp_payload_tlast(tx_udp_payload_tlast),
|
||||
.input_udp_payload_tuser(tx_udp_payload_tuser),
|
||||
// IP frame output
|
||||
.output_ip_hdr_valid(output_ip_hdr_valid),
|
||||
.output_ip_hdr_ready(output_ip_hdr_ready),
|
||||
.output_eth_dest_mac(output_ip_eth_dest_mac),
|
||||
.output_eth_src_mac(output_ip_eth_src_mac),
|
||||
.output_eth_type(output_ip_eth_type),
|
||||
.output_ip_version(output_ip_version),
|
||||
.output_ip_ihl(output_ip_ihl),
|
||||
.output_ip_dscp(output_ip_dscp),
|
||||
.output_ip_ecn(output_ip_ecn),
|
||||
.output_ip_length(output_ip_length),
|
||||
.output_ip_identification(output_ip_identification),
|
||||
.output_ip_flags(output_ip_flags),
|
||||
.output_ip_fragment_offset(output_ip_fragment_offset),
|
||||
.output_ip_ttl(output_ip_ttl),
|
||||
.output_ip_protocol(output_ip_protocol),
|
||||
.output_ip_header_checksum(output_ip_header_checksum),
|
||||
.output_ip_source_ip(output_ip_source_ip),
|
||||
.output_ip_dest_ip(output_ip_dest_ip),
|
||||
.output_ip_payload_tdata(output_ip_payload_tdata),
|
||||
.output_ip_payload_tvalid(output_ip_payload_tvalid),
|
||||
.output_ip_payload_tready(output_ip_payload_tready),
|
||||
.output_ip_payload_tlast(output_ip_payload_tlast),
|
||||
.output_ip_payload_tuser(output_ip_payload_tuser),
|
||||
// Status signals
|
||||
.busy(tx_busy),
|
||||
.error_payload_early_termination(tx_error_payload_early_termination)
|
||||
);
|
||||
|
||||
endmodule
|
429
rtl/udp_64.v
Normal file
429
rtl/udp_64.v
Normal file
@ -0,0 +1,429 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* UDP block, IP interface (64 bit datapath)
|
||||
*/
|
||||
module udp_64 #
|
||||
(
|
||||
parameter CHECKSUM_ENABLE = 1,
|
||||
parameter CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH = 11,
|
||||
parameter CHECKSUM_HEADER_FIFO_ADDR_WIDTH = 3
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* IP frame input
|
||||
*/
|
||||
input wire input_ip_hdr_valid,
|
||||
output wire input_ip_hdr_ready,
|
||||
input wire [47:0] input_ip_eth_dest_mac,
|
||||
input wire [47:0] input_ip_eth_src_mac,
|
||||
input wire [15:0] input_ip_eth_type,
|
||||
input wire [3:0] input_ip_version,
|
||||
input wire [3:0] input_ip_ihl,
|
||||
input wire [5:0] input_ip_dscp,
|
||||
input wire [1:0] input_ip_ecn,
|
||||
input wire [15:0] input_ip_length,
|
||||
input wire [15:0] input_ip_identification,
|
||||
input wire [2:0] input_ip_flags,
|
||||
input wire [12:0] input_ip_fragment_offset,
|
||||
input wire [7:0] input_ip_ttl,
|
||||
input wire [7:0] input_ip_protocol,
|
||||
input wire [15:0] input_ip_header_checksum,
|
||||
input wire [31:0] input_ip_source_ip,
|
||||
input wire [31:0] input_ip_dest_ip,
|
||||
input wire [63:0] input_ip_payload_tdata,
|
||||
input wire [7:0] input_ip_payload_tkeep,
|
||||
input wire input_ip_payload_tvalid,
|
||||
output wire input_ip_payload_tready,
|
||||
input wire input_ip_payload_tlast,
|
||||
input wire input_ip_payload_tuser,
|
||||
|
||||
/*
|
||||
* IP frame output
|
||||
*/
|
||||
output wire output_ip_hdr_valid,
|
||||
input wire output_ip_hdr_ready,
|
||||
output wire [47:0] output_ip_eth_dest_mac,
|
||||
output wire [47:0] output_ip_eth_src_mac,
|
||||
output wire [15:0] output_ip_eth_type,
|
||||
output wire [3:0] output_ip_version,
|
||||
output wire [3:0] output_ip_ihl,
|
||||
output wire [5:0] output_ip_dscp,
|
||||
output wire [1:0] output_ip_ecn,
|
||||
output wire [15:0] output_ip_length,
|
||||
output wire [15:0] output_ip_identification,
|
||||
output wire [2:0] output_ip_flags,
|
||||
output wire [12:0] output_ip_fragment_offset,
|
||||
output wire [7:0] output_ip_ttl,
|
||||
output wire [7:0] output_ip_protocol,
|
||||
output wire [15:0] output_ip_header_checksum,
|
||||
output wire [31:0] output_ip_source_ip,
|
||||
output wire [31:0] output_ip_dest_ip,
|
||||
output wire [63:0] output_ip_payload_tdata,
|
||||
output wire [7:0] output_ip_payload_tkeep,
|
||||
output wire output_ip_payload_tvalid,
|
||||
input wire output_ip_payload_tready,
|
||||
output wire output_ip_payload_tlast,
|
||||
output wire output_ip_payload_tuser,
|
||||
|
||||
/*
|
||||
* UDP frame input
|
||||
*/
|
||||
input wire input_udp_hdr_valid,
|
||||
output wire input_udp_hdr_ready,
|
||||
input wire [47:0] input_udp_eth_dest_mac,
|
||||
input wire [47:0] input_udp_eth_src_mac,
|
||||
input wire [15:0] input_udp_eth_type,
|
||||
input wire [3:0] input_udp_ip_version,
|
||||
input wire [3:0] input_udp_ip_ihl,
|
||||
input wire [5:0] input_udp_ip_dscp,
|
||||
input wire [1:0] input_udp_ip_ecn,
|
||||
input wire [15:0] input_udp_ip_identification,
|
||||
input wire [2:0] input_udp_ip_flags,
|
||||
input wire [12:0] input_udp_ip_fragment_offset,
|
||||
input wire [7:0] input_udp_ip_ttl,
|
||||
input wire [7:0] input_udp_ip_protocol,
|
||||
input wire [15:0] input_udp_ip_header_checksum,
|
||||
input wire [31:0] input_udp_ip_source_ip,
|
||||
input wire [31:0] input_udp_ip_dest_ip,
|
||||
input wire [15:0] input_udp_source_port,
|
||||
input wire [15:0] input_udp_dest_port,
|
||||
input wire [15:0] input_udp_length,
|
||||
input wire [15:0] input_udp_checksum,
|
||||
input wire [63:0] input_udp_payload_tdata,
|
||||
input wire [7:0] input_udp_payload_tkeep,
|
||||
input wire input_udp_payload_tvalid,
|
||||
output wire input_udp_payload_tready,
|
||||
input wire input_udp_payload_tlast,
|
||||
input wire input_udp_payload_tuser,
|
||||
|
||||
/*
|
||||
* UDP frame output
|
||||
*/
|
||||
output wire output_udp_hdr_valid,
|
||||
input wire output_udp_hdr_ready,
|
||||
output wire [47:0] output_udp_eth_dest_mac,
|
||||
output wire [47:0] output_udp_eth_src_mac,
|
||||
output wire [15:0] output_udp_eth_type,
|
||||
output wire [3:0] output_udp_ip_version,
|
||||
output wire [3:0] output_udp_ip_ihl,
|
||||
output wire [5:0] output_udp_ip_dscp,
|
||||
output wire [1:0] output_udp_ip_ecn,
|
||||
output wire [15:0] output_udp_ip_length,
|
||||
output wire [15:0] output_udp_ip_identification,
|
||||
output wire [2:0] output_udp_ip_flags,
|
||||
output wire [12:0] output_udp_ip_fragment_offset,
|
||||
output wire [7:0] output_udp_ip_ttl,
|
||||
output wire [7:0] output_udp_ip_protocol,
|
||||
output wire [15:0] output_udp_ip_header_checksum,
|
||||
output wire [31:0] output_udp_ip_source_ip,
|
||||
output wire [31:0] output_udp_ip_dest_ip,
|
||||
output wire [15:0] output_udp_source_port,
|
||||
output wire [15:0] output_udp_dest_port,
|
||||
output wire [15:0] output_udp_length,
|
||||
output wire [15:0] output_udp_checksum,
|
||||
output wire [63:0] output_udp_payload_tdata,
|
||||
output wire [7:0] output_udp_payload_tkeep,
|
||||
output wire output_udp_payload_tvalid,
|
||||
input wire output_udp_payload_tready,
|
||||
output wire output_udp_payload_tlast,
|
||||
output wire output_udp_payload_tuser,
|
||||
|
||||
/*
|
||||
* Status signals
|
||||
*/
|
||||
output wire rx_busy,
|
||||
output wire tx_busy,
|
||||
output wire rx_error_header_early_termination,
|
||||
output wire rx_error_payload_early_termination,
|
||||
output wire tx_error_payload_early_termination
|
||||
);
|
||||
|
||||
wire tx_udp_hdr_valid;
|
||||
wire tx_udp_hdr_ready;
|
||||
wire [47:0] tx_udp_eth_dest_mac;
|
||||
wire [47:0] tx_udp_eth_src_mac;
|
||||
wire [15:0] tx_udp_eth_type;
|
||||
wire [3:0] tx_udp_ip_version;
|
||||
wire [3:0] tx_udp_ip_ihl;
|
||||
wire [5:0] tx_udp_ip_dscp;
|
||||
wire [1:0] tx_udp_ip_ecn;
|
||||
wire [15:0] tx_udp_ip_identification;
|
||||
wire [2:0] tx_udp_ip_flags;
|
||||
wire [12:0] tx_udp_ip_fragment_offset;
|
||||
wire [7:0] tx_udp_ip_ttl;
|
||||
wire [7:0] tx_udp_ip_protocol;
|
||||
wire [15:0] tx_udp_ip_header_checksum;
|
||||
wire [31:0] tx_udp_ip_source_ip;
|
||||
wire [31:0] tx_udp_ip_dest_ip;
|
||||
wire [15:0] tx_udp_source_port;
|
||||
wire [15:0] tx_udp_dest_port;
|
||||
wire [15:0] tx_udp_length;
|
||||
wire [15:0] tx_udp_checksum;
|
||||
wire [63:0] tx_udp_payload_tdata;
|
||||
wire [7:0] tx_udp_payload_tkeep;
|
||||
wire tx_udp_payload_tvalid;
|
||||
wire tx_udp_payload_tready;
|
||||
wire tx_udp_payload_tlast;
|
||||
wire tx_udp_payload_tuser;
|
||||
|
||||
udp_ip_rx_64
|
||||
udp_ip_rx_64_inst (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// IP frame input
|
||||
.input_ip_hdr_valid(input_ip_hdr_valid),
|
||||
.input_ip_hdr_ready(input_ip_hdr_ready),
|
||||
.input_eth_dest_mac(input_ip_eth_dest_mac),
|
||||
.input_eth_src_mac(input_ip_eth_src_mac),
|
||||
.input_eth_type(input_ip_eth_type),
|
||||
.input_ip_version(input_ip_version),
|
||||
.input_ip_ihl(input_ip_ihl),
|
||||
.input_ip_dscp(input_ip_dscp),
|
||||
.input_ip_ecn(input_ip_ecn),
|
||||
.input_ip_length(input_ip_length),
|
||||
.input_ip_identification(input_ip_identification),
|
||||
.input_ip_flags(input_ip_flags),
|
||||
.input_ip_fragment_offset(input_ip_fragment_offset),
|
||||
.input_ip_ttl(input_ip_ttl),
|
||||
.input_ip_protocol(input_ip_protocol),
|
||||
.input_ip_header_checksum(input_ip_header_checksum),
|
||||
.input_ip_source_ip(input_ip_source_ip),
|
||||
.input_ip_dest_ip(input_ip_dest_ip),
|
||||
.input_ip_payload_tdata(input_ip_payload_tdata),
|
||||
.input_ip_payload_tkeep(input_ip_payload_tkeep),
|
||||
.input_ip_payload_tvalid(input_ip_payload_tvalid),
|
||||
.input_ip_payload_tready(input_ip_payload_tready),
|
||||
.input_ip_payload_tlast(input_ip_payload_tlast),
|
||||
.input_ip_payload_tuser(input_ip_payload_tuser),
|
||||
// UDP frame output
|
||||
.output_udp_hdr_valid(output_udp_hdr_valid),
|
||||
.output_udp_hdr_ready(output_udp_hdr_ready),
|
||||
.output_eth_dest_mac(output_udp_eth_dest_mac),
|
||||
.output_eth_src_mac(output_udp_eth_src_mac),
|
||||
.output_eth_type(output_udp_eth_type),
|
||||
.output_ip_version(output_udp_ip_version),
|
||||
.output_ip_ihl(output_udp_ip_ihl),
|
||||
.output_ip_dscp(output_udp_ip_dscp),
|
||||
.output_ip_ecn(output_udp_ip_ecn),
|
||||
.output_ip_length(output_udp_ip_length),
|
||||
.output_ip_identification(output_udp_ip_identification),
|
||||
.output_ip_flags(output_udp_ip_flags),
|
||||
.output_ip_fragment_offset(output_udp_ip_fragment_offset),
|
||||
.output_ip_ttl(output_udp_ip_ttl),
|
||||
.output_ip_protocol(output_udp_ip_protocol),
|
||||
.output_ip_header_checksum(output_udp_ip_header_checksum),
|
||||
.output_ip_source_ip(output_udp_ip_source_ip),
|
||||
.output_ip_dest_ip(output_udp_ip_dest_ip),
|
||||
.output_udp_source_port(output_udp_source_port),
|
||||
.output_udp_dest_port(output_udp_dest_port),
|
||||
.output_udp_length(output_udp_length),
|
||||
.output_udp_checksum(output_udp_checksum),
|
||||
.output_udp_payload_tdata(output_udp_payload_tdata),
|
||||
.output_udp_payload_tkeep(output_udp_payload_tkeep),
|
||||
.output_udp_payload_tvalid(output_udp_payload_tvalid),
|
||||
.output_udp_payload_tready(output_udp_payload_tready),
|
||||
.output_udp_payload_tlast(output_udp_payload_tlast),
|
||||
.output_udp_payload_tuser(output_udp_payload_tuser),
|
||||
// Status signals
|
||||
.busy(rx_busy),
|
||||
.error_header_early_termination(rx_error_header_early_termination),
|
||||
.error_payload_early_termination(rx_error_payload_early_termination)
|
||||
);
|
||||
|
||||
generate
|
||||
|
||||
if (CHECKSUM_ENABLE) begin
|
||||
|
||||
udp_checksum_64 #(
|
||||
.PAYLOAD_FIFO_ADDR_WIDTH(CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH),
|
||||
.HEADER_FIFO_ADDR_WIDTH(CHECKSUM_HEADER_FIFO_ADDR_WIDTH)
|
||||
)
|
||||
udp_checksum_64_inst (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// UDP frame input
|
||||
.input_udp_hdr_valid(input_udp_hdr_valid),
|
||||
.input_udp_hdr_ready(input_udp_hdr_ready),
|
||||
.input_eth_dest_mac(input_udp_eth_dest_mac),
|
||||
.input_eth_src_mac(input_udp_eth_src_mac),
|
||||
.input_eth_type(input_udp_eth_type),
|
||||
.input_ip_version(input_udp_ip_version),
|
||||
.input_ip_ihl(input_udp_ip_ihl),
|
||||
.input_ip_dscp(input_udp_ip_dscp),
|
||||
.input_ip_ecn(input_udp_ip_ecn),
|
||||
.input_ip_identification(input_udp_ip_identification),
|
||||
.input_ip_flags(input_udp_ip_flags),
|
||||
.input_ip_fragment_offset(input_udp_ip_fragment_offset),
|
||||
.input_ip_ttl(input_udp_ip_ttl),
|
||||
.input_ip_protocol(input_udp_ip_protocol),
|
||||
.input_ip_header_checksum(input_udp_ip_header_checksum),
|
||||
.input_ip_source_ip(input_udp_ip_source_ip),
|
||||
.input_ip_dest_ip(input_udp_ip_dest_ip),
|
||||
.input_udp_source_port(input_udp_source_port),
|
||||
.input_udp_dest_port(input_udp_dest_port),
|
||||
.input_udp_length(input_udp_length),
|
||||
.input_udp_checksum(input_udp_checksum),
|
||||
.input_udp_payload_tdata(input_udp_payload_tdata),
|
||||
.input_udp_payload_tkeep(input_udp_payload_tkeep),
|
||||
.input_udp_payload_tvalid(input_udp_payload_tvalid),
|
||||
.input_udp_payload_tready(input_udp_payload_tready),
|
||||
.input_udp_payload_tlast(input_udp_payload_tlast),
|
||||
.input_udp_payload_tuser(input_udp_payload_tuser),
|
||||
// UDP frame output
|
||||
.output_udp_hdr_valid(tx_udp_hdr_valid),
|
||||
.output_udp_hdr_ready(tx_udp_hdr_ready),
|
||||
.output_eth_dest_mac(tx_udp_eth_dest_mac),
|
||||
.output_eth_src_mac(tx_udp_eth_src_mac),
|
||||
.output_eth_type(tx_udp_eth_type),
|
||||
.output_ip_version(tx_udp_ip_version),
|
||||
.output_ip_ihl(tx_udp_ip_ihl),
|
||||
.output_ip_dscp(tx_udp_ip_dscp),
|
||||
.output_ip_ecn(tx_udp_ip_ecn),
|
||||
.output_ip_length(),
|
||||
.output_ip_identification(tx_udp_ip_identification),
|
||||
.output_ip_flags(tx_udp_ip_flags),
|
||||
.output_ip_fragment_offset(tx_udp_ip_fragment_offset),
|
||||
.output_ip_ttl(tx_udp_ip_ttl),
|
||||
.output_ip_protocol(tx_udp_ip_protocol),
|
||||
.output_ip_header_checksum(tx_udp_ip_header_checksum),
|
||||
.output_ip_source_ip(tx_udp_ip_source_ip),
|
||||
.output_ip_dest_ip(tx_udp_ip_dest_ip),
|
||||
.output_udp_source_port(tx_udp_source_port),
|
||||
.output_udp_dest_port(tx_udp_dest_port),
|
||||
.output_udp_length(tx_udp_length),
|
||||
.output_udp_checksum(tx_udp_checksum),
|
||||
.output_udp_payload_tdata(tx_udp_payload_tdata),
|
||||
.output_udp_payload_tkeep(tx_udp_payload_tkeep),
|
||||
.output_udp_payload_tvalid(tx_udp_payload_tvalid),
|
||||
.output_udp_payload_tready(tx_udp_payload_tready),
|
||||
.output_udp_payload_tlast(tx_udp_payload_tlast),
|
||||
.output_udp_payload_tuser(tx_udp_payload_tuser)
|
||||
);
|
||||
|
||||
end else begin
|
||||
|
||||
assign tx_udp_hdr_valid = input_udp_hdr_valid;
|
||||
assign input_udp_hdr_ready = tx_udp_hdr_ready;
|
||||
assign tx_udp_eth_dest_mac = input_udp_eth_dest_mac;
|
||||
assign tx_udp_eth_src_mac = input_udp_eth_src_mac;
|
||||
assign tx_udp_eth_type = input_udp_eth_type;
|
||||
assign tx_udp_ip_version = input_udp_ip_version;
|
||||
assign tx_udp_ip_ihl = input_udp_ip_ihl;
|
||||
assign tx_udp_ip_dscp = input_udp_ip_dscp;
|
||||
assign tx_udp_ip_ecn = input_udp_ip_ecn;
|
||||
assign tx_udp_ip_identification = input_udp_ip_identification;
|
||||
assign tx_udp_ip_flags = input_udp_ip_flags;
|
||||
assign tx_udp_ip_fragment_offset = input_udp_ip_fragment_offset;
|
||||
assign tx_udp_ip_ttl = input_udp_ip_ttl;
|
||||
assign tx_udp_ip_protocol = input_udp_ip_protocol;
|
||||
assign tx_udp_ip_header_checksum = input_udp_ip_header_checksum;
|
||||
assign tx_udp_ip_source_ip = input_udp_ip_source_ip;
|
||||
assign tx_udp_ip_dest_ip = input_udp_ip_dest_ip;
|
||||
assign tx_udp_source_port = input_udp_source_port;
|
||||
assign tx_udp_dest_port = input_udp_dest_port;
|
||||
assign tx_udp_length = input_udp_length;
|
||||
assign tx_udp_checksum = input_udp_checksum;
|
||||
assign tx_udp_payload_tdata = input_udp_payload_tdata;
|
||||
assign tx_udp_payload_tkeep = input_udp_payload_tkeep;
|
||||
assign tx_udp_payload_tvalid = input_udp_payload_tvalid;
|
||||
assign input_udp_payload_tready = tx_udp_payload_tready;
|
||||
assign tx_udp_payload_tlast = input_udp_payload_tlast;
|
||||
assign tx_udp_payload_tuser = input_udp_payload_tuser;
|
||||
|
||||
end
|
||||
|
||||
endgenerate
|
||||
|
||||
udp_ip_tx_64
|
||||
udp_ip_tx_64_inst (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// UDP frame input
|
||||
.input_udp_hdr_valid(tx_udp_hdr_valid),
|
||||
.input_udp_hdr_ready(tx_udp_hdr_ready),
|
||||
.input_eth_dest_mac(tx_udp_eth_dest_mac),
|
||||
.input_eth_src_mac(tx_udp_eth_src_mac),
|
||||
.input_eth_type(tx_udp_eth_type),
|
||||
.input_ip_version(tx_udp_ip_version),
|
||||
.input_ip_ihl(tx_udp_ip_ihl),
|
||||
.input_ip_dscp(tx_udp_ip_dscp),
|
||||
.input_ip_ecn(tx_udp_ip_ecn),
|
||||
.input_ip_identification(tx_udp_ip_identification),
|
||||
.input_ip_flags(tx_udp_ip_flags),
|
||||
.input_ip_fragment_offset(tx_udp_ip_fragment_offset),
|
||||
.input_ip_ttl(tx_udp_ip_ttl),
|
||||
.input_ip_protocol(tx_udp_ip_protocol),
|
||||
.input_ip_header_checksum(tx_udp_ip_header_checksum),
|
||||
.input_ip_source_ip(tx_udp_ip_source_ip),
|
||||
.input_ip_dest_ip(tx_udp_ip_dest_ip),
|
||||
.input_udp_source_port(tx_udp_source_port),
|
||||
.input_udp_dest_port(tx_udp_dest_port),
|
||||
.input_udp_length(tx_udp_length),
|
||||
.input_udp_checksum(tx_udp_checksum),
|
||||
.input_udp_payload_tdata(tx_udp_payload_tdata),
|
||||
.input_udp_payload_tkeep(tx_udp_payload_tkeep),
|
||||
.input_udp_payload_tvalid(tx_udp_payload_tvalid),
|
||||
.input_udp_payload_tready(tx_udp_payload_tready),
|
||||
.input_udp_payload_tlast(tx_udp_payload_tlast),
|
||||
.input_udp_payload_tuser(tx_udp_payload_tuser),
|
||||
// IP frame output
|
||||
.output_ip_hdr_valid(output_ip_hdr_valid),
|
||||
.output_ip_hdr_ready(output_ip_hdr_ready),
|
||||
.output_eth_dest_mac(output_ip_eth_dest_mac),
|
||||
.output_eth_src_mac(output_ip_eth_src_mac),
|
||||
.output_eth_type(output_ip_eth_type),
|
||||
.output_ip_version(output_ip_version),
|
||||
.output_ip_ihl(output_ip_ihl),
|
||||
.output_ip_dscp(output_ip_dscp),
|
||||
.output_ip_ecn(output_ip_ecn),
|
||||
.output_ip_length(output_ip_length),
|
||||
.output_ip_identification(output_ip_identification),
|
||||
.output_ip_flags(output_ip_flags),
|
||||
.output_ip_fragment_offset(output_ip_fragment_offset),
|
||||
.output_ip_ttl(output_ip_ttl),
|
||||
.output_ip_protocol(output_ip_protocol),
|
||||
.output_ip_header_checksum(output_ip_header_checksum),
|
||||
.output_ip_source_ip(output_ip_source_ip),
|
||||
.output_ip_dest_ip(output_ip_dest_ip),
|
||||
.output_ip_payload_tdata(output_ip_payload_tdata),
|
||||
.output_ip_payload_tkeep(output_ip_payload_tkeep),
|
||||
.output_ip_payload_tvalid(output_ip_payload_tvalid),
|
||||
.output_ip_payload_tready(output_ip_payload_tready),
|
||||
.output_ip_payload_tlast(output_ip_payload_tlast),
|
||||
.output_ip_payload_tuser(output_ip_payload_tuser),
|
||||
// Status signals
|
||||
.busy(tx_busy),
|
||||
.error_payload_early_termination(tx_error_payload_early_termination)
|
||||
);
|
||||
|
||||
endmodule
|
784
tb/test_udp.py
Executable file
784
tb/test_udp.py
Executable file
@ -0,0 +1,784 @@
|
||||
#!/usr/bin/env python2
|
||||
"""
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
"""
|
||||
|
||||
from myhdl import *
|
||||
import os
|
||||
from Queue import Queue
|
||||
|
||||
import eth_ep
|
||||
import ip_ep
|
||||
import udp_ep
|
||||
|
||||
module = 'udp'
|
||||
|
||||
srcs = []
|
||||
|
||||
srcs.append("../rtl/%s.v" % module)
|
||||
srcs.append("../rtl/udp_ip_rx.v")
|
||||
srcs.append("../rtl/udp_ip_tx.v")
|
||||
srcs.append("test_%s.v" % module)
|
||||
|
||||
src = ' '.join(srcs)
|
||||
|
||||
build_cmd = "iverilog -o test_%s.vvp %s" % (module, src)
|
||||
|
||||
def dut_udp(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_ip_hdr_valid,
|
||||
input_ip_hdr_ready,
|
||||
input_ip_eth_dest_mac,
|
||||
input_ip_eth_src_mac,
|
||||
input_ip_eth_type,
|
||||
input_ip_version,
|
||||
input_ip_ihl,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_header_checksum,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tready,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid,
|
||||
output_ip_hdr_ready,
|
||||
output_ip_eth_dest_mac,
|
||||
output_ip_eth_src_mac,
|
||||
output_ip_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tready,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
|
||||
input_udp_hdr_valid,
|
||||
input_udp_hdr_ready,
|
||||
input_udp_eth_dest_mac,
|
||||
input_udp_eth_src_mac,
|
||||
input_udp_eth_type,
|
||||
input_udp_ip_version,
|
||||
input_udp_ip_ihl,
|
||||
input_udp_ip_dscp,
|
||||
input_udp_ip_ecn,
|
||||
input_udp_ip_identification,
|
||||
input_udp_ip_flags,
|
||||
input_udp_ip_fragment_offset,
|
||||
input_udp_ip_ttl,
|
||||
input_udp_ip_protocol,
|
||||
input_udp_ip_header_checksum,
|
||||
input_udp_ip_source_ip,
|
||||
input_udp_ip_dest_ip,
|
||||
input_udp_source_port,
|
||||
input_udp_dest_port,
|
||||
input_udp_length,
|
||||
input_udp_checksum,
|
||||
input_udp_payload_tdata,
|
||||
input_udp_payload_tvalid,
|
||||
input_udp_payload_tready,
|
||||
input_udp_payload_tlast,
|
||||
input_udp_payload_tuser,
|
||||
|
||||
output_udp_hdr_valid,
|
||||
output_udp_hdr_ready,
|
||||
output_udp_eth_dest_mac,
|
||||
output_udp_eth_src_mac,
|
||||
output_udp_eth_type,
|
||||
output_udp_ip_version,
|
||||
output_udp_ip_ihl,
|
||||
output_udp_ip_dscp,
|
||||
output_udp_ip_ecn,
|
||||
output_udp_ip_length,
|
||||
output_udp_ip_identification,
|
||||
output_udp_ip_flags,
|
||||
output_udp_ip_fragment_offset,
|
||||
output_udp_ip_ttl,
|
||||
output_udp_ip_protocol,
|
||||
output_udp_ip_header_checksum,
|
||||
output_udp_ip_source_ip,
|
||||
output_udp_ip_dest_ip,
|
||||
output_udp_source_port,
|
||||
output_udp_dest_port,
|
||||
output_udp_length,
|
||||
output_udp_checksum,
|
||||
output_udp_payload_tdata,
|
||||
output_udp_payload_tvalid,
|
||||
output_udp_payload_tready,
|
||||
output_udp_payload_tlast,
|
||||
output_udp_payload_tuser,
|
||||
|
||||
rx_busy,
|
||||
tx_busy,
|
||||
rx_error_header_early_termination,
|
||||
rx_error_payload_early_termination,
|
||||
tx_error_payload_early_termination):
|
||||
|
||||
if os.system(build_cmd):
|
||||
raise Exception("Error running build command")
|
||||
return Cosimulation("vvp -m myhdl test_%s.vvp -lxt2" % module,
|
||||
clk=clk,
|
||||
rst=rst,
|
||||
current_test=current_test,
|
||||
|
||||
input_ip_hdr_valid=input_ip_hdr_valid,
|
||||
input_ip_hdr_ready=input_ip_hdr_ready,
|
||||
input_ip_eth_dest_mac=input_ip_eth_dest_mac,
|
||||
input_ip_eth_src_mac=input_ip_eth_src_mac,
|
||||
input_ip_eth_type=input_ip_eth_type,
|
||||
input_ip_version=input_ip_version,
|
||||
input_ip_ihl=input_ip_ihl,
|
||||
input_ip_dscp=input_ip_dscp,
|
||||
input_ip_ecn=input_ip_ecn,
|
||||
input_ip_length=input_ip_length,
|
||||
input_ip_identification=input_ip_identification,
|
||||
input_ip_flags=input_ip_flags,
|
||||
input_ip_fragment_offset=input_ip_fragment_offset,
|
||||
input_ip_ttl=input_ip_ttl,
|
||||
input_ip_protocol=input_ip_protocol,
|
||||
input_ip_header_checksum=input_ip_header_checksum,
|
||||
input_ip_source_ip=input_ip_source_ip,
|
||||
input_ip_dest_ip=input_ip_dest_ip,
|
||||
input_ip_payload_tdata=input_ip_payload_tdata,
|
||||
input_ip_payload_tvalid=input_ip_payload_tvalid,
|
||||
input_ip_payload_tready=input_ip_payload_tready,
|
||||
input_ip_payload_tlast=input_ip_payload_tlast,
|
||||
input_ip_payload_tuser=input_ip_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid=output_ip_hdr_valid,
|
||||
output_ip_hdr_ready=output_ip_hdr_ready,
|
||||
output_ip_eth_dest_mac=output_ip_eth_dest_mac,
|
||||
output_ip_eth_src_mac=output_ip_eth_src_mac,
|
||||
output_ip_eth_type=output_ip_eth_type,
|
||||
output_ip_version=output_ip_version,
|
||||
output_ip_ihl=output_ip_ihl,
|
||||
output_ip_dscp=output_ip_dscp,
|
||||
output_ip_ecn=output_ip_ecn,
|
||||
output_ip_length=output_ip_length,
|
||||
output_ip_identification=output_ip_identification,
|
||||
output_ip_flags=output_ip_flags,
|
||||
output_ip_fragment_offset=output_ip_fragment_offset,
|
||||
output_ip_ttl=output_ip_ttl,
|
||||
output_ip_protocol=output_ip_protocol,
|
||||
output_ip_header_checksum=output_ip_header_checksum,
|
||||
output_ip_source_ip=output_ip_source_ip,
|
||||
output_ip_dest_ip=output_ip_dest_ip,
|
||||
output_ip_payload_tdata=output_ip_payload_tdata,
|
||||
output_ip_payload_tvalid=output_ip_payload_tvalid,
|
||||
output_ip_payload_tready=output_ip_payload_tready,
|
||||
output_ip_payload_tlast=output_ip_payload_tlast,
|
||||
output_ip_payload_tuser=output_ip_payload_tuser,
|
||||
|
||||
input_udp_hdr_valid=input_udp_hdr_valid,
|
||||
input_udp_hdr_ready=input_udp_hdr_ready,
|
||||
input_udp_eth_dest_mac=input_udp_eth_dest_mac,
|
||||
input_udp_eth_src_mac=input_udp_eth_src_mac,
|
||||
input_udp_eth_type=input_udp_eth_type,
|
||||
input_udp_ip_version=input_udp_ip_version,
|
||||
input_udp_ip_ihl=input_udp_ip_ihl,
|
||||
input_udp_ip_dscp=input_udp_ip_dscp,
|
||||
input_udp_ip_ecn=input_udp_ip_ecn,
|
||||
input_udp_ip_identification=input_udp_ip_identification,
|
||||
input_udp_ip_flags=input_udp_ip_flags,
|
||||
input_udp_ip_fragment_offset=input_udp_ip_fragment_offset,
|
||||
input_udp_ip_ttl=input_udp_ip_ttl,
|
||||
input_udp_ip_protocol=input_udp_ip_protocol,
|
||||
input_udp_ip_header_checksum=input_udp_ip_header_checksum,
|
||||
input_udp_ip_source_ip=input_udp_ip_source_ip,
|
||||
input_udp_ip_dest_ip=input_udp_ip_dest_ip,
|
||||
input_udp_source_port=input_udp_source_port,
|
||||
input_udp_dest_port=input_udp_dest_port,
|
||||
input_udp_length=input_udp_length,
|
||||
input_udp_checksum=input_udp_checksum,
|
||||
input_udp_payload_tdata=input_udp_payload_tdata,
|
||||
input_udp_payload_tvalid=input_udp_payload_tvalid,
|
||||
input_udp_payload_tready=input_udp_payload_tready,
|
||||
input_udp_payload_tlast=input_udp_payload_tlast,
|
||||
input_udp_payload_tuser=input_udp_payload_tuser,
|
||||
|
||||
output_udp_hdr_valid=output_udp_hdr_valid,
|
||||
output_udp_hdr_ready=output_udp_hdr_ready,
|
||||
output_udp_eth_dest_mac=output_udp_eth_dest_mac,
|
||||
output_udp_eth_src_mac=output_udp_eth_src_mac,
|
||||
output_udp_eth_type=output_udp_eth_type,
|
||||
output_udp_ip_version=output_udp_ip_version,
|
||||
output_udp_ip_ihl=output_udp_ip_ihl,
|
||||
output_udp_ip_dscp=output_udp_ip_dscp,
|
||||
output_udp_ip_ecn=output_udp_ip_ecn,
|
||||
output_udp_ip_length=output_udp_ip_length,
|
||||
output_udp_ip_identification=output_udp_ip_identification,
|
||||
output_udp_ip_flags=output_udp_ip_flags,
|
||||
output_udp_ip_fragment_offset=output_udp_ip_fragment_offset,
|
||||
output_udp_ip_ttl=output_udp_ip_ttl,
|
||||
output_udp_ip_protocol=output_udp_ip_protocol,
|
||||
output_udp_ip_header_checksum=output_udp_ip_header_checksum,
|
||||
output_udp_ip_source_ip=output_udp_ip_source_ip,
|
||||
output_udp_ip_dest_ip=output_udp_ip_dest_ip,
|
||||
output_udp_source_port=output_udp_source_port,
|
||||
output_udp_dest_port=output_udp_dest_port,
|
||||
output_udp_length=output_udp_length,
|
||||
output_udp_checksum=output_udp_checksum,
|
||||
output_udp_payload_tdata=output_udp_payload_tdata,
|
||||
output_udp_payload_tvalid=output_udp_payload_tvalid,
|
||||
output_udp_payload_tready=output_udp_payload_tready,
|
||||
output_udp_payload_tlast=output_udp_payload_tlast,
|
||||
output_udp_payload_tuser=output_udp_payload_tuser,
|
||||
|
||||
rx_busy=rx_busy,
|
||||
tx_busy=tx_busy,
|
||||
rx_error_header_early_termination=rx_error_header_early_termination,
|
||||
rx_error_payload_early_termination=rx_error_payload_early_termination,
|
||||
tx_error_payload_early_termination=tx_error_payload_early_termination)
|
||||
|
||||
def bench():
|
||||
|
||||
# Inputs
|
||||
clk = Signal(bool(0))
|
||||
rst = Signal(bool(0))
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_ip_hdr_valid = Signal(bool(0))
|
||||
input_ip_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
input_ip_eth_src_mac = Signal(intbv(0)[48:])
|
||||
input_ip_eth_type = Signal(intbv(0)[16:])
|
||||
input_ip_version = Signal(intbv(0)[4:])
|
||||
input_ip_ihl = Signal(intbv(0)[4:])
|
||||
input_ip_dscp = Signal(intbv(0)[6:])
|
||||
input_ip_ecn = Signal(intbv(0)[2:])
|
||||
input_ip_length = Signal(intbv(0)[16:])
|
||||
input_ip_identification = Signal(intbv(0)[16:])
|
||||
input_ip_flags = Signal(intbv(0)[3:])
|
||||
input_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
input_ip_ttl = Signal(intbv(0)[8:])
|
||||
input_ip_protocol = Signal(intbv(0)[8:])
|
||||
input_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
input_ip_source_ip = Signal(intbv(0)[32:])
|
||||
input_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
input_ip_payload_tdata = Signal(intbv(0)[8:])
|
||||
input_ip_payload_tvalid = Signal(bool(0))
|
||||
input_ip_payload_tlast = Signal(bool(0))
|
||||
input_ip_payload_tuser = Signal(bool(0))
|
||||
input_udp_hdr_valid = Signal(bool(0))
|
||||
input_udp_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
input_udp_eth_src_mac = Signal(intbv(0)[48:])
|
||||
input_udp_eth_type = Signal(intbv(0)[16:])
|
||||
input_udp_ip_version = Signal(intbv(0)[4:])
|
||||
input_udp_ip_ihl = Signal(intbv(0)[4:])
|
||||
input_udp_ip_dscp = Signal(intbv(0)[6:])
|
||||
input_udp_ip_ecn = Signal(intbv(0)[2:])
|
||||
input_udp_ip_identification = Signal(intbv(0)[16:])
|
||||
input_udp_ip_flags = Signal(intbv(0)[3:])
|
||||
input_udp_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
input_udp_ip_ttl = Signal(intbv(0)[8:])
|
||||
input_udp_ip_protocol = Signal(intbv(0)[8:])
|
||||
input_udp_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
input_udp_ip_source_ip = Signal(intbv(0)[32:])
|
||||
input_udp_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
input_udp_source_port = Signal(intbv(0)[16:])
|
||||
input_udp_dest_port = Signal(intbv(0)[16:])
|
||||
input_udp_length = Signal(intbv(0)[16:])
|
||||
input_udp_checksum = Signal(intbv(0)[16:])
|
||||
input_udp_payload_tdata = Signal(intbv(0)[8:])
|
||||
input_udp_payload_tvalid = Signal(bool(0))
|
||||
input_udp_payload_tlast = Signal(bool(0))
|
||||
input_udp_payload_tuser = Signal(bool(0))
|
||||
output_ip_payload_tready = Signal(bool(0))
|
||||
output_ip_hdr_ready = Signal(bool(0))
|
||||
output_udp_hdr_ready = Signal(bool(0))
|
||||
output_udp_payload_tready = Signal(bool(0))
|
||||
|
||||
# Outputs
|
||||
input_ip_hdr_ready = Signal(bool(0))
|
||||
input_ip_payload_tready = Signal(bool(0))
|
||||
input_udp_hdr_ready = Signal(bool(0))
|
||||
input_udp_payload_tready = Signal(bool(0))
|
||||
output_ip_hdr_valid = Signal(bool(0))
|
||||
output_ip_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_ip_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_ip_eth_type = Signal(intbv(0)[16:])
|
||||
output_ip_version = Signal(intbv(0)[4:])
|
||||
output_ip_ihl = Signal(intbv(0)[4:])
|
||||
output_ip_dscp = Signal(intbv(0)[6:])
|
||||
output_ip_ecn = Signal(intbv(0)[2:])
|
||||
output_ip_length = Signal(intbv(0)[16:])
|
||||
output_ip_identification = Signal(intbv(0)[16:])
|
||||
output_ip_flags = Signal(intbv(0)[3:])
|
||||
output_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
output_ip_ttl = Signal(intbv(0)[8:])
|
||||
output_ip_protocol = Signal(intbv(0)[8:])
|
||||
output_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
output_ip_source_ip = Signal(intbv(0)[32:])
|
||||
output_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
output_ip_payload_tdata = Signal(intbv(0)[8:])
|
||||
output_ip_payload_tvalid = Signal(bool(0))
|
||||
output_ip_payload_tlast = Signal(bool(0))
|
||||
output_ip_payload_tuser = Signal(bool(0))
|
||||
output_udp_hdr_valid = Signal(bool(0))
|
||||
output_udp_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_udp_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_udp_eth_type = Signal(intbv(0)[16:])
|
||||
output_udp_ip_version = Signal(intbv(0)[4:])
|
||||
output_udp_ip_ihl = Signal(intbv(0)[4:])
|
||||
output_udp_ip_dscp = Signal(intbv(0)[6:])
|
||||
output_udp_ip_ecn = Signal(intbv(0)[2:])
|
||||
output_udp_ip_length = Signal(intbv(0)[16:])
|
||||
output_udp_ip_identification = Signal(intbv(0)[16:])
|
||||
output_udp_ip_flags = Signal(intbv(0)[3:])
|
||||
output_udp_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
output_udp_ip_ttl = Signal(intbv(0)[8:])
|
||||
output_udp_ip_protocol = Signal(intbv(0)[8:])
|
||||
output_udp_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
output_udp_ip_source_ip = Signal(intbv(0)[32:])
|
||||
output_udp_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
output_udp_source_port = Signal(intbv(0)[16:])
|
||||
output_udp_dest_port = Signal(intbv(0)[16:])
|
||||
output_udp_length = Signal(intbv(0)[16:])
|
||||
output_udp_checksum = Signal(intbv(0)[16:])
|
||||
output_udp_payload_tdata = Signal(intbv(0)[8:])
|
||||
output_udp_payload_tvalid = Signal(bool(0))
|
||||
output_udp_payload_tlast = Signal(bool(0))
|
||||
output_udp_payload_tuser = Signal(bool(0))
|
||||
rx_busy = Signal(bool(0))
|
||||
tx_busy = Signal(bool(0))
|
||||
rx_error_header_early_termination = Signal(bool(0))
|
||||
rx_error_payload_early_termination = Signal(bool(0))
|
||||
tx_error_payload_early_termination = Signal(bool(0))
|
||||
|
||||
# sources and sinks
|
||||
ip_source_queue = Queue()
|
||||
ip_source_pause = Signal(bool(0))
|
||||
ip_sink_queue = Queue()
|
||||
ip_sink_pause = Signal(bool(0))
|
||||
udp_source_queue = Queue()
|
||||
udp_source_pause = Signal(bool(0))
|
||||
udp_sink_queue = Queue()
|
||||
udp_sink_pause = Signal(bool(0))
|
||||
|
||||
ip_source = ip_ep.IPFrameSource(clk,
|
||||
rst,
|
||||
ip_hdr_valid=input_ip_hdr_valid,
|
||||
ip_hdr_ready=input_ip_hdr_ready,
|
||||
eth_dest_mac=input_ip_eth_dest_mac,
|
||||
eth_src_mac=input_ip_eth_src_mac,
|
||||
eth_type=input_ip_eth_type,
|
||||
ip_version=input_ip_version,
|
||||
ip_ihl=input_ip_ihl,
|
||||
ip_dscp=input_ip_dscp,
|
||||
ip_ecn=input_ip_ecn,
|
||||
ip_length=input_ip_length,
|
||||
ip_identification=input_ip_identification,
|
||||
ip_flags=input_ip_flags,
|
||||
ip_fragment_offset=input_ip_fragment_offset,
|
||||
ip_ttl=input_ip_ttl,
|
||||
ip_protocol=input_ip_protocol,
|
||||
ip_header_checksum=input_ip_header_checksum,
|
||||
ip_source_ip=input_ip_source_ip,
|
||||
ip_dest_ip=input_ip_dest_ip,
|
||||
ip_payload_tdata=input_ip_payload_tdata,
|
||||
ip_payload_tvalid=input_ip_payload_tvalid,
|
||||
ip_payload_tready=input_ip_payload_tready,
|
||||
ip_payload_tlast=input_ip_payload_tlast,
|
||||
ip_payload_tuser=input_ip_payload_tuser,
|
||||
fifo=ip_source_queue,
|
||||
pause=ip_source_pause,
|
||||
name='ip_source')
|
||||
|
||||
ip_sink = ip_ep.IPFrameSink(clk,
|
||||
rst,
|
||||
ip_hdr_ready=output_ip_hdr_ready,
|
||||
ip_hdr_valid=output_ip_hdr_valid,
|
||||
eth_dest_mac=output_ip_eth_dest_mac,
|
||||
eth_src_mac=output_ip_eth_src_mac,
|
||||
eth_type=output_ip_eth_type,
|
||||
ip_version=output_ip_version,
|
||||
ip_ihl=output_ip_ihl,
|
||||
ip_dscp=output_ip_dscp,
|
||||
ip_ecn=output_ip_ecn,
|
||||
ip_length=output_ip_length,
|
||||
ip_identification=output_ip_identification,
|
||||
ip_flags=output_ip_flags,
|
||||
ip_fragment_offset=output_ip_fragment_offset,
|
||||
ip_ttl=output_ip_ttl,
|
||||
ip_protocol=output_ip_protocol,
|
||||
ip_header_checksum=output_ip_header_checksum,
|
||||
ip_source_ip=output_ip_source_ip,
|
||||
ip_dest_ip=output_ip_dest_ip,
|
||||
ip_payload_tdata=output_ip_payload_tdata,
|
||||
ip_payload_tvalid=output_ip_payload_tvalid,
|
||||
ip_payload_tready=output_ip_payload_tready,
|
||||
ip_payload_tlast=output_ip_payload_tlast,
|
||||
ip_payload_tuser=output_ip_payload_tuser,
|
||||
fifo=ip_sink_queue,
|
||||
pause=ip_sink_pause,
|
||||
name='ip_sink')
|
||||
|
||||
udp_source = udp_ep.UDPFrameSource(clk,
|
||||
rst,
|
||||
udp_hdr_valid=input_udp_hdr_valid,
|
||||
udp_hdr_ready=input_udp_hdr_ready,
|
||||
eth_dest_mac=input_udp_eth_dest_mac,
|
||||
eth_src_mac=input_udp_eth_src_mac,
|
||||
eth_type=input_udp_eth_type,
|
||||
ip_version=input_udp_ip_version,
|
||||
ip_ihl=input_udp_ip_ihl,
|
||||
ip_dscp=input_udp_ip_dscp,
|
||||
ip_ecn=input_udp_ip_ecn,
|
||||
ip_identification=input_udp_ip_identification,
|
||||
ip_flags=input_udp_ip_flags,
|
||||
ip_fragment_offset=input_udp_ip_fragment_offset,
|
||||
ip_ttl=input_udp_ip_ttl,
|
||||
ip_protocol=input_udp_ip_protocol,
|
||||
ip_header_checksum=input_udp_ip_header_checksum,
|
||||
ip_source_ip=input_udp_ip_source_ip,
|
||||
ip_dest_ip=input_udp_ip_dest_ip,
|
||||
udp_source_port=input_udp_source_port,
|
||||
udp_dest_port=input_udp_dest_port,
|
||||
udp_length=input_udp_length,
|
||||
udp_checksum=input_udp_checksum,
|
||||
udp_payload_tdata=input_udp_payload_tdata,
|
||||
udp_payload_tvalid=input_udp_payload_tvalid,
|
||||
udp_payload_tready=input_udp_payload_tready,
|
||||
udp_payload_tlast=input_udp_payload_tlast,
|
||||
udp_payload_tuser=input_udp_payload_tuser,
|
||||
fifo=udp_source_queue,
|
||||
pause=udp_source_pause,
|
||||
name='udp_source')
|
||||
|
||||
udp_sink = udp_ep.UDPFrameSink(clk,
|
||||
rst,
|
||||
udp_hdr_ready=output_udp_hdr_ready,
|
||||
udp_hdr_valid=output_udp_hdr_valid,
|
||||
eth_dest_mac=output_udp_eth_dest_mac,
|
||||
eth_src_mac=output_udp_eth_src_mac,
|
||||
eth_type=output_udp_eth_type,
|
||||
ip_version=output_udp_ip_version,
|
||||
ip_ihl=output_udp_ip_ihl,
|
||||
ip_dscp=output_udp_ip_dscp,
|
||||
ip_ecn=output_udp_ip_ecn,
|
||||
ip_length=output_udp_ip_length,
|
||||
ip_identification=output_udp_ip_identification,
|
||||
ip_flags=output_udp_ip_flags,
|
||||
ip_fragment_offset=output_udp_ip_fragment_offset,
|
||||
ip_ttl=output_udp_ip_ttl,
|
||||
ip_protocol=output_udp_ip_protocol,
|
||||
ip_header_checksum=output_udp_ip_header_checksum,
|
||||
ip_source_ip=output_udp_ip_source_ip,
|
||||
ip_dest_ip=output_udp_ip_dest_ip,
|
||||
udp_source_port=output_udp_source_port,
|
||||
udp_dest_port=output_udp_dest_port,
|
||||
udp_length=output_udp_length,
|
||||
udp_checksum=output_udp_checksum,
|
||||
udp_payload_tdata=output_udp_payload_tdata,
|
||||
udp_payload_tvalid=output_udp_payload_tvalid,
|
||||
udp_payload_tready=output_udp_payload_tready,
|
||||
udp_payload_tlast=output_udp_payload_tlast,
|
||||
udp_payload_tuser=output_udp_payload_tuser,
|
||||
fifo=udp_sink_queue,
|
||||
pause=udp_sink_pause,
|
||||
name='udp_sink')
|
||||
|
||||
# DUT
|
||||
dut = dut_udp(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_ip_hdr_valid,
|
||||
input_ip_hdr_ready,
|
||||
input_ip_eth_dest_mac,
|
||||
input_ip_eth_src_mac,
|
||||
input_ip_eth_type,
|
||||
input_ip_version,
|
||||
input_ip_ihl,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_header_checksum,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tready,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid,
|
||||
output_ip_hdr_ready,
|
||||
output_ip_eth_dest_mac,
|
||||
output_ip_eth_src_mac,
|
||||
output_ip_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tready,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
|
||||
input_udp_hdr_valid,
|
||||
input_udp_hdr_ready,
|
||||
input_udp_eth_dest_mac,
|
||||
input_udp_eth_src_mac,
|
||||
input_udp_eth_type,
|
||||
input_udp_ip_version,
|
||||
input_udp_ip_ihl,
|
||||
input_udp_ip_dscp,
|
||||
input_udp_ip_ecn,
|
||||
input_udp_ip_identification,
|
||||
input_udp_ip_flags,
|
||||
input_udp_ip_fragment_offset,
|
||||
input_udp_ip_ttl,
|
||||
input_udp_ip_protocol,
|
||||
input_udp_ip_header_checksum,
|
||||
input_udp_ip_source_ip,
|
||||
input_udp_ip_dest_ip,
|
||||
input_udp_source_port,
|
||||
input_udp_dest_port,
|
||||
input_udp_length,
|
||||
input_udp_checksum,
|
||||
input_udp_payload_tdata,
|
||||
input_udp_payload_tvalid,
|
||||
input_udp_payload_tready,
|
||||
input_udp_payload_tlast,
|
||||
input_udp_payload_tuser,
|
||||
|
||||
output_udp_hdr_valid,
|
||||
output_udp_hdr_ready,
|
||||
output_udp_eth_dest_mac,
|
||||
output_udp_eth_src_mac,
|
||||
output_udp_eth_type,
|
||||
output_udp_ip_version,
|
||||
output_udp_ip_ihl,
|
||||
output_udp_ip_dscp,
|
||||
output_udp_ip_ecn,
|
||||
output_udp_ip_length,
|
||||
output_udp_ip_identification,
|
||||
output_udp_ip_flags,
|
||||
output_udp_ip_fragment_offset,
|
||||
output_udp_ip_ttl,
|
||||
output_udp_ip_protocol,
|
||||
output_udp_ip_header_checksum,
|
||||
output_udp_ip_source_ip,
|
||||
output_udp_ip_dest_ip,
|
||||
output_udp_source_port,
|
||||
output_udp_dest_port,
|
||||
output_udp_length,
|
||||
output_udp_checksum,
|
||||
output_udp_payload_tdata,
|
||||
output_udp_payload_tvalid,
|
||||
output_udp_payload_tready,
|
||||
output_udp_payload_tlast,
|
||||
output_udp_payload_tuser,
|
||||
|
||||
rx_busy,
|
||||
tx_busy,
|
||||
rx_error_header_early_termination,
|
||||
rx_error_payload_early_termination,
|
||||
tx_error_payload_early_termination)
|
||||
|
||||
@always(delay(4))
|
||||
def clkgen():
|
||||
clk.next = not clk
|
||||
|
||||
rx_error_header_early_termination_asserted = Signal(bool(0))
|
||||
rx_error_payload_early_termination_asserted = Signal(bool(0))
|
||||
tx_error_payload_early_termination_asserted = Signal(bool(0))
|
||||
|
||||
@always(clk.posedge)
|
||||
def monitor():
|
||||
if (rx_error_header_early_termination):
|
||||
rx_error_header_early_termination_asserted.next = 1
|
||||
if (rx_error_payload_early_termination):
|
||||
rx_error_payload_early_termination_asserted.next = 1
|
||||
if (tx_error_payload_early_termination):
|
||||
tx_error_payload_early_termination_asserted.next = 1
|
||||
|
||||
def wait_normal():
|
||||
while (input_ip_payload_tvalid or input_udp_payload_tvalid or
|
||||
output_ip_payload_tvalid or output_udp_payload_tvalid or
|
||||
input_ip_hdr_valid or input_udp_hdr_valid):
|
||||
yield clk.posedge
|
||||
|
||||
@instance
|
||||
def check():
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
rst.next = 1
|
||||
yield clk.posedge
|
||||
rst.next = 0
|
||||
yield clk.posedge
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
print("test 1: test UDP RX packet")
|
||||
current_test.next = 1
|
||||
|
||||
test_frame = udp_ep.UDPFrame()
|
||||
test_frame.eth_dest_mac = 0x5A5152535455
|
||||
test_frame.eth_src_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_dscp = 0
|
||||
test_frame.ip_ecn = 0
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80165
|
||||
test_frame.ip_dest_ip = 0xc0a80164
|
||||
test_frame.udp_source_port = 1234
|
||||
test_frame.udp_dest_port = 5678
|
||||
test_frame.payload = bytearray(range(32))
|
||||
test_frame.build()
|
||||
ip_frame = test_frame.build_ip()
|
||||
|
||||
ip_source_queue.put(ip_frame)
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
yield wait_normal()
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not udp_sink_queue.empty():
|
||||
rx_frame = udp_sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
assert ip_source_queue.empty()
|
||||
assert ip_sink_queue.empty()
|
||||
assert udp_source_queue.empty()
|
||||
assert udp_sink_queue.empty()
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 2: test UDP TX packet")
|
||||
current_test.next = 2
|
||||
|
||||
test_frame = udp_ep.UDPFrame()
|
||||
test_frame.eth_dest_mac = 0x5A5152535455
|
||||
test_frame.eth_src_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_dscp = 0
|
||||
test_frame.ip_ecn = 0
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80165
|
||||
test_frame.ip_dest_ip = 0xc0a80164
|
||||
test_frame.udp_source_port = 1234
|
||||
test_frame.udp_dest_port = 5678
|
||||
test_frame.payload = bytearray(range(32))
|
||||
test_frame.build()
|
||||
|
||||
udp_source_queue.put(test_frame)
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
yield wait_normal()
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not ip_sink_queue.empty():
|
||||
rx_frame = ip_sink_queue.get()
|
||||
|
||||
check_frame = udp_ep.UDPFrame()
|
||||
check_frame.parse_ip(rx_frame)
|
||||
|
||||
assert check_frame == test_frame
|
||||
|
||||
assert ip_source_queue.empty()
|
||||
assert ip_sink_queue.empty()
|
||||
assert udp_source_queue.empty()
|
||||
assert udp_sink_queue.empty()
|
||||
|
||||
yield delay(100)
|
||||
|
||||
raise StopSimulation
|
||||
|
||||
return dut, ip_source, ip_sink, udp_source, udp_sink, clkgen, monitor, check
|
||||
|
||||
def test_bench():
|
||||
sim = Simulation(bench())
|
||||
sim.run()
|
||||
|
||||
if __name__ == '__main__':
|
||||
print("Running test...")
|
||||
test_bench()
|
||||
|
382
tb/test_udp.v
Normal file
382
tb/test_udp.v
Normal file
@ -0,0 +1,382 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1 ns / 1 ps
|
||||
|
||||
module test_udp;
|
||||
|
||||
// Parameters
|
||||
parameter CHECKSUM_ENABLE = 0;
|
||||
parameter CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH = 11;
|
||||
parameter CHECKSUM_HEADER_FIFO_ADDR_WIDTH = 3;
|
||||
|
||||
// Inputs
|
||||
reg clk = 0;
|
||||
reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg input_ip_hdr_valid = 0;
|
||||
reg [47:0] input_ip_eth_dest_mac = 0;
|
||||
reg [47:0] input_ip_eth_src_mac = 0;
|
||||
reg [15:0] input_ip_eth_type = 0;
|
||||
reg [3:0] input_ip_version = 0;
|
||||
reg [3:0] input_ip_ihl = 0;
|
||||
reg [5:0] input_ip_dscp = 0;
|
||||
reg [1:0] input_ip_ecn = 0;
|
||||
reg [15:0] input_ip_length = 0;
|
||||
reg [15:0] input_ip_identification = 0;
|
||||
reg [2:0] input_ip_flags = 0;
|
||||
reg [12:0] input_ip_fragment_offset = 0;
|
||||
reg [7:0] input_ip_ttl = 0;
|
||||
reg [7:0] input_ip_protocol = 0;
|
||||
reg [15:0] input_ip_header_checksum = 0;
|
||||
reg [31:0] input_ip_source_ip = 0;
|
||||
reg [31:0] input_ip_dest_ip = 0;
|
||||
reg [7:0] input_ip_payload_tdata = 0;
|
||||
reg input_ip_payload_tvalid = 0;
|
||||
reg input_ip_payload_tlast = 0;
|
||||
reg input_ip_payload_tuser = 0;
|
||||
reg input_udp_hdr_valid = 0;
|
||||
reg [47:0] input_udp_eth_dest_mac = 0;
|
||||
reg [47:0] input_udp_eth_src_mac = 0;
|
||||
reg [15:0] input_udp_eth_type = 0;
|
||||
reg [3:0] input_udp_ip_version = 0;
|
||||
reg [3:0] input_udp_ip_ihl = 0;
|
||||
reg [5:0] input_udp_ip_dscp = 0;
|
||||
reg [1:0] input_udp_ip_ecn = 0;
|
||||
reg [15:0] input_udp_ip_identification = 0;
|
||||
reg [2:0] input_udp_ip_flags = 0;
|
||||
reg [12:0] input_udp_ip_fragment_offset = 0;
|
||||
reg [7:0] input_udp_ip_ttl = 0;
|
||||
reg [7:0] input_udp_ip_protocol = 0;
|
||||
reg [15:0] input_udp_ip_header_checksum = 0;
|
||||
reg [31:0] input_udp_ip_source_ip = 0;
|
||||
reg [31:0] input_udp_ip_dest_ip = 0;
|
||||
reg [15:0] input_udp_source_port = 0;
|
||||
reg [15:0] input_udp_dest_port = 0;
|
||||
reg [15:0] input_udp_length = 0;
|
||||
reg [15:0] input_udp_checksum = 0;
|
||||
reg [7:0] input_udp_payload_tdata = 0;
|
||||
reg input_udp_payload_tvalid = 0;
|
||||
reg input_udp_payload_tlast = 0;
|
||||
reg input_udp_payload_tuser = 0;
|
||||
reg output_ip_hdr_ready = 0;
|
||||
reg output_ip_payload_tready = 0;
|
||||
reg output_udp_hdr_ready = 0;
|
||||
reg output_udp_payload_tready = 0;
|
||||
|
||||
// Outputs
|
||||
wire input_ip_hdr_ready;
|
||||
wire input_ip_payload_tready;
|
||||
wire input_udp_hdr_ready;
|
||||
wire input_udp_payload_tready;
|
||||
wire output_ip_hdr_valid;
|
||||
wire [47:0] output_ip_eth_dest_mac;
|
||||
wire [47:0] output_ip_eth_src_mac;
|
||||
wire [15:0] output_ip_eth_type;
|
||||
wire [3:0] output_ip_version;
|
||||
wire [3:0] output_ip_ihl;
|
||||
wire [5:0] output_ip_dscp;
|
||||
wire [1:0] output_ip_ecn;
|
||||
wire [15:0] output_ip_length;
|
||||
wire [15:0] output_ip_identification;
|
||||
wire [2:0] output_ip_flags;
|
||||
wire [12:0] output_ip_fragment_offset;
|
||||
wire [7:0] output_ip_ttl;
|
||||
wire [7:0] output_ip_protocol;
|
||||
wire [15:0] output_ip_header_checksum;
|
||||
wire [31:0] output_ip_source_ip;
|
||||
wire [31:0] output_ip_dest_ip;
|
||||
wire [7:0] output_ip_payload_tdata;
|
||||
wire output_ip_payload_tvalid;
|
||||
wire output_ip_payload_tlast;
|
||||
wire output_ip_payload_tuser;
|
||||
wire output_udp_hdr_valid;
|
||||
wire [47:0] output_udp_eth_dest_mac;
|
||||
wire [47:0] output_udp_eth_src_mac;
|
||||
wire [15:0] output_udp_eth_type;
|
||||
wire [3:0] output_udp_ip_version;
|
||||
wire [3:0] output_udp_ip_ihl;
|
||||
wire [5:0] output_udp_ip_dscp;
|
||||
wire [1:0] output_udp_ip_ecn;
|
||||
wire [15:0] output_udp_ip_length;
|
||||
wire [15:0] output_udp_ip_identification;
|
||||
wire [2:0] output_udp_ip_flags;
|
||||
wire [12:0] output_udp_ip_fragment_offset;
|
||||
wire [7:0] output_udp_ip_ttl;
|
||||
wire [7:0] output_udp_ip_protocol;
|
||||
wire [15:0] output_udp_ip_header_checksum;
|
||||
wire [31:0] output_udp_ip_source_ip;
|
||||
wire [31:0] output_udp_ip_dest_ip;
|
||||
wire [15:0] output_udp_source_port;
|
||||
wire [15:0] output_udp_dest_port;
|
||||
wire [15:0] output_udp_length;
|
||||
wire [15:0] output_udp_checksum;
|
||||
wire [7:0] output_udp_payload_tdata;
|
||||
wire output_udp_payload_tvalid;
|
||||
wire output_udp_payload_tlast;
|
||||
wire output_udp_payload_tuser;
|
||||
wire rx_busy;
|
||||
wire tx_busy;
|
||||
wire rx_error_header_early_termination;
|
||||
wire rx_error_payload_early_termination;
|
||||
wire tx_error_payload_early_termination;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
$from_myhdl(clk,
|
||||
rst,
|
||||
current_test,
|
||||
input_ip_hdr_valid,
|
||||
input_ip_eth_dest_mac,
|
||||
input_ip_eth_src_mac,
|
||||
input_ip_eth_type,
|
||||
input_ip_version,
|
||||
input_ip_ihl,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_header_checksum,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
input_udp_hdr_valid,
|
||||
input_udp_eth_dest_mac,
|
||||
input_udp_eth_src_mac,
|
||||
input_udp_eth_type,
|
||||
input_udp_ip_version,
|
||||
input_udp_ip_ihl,
|
||||
input_udp_ip_dscp,
|
||||
input_udp_ip_ecn,
|
||||
input_udp_ip_identification,
|
||||
input_udp_ip_flags,
|
||||
input_udp_ip_fragment_offset,
|
||||
input_udp_ip_ttl,
|
||||
input_udp_ip_protocol,
|
||||
input_udp_ip_header_checksum,
|
||||
input_udp_ip_source_ip,
|
||||
input_udp_ip_dest_ip,
|
||||
input_udp_source_port,
|
||||
input_udp_dest_port,
|
||||
input_udp_length,
|
||||
input_udp_checksum,
|
||||
input_udp_payload_tdata,
|
||||
input_udp_payload_tvalid,
|
||||
input_udp_payload_tlast,
|
||||
input_udp_payload_tuser,
|
||||
output_ip_hdr_ready,
|
||||
output_ip_payload_tready,
|
||||
output_udp_hdr_ready,
|
||||
output_udp_payload_tready);
|
||||
$to_myhdl(input_ip_hdr_ready,
|
||||
input_ip_payload_tready,
|
||||
input_udp_hdr_ready,
|
||||
input_udp_payload_tready,
|
||||
output_ip_hdr_valid,
|
||||
output_ip_eth_dest_mac,
|
||||
output_ip_eth_src_mac,
|
||||
output_ip_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
output_udp_hdr_valid,
|
||||
output_udp_eth_dest_mac,
|
||||
output_udp_eth_src_mac,
|
||||
output_udp_eth_type,
|
||||
output_udp_ip_version,
|
||||
output_udp_ip_ihl,
|
||||
output_udp_ip_dscp,
|
||||
output_udp_ip_ecn,
|
||||
output_udp_ip_length,
|
||||
output_udp_ip_identification,
|
||||
output_udp_ip_flags,
|
||||
output_udp_ip_fragment_offset,
|
||||
output_udp_ip_ttl,
|
||||
output_udp_ip_protocol,
|
||||
output_udp_ip_header_checksum,
|
||||
output_udp_ip_source_ip,
|
||||
output_udp_ip_dest_ip,
|
||||
output_udp_source_port,
|
||||
output_udp_dest_port,
|
||||
output_udp_length,
|
||||
output_udp_checksum,
|
||||
output_udp_payload_tdata,
|
||||
output_udp_payload_tvalid,
|
||||
output_udp_payload_tlast,
|
||||
output_udp_payload_tuser,
|
||||
rx_busy,
|
||||
tx_busy,
|
||||
rx_error_header_early_termination,
|
||||
rx_error_payload_early_termination,
|
||||
tx_error_payload_early_termination);
|
||||
|
||||
// dump file
|
||||
$dumpfile("test_udp.lxt");
|
||||
$dumpvars(0, test_udp);
|
||||
end
|
||||
|
||||
udp #(
|
||||
.CHECKSUM_ENABLE(CHECKSUM_ENABLE),
|
||||
.CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH(CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH),
|
||||
.CHECKSUM_HEADER_FIFO_ADDR_WIDTH(CHECKSUM_HEADER_FIFO_ADDR_WIDTH)
|
||||
)
|
||||
UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// IP frame input
|
||||
.input_ip_hdr_valid(input_ip_hdr_valid),
|
||||
.input_ip_hdr_ready(input_ip_hdr_ready),
|
||||
.input_ip_eth_dest_mac(input_ip_eth_dest_mac),
|
||||
.input_ip_eth_src_mac(input_ip_eth_src_mac),
|
||||
.input_ip_eth_type(input_ip_eth_type),
|
||||
.input_ip_version(input_ip_version),
|
||||
.input_ip_ihl(input_ip_ihl),
|
||||
.input_ip_dscp(input_ip_dscp),
|
||||
.input_ip_ecn(input_ip_ecn),
|
||||
.input_ip_length(input_ip_length),
|
||||
.input_ip_identification(input_ip_identification),
|
||||
.input_ip_flags(input_ip_flags),
|
||||
.input_ip_fragment_offset(input_ip_fragment_offset),
|
||||
.input_ip_ttl(input_ip_ttl),
|
||||
.input_ip_protocol(input_ip_protocol),
|
||||
.input_ip_header_checksum(input_ip_header_checksum),
|
||||
.input_ip_source_ip(input_ip_source_ip),
|
||||
.input_ip_dest_ip(input_ip_dest_ip),
|
||||
.input_ip_payload_tdata(input_ip_payload_tdata),
|
||||
.input_ip_payload_tvalid(input_ip_payload_tvalid),
|
||||
.input_ip_payload_tready(input_ip_payload_tready),
|
||||
.input_ip_payload_tlast(input_ip_payload_tlast),
|
||||
.input_ip_payload_tuser(input_ip_payload_tuser),
|
||||
// IP frame output
|
||||
.output_ip_hdr_valid(output_ip_hdr_valid),
|
||||
.output_ip_hdr_ready(output_ip_hdr_ready),
|
||||
.output_ip_eth_dest_mac(output_ip_eth_dest_mac),
|
||||
.output_ip_eth_src_mac(output_ip_eth_src_mac),
|
||||
.output_ip_eth_type(output_ip_eth_type),
|
||||
.output_ip_version(output_ip_version),
|
||||
.output_ip_ihl(output_ip_ihl),
|
||||
.output_ip_dscp(output_ip_dscp),
|
||||
.output_ip_ecn(output_ip_ecn),
|
||||
.output_ip_length(output_ip_length),
|
||||
.output_ip_identification(output_ip_identification),
|
||||
.output_ip_flags(output_ip_flags),
|
||||
.output_ip_fragment_offset(output_ip_fragment_offset),
|
||||
.output_ip_ttl(output_ip_ttl),
|
||||
.output_ip_protocol(output_ip_protocol),
|
||||
.output_ip_header_checksum(output_ip_header_checksum),
|
||||
.output_ip_source_ip(output_ip_source_ip),
|
||||
.output_ip_dest_ip(output_ip_dest_ip),
|
||||
.output_ip_payload_tdata(output_ip_payload_tdata),
|
||||
.output_ip_payload_tvalid(output_ip_payload_tvalid),
|
||||
.output_ip_payload_tready(output_ip_payload_tready),
|
||||
.output_ip_payload_tlast(output_ip_payload_tlast),
|
||||
.output_ip_payload_tuser(output_ip_payload_tuser),
|
||||
// UDP frame input
|
||||
.input_udp_hdr_valid(input_udp_hdr_valid),
|
||||
.input_udp_hdr_ready(input_udp_hdr_ready),
|
||||
.input_udp_eth_dest_mac(input_udp_eth_dest_mac),
|
||||
.input_udp_eth_src_mac(input_udp_eth_src_mac),
|
||||
.input_udp_eth_type(input_udp_eth_type),
|
||||
.input_udp_ip_version(input_udp_ip_version),
|
||||
.input_udp_ip_ihl(input_udp_ip_ihl),
|
||||
.input_udp_ip_dscp(input_udp_ip_dscp),
|
||||
.input_udp_ip_ecn(input_udp_ip_ecn),
|
||||
.input_udp_ip_identification(input_udp_ip_identification),
|
||||
.input_udp_ip_flags(input_udp_ip_flags),
|
||||
.input_udp_ip_fragment_offset(input_udp_ip_fragment_offset),
|
||||
.input_udp_ip_ttl(input_udp_ip_ttl),
|
||||
.input_udp_ip_protocol(input_udp_ip_protocol),
|
||||
.input_udp_ip_header_checksum(input_udp_ip_header_checksum),
|
||||
.input_udp_ip_source_ip(input_udp_ip_source_ip),
|
||||
.input_udp_ip_dest_ip(input_udp_ip_dest_ip),
|
||||
.input_udp_source_port(input_udp_source_port),
|
||||
.input_udp_dest_port(input_udp_dest_port),
|
||||
.input_udp_length(input_udp_length),
|
||||
.input_udp_checksum(input_udp_checksum),
|
||||
.input_udp_payload_tdata(input_udp_payload_tdata),
|
||||
.input_udp_payload_tvalid(input_udp_payload_tvalid),
|
||||
.input_udp_payload_tready(input_udp_payload_tready),
|
||||
.input_udp_payload_tlast(input_udp_payload_tlast),
|
||||
.input_udp_payload_tuser(input_udp_payload_tuser),
|
||||
// UDP frame output
|
||||
.output_udp_hdr_valid(output_udp_hdr_valid),
|
||||
.output_udp_hdr_ready(output_udp_hdr_ready),
|
||||
.output_udp_eth_dest_mac(output_udp_eth_dest_mac),
|
||||
.output_udp_eth_src_mac(output_udp_eth_src_mac),
|
||||
.output_udp_eth_type(output_udp_eth_type),
|
||||
.output_udp_ip_version(output_udp_ip_version),
|
||||
.output_udp_ip_ihl(output_udp_ip_ihl),
|
||||
.output_udp_ip_dscp(output_udp_ip_dscp),
|
||||
.output_udp_ip_ecn(output_udp_ip_ecn),
|
||||
.output_udp_ip_length(output_udp_ip_length),
|
||||
.output_udp_ip_identification(output_udp_ip_identification),
|
||||
.output_udp_ip_flags(output_udp_ip_flags),
|
||||
.output_udp_ip_fragment_offset(output_udp_ip_fragment_offset),
|
||||
.output_udp_ip_ttl(output_udp_ip_ttl),
|
||||
.output_udp_ip_protocol(output_udp_ip_protocol),
|
||||
.output_udp_ip_header_checksum(output_udp_ip_header_checksum),
|
||||
.output_udp_ip_source_ip(output_udp_ip_source_ip),
|
||||
.output_udp_ip_dest_ip(output_udp_ip_dest_ip),
|
||||
.output_udp_source_port(output_udp_source_port),
|
||||
.output_udp_dest_port(output_udp_dest_port),
|
||||
.output_udp_length(output_udp_length),
|
||||
.output_udp_checksum(output_udp_checksum),
|
||||
.output_udp_payload_tdata(output_udp_payload_tdata),
|
||||
.output_udp_payload_tvalid(output_udp_payload_tvalid),
|
||||
.output_udp_payload_tready(output_udp_payload_tready),
|
||||
.output_udp_payload_tlast(output_udp_payload_tlast),
|
||||
.output_udp_payload_tuser(output_udp_payload_tuser),
|
||||
// Status signals
|
||||
.rx_busy(rx_busy),
|
||||
.tx_busy(tx_busy),
|
||||
.rx_error_header_early_termination(rx_error_header_early_termination),
|
||||
.rx_error_payload_early_termination(rx_error_payload_early_termination),
|
||||
.tx_error_payload_early_termination(tx_error_payload_early_termination)
|
||||
);
|
||||
|
||||
endmodule
|
804
tb/test_udp_64.py
Executable file
804
tb/test_udp_64.py
Executable file
@ -0,0 +1,804 @@
|
||||
#!/usr/bin/env python2
|
||||
"""
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
"""
|
||||
|
||||
from myhdl import *
|
||||
import os
|
||||
from Queue import Queue
|
||||
|
||||
import eth_ep
|
||||
import ip_ep
|
||||
import udp_ep
|
||||
|
||||
module = 'udp_64'
|
||||
|
||||
srcs = []
|
||||
|
||||
srcs.append("../rtl/%s.v" % module)
|
||||
srcs.append("../rtl/udp_ip_rx_64.v")
|
||||
srcs.append("../rtl/udp_ip_tx_64.v")
|
||||
srcs.append("test_%s.v" % module)
|
||||
|
||||
src = ' '.join(srcs)
|
||||
|
||||
build_cmd = "iverilog -o test_%s.vvp %s" % (module, src)
|
||||
|
||||
def dut_udp(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_ip_hdr_valid,
|
||||
input_ip_hdr_ready,
|
||||
input_ip_eth_dest_mac,
|
||||
input_ip_eth_src_mac,
|
||||
input_ip_eth_type,
|
||||
input_ip_version,
|
||||
input_ip_ihl,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_header_checksum,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tkeep,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tready,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid,
|
||||
output_ip_hdr_ready,
|
||||
output_ip_eth_dest_mac,
|
||||
output_ip_eth_src_mac,
|
||||
output_ip_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tkeep,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tready,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
|
||||
input_udp_hdr_valid,
|
||||
input_udp_hdr_ready,
|
||||
input_udp_eth_dest_mac,
|
||||
input_udp_eth_src_mac,
|
||||
input_udp_eth_type,
|
||||
input_udp_ip_version,
|
||||
input_udp_ip_ihl,
|
||||
input_udp_ip_dscp,
|
||||
input_udp_ip_ecn,
|
||||
input_udp_ip_identification,
|
||||
input_udp_ip_flags,
|
||||
input_udp_ip_fragment_offset,
|
||||
input_udp_ip_ttl,
|
||||
input_udp_ip_protocol,
|
||||
input_udp_ip_header_checksum,
|
||||
input_udp_ip_source_ip,
|
||||
input_udp_ip_dest_ip,
|
||||
input_udp_source_port,
|
||||
input_udp_dest_port,
|
||||
input_udp_length,
|
||||
input_udp_checksum,
|
||||
input_udp_payload_tdata,
|
||||
input_udp_payload_tkeep,
|
||||
input_udp_payload_tvalid,
|
||||
input_udp_payload_tready,
|
||||
input_udp_payload_tlast,
|
||||
input_udp_payload_tuser,
|
||||
|
||||
output_udp_hdr_valid,
|
||||
output_udp_hdr_ready,
|
||||
output_udp_eth_dest_mac,
|
||||
output_udp_eth_src_mac,
|
||||
output_udp_eth_type,
|
||||
output_udp_ip_version,
|
||||
output_udp_ip_ihl,
|
||||
output_udp_ip_dscp,
|
||||
output_udp_ip_ecn,
|
||||
output_udp_ip_length,
|
||||
output_udp_ip_identification,
|
||||
output_udp_ip_flags,
|
||||
output_udp_ip_fragment_offset,
|
||||
output_udp_ip_ttl,
|
||||
output_udp_ip_protocol,
|
||||
output_udp_ip_header_checksum,
|
||||
output_udp_ip_source_ip,
|
||||
output_udp_ip_dest_ip,
|
||||
output_udp_source_port,
|
||||
output_udp_dest_port,
|
||||
output_udp_length,
|
||||
output_udp_checksum,
|
||||
output_udp_payload_tdata,
|
||||
output_udp_payload_tkeep,
|
||||
output_udp_payload_tvalid,
|
||||
output_udp_payload_tready,
|
||||
output_udp_payload_tlast,
|
||||
output_udp_payload_tuser,
|
||||
|
||||
rx_busy,
|
||||
tx_busy,
|
||||
rx_error_header_early_termination,
|
||||
rx_error_payload_early_termination,
|
||||
tx_error_payload_early_termination):
|
||||
|
||||
if os.system(build_cmd):
|
||||
raise Exception("Error running build command")
|
||||
return Cosimulation("vvp -m myhdl test_%s.vvp -lxt2" % module,
|
||||
clk=clk,
|
||||
rst=rst,
|
||||
current_test=current_test,
|
||||
|
||||
input_ip_hdr_valid=input_ip_hdr_valid,
|
||||
input_ip_hdr_ready=input_ip_hdr_ready,
|
||||
input_ip_eth_dest_mac=input_ip_eth_dest_mac,
|
||||
input_ip_eth_src_mac=input_ip_eth_src_mac,
|
||||
input_ip_eth_type=input_ip_eth_type,
|
||||
input_ip_version=input_ip_version,
|
||||
input_ip_ihl=input_ip_ihl,
|
||||
input_ip_dscp=input_ip_dscp,
|
||||
input_ip_ecn=input_ip_ecn,
|
||||
input_ip_length=input_ip_length,
|
||||
input_ip_identification=input_ip_identification,
|
||||
input_ip_flags=input_ip_flags,
|
||||
input_ip_fragment_offset=input_ip_fragment_offset,
|
||||
input_ip_ttl=input_ip_ttl,
|
||||
input_ip_protocol=input_ip_protocol,
|
||||
input_ip_header_checksum=input_ip_header_checksum,
|
||||
input_ip_source_ip=input_ip_source_ip,
|
||||
input_ip_dest_ip=input_ip_dest_ip,
|
||||
input_ip_payload_tdata=input_ip_payload_tdata,
|
||||
input_ip_payload_tkeep=input_ip_payload_tkeep,
|
||||
input_ip_payload_tvalid=input_ip_payload_tvalid,
|
||||
input_ip_payload_tready=input_ip_payload_tready,
|
||||
input_ip_payload_tlast=input_ip_payload_tlast,
|
||||
input_ip_payload_tuser=input_ip_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid=output_ip_hdr_valid,
|
||||
output_ip_hdr_ready=output_ip_hdr_ready,
|
||||
output_ip_eth_dest_mac=output_ip_eth_dest_mac,
|
||||
output_ip_eth_src_mac=output_ip_eth_src_mac,
|
||||
output_ip_eth_type=output_ip_eth_type,
|
||||
output_ip_version=output_ip_version,
|
||||
output_ip_ihl=output_ip_ihl,
|
||||
output_ip_dscp=output_ip_dscp,
|
||||
output_ip_ecn=output_ip_ecn,
|
||||
output_ip_length=output_ip_length,
|
||||
output_ip_identification=output_ip_identification,
|
||||
output_ip_flags=output_ip_flags,
|
||||
output_ip_fragment_offset=output_ip_fragment_offset,
|
||||
output_ip_ttl=output_ip_ttl,
|
||||
output_ip_protocol=output_ip_protocol,
|
||||
output_ip_header_checksum=output_ip_header_checksum,
|
||||
output_ip_source_ip=output_ip_source_ip,
|
||||
output_ip_dest_ip=output_ip_dest_ip,
|
||||
output_ip_payload_tdata=output_ip_payload_tdata,
|
||||
output_ip_payload_tkeep=output_ip_payload_tkeep,
|
||||
output_ip_payload_tvalid=output_ip_payload_tvalid,
|
||||
output_ip_payload_tready=output_ip_payload_tready,
|
||||
output_ip_payload_tlast=output_ip_payload_tlast,
|
||||
output_ip_payload_tuser=output_ip_payload_tuser,
|
||||
|
||||
input_udp_hdr_valid=input_udp_hdr_valid,
|
||||
input_udp_hdr_ready=input_udp_hdr_ready,
|
||||
input_udp_eth_dest_mac=input_udp_eth_dest_mac,
|
||||
input_udp_eth_src_mac=input_udp_eth_src_mac,
|
||||
input_udp_eth_type=input_udp_eth_type,
|
||||
input_udp_ip_version=input_udp_ip_version,
|
||||
input_udp_ip_ihl=input_udp_ip_ihl,
|
||||
input_udp_ip_dscp=input_udp_ip_dscp,
|
||||
input_udp_ip_ecn=input_udp_ip_ecn,
|
||||
input_udp_ip_identification=input_udp_ip_identification,
|
||||
input_udp_ip_flags=input_udp_ip_flags,
|
||||
input_udp_ip_fragment_offset=input_udp_ip_fragment_offset,
|
||||
input_udp_ip_ttl=input_udp_ip_ttl,
|
||||
input_udp_ip_protocol=input_udp_ip_protocol,
|
||||
input_udp_ip_header_checksum=input_udp_ip_header_checksum,
|
||||
input_udp_ip_source_ip=input_udp_ip_source_ip,
|
||||
input_udp_ip_dest_ip=input_udp_ip_dest_ip,
|
||||
input_udp_source_port=input_udp_source_port,
|
||||
input_udp_dest_port=input_udp_dest_port,
|
||||
input_udp_length=input_udp_length,
|
||||
input_udp_checksum=input_udp_checksum,
|
||||
input_udp_payload_tdata=input_udp_payload_tdata,
|
||||
input_udp_payload_tkeep=input_udp_payload_tkeep,
|
||||
input_udp_payload_tvalid=input_udp_payload_tvalid,
|
||||
input_udp_payload_tready=input_udp_payload_tready,
|
||||
input_udp_payload_tlast=input_udp_payload_tlast,
|
||||
input_udp_payload_tuser=input_udp_payload_tuser,
|
||||
|
||||
output_udp_hdr_valid=output_udp_hdr_valid,
|
||||
output_udp_hdr_ready=output_udp_hdr_ready,
|
||||
output_udp_eth_dest_mac=output_udp_eth_dest_mac,
|
||||
output_udp_eth_src_mac=output_udp_eth_src_mac,
|
||||
output_udp_eth_type=output_udp_eth_type,
|
||||
output_udp_ip_version=output_udp_ip_version,
|
||||
output_udp_ip_ihl=output_udp_ip_ihl,
|
||||
output_udp_ip_dscp=output_udp_ip_dscp,
|
||||
output_udp_ip_ecn=output_udp_ip_ecn,
|
||||
output_udp_ip_length=output_udp_ip_length,
|
||||
output_udp_ip_identification=output_udp_ip_identification,
|
||||
output_udp_ip_flags=output_udp_ip_flags,
|
||||
output_udp_ip_fragment_offset=output_udp_ip_fragment_offset,
|
||||
output_udp_ip_ttl=output_udp_ip_ttl,
|
||||
output_udp_ip_protocol=output_udp_ip_protocol,
|
||||
output_udp_ip_header_checksum=output_udp_ip_header_checksum,
|
||||
output_udp_ip_source_ip=output_udp_ip_source_ip,
|
||||
output_udp_ip_dest_ip=output_udp_ip_dest_ip,
|
||||
output_udp_source_port=output_udp_source_port,
|
||||
output_udp_dest_port=output_udp_dest_port,
|
||||
output_udp_length=output_udp_length,
|
||||
output_udp_checksum=output_udp_checksum,
|
||||
output_udp_payload_tdata=output_udp_payload_tdata,
|
||||
output_udp_payload_tkeep=output_udp_payload_tkeep,
|
||||
output_udp_payload_tvalid=output_udp_payload_tvalid,
|
||||
output_udp_payload_tready=output_udp_payload_tready,
|
||||
output_udp_payload_tlast=output_udp_payload_tlast,
|
||||
output_udp_payload_tuser=output_udp_payload_tuser,
|
||||
|
||||
rx_busy=rx_busy,
|
||||
tx_busy=tx_busy,
|
||||
rx_error_header_early_termination=rx_error_header_early_termination,
|
||||
rx_error_payload_early_termination=rx_error_payload_early_termination,
|
||||
tx_error_payload_early_termination=tx_error_payload_early_termination)
|
||||
|
||||
def bench():
|
||||
|
||||
# Inputs
|
||||
clk = Signal(bool(0))
|
||||
rst = Signal(bool(0))
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_ip_hdr_valid = Signal(bool(0))
|
||||
input_ip_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
input_ip_eth_src_mac = Signal(intbv(0)[48:])
|
||||
input_ip_eth_type = Signal(intbv(0)[16:])
|
||||
input_ip_version = Signal(intbv(0)[4:])
|
||||
input_ip_ihl = Signal(intbv(0)[4:])
|
||||
input_ip_dscp = Signal(intbv(0)[6:])
|
||||
input_ip_ecn = Signal(intbv(0)[2:])
|
||||
input_ip_length = Signal(intbv(0)[16:])
|
||||
input_ip_identification = Signal(intbv(0)[16:])
|
||||
input_ip_flags = Signal(intbv(0)[3:])
|
||||
input_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
input_ip_ttl = Signal(intbv(0)[8:])
|
||||
input_ip_protocol = Signal(intbv(0)[8:])
|
||||
input_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
input_ip_source_ip = Signal(intbv(0)[32:])
|
||||
input_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
input_ip_payload_tdata = Signal(intbv(0)[64:])
|
||||
input_ip_payload_tkeep = Signal(intbv(0)[8:])
|
||||
input_ip_payload_tvalid = Signal(bool(0))
|
||||
input_ip_payload_tlast = Signal(bool(0))
|
||||
input_ip_payload_tuser = Signal(bool(0))
|
||||
input_udp_hdr_valid = Signal(bool(0))
|
||||
input_udp_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
input_udp_eth_src_mac = Signal(intbv(0)[48:])
|
||||
input_udp_eth_type = Signal(intbv(0)[16:])
|
||||
input_udp_ip_version = Signal(intbv(0)[4:])
|
||||
input_udp_ip_ihl = Signal(intbv(0)[4:])
|
||||
input_udp_ip_dscp = Signal(intbv(0)[6:])
|
||||
input_udp_ip_ecn = Signal(intbv(0)[2:])
|
||||
input_udp_ip_identification = Signal(intbv(0)[16:])
|
||||
input_udp_ip_flags = Signal(intbv(0)[3:])
|
||||
input_udp_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
input_udp_ip_ttl = Signal(intbv(0)[8:])
|
||||
input_udp_ip_protocol = Signal(intbv(0)[8:])
|
||||
input_udp_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
input_udp_ip_source_ip = Signal(intbv(0)[32:])
|
||||
input_udp_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
input_udp_source_port = Signal(intbv(0)[16:])
|
||||
input_udp_dest_port = Signal(intbv(0)[16:])
|
||||
input_udp_length = Signal(intbv(0)[16:])
|
||||
input_udp_checksum = Signal(intbv(0)[16:])
|
||||
input_udp_payload_tdata = Signal(intbv(0)[64:])
|
||||
input_udp_payload_tkeep = Signal(intbv(0)[8:])
|
||||
input_udp_payload_tvalid = Signal(bool(0))
|
||||
input_udp_payload_tlast = Signal(bool(0))
|
||||
input_udp_payload_tuser = Signal(bool(0))
|
||||
output_ip_payload_tready = Signal(bool(0))
|
||||
output_ip_hdr_ready = Signal(bool(0))
|
||||
output_udp_hdr_ready = Signal(bool(0))
|
||||
output_udp_payload_tready = Signal(bool(0))
|
||||
|
||||
# Outputs
|
||||
input_ip_hdr_ready = Signal(bool(0))
|
||||
input_ip_payload_tready = Signal(bool(0))
|
||||
input_udp_hdr_ready = Signal(bool(0))
|
||||
input_udp_payload_tready = Signal(bool(0))
|
||||
output_ip_hdr_valid = Signal(bool(0))
|
||||
output_ip_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_ip_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_ip_eth_type = Signal(intbv(0)[16:])
|
||||
output_ip_version = Signal(intbv(0)[4:])
|
||||
output_ip_ihl = Signal(intbv(0)[4:])
|
||||
output_ip_dscp = Signal(intbv(0)[6:])
|
||||
output_ip_ecn = Signal(intbv(0)[2:])
|
||||
output_ip_length = Signal(intbv(0)[16:])
|
||||
output_ip_identification = Signal(intbv(0)[16:])
|
||||
output_ip_flags = Signal(intbv(0)[3:])
|
||||
output_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
output_ip_ttl = Signal(intbv(0)[8:])
|
||||
output_ip_protocol = Signal(intbv(0)[8:])
|
||||
output_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
output_ip_source_ip = Signal(intbv(0)[32:])
|
||||
output_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
output_ip_payload_tdata = Signal(intbv(0)[64:])
|
||||
output_ip_payload_tkeep = Signal(intbv(0)[8:])
|
||||
output_ip_payload_tvalid = Signal(bool(0))
|
||||
output_ip_payload_tlast = Signal(bool(0))
|
||||
output_ip_payload_tuser = Signal(bool(0))
|
||||
output_udp_hdr_valid = Signal(bool(0))
|
||||
output_udp_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_udp_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_udp_eth_type = Signal(intbv(0)[16:])
|
||||
output_udp_ip_version = Signal(intbv(0)[4:])
|
||||
output_udp_ip_ihl = Signal(intbv(0)[4:])
|
||||
output_udp_ip_dscp = Signal(intbv(0)[6:])
|
||||
output_udp_ip_ecn = Signal(intbv(0)[2:])
|
||||
output_udp_ip_length = Signal(intbv(0)[16:])
|
||||
output_udp_ip_identification = Signal(intbv(0)[16:])
|
||||
output_udp_ip_flags = Signal(intbv(0)[3:])
|
||||
output_udp_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
output_udp_ip_ttl = Signal(intbv(0)[8:])
|
||||
output_udp_ip_protocol = Signal(intbv(0)[8:])
|
||||
output_udp_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
output_udp_ip_source_ip = Signal(intbv(0)[32:])
|
||||
output_udp_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
output_udp_source_port = Signal(intbv(0)[16:])
|
||||
output_udp_dest_port = Signal(intbv(0)[16:])
|
||||
output_udp_length = Signal(intbv(0)[16:])
|
||||
output_udp_checksum = Signal(intbv(0)[16:])
|
||||
output_udp_payload_tdata = Signal(intbv(0)[64:])
|
||||
output_udp_payload_tkeep = Signal(intbv(0)[8:])
|
||||
output_udp_payload_tvalid = Signal(bool(0))
|
||||
output_udp_payload_tlast = Signal(bool(0))
|
||||
output_udp_payload_tuser = Signal(bool(0))
|
||||
rx_busy = Signal(bool(0))
|
||||
tx_busy = Signal(bool(0))
|
||||
rx_error_header_early_termination = Signal(bool(0))
|
||||
rx_error_payload_early_termination = Signal(bool(0))
|
||||
tx_error_payload_early_termination = Signal(bool(0))
|
||||
|
||||
# sources and sinks
|
||||
ip_source_queue = Queue()
|
||||
ip_source_pause = Signal(bool(0))
|
||||
ip_sink_queue = Queue()
|
||||
ip_sink_pause = Signal(bool(0))
|
||||
udp_source_queue = Queue()
|
||||
udp_source_pause = Signal(bool(0))
|
||||
udp_sink_queue = Queue()
|
||||
udp_sink_pause = Signal(bool(0))
|
||||
|
||||
ip_source = ip_ep.IPFrameSource(clk,
|
||||
rst,
|
||||
ip_hdr_valid=input_ip_hdr_valid,
|
||||
ip_hdr_ready=input_ip_hdr_ready,
|
||||
eth_dest_mac=input_ip_eth_dest_mac,
|
||||
eth_src_mac=input_ip_eth_src_mac,
|
||||
eth_type=input_ip_eth_type,
|
||||
ip_version=input_ip_version,
|
||||
ip_ihl=input_ip_ihl,
|
||||
ip_dscp=input_ip_dscp,
|
||||
ip_ecn=input_ip_ecn,
|
||||
ip_length=input_ip_length,
|
||||
ip_identification=input_ip_identification,
|
||||
ip_flags=input_ip_flags,
|
||||
ip_fragment_offset=input_ip_fragment_offset,
|
||||
ip_ttl=input_ip_ttl,
|
||||
ip_protocol=input_ip_protocol,
|
||||
ip_header_checksum=input_ip_header_checksum,
|
||||
ip_source_ip=input_ip_source_ip,
|
||||
ip_dest_ip=input_ip_dest_ip,
|
||||
ip_payload_tdata=input_ip_payload_tdata,
|
||||
ip_payload_tkeep=input_ip_payload_tkeep,
|
||||
ip_payload_tvalid=input_ip_payload_tvalid,
|
||||
ip_payload_tready=input_ip_payload_tready,
|
||||
ip_payload_tlast=input_ip_payload_tlast,
|
||||
ip_payload_tuser=input_ip_payload_tuser,
|
||||
fifo=ip_source_queue,
|
||||
pause=ip_source_pause,
|
||||
name='ip_source')
|
||||
|
||||
ip_sink = ip_ep.IPFrameSink(clk,
|
||||
rst,
|
||||
ip_hdr_ready=output_ip_hdr_ready,
|
||||
ip_hdr_valid=output_ip_hdr_valid,
|
||||
eth_dest_mac=output_ip_eth_dest_mac,
|
||||
eth_src_mac=output_ip_eth_src_mac,
|
||||
eth_type=output_ip_eth_type,
|
||||
ip_version=output_ip_version,
|
||||
ip_ihl=output_ip_ihl,
|
||||
ip_dscp=output_ip_dscp,
|
||||
ip_ecn=output_ip_ecn,
|
||||
ip_length=output_ip_length,
|
||||
ip_identification=output_ip_identification,
|
||||
ip_flags=output_ip_flags,
|
||||
ip_fragment_offset=output_ip_fragment_offset,
|
||||
ip_ttl=output_ip_ttl,
|
||||
ip_protocol=output_ip_protocol,
|
||||
ip_header_checksum=output_ip_header_checksum,
|
||||
ip_source_ip=output_ip_source_ip,
|
||||
ip_dest_ip=output_ip_dest_ip,
|
||||
ip_payload_tdata=output_ip_payload_tdata,
|
||||
ip_payload_tkeep=output_ip_payload_tkeep,
|
||||
ip_payload_tvalid=output_ip_payload_tvalid,
|
||||
ip_payload_tready=output_ip_payload_tready,
|
||||
ip_payload_tlast=output_ip_payload_tlast,
|
||||
ip_payload_tuser=output_ip_payload_tuser,
|
||||
fifo=ip_sink_queue,
|
||||
pause=ip_sink_pause,
|
||||
name='ip_sink')
|
||||
|
||||
udp_source = udp_ep.UDPFrameSource(clk,
|
||||
rst,
|
||||
udp_hdr_valid=input_udp_hdr_valid,
|
||||
udp_hdr_ready=input_udp_hdr_ready,
|
||||
eth_dest_mac=input_udp_eth_dest_mac,
|
||||
eth_src_mac=input_udp_eth_src_mac,
|
||||
eth_type=input_udp_eth_type,
|
||||
ip_version=input_udp_ip_version,
|
||||
ip_ihl=input_udp_ip_ihl,
|
||||
ip_dscp=input_udp_ip_dscp,
|
||||
ip_ecn=input_udp_ip_ecn,
|
||||
ip_identification=input_udp_ip_identification,
|
||||
ip_flags=input_udp_ip_flags,
|
||||
ip_fragment_offset=input_udp_ip_fragment_offset,
|
||||
ip_ttl=input_udp_ip_ttl,
|
||||
ip_protocol=input_udp_ip_protocol,
|
||||
ip_header_checksum=input_udp_ip_header_checksum,
|
||||
ip_source_ip=input_udp_ip_source_ip,
|
||||
ip_dest_ip=input_udp_ip_dest_ip,
|
||||
udp_source_port=input_udp_source_port,
|
||||
udp_dest_port=input_udp_dest_port,
|
||||
udp_length=input_udp_length,
|
||||
udp_checksum=input_udp_checksum,
|
||||
udp_payload_tdata=input_udp_payload_tdata,
|
||||
udp_payload_tkeep=input_udp_payload_tkeep,
|
||||
udp_payload_tvalid=input_udp_payload_tvalid,
|
||||
udp_payload_tready=input_udp_payload_tready,
|
||||
udp_payload_tlast=input_udp_payload_tlast,
|
||||
udp_payload_tuser=input_udp_payload_tuser,
|
||||
fifo=udp_source_queue,
|
||||
pause=udp_source_pause,
|
||||
name='udp_source')
|
||||
|
||||
udp_sink = udp_ep.UDPFrameSink(clk,
|
||||
rst,
|
||||
udp_hdr_ready=output_udp_hdr_ready,
|
||||
udp_hdr_valid=output_udp_hdr_valid,
|
||||
eth_dest_mac=output_udp_eth_dest_mac,
|
||||
eth_src_mac=output_udp_eth_src_mac,
|
||||
eth_type=output_udp_eth_type,
|
||||
ip_version=output_udp_ip_version,
|
||||
ip_ihl=output_udp_ip_ihl,
|
||||
ip_dscp=output_udp_ip_dscp,
|
||||
ip_ecn=output_udp_ip_ecn,
|
||||
ip_length=output_udp_ip_length,
|
||||
ip_identification=output_udp_ip_identification,
|
||||
ip_flags=output_udp_ip_flags,
|
||||
ip_fragment_offset=output_udp_ip_fragment_offset,
|
||||
ip_ttl=output_udp_ip_ttl,
|
||||
ip_protocol=output_udp_ip_protocol,
|
||||
ip_header_checksum=output_udp_ip_header_checksum,
|
||||
ip_source_ip=output_udp_ip_source_ip,
|
||||
ip_dest_ip=output_udp_ip_dest_ip,
|
||||
udp_source_port=output_udp_source_port,
|
||||
udp_dest_port=output_udp_dest_port,
|
||||
udp_length=output_udp_length,
|
||||
udp_checksum=output_udp_checksum,
|
||||
udp_payload_tdata=output_udp_payload_tdata,
|
||||
udp_payload_tkeep=output_udp_payload_tkeep,
|
||||
udp_payload_tvalid=output_udp_payload_tvalid,
|
||||
udp_payload_tready=output_udp_payload_tready,
|
||||
udp_payload_tlast=output_udp_payload_tlast,
|
||||
udp_payload_tuser=output_udp_payload_tuser,
|
||||
fifo=udp_sink_queue,
|
||||
pause=udp_sink_pause,
|
||||
name='udp_sink')
|
||||
|
||||
# DUT
|
||||
dut = dut_udp(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_ip_hdr_valid,
|
||||
input_ip_hdr_ready,
|
||||
input_ip_eth_dest_mac,
|
||||
input_ip_eth_src_mac,
|
||||
input_ip_eth_type,
|
||||
input_ip_version,
|
||||
input_ip_ihl,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_header_checksum,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tkeep,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tready,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid,
|
||||
output_ip_hdr_ready,
|
||||
output_ip_eth_dest_mac,
|
||||
output_ip_eth_src_mac,
|
||||
output_ip_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tkeep,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tready,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
|
||||
input_udp_hdr_valid,
|
||||
input_udp_hdr_ready,
|
||||
input_udp_eth_dest_mac,
|
||||
input_udp_eth_src_mac,
|
||||
input_udp_eth_type,
|
||||
input_udp_ip_version,
|
||||
input_udp_ip_ihl,
|
||||
input_udp_ip_dscp,
|
||||
input_udp_ip_ecn,
|
||||
input_udp_ip_identification,
|
||||
input_udp_ip_flags,
|
||||
input_udp_ip_fragment_offset,
|
||||
input_udp_ip_ttl,
|
||||
input_udp_ip_protocol,
|
||||
input_udp_ip_header_checksum,
|
||||
input_udp_ip_source_ip,
|
||||
input_udp_ip_dest_ip,
|
||||
input_udp_source_port,
|
||||
input_udp_dest_port,
|
||||
input_udp_length,
|
||||
input_udp_checksum,
|
||||
input_udp_payload_tdata,
|
||||
input_udp_payload_tkeep,
|
||||
input_udp_payload_tvalid,
|
||||
input_udp_payload_tready,
|
||||
input_udp_payload_tlast,
|
||||
input_udp_payload_tuser,
|
||||
|
||||
output_udp_hdr_valid,
|
||||
output_udp_hdr_ready,
|
||||
output_udp_eth_dest_mac,
|
||||
output_udp_eth_src_mac,
|
||||
output_udp_eth_type,
|
||||
output_udp_ip_version,
|
||||
output_udp_ip_ihl,
|
||||
output_udp_ip_dscp,
|
||||
output_udp_ip_ecn,
|
||||
output_udp_ip_length,
|
||||
output_udp_ip_identification,
|
||||
output_udp_ip_flags,
|
||||
output_udp_ip_fragment_offset,
|
||||
output_udp_ip_ttl,
|
||||
output_udp_ip_protocol,
|
||||
output_udp_ip_header_checksum,
|
||||
output_udp_ip_source_ip,
|
||||
output_udp_ip_dest_ip,
|
||||
output_udp_source_port,
|
||||
output_udp_dest_port,
|
||||
output_udp_length,
|
||||
output_udp_checksum,
|
||||
output_udp_payload_tdata,
|
||||
output_udp_payload_tkeep,
|
||||
output_udp_payload_tvalid,
|
||||
output_udp_payload_tready,
|
||||
output_udp_payload_tlast,
|
||||
output_udp_payload_tuser,
|
||||
|
||||
rx_busy,
|
||||
tx_busy,
|
||||
rx_error_header_early_termination,
|
||||
rx_error_payload_early_termination,
|
||||
tx_error_payload_early_termination)
|
||||
|
||||
@always(delay(4))
|
||||
def clkgen():
|
||||
clk.next = not clk
|
||||
|
||||
rx_error_header_early_termination_asserted = Signal(bool(0))
|
||||
rx_error_payload_early_termination_asserted = Signal(bool(0))
|
||||
tx_error_payload_early_termination_asserted = Signal(bool(0))
|
||||
|
||||
@always(clk.posedge)
|
||||
def monitor():
|
||||
if (rx_error_header_early_termination):
|
||||
rx_error_header_early_termination_asserted.next = 1
|
||||
if (rx_error_payload_early_termination):
|
||||
rx_error_payload_early_termination_asserted.next = 1
|
||||
if (tx_error_payload_early_termination):
|
||||
tx_error_payload_early_termination_asserted.next = 1
|
||||
|
||||
def wait_normal():
|
||||
while (input_ip_payload_tvalid or input_udp_payload_tvalid or
|
||||
output_ip_payload_tvalid or output_udp_payload_tvalid or
|
||||
input_ip_hdr_valid or input_udp_hdr_valid):
|
||||
yield clk.posedge
|
||||
|
||||
@instance
|
||||
def check():
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
rst.next = 1
|
||||
yield clk.posedge
|
||||
rst.next = 0
|
||||
yield clk.posedge
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
print("test 1: test UDP RX packet")
|
||||
current_test.next = 1
|
||||
|
||||
test_frame = udp_ep.UDPFrame()
|
||||
test_frame.eth_dest_mac = 0x5A5152535455
|
||||
test_frame.eth_src_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_dscp = 0
|
||||
test_frame.ip_ecn = 0
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80165
|
||||
test_frame.ip_dest_ip = 0xc0a80164
|
||||
test_frame.udp_source_port = 1234
|
||||
test_frame.udp_dest_port = 5678
|
||||
test_frame.payload = bytearray(range(32))
|
||||
test_frame.build()
|
||||
ip_frame = test_frame.build_ip()
|
||||
|
||||
ip_source_queue.put(ip_frame)
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
yield wait_normal()
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not udp_sink_queue.empty():
|
||||
rx_frame = udp_sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
assert ip_source_queue.empty()
|
||||
assert ip_sink_queue.empty()
|
||||
assert udp_source_queue.empty()
|
||||
assert udp_sink_queue.empty()
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 2: test UDP TX packet")
|
||||
current_test.next = 2
|
||||
|
||||
test_frame = udp_ep.UDPFrame()
|
||||
test_frame.eth_dest_mac = 0x5A5152535455
|
||||
test_frame.eth_src_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_dscp = 0
|
||||
test_frame.ip_ecn = 0
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80165
|
||||
test_frame.ip_dest_ip = 0xc0a80164
|
||||
test_frame.udp_source_port = 1234
|
||||
test_frame.udp_dest_port = 5678
|
||||
test_frame.payload = bytearray(range(32))
|
||||
test_frame.build()
|
||||
|
||||
udp_source_queue.put(test_frame)
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
yield wait_normal()
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not ip_sink_queue.empty():
|
||||
rx_frame = ip_sink_queue.get()
|
||||
|
||||
check_frame = udp_ep.UDPFrame()
|
||||
check_frame.parse_ip(rx_frame)
|
||||
|
||||
assert check_frame == test_frame
|
||||
|
||||
assert ip_source_queue.empty()
|
||||
assert ip_sink_queue.empty()
|
||||
assert udp_source_queue.empty()
|
||||
assert udp_sink_queue.empty()
|
||||
|
||||
yield delay(100)
|
||||
|
||||
raise StopSimulation
|
||||
|
||||
return dut, ip_source, ip_sink, udp_source, udp_sink, clkgen, monitor, check
|
||||
|
||||
def test_bench():
|
||||
sim = Simulation(bench())
|
||||
sim.run()
|
||||
|
||||
if __name__ == '__main__':
|
||||
print("Running test...")
|
||||
test_bench()
|
||||
|
394
tb/test_udp_64.v
Normal file
394
tb/test_udp_64.v
Normal file
@ -0,0 +1,394 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1 ns / 1 ps
|
||||
|
||||
module test_udp_64;
|
||||
|
||||
// Parameters
|
||||
parameter CHECKSUM_ENABLE = 0;
|
||||
parameter CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH = 11;
|
||||
parameter CHECKSUM_HEADER_FIFO_ADDR_WIDTH = 3;
|
||||
|
||||
// Inputs
|
||||
reg clk = 0;
|
||||
reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg input_ip_hdr_valid = 0;
|
||||
reg [47:0] input_ip_eth_dest_mac = 0;
|
||||
reg [47:0] input_ip_eth_src_mac = 0;
|
||||
reg [15:0] input_ip_eth_type = 0;
|
||||
reg [3:0] input_ip_version = 0;
|
||||
reg [3:0] input_ip_ihl = 0;
|
||||
reg [5:0] input_ip_dscp = 0;
|
||||
reg [1:0] input_ip_ecn = 0;
|
||||
reg [15:0] input_ip_length = 0;
|
||||
reg [15:0] input_ip_identification = 0;
|
||||
reg [2:0] input_ip_flags = 0;
|
||||
reg [12:0] input_ip_fragment_offset = 0;
|
||||
reg [7:0] input_ip_ttl = 0;
|
||||
reg [7:0] input_ip_protocol = 0;
|
||||
reg [15:0] input_ip_header_checksum = 0;
|
||||
reg [31:0] input_ip_source_ip = 0;
|
||||
reg [31:0] input_ip_dest_ip = 0;
|
||||
reg [63:0] input_ip_payload_tdata = 0;
|
||||
reg [7:0] input_ip_payload_tkeep = 0;
|
||||
reg input_ip_payload_tvalid = 0;
|
||||
reg input_ip_payload_tlast = 0;
|
||||
reg input_ip_payload_tuser = 0;
|
||||
reg input_udp_hdr_valid = 0;
|
||||
reg [47:0] input_udp_eth_dest_mac = 0;
|
||||
reg [47:0] input_udp_eth_src_mac = 0;
|
||||
reg [15:0] input_udp_eth_type = 0;
|
||||
reg [3:0] input_udp_ip_version = 0;
|
||||
reg [3:0] input_udp_ip_ihl = 0;
|
||||
reg [5:0] input_udp_ip_dscp = 0;
|
||||
reg [1:0] input_udp_ip_ecn = 0;
|
||||
reg [15:0] input_udp_ip_identification = 0;
|
||||
reg [2:0] input_udp_ip_flags = 0;
|
||||
reg [12:0] input_udp_ip_fragment_offset = 0;
|
||||
reg [7:0] input_udp_ip_ttl = 0;
|
||||
reg [7:0] input_udp_ip_protocol = 0;
|
||||
reg [15:0] input_udp_ip_header_checksum = 0;
|
||||
reg [31:0] input_udp_ip_source_ip = 0;
|
||||
reg [31:0] input_udp_ip_dest_ip = 0;
|
||||
reg [15:0] input_udp_source_port = 0;
|
||||
reg [15:0] input_udp_dest_port = 0;
|
||||
reg [15:0] input_udp_length = 0;
|
||||
reg [15:0] input_udp_checksum = 0;
|
||||
reg [63:0] input_udp_payload_tdata = 0;
|
||||
reg [7:0] input_udp_payload_tkeep = 0;
|
||||
reg input_udp_payload_tvalid = 0;
|
||||
reg input_udp_payload_tlast = 0;
|
||||
reg input_udp_payload_tuser = 0;
|
||||
reg output_ip_hdr_ready = 0;
|
||||
reg output_ip_payload_tready = 0;
|
||||
reg output_udp_hdr_ready = 0;
|
||||
reg output_udp_payload_tready = 0;
|
||||
|
||||
// Outputs
|
||||
wire input_ip_hdr_ready;
|
||||
wire input_ip_payload_tready;
|
||||
wire input_udp_hdr_ready;
|
||||
wire input_udp_payload_tready;
|
||||
wire output_ip_hdr_valid;
|
||||
wire [47:0] output_ip_eth_dest_mac;
|
||||
wire [47:0] output_ip_eth_src_mac;
|
||||
wire [15:0] output_ip_eth_type;
|
||||
wire [3:0] output_ip_version;
|
||||
wire [3:0] output_ip_ihl;
|
||||
wire [5:0] output_ip_dscp;
|
||||
wire [1:0] output_ip_ecn;
|
||||
wire [15:0] output_ip_length;
|
||||
wire [15:0] output_ip_identification;
|
||||
wire [2:0] output_ip_flags;
|
||||
wire [12:0] output_ip_fragment_offset;
|
||||
wire [7:0] output_ip_ttl;
|
||||
wire [7:0] output_ip_protocol;
|
||||
wire [15:0] output_ip_header_checksum;
|
||||
wire [31:0] output_ip_source_ip;
|
||||
wire [31:0] output_ip_dest_ip;
|
||||
wire [63:0] output_ip_payload_tdata;
|
||||
wire [7:0] output_ip_payload_tkeep;
|
||||
wire output_ip_payload_tvalid;
|
||||
wire output_ip_payload_tlast;
|
||||
wire output_ip_payload_tuser;
|
||||
wire output_udp_hdr_valid;
|
||||
wire [47:0] output_udp_eth_dest_mac;
|
||||
wire [47:0] output_udp_eth_src_mac;
|
||||
wire [15:0] output_udp_eth_type;
|
||||
wire [3:0] output_udp_ip_version;
|
||||
wire [3:0] output_udp_ip_ihl;
|
||||
wire [5:0] output_udp_ip_dscp;
|
||||
wire [1:0] output_udp_ip_ecn;
|
||||
wire [15:0] output_udp_ip_length;
|
||||
wire [15:0] output_udp_ip_identification;
|
||||
wire [2:0] output_udp_ip_flags;
|
||||
wire [12:0] output_udp_ip_fragment_offset;
|
||||
wire [7:0] output_udp_ip_ttl;
|
||||
wire [7:0] output_udp_ip_protocol;
|
||||
wire [15:0] output_udp_ip_header_checksum;
|
||||
wire [31:0] output_udp_ip_source_ip;
|
||||
wire [31:0] output_udp_ip_dest_ip;
|
||||
wire [15:0] output_udp_source_port;
|
||||
wire [15:0] output_udp_dest_port;
|
||||
wire [15:0] output_udp_length;
|
||||
wire [15:0] output_udp_checksum;
|
||||
wire [63:0] output_udp_payload_tdata;
|
||||
wire [7:0] output_udp_payload_tkeep;
|
||||
wire output_udp_payload_tvalid;
|
||||
wire output_udp_payload_tlast;
|
||||
wire output_udp_payload_tuser;
|
||||
wire rx_busy;
|
||||
wire tx_busy;
|
||||
wire rx_error_header_early_termination;
|
||||
wire rx_error_payload_early_termination;
|
||||
wire tx_error_payload_early_termination;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
$from_myhdl(clk,
|
||||
rst,
|
||||
current_test,
|
||||
input_ip_hdr_valid,
|
||||
input_ip_eth_dest_mac,
|
||||
input_ip_eth_src_mac,
|
||||
input_ip_eth_type,
|
||||
input_ip_version,
|
||||
input_ip_ihl,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_header_checksum,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tkeep,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
input_udp_hdr_valid,
|
||||
input_udp_eth_dest_mac,
|
||||
input_udp_eth_src_mac,
|
||||
input_udp_eth_type,
|
||||
input_udp_ip_version,
|
||||
input_udp_ip_ihl,
|
||||
input_udp_ip_dscp,
|
||||
input_udp_ip_ecn,
|
||||
input_udp_ip_identification,
|
||||
input_udp_ip_flags,
|
||||
input_udp_ip_fragment_offset,
|
||||
input_udp_ip_ttl,
|
||||
input_udp_ip_protocol,
|
||||
input_udp_ip_header_checksum,
|
||||
input_udp_ip_source_ip,
|
||||
input_udp_ip_dest_ip,
|
||||
input_udp_source_port,
|
||||
input_udp_dest_port,
|
||||
input_udp_length,
|
||||
input_udp_checksum,
|
||||
input_udp_payload_tdata,
|
||||
input_udp_payload_tkeep,
|
||||
input_udp_payload_tvalid,
|
||||
input_udp_payload_tlast,
|
||||
input_udp_payload_tuser,
|
||||
output_ip_hdr_ready,
|
||||
output_ip_payload_tready,
|
||||
output_udp_hdr_ready,
|
||||
output_udp_payload_tready);
|
||||
$to_myhdl(input_ip_hdr_ready,
|
||||
input_ip_payload_tready,
|
||||
input_udp_hdr_ready,
|
||||
input_udp_payload_tready,
|
||||
output_ip_hdr_valid,
|
||||
output_ip_eth_dest_mac,
|
||||
output_ip_eth_src_mac,
|
||||
output_ip_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tkeep,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
output_udp_hdr_valid,
|
||||
output_udp_eth_dest_mac,
|
||||
output_udp_eth_src_mac,
|
||||
output_udp_eth_type,
|
||||
output_udp_ip_version,
|
||||
output_udp_ip_ihl,
|
||||
output_udp_ip_dscp,
|
||||
output_udp_ip_ecn,
|
||||
output_udp_ip_length,
|
||||
output_udp_ip_identification,
|
||||
output_udp_ip_flags,
|
||||
output_udp_ip_fragment_offset,
|
||||
output_udp_ip_ttl,
|
||||
output_udp_ip_protocol,
|
||||
output_udp_ip_header_checksum,
|
||||
output_udp_ip_source_ip,
|
||||
output_udp_ip_dest_ip,
|
||||
output_udp_source_port,
|
||||
output_udp_dest_port,
|
||||
output_udp_length,
|
||||
output_udp_checksum,
|
||||
output_udp_payload_tdata,
|
||||
output_udp_payload_tkeep,
|
||||
output_udp_payload_tvalid,
|
||||
output_udp_payload_tlast,
|
||||
output_udp_payload_tuser,
|
||||
rx_busy,
|
||||
tx_busy,
|
||||
rx_error_header_early_termination,
|
||||
rx_error_payload_early_termination,
|
||||
tx_error_payload_early_termination);
|
||||
|
||||
// dump file
|
||||
$dumpfile("test_udp_64.lxt");
|
||||
$dumpvars(0, test_udp_64);
|
||||
end
|
||||
|
||||
udp_64 #(
|
||||
.CHECKSUM_ENABLE(CHECKSUM_ENABLE),
|
||||
.CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH(CHECKSUM_PAYLOAD_FIFO_ADDR_WIDTH),
|
||||
.CHECKSUM_HEADER_FIFO_ADDR_WIDTH(CHECKSUM_HEADER_FIFO_ADDR_WIDTH)
|
||||
)
|
||||
UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// IP frame input
|
||||
.input_ip_hdr_valid(input_ip_hdr_valid),
|
||||
.input_ip_hdr_ready(input_ip_hdr_ready),
|
||||
.input_ip_eth_dest_mac(input_ip_eth_dest_mac),
|
||||
.input_ip_eth_src_mac(input_ip_eth_src_mac),
|
||||
.input_ip_eth_type(input_ip_eth_type),
|
||||
.input_ip_version(input_ip_version),
|
||||
.input_ip_ihl(input_ip_ihl),
|
||||
.input_ip_dscp(input_ip_dscp),
|
||||
.input_ip_ecn(input_ip_ecn),
|
||||
.input_ip_length(input_ip_length),
|
||||
.input_ip_identification(input_ip_identification),
|
||||
.input_ip_flags(input_ip_flags),
|
||||
.input_ip_fragment_offset(input_ip_fragment_offset),
|
||||
.input_ip_ttl(input_ip_ttl),
|
||||
.input_ip_protocol(input_ip_protocol),
|
||||
.input_ip_header_checksum(input_ip_header_checksum),
|
||||
.input_ip_source_ip(input_ip_source_ip),
|
||||
.input_ip_dest_ip(input_ip_dest_ip),
|
||||
.input_ip_payload_tdata(input_ip_payload_tdata),
|
||||
.input_ip_payload_tkeep(input_ip_payload_tkeep),
|
||||
.input_ip_payload_tvalid(input_ip_payload_tvalid),
|
||||
.input_ip_payload_tready(input_ip_payload_tready),
|
||||
.input_ip_payload_tlast(input_ip_payload_tlast),
|
||||
.input_ip_payload_tuser(input_ip_payload_tuser),
|
||||
// IP frame output
|
||||
.output_ip_hdr_valid(output_ip_hdr_valid),
|
||||
.output_ip_hdr_ready(output_ip_hdr_ready),
|
||||
.output_ip_eth_dest_mac(output_ip_eth_dest_mac),
|
||||
.output_ip_eth_src_mac(output_ip_eth_src_mac),
|
||||
.output_ip_eth_type(output_ip_eth_type),
|
||||
.output_ip_version(output_ip_version),
|
||||
.output_ip_ihl(output_ip_ihl),
|
||||
.output_ip_dscp(output_ip_dscp),
|
||||
.output_ip_ecn(output_ip_ecn),
|
||||
.output_ip_length(output_ip_length),
|
||||
.output_ip_identification(output_ip_identification),
|
||||
.output_ip_flags(output_ip_flags),
|
||||
.output_ip_fragment_offset(output_ip_fragment_offset),
|
||||
.output_ip_ttl(output_ip_ttl),
|
||||
.output_ip_protocol(output_ip_protocol),
|
||||
.output_ip_header_checksum(output_ip_header_checksum),
|
||||
.output_ip_source_ip(output_ip_source_ip),
|
||||
.output_ip_dest_ip(output_ip_dest_ip),
|
||||
.output_ip_payload_tdata(output_ip_payload_tdata),
|
||||
.output_ip_payload_tkeep(output_ip_payload_tkeep),
|
||||
.output_ip_payload_tvalid(output_ip_payload_tvalid),
|
||||
.output_ip_payload_tready(output_ip_payload_tready),
|
||||
.output_ip_payload_tlast(output_ip_payload_tlast),
|
||||
.output_ip_payload_tuser(output_ip_payload_tuser),
|
||||
// UDP frame input
|
||||
.input_udp_hdr_valid(input_udp_hdr_valid),
|
||||
.input_udp_hdr_ready(input_udp_hdr_ready),
|
||||
.input_udp_eth_dest_mac(input_udp_eth_dest_mac),
|
||||
.input_udp_eth_src_mac(input_udp_eth_src_mac),
|
||||
.input_udp_eth_type(input_udp_eth_type),
|
||||
.input_udp_ip_version(input_udp_ip_version),
|
||||
.input_udp_ip_ihl(input_udp_ip_ihl),
|
||||
.input_udp_ip_dscp(input_udp_ip_dscp),
|
||||
.input_udp_ip_ecn(input_udp_ip_ecn),
|
||||
.input_udp_ip_identification(input_udp_ip_identification),
|
||||
.input_udp_ip_flags(input_udp_ip_flags),
|
||||
.input_udp_ip_fragment_offset(input_udp_ip_fragment_offset),
|
||||
.input_udp_ip_ttl(input_udp_ip_ttl),
|
||||
.input_udp_ip_protocol(input_udp_ip_protocol),
|
||||
.input_udp_ip_header_checksum(input_udp_ip_header_checksum),
|
||||
.input_udp_ip_source_ip(input_udp_ip_source_ip),
|
||||
.input_udp_ip_dest_ip(input_udp_ip_dest_ip),
|
||||
.input_udp_source_port(input_udp_source_port),
|
||||
.input_udp_dest_port(input_udp_dest_port),
|
||||
.input_udp_length(input_udp_length),
|
||||
.input_udp_checksum(input_udp_checksum),
|
||||
.input_udp_payload_tdata(input_udp_payload_tdata),
|
||||
.input_udp_payload_tkeep(input_udp_payload_tkeep),
|
||||
.input_udp_payload_tvalid(input_udp_payload_tvalid),
|
||||
.input_udp_payload_tready(input_udp_payload_tready),
|
||||
.input_udp_payload_tlast(input_udp_payload_tlast),
|
||||
.input_udp_payload_tuser(input_udp_payload_tuser),
|
||||
// UDP frame output
|
||||
.output_udp_hdr_valid(output_udp_hdr_valid),
|
||||
.output_udp_hdr_ready(output_udp_hdr_ready),
|
||||
.output_udp_eth_dest_mac(output_udp_eth_dest_mac),
|
||||
.output_udp_eth_src_mac(output_udp_eth_src_mac),
|
||||
.output_udp_eth_type(output_udp_eth_type),
|
||||
.output_udp_ip_version(output_udp_ip_version),
|
||||
.output_udp_ip_ihl(output_udp_ip_ihl),
|
||||
.output_udp_ip_dscp(output_udp_ip_dscp),
|
||||
.output_udp_ip_ecn(output_udp_ip_ecn),
|
||||
.output_udp_ip_length(output_udp_ip_length),
|
||||
.output_udp_ip_identification(output_udp_ip_identification),
|
||||
.output_udp_ip_flags(output_udp_ip_flags),
|
||||
.output_udp_ip_fragment_offset(output_udp_ip_fragment_offset),
|
||||
.output_udp_ip_ttl(output_udp_ip_ttl),
|
||||
.output_udp_ip_protocol(output_udp_ip_protocol),
|
||||
.output_udp_ip_header_checksum(output_udp_ip_header_checksum),
|
||||
.output_udp_ip_source_ip(output_udp_ip_source_ip),
|
||||
.output_udp_ip_dest_ip(output_udp_ip_dest_ip),
|
||||
.output_udp_source_port(output_udp_source_port),
|
||||
.output_udp_dest_port(output_udp_dest_port),
|
||||
.output_udp_length(output_udp_length),
|
||||
.output_udp_checksum(output_udp_checksum),
|
||||
.output_udp_payload_tdata(output_udp_payload_tdata),
|
||||
.output_udp_payload_tkeep(output_udp_payload_tkeep),
|
||||
.output_udp_payload_tvalid(output_udp_payload_tvalid),
|
||||
.output_udp_payload_tready(output_udp_payload_tready),
|
||||
.output_udp_payload_tlast(output_udp_payload_tlast),
|
||||
.output_udp_payload_tuser(output_udp_payload_tuser),
|
||||
// Status signals
|
||||
.rx_busy(rx_busy),
|
||||
.tx_busy(tx_busy),
|
||||
.rx_error_header_early_termination(rx_error_header_early_termination),
|
||||
.rx_error_payload_early_termination(rx_error_payload_early_termination),
|
||||
.tx_error_payload_early_termination(tx_error_payload_early_termination)
|
||||
);
|
||||
|
||||
endmodule
|
Loading…
x
Reference in New Issue
Block a user