1
0
mirror of https://github.com/myhdl/myhdl.git synced 2024-12-14 07:44:38 +08:00

60 lines
1.2 KiB
Bash
Raw Permalink Normal View History

2011-05-17 15:53:02 +02:00
#!/bin/bash
echo > stats.dat
pypy convert.py
2011-05-17 15:53:02 +02:00
2016-04-25 13:26:44 +02:00
ghdl -a --std=08 pck_myhdl_10.vhd
# vlib work
# vcom pck_myhdl_08dev.vhd
2011-05-17 15:53:02 +02:00
tests="
2011-05-18 10:36:04 +02:00
timer
2011-05-17 15:53:02 +02:00
lfsr24
randgen
2011-05-18 10:36:04 +02:00
longdiv
2011-05-20 09:14:06 +02:00
findmax
2011-05-17 15:53:02 +02:00
"
for test in $tests
do
echo Test: $test >> stats.dat
echo ===== >> stats.dat
echo python >> stats.dat
echo ------ >> stats.dat
2011-05-18 10:36:04 +02:00
/usr/bin/time -o stats.dat -a -p python test_$test.py > ${test}_python.out
2011-05-17 15:53:02 +02:00
echo >> stats.dat
echo pypy >> stats.dat
echo ---- >> stats.dat
2011-05-18 10:36:04 +02:00
/usr/bin/time -o stats.dat -a -p pypy test_$test.py > ${test}_pypy.out
2011-05-17 15:53:02 +02:00
echo >> stats.dat
echo icarus >> stats.dat
echo ------ >> stats.dat
iverilog test_$test.v
2011-05-18 10:36:04 +02:00
/usr/bin/time -o stats.dat -a -p vvp a.out test_$test > ${test}_icarus.out
2011-05-17 15:53:02 +02:00
echo >> stats.dat
echo ghdl >> stats.dat
echo ---- >> stats.dat
2016-04-25 13:26:44 +02:00
ghdl -a --std=08 test_$test.vhd
ghdl -e --std=08 test_$test
2011-05-18 10:36:04 +02:00
/usr/bin/time -o stats.dat -a -p ghdl -r test_$test > ${test}_ghdl.out
2011-05-17 15:53:02 +02:00
echo >> stats.dat
2016-04-25 13:26:44 +02:00
# echo vlog >> stats.dat
# echo ---- >> stats.dat
# vlog test_$test.v
# /usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vlog.out
# echo >> stats.dat
#
# echo vcom >> stats.dat
# echo ---- >> stats.dat
# vcom test_$test.vhd
# /usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vcom.out
# echo >> stats.dat
2011-05-17 15:53:02 +02:00
done