2008-11-22 22:40:25 +01:00
|
|
|
-- File: inc_comb.vhd
|
2008-11-23 11:36:16 +01:00
|
|
|
-- Generated by MyHDL 0.6
|
|
|
|
-- Date: Sun Nov 23 11:34:35 2008
|
2008-11-22 22:40:25 +01:00
|
|
|
|
|
|
|
library IEEE;
|
|
|
|
use IEEE.std_logic_1164.all;
|
|
|
|
use IEEE.numeric_std.all;
|
|
|
|
use std.textio.all;
|
|
|
|
|
2008-11-23 11:36:16 +01:00
|
|
|
use work.pck_myhdl_06.all;
|
2008-11-22 22:40:25 +01:00
|
|
|
|
|
|
|
entity inc_comb is
|
|
|
|
port (
|
|
|
|
nextCount: out unsigned(7 downto 0);
|
|
|
|
count: in unsigned(7 downto 0)
|
|
|
|
);
|
|
|
|
end entity inc_comb;
|
|
|
|
|
|
|
|
architecture MyHDL of inc_comb is
|
|
|
|
|
|
|
|
|
|
|
|
begin
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
nextCount <= (count + 1) mod 256;
|
|
|
|
|
|
|
|
end architecture MyHDL;
|