mirror of
https://github.com/myhdl/myhdl.git
synced 2025-01-24 21:52:56 +08:00
Version number 0.7
This commit is contained in:
parent
e95044322d
commit
52814f1eb3
@ -1,6 +1,9 @@
|
||||
0.7dev
|
||||
======
|
||||
Release 0.7 21-Dec-2010
|
||||
-----------------------
|
||||
|
||||
Full details about new features and changes can be found here:
|
||||
|
||||
http://www.myhdl.org/doc/0.7/whatsnew/0.7.html
|
||||
|
||||
Release 0.6 9-Jan-2009
|
||||
-----------------------
|
||||
|
11
README.txt
11
README.txt
@ -1,5 +1,5 @@
|
||||
MyHDL 0.7dev
|
||||
============
|
||||
MyHDL 0.7
|
||||
=========
|
||||
|
||||
What is MyHDL?
|
||||
--------------
|
||||
@ -22,13 +22,13 @@ Documentation
|
||||
-------------
|
||||
The manual is available on-line:
|
||||
|
||||
http://www.myhdl.org/doc/0.6/manual
|
||||
http://www.myhdl.org/doc/0.7/manual
|
||||
|
||||
What's new
|
||||
----------
|
||||
To find out what's new in this release, please read:
|
||||
|
||||
http://www.myhdl.org/doc/0.6/whatsnew/0.6.html
|
||||
http://www.myhdl.org/doc/0.7/whatsnew/0.7.html
|
||||
|
||||
Installation
|
||||
------------
|
||||
@ -48,7 +48,8 @@ In this case, be sure to add the appropriate install dir to the
|
||||
$PYTHONPATH.
|
||||
|
||||
If necessary, consult the distutils documentation in the standard
|
||||
Python library if necessary for more details; or contact me.
|
||||
Python library if necessary for more details;
|
||||
or contact me.
|
||||
|
||||
You can test the proper installation as follows:
|
||||
|
||||
|
@ -40,9 +40,9 @@ copyright = '2008-2010, Jan Decaluwe'
|
||||
# other places throughout the built documents.
|
||||
#
|
||||
# The short X.Y version.
|
||||
version = '0.7dev'
|
||||
version = '0.7'
|
||||
# The full version, including alpha/beta/rc tags.
|
||||
release = '0.7dev'
|
||||
release = '0.7'
|
||||
|
||||
# There are two options for replacing |today|: either, you set today to some
|
||||
# non-false value, then it is used:
|
||||
@ -122,7 +122,8 @@ latex_font_size = '11pt'
|
||||
# Grouping the document tree into LaTeX files. List of tuples
|
||||
# (source start file, target name, title, author, document class [howto/manual]).
|
||||
latex_documents = [('manual/index', 'MyHDL.tex', 'MyHDL manual', 'Jan Decaluwe', 'manual'),
|
||||
('whatsnew/0.6', 'whatsnew0.6.tex', 'What\'s new in MyHDL 0.6', 'Jan Decaluwe', 'manual')
|
||||
('whatsnew/0.6', 'whatsnew0.6.tex', 'What\'s new in MyHDL 0.6', 'Jan Decaluwe', 'howto'),
|
||||
('whatsnew/0.7', 'whatsnew0.7.tex', 'What\'s new in MyHDL 0.7', 'Jan Decaluwe', 'howto'),
|
||||
]
|
||||
|
||||
# Additional stuff for the LaTeX preamble.
|
||||
|
@ -1,6 +1,6 @@
|
||||
// File: FramerCtrl.v
|
||||
// Generated by MyHDL 0.7dev
|
||||
// Date: Sun Oct 10 21:39:53 2010
|
||||
// Generated by MyHDL 0.7
|
||||
// Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
`timescale 1ns/10ps
|
||||
|
@ -1,6 +1,6 @@
|
||||
-- File: FramerCtrl.vhd
|
||||
-- Generated by MyHDL 0.7dev
|
||||
-- Date: Sun Oct 10 21:39:53 2010
|
||||
-- Generated by MyHDL 0.7
|
||||
-- Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
|
||||
@ -20,7 +20,7 @@ use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
use work.pck_myhdl_07dev.all;
|
||||
use work.pck_myhdl_07.all;
|
||||
|
||||
use work.pck_FramerCtrl.all;
|
||||
|
||||
|
@ -1,6 +1,6 @@
|
||||
// File: GrayIncReg.v
|
||||
// Generated by MyHDL 0.7dev
|
||||
// Date: Sun Oct 10 21:39:52 2010
|
||||
// Generated by MyHDL 0.7
|
||||
// Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
`timescale 1ns/10ps
|
||||
|
@ -1,6 +1,6 @@
|
||||
-- File: GrayIncReg.vhd
|
||||
-- Generated by MyHDL 0.7dev
|
||||
-- Date: Sun Oct 10 21:39:52 2010
|
||||
-- Generated by MyHDL 0.7
|
||||
-- Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
library IEEE;
|
||||
@ -8,7 +8,7 @@ use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
use work.pck_myhdl_07dev.all;
|
||||
use work.pck_myhdl_07.all;
|
||||
|
||||
entity GrayIncReg is
|
||||
port (
|
||||
|
@ -1,6 +1,6 @@
|
||||
// File: Inc.v
|
||||
// Generated by MyHDL 0.7dev
|
||||
// Date: Sun Oct 10 21:39:52 2010
|
||||
// Generated by MyHDL 0.7
|
||||
// Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
`timescale 1ns/10ps
|
||||
|
@ -1,6 +1,6 @@
|
||||
-- File: Inc.vhd
|
||||
-- Generated by MyHDL 0.7dev
|
||||
-- Date: Sun Oct 10 21:39:52 2010
|
||||
-- Generated by MyHDL 0.7
|
||||
-- Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
library IEEE;
|
||||
@ -8,7 +8,7 @@ use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
use work.pck_myhdl_07dev.all;
|
||||
use work.pck_myhdl_07.all;
|
||||
|
||||
entity Inc is
|
||||
port (
|
||||
|
@ -1,6 +1,6 @@
|
||||
// File: bin2gray.v
|
||||
// Generated by MyHDL 0.7dev
|
||||
// Date: Sun Oct 10 21:39:52 2010
|
||||
// Generated by MyHDL 0.7
|
||||
// Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
`timescale 1ns/10ps
|
||||
|
@ -1,6 +1,6 @@
|
||||
-- File: bin2gray.vhd
|
||||
-- Generated by MyHDL 0.7dev
|
||||
-- Date: Sun Oct 10 21:39:52 2010
|
||||
-- Generated by MyHDL 0.7
|
||||
-- Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
library IEEE;
|
||||
@ -8,7 +8,7 @@ use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
use work.pck_myhdl_07dev.all;
|
||||
use work.pck_myhdl_07.all;
|
||||
|
||||
entity bin2gray is
|
||||
port (
|
||||
|
@ -1,6 +1,6 @@
|
||||
// File: inc_comb.v
|
||||
// Generated by MyHDL 0.7dev
|
||||
// Date: Fri Dec 17 11:39:33 2010
|
||||
// Generated by MyHDL 0.7
|
||||
// Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
`timescale 1ns/10ps
|
||||
|
@ -1,6 +1,6 @@
|
||||
-- File: inc_comb.vhd
|
||||
-- Generated by MyHDL 0.7dev
|
||||
-- Date: Fri Dec 17 11:39:33 2010
|
||||
-- Generated by MyHDL 0.7
|
||||
-- Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
library IEEE;
|
||||
@ -8,7 +8,7 @@ use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
use work.pck_myhdl_07dev.all;
|
||||
use work.pck_myhdl_07.all;
|
||||
|
||||
entity inc_comb is
|
||||
port (
|
||||
|
@ -1,12 +1,13 @@
|
||||
-- File: pck_myhdl_07dev.vhd
|
||||
-- Generated by MyHDL 0.7dev
|
||||
-- Date: Fri Jul 2 13:23:50 2010
|
||||
-- File: pck_myhdl_07.vhd
|
||||
-- Generated by MyHDL 0.7
|
||||
-- Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.numeric_std.all;
|
||||
|
||||
package pck_myhdl_07dev is
|
||||
package pck_myhdl_07 is
|
||||
|
||||
attribute enum_encoding: string;
|
||||
|
||||
@ -36,10 +37,10 @@ package pck_myhdl_07dev is
|
||||
|
||||
function "-" (arg: unsigned) return signed;
|
||||
|
||||
end pck_myhdl_07dev;
|
||||
end pck_myhdl_07;
|
||||
|
||||
|
||||
package body pck_myhdl_07dev is
|
||||
package body pck_myhdl_07 is
|
||||
|
||||
function to_std_logic (arg: boolean) return std_logic is
|
||||
begin
|
||||
@ -135,6 +136,6 @@ package body pck_myhdl_07dev is
|
||||
return - signed(resize(arg, arg'length+1));
|
||||
end function "-";
|
||||
|
||||
end pck_myhdl_07dev;
|
||||
end pck_myhdl_07;
|
||||
|
||||
|
@ -1,6 +1,6 @@
|
||||
-- File: ram.vhd
|
||||
-- Generated by MyHDL 0.7dev
|
||||
-- Date: Sun Oct 10 21:39:53 2010
|
||||
-- Generated by MyHDL 0.7
|
||||
-- Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
library IEEE;
|
||||
@ -8,7 +8,7 @@ use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
use work.pck_myhdl_07dev.all;
|
||||
use work.pck_myhdl_07.all;
|
||||
|
||||
entity ram is
|
||||
port (
|
||||
|
@ -1,6 +1,6 @@
|
||||
// File: ram_1.v
|
||||
// Generated by MyHDL 0.7dev
|
||||
// Date: Sun Oct 10 21:39:53 2010
|
||||
// Generated by MyHDL 0.7
|
||||
// Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
`timescale 1ns/10ps
|
||||
|
@ -1,6 +1,6 @@
|
||||
// File: rom.v
|
||||
// Generated by MyHDL 0.7dev
|
||||
// Date: Sun Oct 10 21:39:53 2010
|
||||
// Generated by MyHDL 0.7
|
||||
// Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
`timescale 1ns/10ps
|
||||
|
@ -1,6 +1,6 @@
|
||||
-- File: rom.vhd
|
||||
-- Generated by MyHDL 0.7dev
|
||||
-- Date: Sun Oct 10 21:39:53 2010
|
||||
-- Generated by MyHDL 0.7
|
||||
-- Date: Sun Dec 19 16:52:33 2010
|
||||
|
||||
|
||||
library IEEE;
|
||||
@ -8,7 +8,7 @@ use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
use work.pck_myhdl_07dev.all;
|
||||
use work.pck_myhdl_07.all;
|
||||
|
||||
entity rom is
|
||||
port (
|
||||
|
@ -46,7 +46,7 @@ toVerilog -- function that converts a design to Verilog
|
||||
|
||||
"""
|
||||
|
||||
__version__ = "0.7dev"
|
||||
__version__ = "0.7"
|
||||
|
||||
import sys
|
||||
import warnings
|
||||
|
6
setup.py
6
setup.py
@ -22,7 +22,7 @@ if sys.version_info < requiredVersion:
|
||||
from distutils.core import setup
|
||||
|
||||
classifiers = """\
|
||||
Development Status :: 3 - Alpha
|
||||
Development Status :: 4 - Beta
|
||||
Intended Audience :: Developers
|
||||
License :: OSI Approved :: GNU Library or Lesser General Public License (LGPL)
|
||||
Operating System :: OS Independent
|
||||
@ -32,12 +32,12 @@ Topic :: Scientific/Engineering :: Electronic Design Automation (EDA)
|
||||
|
||||
|
||||
setup(name="myhdl",
|
||||
version="0.7dev",
|
||||
version="0.7",
|
||||
description="Python as a Hardware Description Language",
|
||||
long_description = "See home page.",
|
||||
author="Jan Decaluwe",
|
||||
author_email="jan@jandecaluwe.com",
|
||||
url="http://jandecaluwe.com/Tools/MyHDL/Overview.html",
|
||||
url="http://www.myhdl.org",
|
||||
download_url="http://sourceforge.net/project/showfiles.php?group_id=91207",
|
||||
packages=['myhdl', 'myhdl.conversion'],
|
||||
license="LGPL",
|
||||
|
Loading…
x
Reference in New Issue
Block a user