From 842d9d4fd86e6aa4a3b26442a1e958d403be2805 Mon Sep 17 00:00:00 2001 From: Jan Decaluwe Date: Mon, 25 Apr 2016 13:26:44 +0200 Subject: [PATCH] Benchmark updates for pypy 4.0.1 --- scripts/benchmark/pypystats.dat | 40 ++++++- scripts/benchmark/run.sh | 35 +++---- scripts/benchmark/stats.dat | 180 +++++++++++--------------------- 3 files changed, 112 insertions(+), 143 deletions(-) diff --git a/scripts/benchmark/pypystats.dat b/scripts/benchmark/pypystats.dat index 001faec0..0dadf7ab 100644 --- a/scripts/benchmark/pypystats.dat +++ b/scripts/benchmark/pypystats.dat @@ -3,7 +3,39 @@ Test: timer ===== pypy ---- -Command exited with non-zero status 1 -real 32.51 -user 29.72 -sys 0.10 +real 36.20 +user 36.15 +sys 0.02 + +Test: lfsr24 +===== +pypy +---- +real 39.08 +user 39.00 +sys 0.04 + +Test: randgen +===== +pypy +---- +real 21.34 +user 21.28 +sys 0.04 + +Test: longdiv +===== +pypy +---- +real 28.94 +user 28.88 +sys 0.04 + +Test: findmax +===== +pypy +---- +real 18.89 +user 18.85 +sys 0.02 + diff --git a/scripts/benchmark/run.sh b/scripts/benchmark/run.sh index fef46b41..5378f208 100644 --- a/scripts/benchmark/run.sh +++ b/scripts/benchmark/run.sh @@ -4,9 +4,9 @@ echo > stats.dat pypy convert.py -ghdl -a pck_myhdl_08dev.vhd -vlib work -vcom pck_myhdl_08dev.vhd +ghdl -a --std=08 pck_myhdl_10.vhd +# vlib work +# vcom pck_myhdl_08dev.vhd tests=" timer @@ -39,24 +39,21 @@ echo >> stats.dat echo ghdl >> stats.dat echo ---- >> stats.dat -ghdl -a test_$test.vhd -ghdl -e test_$test +ghdl -a --std=08 test_$test.vhd +ghdl -e --std=08 test_$test /usr/bin/time -o stats.dat -a -p ghdl -r test_$test > ${test}_ghdl.out echo >> stats.dat -echo vlog >> stats.dat -echo ---- >> stats.dat -vlog test_$test.v -/usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vlog.out -echo >> stats.dat - -echo vcom >> stats.dat -echo ---- >> stats.dat -vcom test_$test.vhd -/usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vcom.out -echo >> stats.dat +# echo vlog >> stats.dat +# echo ---- >> stats.dat +# vlog test_$test.v +# /usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vlog.out +# echo >> stats.dat +# +# echo vcom >> stats.dat +# echo ---- >> stats.dat +# vcom test_$test.vhd +# /usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vcom.out +# echo >> stats.dat done - - - diff --git a/scripts/benchmark/stats.dat b/scripts/benchmark/stats.dat index f397b778..c415ed9d 100644 --- a/scripts/benchmark/stats.dat +++ b/scripts/benchmark/stats.dat @@ -3,189 +3,129 @@ Test: timer ===== python ------ -real 895.73 -user 893.07 -sys 0.41 +real 323.20 +user 322.52 +sys 0.43 pypy ---- -real 59.71 -user 59.51 -sys 0.06 +real 35.33 +user 35.27 +sys 0.03 icarus ------ -real 106.44 -user 106.13 -sys 0.04 +real 52.79 +user 52.75 +sys 0.00 ghdl ---- -real 145.77 -user 145.42 -sys 0.03 - -vlog ----- -real 258.11 -user 102.17 -sys 153.55 - -vcom ----- -real 220.73 -user 108.41 -sys 111.57 +real 28.70 +user 28.68 +sys 0.00 Test: lfsr24 ===== python ------ -real 1295.14 -user 1290.77 -sys 1.34 +real 469.81 +user 469.31 +sys 0.15 pypy ---- -real 81.07 -user 80.71 -sys 0.17 +real 38.13 +user 38.08 +sys 0.02 icarus ------ -real 78.17 -user 77.82 -sys 0.18 +real 39.30 +user 39.27 +sys 0.00 ghdl ---- -real 71.46 -user 71.28 -sys 0.02 - -vlog ----- -real 260.81 -user 103.24 -sys 156.75 - -vcom ----- -real 241.03 -user 111.31 -sys 128.94 +real 19.33 +user 19.31 +sys 0.00 Test: randgen ===== python ------ -real 752.11 -user 748.85 -sys 1.49 +real 266.29 +user 266.06 +sys 0.02 pypy ---- -real 56.83 -user 56.51 -sys 0.18 +real 21.02 +user 20.96 +sys 0.04 icarus ------ -real 197.97 -user 197.47 -sys 0.05 +real 96.36 +user 96.28 +sys 0.00 ghdl ---- -real 33.85 -user 31.28 -sys 2.47 - -vlog ----- -real 78.42 -user 34.65 -sys 42.29 - -vcom ----- -real 67.12 -user 34.96 -sys 30.75 +real 6.26 +user 6.25 +sys 0.00 Test: longdiv ===== python ------ -real 762.15 -user 758.87 -sys 1.48 +real 285.33 +user 285.10 +sys 0.01 pypy ---- -real 80.88 -user 80.48 -sys 0.20 +real 27.13 +user 27.06 +sys 0.04 icarus ------ -real 43.63 -user 43.46 -sys 0.06 +real 24.76 +user 24.74 +sys 0.00 ghdl ---- -real 223.17 -user 222.58 -sys 0.10 - -vlog ----- -real 96.99 -user 39.68 -sys 56.84 - -vcom ----- -real 100.43 -user 48.51 -sys 51.46 +real 40.14 +user 40.11 +sys 0.00 Test: findmax ===== python ------ -real 673.50 -user 671.48 -sys 0.44 +real 226.55 +user 226.37 +sys 0.00 pypy ---- -real 89.09 -user 88.72 -sys 0.14 +real 18.97 +user 18.93 +sys 0.02 icarus ------ -real 56.31 -user 56.09 -sys 0.06 +real 27.61 +user 27.58 +sys 0.00 ghdl ---- -real 2257.69 -user 2251.56 -sys 0.94 - -vlog ----- -real 20.85 -user 11.76 -sys 8.77 - -vcom ----- -real 37.79 -user 23.92 -sys 13.39 +real 372.73 +user 372.44 +sys 0.00