1
0
mirror of https://github.com/myhdl/myhdl.git synced 2025-01-24 21:52:56 +08:00

Benchmark updates for pypy 4.0.1

This commit is contained in:
Jan Decaluwe 2016-04-25 13:26:44 +02:00
parent 8e1ac06723
commit 842d9d4fd8
3 changed files with 112 additions and 143 deletions

View File

@ -3,7 +3,39 @@ Test: timer
===== =====
pypy pypy
---- ----
Command exited with non-zero status 1 real 36.20
real 32.51 user 36.15
user 29.72 sys 0.02
sys 0.10
Test: lfsr24
=====
pypy
----
real 39.08
user 39.00
sys 0.04
Test: randgen
=====
pypy
----
real 21.34
user 21.28
sys 0.04
Test: longdiv
=====
pypy
----
real 28.94
user 28.88
sys 0.04
Test: findmax
=====
pypy
----
real 18.89
user 18.85
sys 0.02

View File

@ -4,9 +4,9 @@ echo > stats.dat
pypy convert.py pypy convert.py
ghdl -a pck_myhdl_08dev.vhd ghdl -a --std=08 pck_myhdl_10.vhd
vlib work # vlib work
vcom pck_myhdl_08dev.vhd # vcom pck_myhdl_08dev.vhd
tests=" tests="
timer timer
@ -39,24 +39,21 @@ echo >> stats.dat
echo ghdl >> stats.dat echo ghdl >> stats.dat
echo ---- >> stats.dat echo ---- >> stats.dat
ghdl -a test_$test.vhd ghdl -a --std=08 test_$test.vhd
ghdl -e test_$test ghdl -e --std=08 test_$test
/usr/bin/time -o stats.dat -a -p ghdl -r test_$test > ${test}_ghdl.out /usr/bin/time -o stats.dat -a -p ghdl -r test_$test > ${test}_ghdl.out
echo >> stats.dat echo >> stats.dat
echo vlog >> stats.dat # echo vlog >> stats.dat
echo ---- >> stats.dat # echo ---- >> stats.dat
vlog test_$test.v # vlog test_$test.v
/usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vlog.out # /usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vlog.out
echo >> stats.dat # echo >> stats.dat
#
echo vcom >> stats.dat # echo vcom >> stats.dat
echo ---- >> stats.dat # echo ---- >> stats.dat
vcom test_$test.vhd # vcom test_$test.vhd
/usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vcom.out # /usr/bin/time -o stats.dat -a -p vsim -c -do run.do test_$test > ${test}_vcom.out
echo >> stats.dat # echo >> stats.dat
done done

View File

@ -3,189 +3,129 @@ Test: timer
===== =====
python python
------ ------
real 895.73 real 323.20
user 893.07 user 322.52
sys 0.41 sys 0.43
pypy pypy
---- ----
real 59.71 real 35.33
user 59.51 user 35.27
sys 0.06 sys 0.03
icarus icarus
------ ------
real 106.44 real 52.79
user 106.13 user 52.75
sys 0.04 sys 0.00
ghdl ghdl
---- ----
real 145.77 real 28.70
user 145.42 user 28.68
sys 0.03 sys 0.00
vlog
----
real 258.11
user 102.17
sys 153.55
vcom
----
real 220.73
user 108.41
sys 111.57
Test: lfsr24 Test: lfsr24
===== =====
python python
------ ------
real 1295.14 real 469.81
user 1290.77 user 469.31
sys 1.34 sys 0.15
pypy pypy
---- ----
real 81.07 real 38.13
user 80.71 user 38.08
sys 0.17 sys 0.02
icarus icarus
------ ------
real 78.17 real 39.30
user 77.82 user 39.27
sys 0.18 sys 0.00
ghdl ghdl
---- ----
real 71.46 real 19.33
user 71.28 user 19.31
sys 0.02 sys 0.00
vlog
----
real 260.81
user 103.24
sys 156.75
vcom
----
real 241.03
user 111.31
sys 128.94
Test: randgen Test: randgen
===== =====
python python
------ ------
real 752.11 real 266.29
user 748.85 user 266.06
sys 1.49 sys 0.02
pypy pypy
---- ----
real 56.83 real 21.02
user 56.51 user 20.96
sys 0.18 sys 0.04
icarus icarus
------ ------
real 197.97 real 96.36
user 197.47 user 96.28
sys 0.05 sys 0.00
ghdl ghdl
---- ----
real 33.85 real 6.26
user 31.28 user 6.25
sys 2.47 sys 0.00
vlog
----
real 78.42
user 34.65
sys 42.29
vcom
----
real 67.12
user 34.96
sys 30.75
Test: longdiv Test: longdiv
===== =====
python python
------ ------
real 762.15 real 285.33
user 758.87 user 285.10
sys 1.48 sys 0.01
pypy pypy
---- ----
real 80.88 real 27.13
user 80.48 user 27.06
sys 0.20 sys 0.04
icarus icarus
------ ------
real 43.63 real 24.76
user 43.46 user 24.74
sys 0.06 sys 0.00
ghdl ghdl
---- ----
real 223.17 real 40.14
user 222.58 user 40.11
sys 0.10 sys 0.00
vlog
----
real 96.99
user 39.68
sys 56.84
vcom
----
real 100.43
user 48.51
sys 51.46
Test: findmax Test: findmax
===== =====
python python
------ ------
real 673.50 real 226.55
user 671.48 user 226.37
sys 0.44 sys 0.00
pypy pypy
---- ----
real 89.09 real 18.97
user 88.72 user 18.93
sys 0.14 sys 0.02
icarus icarus
------ ------
real 56.31 real 27.61
user 56.09 user 27.58
sys 0.06 sys 0.00
ghdl ghdl
---- ----
real 2257.69 real 372.73
user 2251.56 user 372.44
sys 0.94 sys 0.00
vlog
----
real 20.85
user 11.76
sys 8.77
vcom
----
real 37.79
user 23.92
sys 13.39