1
0
mirror of https://github.com/myhdl/myhdl.git synced 2024-12-14 07:44:38 +08:00
myhdl/example/manual/tb_ram_1.v
2008-11-22 22:40:25 +01:00

30 lines
283 B
Verilog

module tb_ram_1;
wire [7:0] dout;
reg [7:0] din;
reg [6:0] addr;
reg we;
reg clk;
initial begin
$from_myhdl(
din,
addr,
we,
clk
);
$to_myhdl(
dout
);
end
ram_1 dut(
dout,
din,
addr,
we,
clk
);
endmodule