1
0
mirror of https://github.com/myhdl/myhdl.git synced 2024-12-14 07:44:38 +08:00
myhdl/example/manual/tb_bin2gray.v
2008-11-22 22:40:25 +01:00

21 lines
175 B
Verilog

module tb_bin2gray;
reg [7:0] B;
wire [7:0] G;
initial begin
$from_myhdl(
B
);
$to_myhdl(
G
);
end
bin2gray dut(
B,
G
);
endmodule