From 0c91885643dc63bcff996b436924ec6c49fec0cc Mon Sep 17 00:00:00 2001 From: Ola Jeppsson Date: Thu, 28 Apr 2016 00:49:50 +0200 Subject: [PATCH] GPIO: Add FPGA project Add FPGA project for Vivado. It compiles but not tested. TODO: gpio_in / gpio_out are not connected. Interrupt not connected. Signed-off-by: Ola Jeppsson --- src/gpio/fpga/bit2bin.bif | 6 + src/gpio/fpga/build.sh | 15 +++ src/gpio/fpga/dummy.elf | Bin 0 -> 328402 bytes src/gpio/fpga/ip_params.tcl | 22 ++++ src/gpio/fpga/package.tcl | 3 + src/gpio/fpga/run.tcl | 12 ++ src/gpio/fpga/run_params.tcl | 19 +++ src/gpio/fpga/system_bd.tcl | 215 ++++++++++++++++++++++++++++++++ src/gpio/fpga/system_params.tcl | 25 ++++ 9 files changed, 317 insertions(+) create mode 100644 src/gpio/fpga/bit2bin.bif create mode 100755 src/gpio/fpga/build.sh create mode 100644 src/gpio/fpga/dummy.elf create mode 100644 src/gpio/fpga/ip_params.tcl create mode 100644 src/gpio/fpga/package.tcl create mode 100644 src/gpio/fpga/run.tcl create mode 100644 src/gpio/fpga/run_params.tcl create mode 100644 src/gpio/fpga/system_bd.tcl create mode 100644 src/gpio/fpga/system_params.tcl diff --git a/src/gpio/fpga/bit2bin.bif b/src/gpio/fpga/bit2bin.bif new file mode 100644 index 0000000..df3de64 --- /dev/null +++ b/src/gpio/fpga/bit2bin.bif @@ -0,0 +1,6 @@ +the_ROM_image: +{ + [bootloader]dummy.elf + ./system.runs/impl_1/system_wrapper.bit +} + diff --git a/src/gpio/fpga/build.sh b/src/gpio/fpga/build.sh new file mode 100755 index 0000000..da2dc34 --- /dev/null +++ b/src/gpio/fpga/build.sh @@ -0,0 +1,15 @@ +#!/bin/bash + +#clean up +rm system_wrapper.bit.bin bit2bin.bin + +#package IP +vivado -mode batch -source package.tcl + +#create bit stream +vivado -mode batch -source run.tcl + +#xilinx stuff... +bootgen -image bit2bin.bif -split bin +cp system_wrapper.bit.bin parallella.bit.bin + diff --git a/src/gpio/fpga/dummy.elf b/src/gpio/fpga/dummy.elf new file mode 100644 index 0000000000000000000000000000000000000000..6d0b3e0afab059b2d58e0c577ead84b7fd1d0b80 GIT binary patch literal 328402 zcmeFa4SZZ>o%emt%%llv+DzL3u|#_UVU29j2^Oqa?SxjSQ0#>ArdFLuK~S_4sK_eH zOj}A9+cg119)+8UdheRd?!EW@Jg1+}w{x!d>-t~+>wmqRm)!jN_2=5QZ3X{gR<{+nR^YkvuM?IPvl>=d z4nN)2i>;-8cs@vBSuJVXD($eXMtR}yr;p*7p7!DLam$*=kHVvWkpvY<&ZP~w+EB+A3WHadGM*kurmsTS`k3 z)=L_u6Qy_)c?D%|n7sKJ#cQ6$uO;L+vMhP1HECBZtIxG9t2suye>*+BKiP`^rB<~y zYgJFZdS~Ti>ryxMg=IfW7H@kj^@Y}-#pazU_ri4Xwm9yEx!8TRWNXWzYp=VnW?3Jv z*;XF;-CE1y&b|Y`?5(v_>!L$x{1ujJwGUZV(LJ%X>Co}5jfZ{&@3gD~E6K-77F{cu zucf@}!P@eZ@2kae!pw54h@&8Pn z|4GJwu+D#(@xKZGo9z2)Z$UoE))?|B^BX^OEqj?!nv&=pu9kv%0TQLi~WcAapDOQ%EFIKVWe%`sQoLXG0G@?f_WWLCK=|u+q}4IlMP;cJ4xQ8NeAgu8c!Qt#S5oH;>}*$ z(hoj`DEC|IPXA?MWKT6Yeql9f&9Aj3=hrr+sHp&B6sONsU z+ma{McHx&8zo2UEdhn?ruO{-E*G%1;Hn<-!X_`_e)$CLpyS33(enA=1cgxvc3*yH* zitgVdmxMLXOW&Wg-4@zLS089Gel~vAlC=8+{E{<%30E?B7{4~-=Oh=p3H-i^U%T;p zv_0W|vTcovDjn!BexGTly^Ghme}kW6{2p4| zoe#M`hG!Jd|I8F}Xaoy(*b~nz)Y2Bk%36#tqwFRjhb&WqiKLwhnX=-bJ{^ zo$Sj(tJ!6;IFN_>da`zE4*S`pY4*nc0>dN_pMn*G+!*Yy7dSJQtDY-;>|FK{l&} zdZ%n_e+%*ArxYtiBd>UBTX{KQ%clNlY z$@NNe-OlwYbKSyq+FUzauQk_gT(_C)Ca%|Uy^1^?W0y{(E%%tZ`gUn=?N96aI?#n6 zd`1^ikFkdG`*tvKoM)4tMP3h?>)&uaMPI7Cq$zJcgtPa-5>Y`d8o|Bv;F>3nq9M`3H-uoVy`}u=3}#@3H*XIL0c>%i#GH;O?{_V zx4Nw<=1l60j@_1~KGMhI2%pKrqzShv$mi3xrVv(GBJ(qEQF`_*1u*QdqS zG_NBp-dU`y)h}z5HtH6`7RQ}pWydVzElK@;N!>nJS3l`9t87Mn zf5>L2%oOriLI1CxrOY^aK0n6>0>T~+9S$6W}^kYlv0@hvRMVn5aBVL!{QMOkmHdOWUY%Ap# ze@_|5leTD457w<8CV$3iWu{H6yJoIw-vYmGpHSV9)BbRnbb)%`rZCo!`!z=%tNmI> z?heT=N&W9hZmYKO8}wlU8|SS>XOYbdE#vP01!biFlgp5dq!ZHfg{S{vG77Jqq;uhQ zRlSY|Yp~!~YYlHb_R3;K{iXTZ(>3aXUGdj<=z-QRil;H+tu?ro?mRs`{Ryw%&`U3$ z(D(@I)n@h?(&bg?oNZk{GalH&d3vQhnH%;iP80Qr_1v*9JZ>o8t7o|Ug_QqK)6-7` zdU>pNd-+m^Y&PS=YnRD?sq}k+@+a)2M~8W1R%I)_)*-g-jR|kO%%-pPZ4Tu8hqkTt z7;T%Y43(w6V^8eWSN214lswh1l5yD1=~^=UXZq0VyHwd*M|%C_++o(~7gYk=6>jfr zvhJpzwKnZa`s?ZAm|wL{Rk>P^YAvR@DO?}yK@ zTTWnH)z5`qotgI~ldwINjfgSFOHY%@lWMY~+Iwi95tJicewXQgPwsWH(^{dvJaqFp zZ98d}HHzw5Uw>rqoV5Tp@vE0<2WgKp>#`TkQZLrEoMZTM(>h4z`owZEaR{q6X-;UA-{7IZ>mJFFAO zDr+TWrAV`avQ|=72kVoSv{UU{jXj(#zq631`bIj&dht27S@!EU*p=nvl_IYdN%CVI za?Tw2o%}rW3(8zf{1e$TOCJ)}1=X07FU!VR&SSNg%AfM&ZzttnC~GWg9T(Vx+2#|~ z`Inl{XxAUI&I!-m$$!WCMss%yeUPLNg1NMXcBs!)r!yP9d27zP1+vkv<^la`%$&}8 znd^$Ky?Kmw(r3+!Su;OHe|+iRrdg}9#^da6!LkK#q5IKR>%jdBtpk4no7mrcnDxBQ z3Y9+CBQ%o!C#3&0=@0sKn<*oR6C=(Ki1P{JXrB~5*N}|ki>VuW(H+<+)~DUX`?xt@ zc_;M<*3pgZp`~x$I)paRhfDvIwgon_t2^O(XR+kLzTVTxn2Gnhc}L=H{-gLzJa68o zO&Tk#f0rIl*$E?y51!EIe(;3z-1XGqikDair030?aek8aXx$#3gI32ky4Z)K=A}wv zqbqwGj#tG`_IAb(+u`@q8|l}JD(aVc$ZQ+=vzI=q45h^;&e+!AeBe|gyTE-8vi8O- zq3p+1{Sp948BIEI{&OS5F2Kt{6nx|UoumcC2=r%>Kf0Bz`}_MohP4p6uK zpW^q~f1N%MGv}9fl5=LtueY_q{w+A?3Fhqv>Tx!^*73_N&c&@a zy7!Ww>ZAMuzq^f}`m4#DKXJdNxxW26yZ72c`M;pd8$#s7sBgK&C`+@dLL(ATXKEs=_fUR+0Hpt&2kLto1#D3XIm9M!#=Lwo0Vorg1;fK$ulk9d7H|X<2DmU!!(D$@uwz>p;66p6T zp%m3Plfz`gWo*-o(=i^3O_5|T1lpT+n-PEb>rNB zZQ}kdhFM;1%>iin;yR^=49)5jwe$w5b|HJ3l z(jnFe&Efebe2zXryMpl>>?!AptF^@Uh(Fu73HtIu$%(!{Wo5AA$HgxIQ74v59hf2QTIGWcfV`=P_zU;$cnxnn2 z6@FNKUkCSbdg$w5j;z0r!`{m~i}Wn9B ze|247X0Wf)8sz8G(`ReHF`MpcyxH@L?j=i`;e5A&GUWbkiy2S!#U*DGcJ}#4^#%3c z9}A`XHSy(s`uC)ptq+q;NA6#149~2Y(^}{FZPggmeRMm$qbl95pKqj3ns2n$R@juD zK_Ony=A^kVnW8M&;P8B-IqF5)i&0)%<|MA);^o1)_)?W`rGCVC^vkw0w^X0ce5dkx zR%5^7p28W4&LGrp@gT3%4)!?Y%Ul%W+BVm5t}Sz&psk7LSsr6~W`30|Pnq^k(%z(* zb7W^alI%YvzpTzhSOaGK-=>`Z+mgP6bDEcy8&dId!a1ev?YkLAvLo|S+sij@W?p#b zh3>rU_VSb07ApVsf5MLjV68q`zKqaG+dW2J#W7I?R9T-6Y1^c&F3?prgxD4 z9}yNWH<&a{p)`%8`Dw1vb+(@4Hs-dM_q`vxmb;ZQx+p7No@dgugwiyS=Fr<(+z0#C zyA7G`?oC&2IA(j=LTS{V)6Q*iZ#{4AG27D~N~88H zxgp`EZan{(?db@mQG4u5j&sj_>xN^t#|foTdwy~?&o^9i?lIfb6-uM_eBr!SckKo1 zj@h2>P#U%8WglEq-HS(;NM^T0`DfEN8W)aKl1(_{IypaWhw2!ed(P`OaHf-N!CFc6+)){i*gm`JNWH z(tnOWZsurDSZ~yxzdO%zU$y4geG|4(YR|17vfLkS-EhqIgvYen^N$zX?wc<$x;97u zgvWu}({Rl~*S+TaW40%3bJd>1Ynt7s*ZX5Mbr@mPGu7&Q`Hv4@sTm8fO ztNMR#LyP;f3;g+Iw))riLH2_Q_it`6IyFoE!{bo(&uyCTe*JA1c;kJx`q%5yx8B?6 ze)4L6Y|K{wz|O1g|9W1`9X{Wm>u0Nb{dl+~7k8iDYR300br0J^)xF`Zw!7=%^qh6? zs+0YzvkTnEukr2FY;_Oors`jN!*T9U&z-ye_4euTO^xoSZtnH;c=<9ygJkD-m%GFpVVg7TSvDg zEMK)}?==nXTdwry^sDA*PgqCPp4->AxGfvZ`ecswgmql)`Owx@_vxGcF|lus_K^3? zI8}Ql-rC^qxWuTy&uMkfzVHHn%{51R!s9^g z`J3w&xIg}YS>MmmKV5bGGqiDmyYnsnydJd2+sm-mnCEOSd)J(=c>5aC>I_5Az+2Ak zwFYM!XNG>5>Y}hF6V@0ClkO?3#e|XGOP6F%HEH%#!I`C=f%VRuh;P3#=Dz+_=W+(R z%{!y~)7Lb+kFLg!829g1EpWfO@(rA8`r$Wl#(zetmopyR+K)M$FHpbWx{d4bIcbWs z!xr{&!C6XhAJN;ll0M14P3_*!UNeC#v`1Ec-Y@dutiQrp|7mjTzP;^i_x8~$$MbXi z^q+oRu@a}vzTfuRXUK1LI$pJ`i`^d1-`d%qYOfv7Y%8bJo4viT@@^n+?VCA+tmvFp zGCbwk=>sok&l~pVe5&$d{FR5wke&zkd^h5+^JnRl?%%6D@ziGeb$(6v2fg^zTlp!C z|9lzuljq29waG6{89{#O)EesS=e?S90`3pqHe0@`|3B-#i}uo?b5Whw1<%;WILpy9 zhf1&KSU)XUh3;voZJw;y2kR_P=QKAvW$*kse14-oU!A&Q=3ZDM_2T)2+gdF2BFR}e zb@>W)nN4Q%)OOOVtT-}J{FUg^=X4JRnJMok+8pGid$ylaUifEB{gR9ijScx-Z2Z~+ zzgha}m!>Xy?lO2TQTIvz0{{9m)vJ+LmUD;A$@#UbIcK>Bd2LEv;MH|GXJ4B*|GUQY zXEu3KZ8PPqsq?=Yf7Sb5{Db_1F&LcHRl9VqfAp9M&hxveL+~6+H+ATyopE#jg!7$d z-@TWyy1m*>Kgq2#8|h4tzs2~_voAW+P+#i#fZ&`~XTy5#NqwsGS=pQx%29v(G57Cv z?&9f)3DX&s!rGH3)m}!p`Y1TFR$prj=-h5U=XN^NRNSE5L7VEIC(twK4a8Ml5~)wt zbOy$`Wpl##ap*X6J{g4ROt8z0E6VrIomIB3)%NgNWC!)KoIRdxOYo~Y>6sOc6Qx{MpV2gEp%lgEK4#8Fo=ewV}Sv zj7!h&Gjp{04C-X@Oo96EBI3x-sLiqq>Zd>!;r4gU(th=ygTK-ooIiW}b>I47$_Tgr zd${LnKhKlzPjJsBkX7jzagQY{o$E?>B%7cen;o7Z)w@j^CzSC)b7mccOUI?dy00Sp zsxxPeyX(Dsrv82U=i65*|2T7>wmHdrCfx6xDM#}WNQbV#UvubDVbsGL6Fu9xZ_0ec zeT}yhZ&%!Q_wWq%>~Z(b#J$+W-9}v6SqaBinfJ^*KcRVTqx&zMordEiW+{I)dCr!X zo>x#`2JZuq*HQPVR9>Dq8XNUvSTYKitGHIO?0$x^RPX=c(7AegWsAF2&*Grz3<>{jtXVT+SSa-@EtBrHzHP!5kDv{;G?W)bpR@!~RwBWapnd>KjMfaIA8FT(U&!w6_yfET3U-ZEAz&@%N|=svF~?m35EixxjtO8O2KQ z{+!D3^x3p2&KgK<*P6$h8lNH10qel_v+j-S?H+Apa;_1t3MzZrk62^8+n z*V}8_e}u!k3D25%iqp-t^v25*z46>3z46Q1UgO!;=j0Q}S7S!co`iLTak5nF5O0mh z7|}XNdLdm=pZNI(<@vhukLU{aX1{DrkWTt2d-G?=akjA$*hS4Ho!BYOrP59HLz79% z^HWQo(@ZD8BO^?inSc)b0ysVC12FWvPz^2FXf{&H-VS*z?eeyd)3#Bb2}J=A@~ z?@r_Qz{`&K-EI8VokIIfe)`@-(AIF7P0NqO3ChfL9q|jw{K=_D{DLwc`J*F#L76u> zw8fOk^T+#xwyk>E5&xi^J3Ei~1?6;{a>OqvC)stxFDNH>`VqgN4DSpPxl6|3{tNnM zIrRz34&v^gBW@>ggE|Fqe?CWC^l5)k*C6iWbHvrS3EB|E{q7ubu>{A|rFG`{j`dwU z=V4?X?A=zMV)lltdCyOp=NgR8ArEgJUq}8y9ENp5M1Ud$_k&pYOaE z7u}!M)kEznVuv&?-@VA<`LlKIt*n*9X%$E3y^3Q~=bN>bKE_y1nP*nB=6oY?%TC)o z;}CYo>fCYM;j&d`s?C4zZe^FhXVE_PDdbNbymwjx+a`UMy71)xlXT)SH0)noTr}*!qtaf z*eb$SuqObc%e*k}9oK^HxL)lKID(<{o}dXc@=Cl+wVC0$!com9pttNimNtqJsOlXU)U#=p)t zv|ciM3{SUH`kX!3OdC!#Z3yb{e9QdYG0I#!OPPA#DJbKF zx-tWsJeM2;o2#~d@Qto?>QEu4&+1NkH(Co{+@iLpf>6;`u zzQ3b&vXw>G7;m3rP8&}@TDh>ta_>0zznA6r8TmPnR@i5=$GOPnohODRd%^KN)tvc; zPu|QaDQwva>yBFRjUavBCkX2%Z225vNy6IZ2y+PQnj@^8u#Qle<+N5xsco*F-`01x zSWh)u$wGM<-`T=lVekHl7`ADWJ@@PQ*4Jd?_L|<+aI8FMGkq1>cAMP#{+9P$r@H(W znEYfrlxK2v`cQqoIg{s^Oht7VZlXMXv3vGRJ>E{&-~RrAHX9QRu`GH2k=JNea*^>vmlE91`Fz%v+5aS=9T z$voMS{&MO!5|Pi86F<#0}E`hb0r*9X#dPiC+1Wyad!6G|7z z=-*8F>O1B0?~&27tQ}v7jNbMK%1HXHZ#X4aBPZS)NUpXI>HAt?*+|b`h-{Q@F4=_h zKRcAa>S3R@q>B6&9sdgY`)uxEP$$V#bx^wFS2rH=;+^f+S7Fj;PnS)2P~X5`{U&`5 z{H;0qSZl@lJ`RtA`aZs?G3HJ$Yjq{ZN#4!-JoiE5r|V&^bIIu^HIAjL_by=E8h_P8 za+Z#Ea;7f-j}hNaC#(7fS;F@77{qU3d&!miy0(HB@2vKMEB{5T^P5b(-MBk(w;1;> z+#NALkDIx+7<-O!7jW~LwgW-A@5jwMm~Pm5NK3>0!>8Z& zO9tL~McV&{ncAlJQc9^Eo{jhtWd{8b^rP}kFkZa8>*D_f@qfYIF$h;1a>zE2^#)Tf z$#JF9W;kmPmB)7nX3ATZ`KEj3+x`AmekI7# zxsSa+$V0M}jx5IiS)+4uFEnmlH*lRxOW!W`^exSN&!`qb+;ql3RJ&Db47}ByOdb?3JE#F3%nGYj}JHarE9=Q~JTDD!=2qn37|tuf9Y- z?>>m$R(KH#ha}`R5Z>;TMd@{VVWaF^m7& z@n!5k{I>jtaWtxXKE}O$a{9o3!#xQ4uARtpe%1DEbDy@9<}8%+)8y^@;?_3SI(=@u zw5?IkFC~)shLxz-R~9RMUHkS8F!!DE5NTHICVZQh zhuyxNG3J-)kj_eMpDE8FU8Zf{K9%p)WyLna3tl>_X}edJ>^$p9?kf#>dqC_sTZfG6 z{icUFgBW7paIx(BXIrcv)%0%Bn{@t=`k3d>nENVW-h82a7`K%ZSdX?5-hjSY)-5%@ zeZyM1_)1q}CipHWW4?(v-oBG(Z<@44oV(lBt6)XDYL#y?-Pc`$+VCuQVPA6iC@*e7T zv1?_nTx{oyOA`4apWwZRdp!ToQ!h#pR{B2o6M3hAw!~>0l{~Q0*;AFD%^qL=D^eRd zUs+qb!mHbks+Gx9^=^}8UGBDL_Eb-Iic2i#q2o!vNa+$;j_sWg4=uhgdW&RWj$zhBu(nUfn%|790)U5~8RjogdA?4eJ83K{n<_3;+! zlwoXV)2@>*y3;o|x()R2e9HSaZL+P$Yxaohk#TP${;B+GyjrEZYE}^$kyrB|&jDq~ zH?_Om!Eams(ONRcH_~|T+D?CjaUPqgBj;HhvAo%RfZx~%-`aF&ANwHHDb~5Ita6o) zP1rWx4YiYx9o0s@3&gw7Mv3Vb}M!zm0pz zZ=M^A@PluiJN#H_|Et69JVw25A}o81aMgYNF~U=`g#BuhWhGMga)!n;oJnl2g$=i) zPuy!j*TcH9m451y?r&fX&9CgMmo~Yl%Cnu|r~3)(AnwoYkJ113AbF1X{l5i2#n*E; zDlc3XcFGGolFwr`zO{T{BmVuVbaj#G|6cU?%k=O4b5NSY|B*WXLEK*b(D$Hz=LL06 z`F!09+c;7<3MA*MdN@p?fjliS)Bd2Pf%`LV@YF6V^m|3u*dP|L)ZHoi8H}9 zwwd>Ni|+TiX5ZkY{T|oN_vu0zsa`hI~H2Ib>r52 zQs5gYa(Cg@I?N`14%_dr1`gJ&L7d}>gPotrW0BmMBY7m%eZ>J9|>aks|mzS*k`995oN^=WsIy{eg z%u2i4kktd`EKPkuzVzdEFW$~jyc>yUr}RBT>GuvV+~31xs@%73ZgM_WQ(238dR?`{ zI}21hNTc}kh@&#c$W!HRHu)(`^Lv7Mc8vPkOY)4%kJU8a?)@!mTGEtEn#=0ys(J=% z1I>wlNIl9X&vRy}$9-NsN;CBsHudp#_HPN+r%B4c*p$Ca_b`cjh`3>WQXjEa`Gd+2bY;Ub zzx=-sl^>Ly;H*;RpKZ#2jVb^8vy`tiLHpbOfc9Tz^8D*i`Qi2lby#BRaH)y^m$TG? zv(6VJgX>M6cZcc_$e_u{;5X0uI&htdyJwd2U#JW|YVy1>RDM_nK^=Z*>hNbK{o)D@-AcJlrgZG>Ae`ey&pQZd4DudscJpYAfaA&gxDN~1xsl$g&pD|%S#~w%q zVOzjgIRbW_I@OkQKN)KO=7FT`f- zG3~xTRKKvUsBW@byffn2?N^#Q6lbY}DcZZb}(Vx#eJ`Q^-10n;ZK`XimgR&E%L@tx)0UWMe7IIai#axENS+b*#8)7 zoj7Zk_Uyepcag9DSnr-#cY6Es4&09!xAva8ukZuo*1D?;_hIDl@V`y(pVYmntUqts zdDc@!Ki^lV&1Kp=#=2t6UstqPZ>xCgBhzLM6TCU}>qhQxr0!~Oprh*ZN$xG(ud@N> zz~ilAoHK>yKn|awPRpHpd3Pk~wvv~fI)}Ba_goL(S-h7sh>fo5p*RT>Mt^SSTOYS+ z|IJ=-$wizWwlXhjUEjc(n6>bdGHX7bL-+dl-%KCBbPl=x$kR1HuY;y;(vuHKUa7nI zE@{b?{@$#*QYY1K19eir#M+8(nYBST^^;ro?3z>RuRXO!r&zh2c55H0aLc-k`d+kz zH7jQm#W?rZJUOVWchr@&8MpGXxPPqtJRL~ysePFIbbU8xdr!Bwy1!1Qwg2|Q-|3wX z-o-ao^3^wz-abF6ziG2n@wK*oCE?xF-^(jmb{nam#l9m!{#TQ)*4xyV=Qo17Zd~$N z>gdTHy_IZLSB-_U>gu}^xAK)d?vXr9Ich^tj@t1?PY;Ujjg%uD=ptRLO>4coYA@v) zJ=wo(Chxo__fEM9e^DrI2iH3D4BN4nP_O5+=1Vjl=WaIZwNLR4#jviXyfG@fwFjNd zSM{wu*{}rb>!(*D?^N3Lo~P#QF3Gw-uxW??mG+SD6(--^|3EqZ~etL%Z+u7UlNT>qSU%Kpjk zbD=b^tE-2ntH$>Iq4R<2@g38??vUKV^MS^S=7ViU9^W?cJL>8o`};!H4ywabCeOxD z9g?1&4SHi$e-)G`J+wffdQJP@S$MbZ*SJ$7g2D%>X`PBY3>Wj4I z6zRR5)6Q4d;+JIp*7>Xa^j&5z4f!>g{2rU7j*1iRr=#}NDdtJ^fMffQiOGRI$s8=ktv5Z`MJ5too z^3P6Fw9mFSx$zQb`)i+W{9^KviCEOOC(#iaJg zUSIq5*IMMezma{tvo^->qLZ}$zq9s`@zeRsa^jRiZmoC!7I%#EF~xOof6;_%Pxb|_ zna}li)RsEd4xZQ9QR{-R{>q*tv8liB%tCEiX!`M0p?;K}aX;X|810qb>iJ!rS={xT z>HSKxz@#~C7C9)bzmD?Hezg95;9_(3lVLv1qNkkWaDTG7D|M$g-=iQiXFgq=3$0H! zx^4XGyOr(ysvX_Q9W|Zvbl`R%iLSS#e$3pV--l{nH*@!4%6}ZWs-Ciwc3mAc56j*t z-j71@e$8`P8o&M-kbjos-3Li$s(Pl&&a-aI7AwQ`?a9%e+%2{Lj=%I;XXwe~oino6 znHIXfw5xPy%|f?Wqb<=rTRrckdk?DT=Zrl6uC5L`2UK{lNBq7C_v|+3T{;JB%kMz9 zbcF%|B^LOizTQ2QNPA!>YMY6K;KjD5N?|tyCx24u4oL_P7=KOeHytJF|3tUv; z?2+%yqHD-Q`q<&=Td^|A-#O9R@doOzaOtaby3OcgFK+Lg0Jrk(CEr{4CR#)4)^Y>+ zYdrIAP_yne@T{AAF4yXR+hJ@|-!@16|5(kDY?69MoNut=ulO-%Q<-zcH4g3=aT4fC z+{%}qIjpnnOI?n@n;rZl^S0ET-+W!BxR-W4jBNMwu5|NsW>J-AVOawzZ#~z>zJRn2 zWfGsZyuO;?dw$AaXT5sfUX1NLTI=9C#;;_P z%I>H=K>7r2v{U#I=l#5IL)aa5wzxfppVI%O(TzVhx^o`75ndAodcN7j3(gK+YjlY7 zW!4S1)OfeXvorsf+Hq2e{=Bnx57*o9k5h+_q8smLoor|K(kFXsw43HW~81={I?cUg&{xxHhap}cR-oo>kYpNQ98sGZff$Rd$P<$Sn;3}UOW56G~8{M-g zXBl~N{&}0mwrrhjtb?ESy(&kxFR%meBRw}tXKcZ2cEBsk*a7V=*M#)^`=o6%dfq{K zowQH&q)kuQnM*vo)1JN4vmX{R(j2aRw(J1=sE5Bs`VQxD?2^`n#mWQh>6DN1pxlQ& zIhs7bgF6WO2d)+W0@MHJnL4pYbsNa5D}zm?tozB|Yje`K=by#hKswzQyH90PR=Y#} zQX8>>+p3ne6F2&5S?6~kb3F?n8>oEcR(-S%8Z>FuH{9deuQEP@UobxZjO(x9AK1Qi zrp-E+mhD^5?~Lus&2IbNLVC@4-!)@v5o2pMyVmBFZ|nS)$pS8_dS z{5N_2zRi0(*MDrve%O@#Psmy0K3Eg{n)_suKZ>t809)zn*7?fE*r*G+mRw{H*Lv5! zJv@`VWlvvRXHRwiZ^qWsj(R((XBorc^>*?h!o6pEIb+fqC06v$mrkc{N9_;jOP!qrHWmW7b(gunt)4wePN4k+vSkwe}`F zpZrzZ;vO7vyFz*0ca$vsykt*TFS)I%GmKBs&nidhP7b9TB3-!ugFUO_of3+7tI6ND z(SH8k{+fGM+Rx3o9%jzfy-50UX;)Uy&0Om3MOde~Io6184VCd;Q-*KngFW}hY7hU5 z^hP3uV&fPsh{qp46Sp|Gj&sZ z?FG8o&n;*Fq-(|dq>1<1x_W3W9K^ZD#92|7m#1H>gYWm`cw}v>`PRD^nhEN93+G?_ zT|UagKzjA+oXLwdwWmM+*!U1~Vh+Oo-C65R&f^(^eD%Zl$*nb)_Q@Z>-DaKOat7(G z^*Zu*)|&EHl;c*r+g&Iw;(2}^LFFB+!bs&5;&^tj$T=bQ|4Pz^ZS31QGuIrTd7{a> zWQcRN)7o>gxtF<@kXANPWy?m(USo%!xLA4MuXOE6*~>N9O6H?vp&ECJ!_7`{G{{eX z7ri~nehfRx`RUogTzI?YK=N=He=+K%`ABobk@?SGo35s;U~M|ve8l<4%(^s~53~jj zU-$ff5GFOyG$w%w| zR|WG7{o<|vy>hgM_UD%*?rzd9M}7tRy}|L%G=lz?JZ<85GG@*}uh(c^`2@CWuCkGv zS2pvdxA$PK^vZtbnWN{nDcnKX+d^drZTmK5NFVnbef$u%J8Vz9y`a&{pO9BDPyCeY zG0*niS^Htg|3_RuVf+I<9mh{{yVBH|KKARJ(zBJwleS83-}2%ek=r+L2X$Y}d$b+S zo!;N*y36GMWx|8{{12{m--f@(++5(-+hd#OD^{Qf&&$50W#2BIv2WS~??SE)@{tWW z3)yJC3+$W1Wz+K6F?Wdazx++&mD22$ILD%owSI|P_w0+O^}gPA#>NR6&&NXp?+Gok zl4Eu^jLUW#1aATWejv1@<$j zWAL7_^1hZl6#ij7v!c0(yn?gVQ$1Pz{e`|fyD~%)^0PbvBix zJ;B;Yb8?H7sd#g;k?CCPg@I66@2Hcb>JsDmWy#9!*j7oF{%0-TR;cLyVoS16`5JXr zeH8CRo=*wdn5nCCAUnki&V%*cqLWqcGH1WD-BT=~za{@Zs`CA`>6cfVI(q8{&ZLs$ zsr#QT+@n#wc)pK$Z%=KAy0xcu@9LpiX6?Rxdj8LOglD9i_U*IMyr1pegZl>Qh*KJ? z>A4)0^C?pfGJTFN^Pa>0!^b!;*4Rz9HXKSpvUT2}47|1VIDQu#%E2YAUpN%&EV?(n z;MGo8O5H1*JX!SdHTCwg9f zCcd@Zdp2Dv(;NFi(av%5>K5|UY5GPx;?c$sWn7=>Z3_((s2u|nM%z0RJqWa zc5HKPb6r?y{1@VHu5GRh3yl8){LQt^b)m)hx8QHCZLSORjsJZ7&9%*Sq1pI1<8Q8Q zt_#N*|KspC*EZLMCgb0Pzqz)#E;JhdM*PjS&2?d(@t=pkxwg43G#LK|{LQt^bs=H= z6Zo5Jo9jZ{_{Z@#*EZLMnDLL{Z?0{w3%2pM@i*5t*9H2;le2}txwg5E(U%$n?8V}Y zBk%r;`fHi73X>O-m>x$x(^~flYTa` zt}ma34Nj%W;|~5#FZVn`<4L-NvK)~9x1HWSwHQ(?3Lwn`$?O5{bK5|#M^_XzEZQ(JE|JT z?3F9DV`)?Nx#_f*R9ft26X~m@XD##Gw6d$ZCpFo6`Y$iXt~N7o>WsL7x@u1rFHLYp zSK6n0N}Ta}SUk0ey$auQz^=vy-(7CC-sGOa*-@O|MCv2oNH~RWu-AUV!6qxO zL}@Yi60hLzL+G0-eXjCuD#dF$-(miK*va2ZT`sRY&fjEmiackL;<;w_F}8CXb?U?I zw?%%ckKPgeu57sCI#-mNOYdgiwTU_8cG>nP5}7Ni+xsb(d~WG0R+PV2kMtL7tG-3q zxu7nWdHbcdZ|?T%Qz}+?PvBv#pTAhxZUtg=(U-gm*_4yD_z&`m640Pogxx z=H2gN&S|w4xpCw{x~0tv-sGkyC!WbeNx$srlJrj+J7hnCp60ZVd{c$@63=dQu&KFX zC2j4gC9~VAPG(y*mEKm(7PnQ~i+gJ6(za?QwT*W?wpH`^br7!$|3TbE+}*gB;~v3X z!kxms0{1xXGVYbQSK*$-9V_yE59cH0#QY}?&f_{U{~HJAWqo&p)`#=Ib+92L_YV)! zkNke~U?OeY^B+2>dA0@rmXh!1ttsa}axk6>r`dZD*_S(r>*X^axAMZTE97VIzO@?o zcZd9&kW1jdJmeqv1$m|vX7XJzOT3k{_^q17Px)D1TlXHcOgq#k3F`f6=9eb==59|X z7P-5yLl*B&C3#Lhi9YIEWi6>ipzm!g^1hLh;5UKWK_{dS8Xr81`|w%h(R|?>s@BxR zGt=yKR;B4%uJcd=>z^i`Nkbk=@EPVb&LQ0R?m~6JUlythA1zc{PiI{4J-&2)Pi-xI zx~{aRw%%bq#5yQ5xTkipg%0I*qC-2;p`F!qX=gR#?5t)}JFB_;PV{GIwIeUx*;$ni z74eh)jNo6wuROT3x}5NF{8NNWM^+F%NtpDcElXKh_3IM{R~1=b6W89o6FYQyrJd`x zyu!Y7urXgKH|C@hKRMXIbz=Uvjb8oaU?LaRs~;b1;T_Qgy5;NCj}FGtazAn~G5_&{ z(jn<@>>hp;{ceu3Udr`D^U4tdhwo*qfx>2GWDC(i`_&sV3E z?>h2S`s2v+IHN=LI+eEkIBOl&p_7k4V@;0351--Lt50MG@1^hVtu1`*8@z7}=^N#` z=O5dOz2V)!v~w%Ec58Ktb6({WxMPeJ&LPfjOkuNSLo&omZ?87s@07MzQ{)rR7Rvtk z{JVn*u2oNeO#j`%mXzFq>>oQA^W2Xd^lijr2bHhn&RlkO1NrM&roeuU>-}Wvgx#+& z4|#ShP8|8E59J>#Ne3Q3=#MAtM6TG$TymP`j>eIXlpB-SBgV)U@{&B_Jafk!Rkf@v z_I2nNiBi70d#qToxX*XSs_l#HG2Y$(&TjUvYszuw8*l| z*~1uTzd^lQM((Yx>%X13@>0!v&4~=>&go04%QClIw5z!DqK3ZPGOSzP+^zYN^8>zV zUTM%=t9Kxo+j;NL?ZmC~JYMU6rkFF+J2|T;F1axo<5s43 z|D}1?qPuGCynmV)q)cmZMf=j-`01K=43;*b8~Nn%-kL@E^0y8vJa5Q1J3m>Q$MyHQ z4%g#2dZKKPW@E1Wa#&s%<8+A}FXZym)iXQ`|Qyzhs3&qHE2(P_uE zvY+(s5ziYzZ?nb9S4c-2D%vku*i_aiyna2szZ|^JsdXFitn@uK^~1U`oqKL_H~wHp zY~9$7hD7Ebp2xVv&i)rIWG+qW``rG1Q1#GWPy0UY1+7x1 zDjitYr@UELS-WdiW_OMJYrE{-wcVh;Oi%~4OMjD2@w9GBq<7cii+1nxoSn+Mfp3|6?>Ad1>hG;dx!1LR-Z8h*>~;A5imqd{=eLq$nQy=xBcAkH`@#h!&ZvH_ z?@O((cI$69Y`OO-h0W>TeD20`);pK=U-#Z?-uq6cv$OM@Yqnm$^|~wj-{ZV@%U0*w zE&ZFX-0WQQ-mP1l%=!iBEvxhX>$YCA^_ng3b+%l$`O51EyrKWvYc~6V3g7rD{D^_? z2l~B;*T3(IE3Ul$`m5f@9&IMvS=+z0-&wQey6fJT+j`~ZBmVE{zy94$Zp*dTI$N)K z&y`!=$42i$d1d5vRsS{DQlqQ3T<5G^dy(@73L?*0!!zsGA2WRIg}u(^E$_Y3d54$B zCGXvQXule^vz^RM5&B`3Y{{nnT^@hr2X%ws{8 zwczKLC3n)c4o^<6wwzD3dT#pSur-MV&c|ll>Mx=A3RicDuvzTGHqYjbkJ6Qv$fgEN)EO+@9^Ez-+9Qs zU2X^WvrM3aw|Box?k+#Pbrsigcgu|o8y(-h+@#0pg{O==)8Lo4!izt>WGzas^eb`i zUB(6fs41>)hhQo-@SU>H+=oi$odyR&kiXj!i#OyQexmzQZo_+$BMFaC6V&6;j6{92Pf$pS&~i+;Fg zljZ)5@1Bk|IZ3%c>$_X;x_dGq_x*BHmtCelBYyZYMBJh9FUw8aDc;Nf0YBWn+HySi zL%8Dv3&juITzc;BD83y0Q}{pNrYP^9+>>5@N8G={-HH<%=f!X9KRSK8+|$#1s>(_$ zeMgd^`zhnzVcbs|_b%i9sc{c_?&;~gmGJcGP80skXZ`V$c!$?NUYD!9Cb{FS)^yyr z4=r`>WSzUM&fPxa_UqqK=XUDcU3Koy;{LT1ihrul{R-z_;2!bZtF4+De_!_8aqGi8 zpsG1x>wM-E$4_f{x@ubm{1tXFZVe6n^V(`D?<4banzYj@d!8S@w$8oIxUVze>+9SZ zcYQV=YAk?oAL3tb?%aJ2jl0Vy72GRxgV)>j~jR1b?Bb&lHP-{U?2W3;jZrPNuTQ()^4woSvUXirQGL{S(FTZxV@d(x@Y%0pr zl*N+83s1<6&2x;~%3(i!CqI6i`Z`<3`E$NZrOHgl)F%I5*d3$~*D>&`&okN+MSCLY z4!Z5{k)l13)#&)+Yq__MnV!b!jklC>|9^E)bfe$C_@chod+oi+bGKS2pX~3^ihg*s zXTtPq%tU)8%|FYmXwT%^hiK1qWKT8gp6O=xOzammc7i=pr?IVp+X=a&JrkzZ?7`Az zjT`KF*4DY#)w$Q#xifX{i|gFcp2^=s279__&-C2;C;!{+nZ|WI$BqVMQ=&bTe=jJy zuaxri;r~DPl^U&nZo+8{G?_L0f9`#ypWi%qd(+H)rM=VM>8)3Ox5{7r@4K(WF`D;J z?OA2^Ab-exC5u~qR%!10O8%WjUtXKM{Qu+bEB(Gb)Mxzu`FGuCvN-n=lFtKve*dNS znfQL$%^Yo|Ri6&-bA;Wx&lGlR&lGk?_nEYZ`uE*u`rYrQw{V}y(}(AGpQ(}iOuqj3 z_nD5k{rgNu-2Q#0Bkuo*`%KU10F!^xm;cp!rr)V-v!>R4rm$P<8@a>xnZjL-EYb0kM8`#m4kyv^Z9D%yJO2SYe_x^_p6HmL=y*+{7f&Ppcnd}9|oWRLof`ZFa{G)fhn+Kq=!!EfnMl?ei(oP48bsr!Wc|I1*X7`lO8&u z2YR6o`e6VHFa*Oe3S%$<6_^4$L3-$f9_WQW=!XF)zz__>D2%}bRA3712GT<(^gu84 zK|c&Y0ft~0MqvylpaN51&m%o_LJ#ypAN0cj6krI3VHCz-0xB>Cb|dMb6MCQ*`k)^M zpa4TK45Kgx6HtLEu$xE^ozMfl&D2%}b zRA371g`|g0=z(77gMJu*0t~@0jKUa9Kn142ZY4c*LJ#ypAN0cj6krI3VHCz-0xB>C zc9Qha2|dsYeb5gBP=FyAhEW)U38=sn*o#OHozMfl&6xd5h51r5hz0e2!FaQM@f?*hiF_?e~Oo81-dgz26 z=!HJ$hXE+S5Ddd8jKKs{U<&NxNe`XS1HI4({V)Ip7=mFKg)x|b3QU200_mX>dY~8j zpdSXH07Ebgqc8>&P=P71Pb58bLJ#ypAN0cj6krI3VHCz-0xB>Cc01{z6MCQ*`k)^M zpa4TK45Kgx6HtLEuwO)a=!72Vg+Azq0Vu!_48tgl!30!b3hbq%hfe5$Ug(2<7=Qu{ z!7z-%7)(F~rocXl^w0@C&&P=P71UqX85gdXUHKIn%5D8LX5!zhfw z1XN%O>}8~fPUwMN=!1S3fC3D`FpR<&Oh5&uz;;LvozMfl&7f&Ppcnd}9|oWRLof`ZFa{G)fhn-NNDrOR1HI4({V)Ip7=mFKg)x|b3QU20D(RsU zdY~8jpdSXH07Ebgqc8>&P=P71|A_R^2|dsYeb5gBP=FyAhEW)U38=sn*e@kLbV3jG zLLcHVW3?`rgQ((V>^w0@C&!vGXu2!>%4#$W;}Fa`FjNDrOR1HI4({V)Ip7=mFKg)x|b3QU2$lJw9C zJsK6B1uO>ZoLJ#ypAN0cj6krI3VHCz-0xB>C_SvL|PUwMN z=!1S3fC3D`FpR<&Oh5&uz?O9y*~1dZ7>c zVE_s+1j8^2V=w^~m;(E?q=!!EfnMl?ei(oP48bsr!Wc|I1*X7$9qFMHdY~8jpdSXH z07Ebgqc8>&P=P71SCbw(p$B@Q5BgyM3NQr2FbZQZ0Tq~99c$rFe6=LOffS@616jyH z9tNQZBT#~ID8nRJy`%>RQjmrWWFZH67=$8>Kncd743l7;Lwax^1!>4Y7IKh>K`6oq zlwcgnFbUQg(t`sjNJ9p)kb^u7LJ>xw1mjSKNwC(E9vnzP8ZwZD9OPjTiZB8t7>6=U zg7tdRg99l@Lk6;tgFFmE5k{Z{<4}f4u+AktIFN!gWFQMU$ipBMVFXGr4rQ1G>paqf z11U&D2C|TYJPbk+MxX@aP=-mc){!0@NI@DhkcAxNVGxQi0woxSGE9Q?2GWBADM&*G zvXFy33_=k`pakPkhDor_Cp|cjf;40x3pvQcAQWK)N-z#(m;~zr(t`sjNJ9p)kb^u7 zLJ>xw1mjSKNwC(F9vnzP8ZwZD9OPjTiZB8t7>6=Uf^{M3!GRQ{Ap=>+K^_L72qRE} zaVWziSZ^dfIFN!gWFQMU$ipBMVFXGr4rQ1GYXj-QffS@616jyH9tNQZBT#~ID8nRJ z8PbCTDM&*GvXFy33_=k`pakPkhDoscNDmIAAPpJFLJsmU2t^oy5{yF`Cc(Oh^x!}W z(vX2HKncd743l78PI_=41!>4Y7IKh>K`6oqlwcgn zFbUS1NDmIAAPpJFLJsmU2t^oy5{yF`Cc%0$>A`^%q#*-Y$UzTf^jIrBv@}D zJvfkpG-MzPImp8x6k!BPFb-vy1ZxxN!GRQ{Ap=>+K^_L72qRE}aVWziSZ^ggIFN!g zWFQMU$ipBMVFXGr4rQ1G>usb52U3uR3}hh(c^HHuj6ey-p$wB?y`A*nKnl{3fh^=8 z4}(yI5h%eplwlIAEa}046r>>oS;#>i2B8QeP=awN!z5V!qz4C5kcJFoAqROFgd&VU z3C5uelVH7r^x!}W(vX2H30XvXD#0V7#5M+Qd83IBe%{?GWM4D4z#ak!AqzQ(Aci~?pa>->Lj|f(0~2Ep0fdl+97GU99tu!|5|p6=Rj7ga z6!s862wBKM1To~H07WQ487feP8knWnLjWOUAqNq}kcR>kp#){9Kox3WmSGP8gph?C zL=ZzB3Q&X+l%WDusDT;49s&p<3pt1&hCCFY2qh>(1*%X3b0+o>KnPjLK?E`6p#ViF zK^ZDgg&LUU*h2szWFZF;#E^#q6rluVs6Z8JV9vrG0tg`sIfx*JJQSb^B`8A$s!#)S zHuexe2wBKM1To~H07WQ487feP8kkRG4*`Ubg&af>LmmoHgc6jY0#&GiIR|?PAcQRB zAc7e3P=F$opbQnLLJiEh*h2szWFZF;#E^#q6rluVs6Z8JU{+uc0fdl+97GU99tu!| z5|p6=Rj7eE4|@n8ge>GBf*A5pfFhKj3>BzC4b1u2LjWOUAqNq}kcR>kp#){9Kox3W zR$>nUgph?CL=ZzB3Q&X+l%WDusDa624*`Ubg&af>LmmoHgc6jY0#&Gixd3|zAcQRB zAc7e3P=F$opbQnLLJiD?*h2szWFZF;#E^#q6rluVs6Z8JU@pQQ0tg`sIfx*JJQSb^ zB`8A$s!#)SG4>EZ2wBKM1To~H07WQ487feP8kkG4hX6vzLJlH`ArA#8LJ7)HfhyF% zT#7ve5JDDm5J3!iC_oWPP=*Rrp$6tM>>+>IG322DMJPcTDo}+Q zn9pJl0fdl+97GU99tu!|5|p6=Rj7ga9QF`E2wBKM1To~H07WQ487feP8knoFhX6vz zLJlH`ArA#8LJ7)HfhyF%6tIT?LdZf6B8VXm1t>xZ%20tS)WEF99s&p<3pt1&hCCFY z2qh>(1*%X3^LgwcfDp2fg9u{CLjj6Vf-+Q~3NGLhRG|ju3)n*dA!H#35yX&(0u-SHWvD(1*%X3b1n7|KnPjLK?E`6p#ViFK^ZDgg<(*h2szWFZF;#E^#q z6rluVs6Z8JV6MX+0tg`sIfx*JJQSb^B`8A$s!#)SJ@ybl2wBKM1To~H07WQ487feP z8kjF)4*`Ubg&af>LmmoHgc6jY0#&GixdD3!AcQRBAc7e3P=F$opbQnLLJiD~*h2sz zWFZF;#E^#q6rluVs6Z8JV7`Ps1Q0?Nau7ibc_=^;N>GLhRG|ju%h*EzA!H#35yX&( z0u-SHWvDkp#){9Kox3WzJfgj5JDDm5J3!iC_oWPP=*Rr zp$6tA>>+>IG322DMJPcTDo}+QnA@<207A$@4kCyl4+SVf3Cd7` zD%8N-jy(hrLKbomK@52)KoLq%h6+@n2IgznLjWOUAqNq}kcR>kp#){9Kox3W?!X=b z2q6nOh#-bM6rcztC_@FRPy=%(_7Fe_S;#>IG322DMJPcTDo}+Qn6G0G0fdl+97GU9 z9tu!|5|p6=Rj7ga2KEp@2wBKM1To~H07WQ487feP8klcl4*`Ubg&af>LmmoHgc6jY z0#&GiDPa!*gph?CL=ZzB3Q&X+l%WDusDb$w_7Fe_S;#>IG322DMJPcTDo}+Qm~Ueb z0fdl+97GU99tu!|5|p6=Rj7ga4)zd02wBKM1To~H07WQ487feP8koDVhX6vzLJlH` zArA#8LJ7)HfhyF%d>4BNAcQRBAc7e3P=F$opbQnLLJiE_*h2szWFZF;#E^#q6rluV zs6Z8JV7`Yv1Q0?Nau7ibc_=^;N>GLhRG|iDJ@ybl2wBKM1To~H07WQ487feP8kp~6 z4*`Ubg&af>LmmoHgc6jY0#&Gixd(d)AcQRBAc7e3P=F$opbQnLLJiEl*h2szWFZF; z#E^#q6rluVs6Z8JU^ZY60fdl+97GU99tu!|5|p6=Rj7fv4|@n8ge>GBf*A5pfFhKj z3>BzC4a^U)hX6vzLJlH`ArA#8LJ7)HfhyF%+>bp35JDDm5J3!iC_oWPP=*Rrp{Bof zSmrM-LI5FTAqNq}kcR>kp#){9Kox3W9>5*~2q6nOh#-bM6rcztC_@FRPy@qXSL}oU zLdZf6B8VXm1t>xZ%20tS)WG}@dk7$eEaV`981hhnB9x#E6{tcD%tP2i03l={2NA@O zhXNF#1ZAi|6>4C9ggpchLKbomK@52)KoLq%h6+@n2Ij}uLjWOUAqNq}kcR>kp#){9 zKox3Weu6y&5JDDm5J3!iC_oWPP=*Rrp$6t*>>+>(1*%X3^9c43KnPjLK?E`6p#ViFK^ZDgg&LS&U=IO=kcAvX5JMgcP=pec zp#oK?fq4{r2q1(kxZ%20tS)PTQ& zYC0i+5VDYi2x7=X0g6z9GE|@nH87814*`Ubg&af>LmmoHgc6jY0#&Gi`4#pMKnPjL zK?E`6p#ViFK^ZDgg&LS&V-Ep@kcAvX5JMgcP=pecp#oK?fq5Kz2q1(kxZ%20tS)WAG}Jp>R!7IF|l40$L(5lT>o3RIy6 z=1J@!fDp2fg9u{CLjj6Vf-+Q~3NkcAvX5JMgcP=pecp#oK?fq5Ex2q1(k zxZ%20tS)WAH0Jp>R!7IF|l40$L( z5lT>o3RIy6W+V0xKnPjLK?E`6p#ViFK^ZDgg&LUOVGjX>kcAvX5JMgcP=pecp#oK? zf%!f55I_i7$Uy`#mXC_x!2P=y+pXR(I>LdZf6B8VXm1t>xZ%20tS)Shi@Gu6hn zP6!}`EaV`981hhnB9x#E6{tcD%)em|0fdl+97GU99tu!|5|p6=Rj7e^4toe7ge>GB zf*A5pfFhKj3>BzC4b1b{LjWOUAqNq}kcR>kp#){9Kox4wk8Cq9jBM+K07A$@4kCyl z4+SVf3Cd7`D%8OI0ec7_ge>GBf*A5pfFhKj3>BzC?GKFK9~nOgAcQRBAc7e3P=F$o zpbQnLLJiD|*h2szWFZF;#E^#q6rluVs6Z8JU|zx=0tg`sIfx*JJQSb^B`8A$s!#*- zC+s1B5VDYi2x7=X0g6z9GE|@nH86k19s&p<3pt1&hCCFY2qh>(1*%X3^D_1jKnPjL zK?E`6p#ViFK^ZDgg&LSm*h2szWFZF;#E^#q6rluVs6Z8JVE%$V1Q0?Nau7ibc_=^; zN>GLhRG|juuh>HXA!H#35yX&(0u-SHWvDR!7IF|l40$L(5lT>o3RIy6 zriMKP5JDDm5J3!iC_oWPP=*Rrp$6s^>>+>GBf*A5pfFhKj3>BzC4a{cjA%GCFkb?+f$U^~& zP=Yd4pb9lGuVW7Zgph?CL=ZzB3Q&X+l%WDusDb%A_7Fe_S;#>IG322DMJPcTDo}+Q zm^ZM807A$@4kCyl4+SVf3Cd7`D%9R!{oKO(2?2zVg&af>LmmoHgc6jY0#&GOA^w}h zhX6vzLJlH`ArA#8LJ7)HfhyF%yoEgk5JDDm5J3!iC_oWPP=*Rrp$6t{>>+>mXC_x!2P=y+pcd>^6LdZf6B8VXm z1t>xZ%20tS)WG}$dk7$eEaV`981hhnB9x#E6{tcD%zM~F03l={2NA@OhXNF#1ZAi| z^}UgY*52E4H9J6to`L;$qc<0wxajy(jGfMA!=5|uZyH;g_HVW$QZ4B=+nwpLqit`x z&yKU>)7#pqHf@bbp=wOVt{Z8LJ^bUwjJw8|LmP})-{d6jBW0UknuKnu>DA|qNuRm< zZYH(xLx@tDro{#RYi^p~!hg28=etsCC>duY>VWmc&xHeElN;(g1IG^7{i=z@uIZ?51o>4y0nSkw*gq>UNa*_i8{ z!i`She7d@44HFc0*=6Rkr6yNL=Lh>A8SJ*pZoBXDzTJ$?Z|g?!TSMtDP108{?Ay#G3MpSpGyc`1Xn#UrJKw6O z6}t)x*tU$jX?$oD!*z6h6dLRuQjVRn6q`x(VktAh66K{s%9l#{Gfr8GA(W)tLEF9O z&(@Wj>>?@OY^9{;>nz{0Cuop&K|dMf)0h<+WU2Ix9koG%3+V24At#$zHv;**;+W|6->p2-o z-}5zGHf7YbX8Qo2BQ)to9Lk4jX-?m-6UNp{rCHva&D0drx(kbN`T=RAresXxD2~bL z2W8sQm;OnX=C+Pi)x$ECem^H2>7RQ2=2jg-(?9d2w$?j$v?l$FFFVc7)+Z!;R0*V} z^q9t{IonA85?`h0E}L2UewLZm%Q@f3Ec3xk z>qptOGXuVqX&uM8K;}$eYHq!WSefO%)YfY7kvYqkI$HzTo$X6KtNOu0gPBx?Uapkho%l0)RwINXl zlZAh-{IPmDZ5p4FabB%&zmWANo4If$($+>rxJa9HySKWkZq;Er?a_3lz1H=drr?^9 z8gr_?%%an^6Dip1TnekBt`E^`D>De$kf(x@OWydxI~sNMNDc!H`K#j;y-n>)tf#6bEp&UcESwIK$Bvh~#WP zR>V<}5*SF-`$v6C&IVEvrB*NT&Xx~4Ysx4}w|z@43*M#NE$lSrO1b>}pv%J6E(=?` z{QRKHZhNo#?hfxvj>@oJ&Ym4~cE_NzA9&@h^85NQXET_F_BHQJjwW;&^Mahs;D9)4 zrh4wRL1*7mGhR#iu}B*G`k=GX_I8bNd)ms$UeL+l*rVmhS+=LWr8-$x1$Ote8!@Tg z1^Y^U-JtsJiF$v}KGQe|yf5uXY+%fsMX|Aaq@|f!9Wx0QW7un3l-)e&UkcM((v&X#zduZDn@@Y?AFAiS0v!w!hKT`*FP+e6Rc@DaJJ7 zWx0CIyONui#t@wyz#hPn((eVYCF%?Ph`g4xX+h7=Wc&J{Z?{wYJt;;#6BPN4wBJFO z^=P5BTM}u1k5*{=W>Q?zqb1tDl@u3~*EGrV88_C-X>+*q@IEsl-L?2=0g81G4CJcLjNrz(cq%;%AoTm*WPY>v__$=!F8+L>2%~_ zxqMMB-GH_=_1#N>9ebD(j+2@jRFhWUek!TC zTs3cM(kxACzMz_G)Jw~fnpLWKT2=!|%^KA#SIwD8Oz9gAJIb8 zkiL?dky_}l812D?VFvX4axMn^sN@-{AkYOE+`x74+RCZnT)LvopBl=PbCkBNP7T|(IyG$br-mh`+1a-BsbRA}HB8~L*`FGo z%w}WeG(Owiq`r(Tm+H`mX}+Vunug;)?^M_FIl``!nwn)s+I%OA$-miE6f`b}j^|2O zyTo76>6kVW;qz*HYV|-ziPL-gf-l7#Zo&J5FZFYtb>ll&&E>d=hj|l>2{^Xe0~!6U zOD%RsCP%&4pRCNQA0oRxxdpxXNpVS+3whMwA+pnM%wTw~9H1>r)hYX=t+T>%oh)A% zwA^P|tE$Z~Pt|=D)pER6&Du%a zE>i7##2mYV(kkdmsn5zufPVJ$5emR@TKabi*^HaWUSNNgwyG(^Q?7Q~MszD_tD46l z!`flT{X?yNB5hTp`y!#MU8cd?n6|2U2Nt^8JKm=DxwKVHXFyJ>?b|`oUQb)qTnTrn z_Um+*Ki7X_a3IIqk12)m{&*wRhoCC;jZ)uMsZ1O^qV(D`$57GQV5MdzvyXFRw&QJa z6aP*3hZt4A0DDRFQQF!4f+oxK^ch z=iH>o9;;u8+~*>XyB8C18Q{L00%6i(5{Yn-$Al$P;|X^L@y+%OzUr3iC}z zriy)Mmwj2aC98NFc4JT#b2e*ui~7>?k`hH(+@jvAm!j@P#(rB7?NQyw*Ei>PvyS@O zqq`T^H|G&an%r(Kj)2raa?(q*t$9+2bPCQ}_Mn=>PTKAK1e*)sMA`yBH>nU~C)heAS-q z?i#CB8O@PoXYy`3-wCN=DlKd}by#UZ({aN}^P1fk4d-~x#m(+$c&;xEG`owl6>`Y( z)4Y@H&hw@I=KWPV-)k;0BQn}*aR;4`YLm0i+uUmZ)=&o?#9ph(qE!1nz^}t58}q&o z;{2ai`_%urDZTYg={9?%y8Ci>y`k=#!9j9Bqx}ej)4opMK3uL%4h-!#I#pj<(jG~6 zg^rl4yX_N)m7?~a4=b6G?o|FtuNjX_OZHh`8sO%Yw%Y6^s{M;gLn$m^moF-fBU!Y3 z8h`PD7yC4lQsmS4t9Q51r;(Hv_%xDIl16Q-G+wEv(P4X(zzNn}Iw|^7@Q5;4O$MDM zHT^`l&5Z4IEjr2R>PXO(`6G?!vh(HR5^H7q4$H?D**_-xw`G5sYaRxjm+wb$RZ@&i zhrL&pk6TwG%L(lMQ+4^>!Cd$1PuA5tua)vsb!Qx}?veK$Q&v_X+zTSC!1{*(5a0m>sex{Rjo{(d%d`%arvVDA?act?E6Wu0owUT`MrOpU4l#JcR$jV?;j2NzJF`q_2Lrm ztX$8$mCMTqX3CHHpuzr0J^08>cTnJ**35E zeBUkKPx!3mch3G~FWNP8yj#PPL(vZcipEUM&Ng?(ves<<&bUBv!ysC+(1%6=b#d%F<$kB6&ZNIwb`J}MK zv}si3rhPdZ9SrkZ6h^AH!``9%Cx_}vHEau%)VO`wRhkZ!*Qud2>F3s^Cvw|hJEzO+ z!FZxtH_KJXQ2Wb98Gm}vc+ahk=M5Tn?kwAhp{63cr6oBWAgK8GhXHs^_%rKpo zN#%->Cwi4RHzz7<>nc5_bEaB(X~!zB@(wDUdnovxok<&V>!^B7RpYvnoS(WSar7Kk zF6YC^=K<-w()F14^vG8+t0{h0Z<1p3orzf=i=j&~L`5rTWt(QI7@if}BkcUR9Q}Gy zl2Z0tR9&g6i-RPkHL5y8zE7T_2%QqrE~}>-6EY2ZoAk!@4PF~xvs9$hR$!5 zz=P9Pd7XW~kLxb=$sc!4a*FSx>Lw-d{4PmOvlw~PMngG&<=B=i=`GXzlCJ3)b3A!; zM`Mm)y8btvetqdEcK+Y!)>zZJ9l1jOH+whR&6y2+{_{=X7O9!m&diIpj~&I`3eLP_ zIRd+TyEk%&Jo6`iq@9v!`vVQl{5dH$w{=dW__E!>DYu!Xi)T{aon=V$c?C zL-Fmz)l_${IrEPFn#*Q-+XuEs`mVc?nVK@A?Nv^%Gyh1GLwZA5H+@3=lTJ+~(RO>v z42Jq1r=pR3=s-HHjoI0y#q4JGJi9@gEL8rC?!HwD#X8lTQQvjg%XdQOP=695>w$y# z7Fr%YjF~@YI^1W#F{R6H+5z=7)=F*a9KK_gY97^Y_xq(ab=B@Y>2?&ESuR|MEzuZz zuMZ^4%pu$ll=W2BmTq^6a&Mx(u-jdu)Qbzc-9<{hIIr_!2Eg9udz=$9_m$WW>Y+N9 zs!RBVm5aP&)U5Fo%dY5~^FSh6+&N-bL=XD8u=)%-;|p}HJ=cG+to*cNtXGc5D@W(} z+?1O-tIzPx&Ktbh-E%cF$rgV`ud+5Ax|s8;ZJ8PNE0+h8{-LF#8q za|^T5|L%aAEH$Iu#nU>cV@JDNbGIn4wPW<(Gx+SihK)?8>G?DxY47-%53|=iYn;lv zl>3~D>6syoJOAP}LNt=A=&!fn!T%oa%H92XaN$hO4H%w(#i?Bq^@*XVqu%GEeuUdF zr_tg6lBg_+tbOmfs4Y5d^Y0y4wCuFwmY;aSz!^)I{R@A~cHHsH;+gTXJ&!x#jHLr7 zp1tV($AvTdPdQ${rdu|^FXY7 z0ZM)^7WCuKIAh>{cIJHFN#@(T%-zo}Ut++ggWI#1-9_EseT<6KAtAcSkIOQ)OqD&t zN=-kUN}b0&59AFSUA;DKPIqa~Eizs0&s4kEK1sE-*TV~KsHmc8k`v0Lvz^uPNfl4p zj$-4yt26Vj&NRO=Si^VbBUWMjd!#>x7MmloY1NO8RF{-Fnz}nv?e^Q4rjD`pRfHq; z!Hqt-HhZv-rQA}S>|X~^qlPQD*@tmXsfPb=UOuJuePZhPCB(^OPUo}1p2KIOKDdT! z_SubSwg=uuMa$$j=!y@2f=v!)E$$Z2K~mMP=+Zlrmz{GF#a5fr_9CkkABSD&F57B5 zR6Af*Q-i~O?cddDms!=c(VWwL?PBR(Z&foLKIdx>Q|;HSYSi>^sJ2b1u~M6MGjf9D zhjZN2jiNcD)N|i%8(f*pUcTpKdc#r19L0tD);;I$vZWV(P;JWmm;6fC&Urs6-IB4k zh||VfNx|NlScX)<^y_vG^>eS2LOeMiK%Kekrao|l7dAe@*NBInp9VwYhWLqao64@z9 zq`|J1=2}ud15F=ctxK8an7xs`i0m_ivKPIq#kR@r0&CUxYe4(qSdR9st^pUgo5PPX zoUQJ@xG!&_+;+2?bdl2y+D|;43*?KPayvgb82JT__a&}ukLakVbgA72x3yoE59(B` zIWW?7=H-bRw`$ZQ-I=NAjpA^@&iIThr=_ei+XTw)dxP0cb6pq^&}Zk%AEfNFo1Rij zlI(VH%Euw}`Rtf-`8--a$Y;B=liMRY6>IHab~BS|4(j$e_0?@Dt4s=qbBM*6{SL?6 z(GM%nuQ}DvQ#pE;#?+T@QTfv<-{IuzRla?w{0`-j_Y9Tog7%{qaqHtwryX>ly_?3* zmuFFKKT;Q@zI>$QS1P(MucAEilk(=vHs@RSb>EC*#?;7tvsy^nzW=|Oh)ieZa8^$k z?Z)M9SFh&iXKY_mj3{N*?h@QeAe-S3HPX$>W7{Yd-r36%Xv`*MAx zKjNEl%$OeX?t=?S+qdwrL}WUrQ$GXz2+ec#YK}(wb&d2TDXVrrB6|YazsiXl>C5~` zA30_NvNF7&ZgDf_b53*<`le4(jh7j#rAvNZ0F88eBO`r0mEV z8Fc(emt1a7pz253mp4=P`}ntqkMwt(^5|}Ibt}+azQIR!%J)m)`{C}Sn&h-vD(LJa z@Yj^w`BYBFi5XkuL!u}VKZSVwH>qUysD2KN*A=Wi5^XKu(p-^fH}fs;cxIBc`>Bgz z!hw_zmUA;vM;Ga?N%Y75h6)w#Oe*xFhH)=Yxljfzc8nH+r5P*v=0xXibbMDWbq9m< zQ1xBq%U`7IyJ{daY^ONWDQ`gNyK1>Blc;=`z<1TzNwqQC?4#h9*)zx_&HWLMlJnr!-JCv}YA z-?Xg#(pt689N{PXf)V6gq^!z!z&>UVqpq`Fo}d|b3c0$Pm(UoTb7rc%SoMq9&i+jc z|Cg+)I$;GS_EJ3cHB-`oYO1QecCX!Cxx8Yn>aw68=h{D@?q|en{>vw^%4KjX*$|(a#lL1e^#-#)++ZD>F zHDzU3g6TaNzdC5#>XxrLRQe8R_bc7JF&Cq|3LZh#cR)uXGLzgci|jVA-Km#QnXWyS zUj+26p-wHPGsY|LjaE@#fRhw?2^UQ}xYMkS%KB33eBb;&>6`UvZh!+&^nFuJ`ew&h zFnAczMzAj&i^6mrJQY>dF6vHSS9{O!d+a5s%y7?~ov}N%^hTegVS`mZ^NuuTopL&f zvvS{+q3g$4GTc29(>7_@Fd5KV)U^G#D zN4`H1nMvx6PSOa#&TiNbm0dnfU3!XYl99B#8#7ayS?ZKDkJ&jbGbJ}nD|8KfPz^kx z!AfsEY(E=Rw+P~N1WfA*6N-y4d%WSGa@lVznqT@mckhK*=W(f06V#Wh2f zB`FOwG!ZUIP&LCVV*IcRlpiPC+VPOs8Owb z-u_W>>gD>utqobHbaZh0I;Qe2B_+x1zgFJAY2{)Dl;wPzk?2fKbf&7>onVp6H+5(^ z&|1|U3RS5uN8Rt12i4c55ZNp^K_a(w99&hoTlh|DCNEESNg1ACHvKPv8A=`!5-eMFM=lAdBuL7MC%s!1l7 z-F-4QH3sVXWh9SC|8#p@UEfSmOraucI$9OWaj>0zP$T!IRr4zIjk!fl)S0JW zx89V}kEq+#T^+ijox7X6G<@4F54*eN;T`F{g5Io7?UV1S*wIVzQ*!^0y40_J@2O~y z@=FQxgv*5*S7~FOB8QD|L}$I*(!Se{@*Ojq{N@2a5M7DNI4vHB6J&}i>uudV>BWWA z^-Fmh`}Ml=@lsZ0qMTYrB5p)fd9k!7*`G^WXRpiA_JLO+Su1I$eI02sBC7f4s72~Z z(i~+2I#rvw-%sx#YaeMh> zt{(vo-CB!1{t)eLB=c*wSXws4VpaJ{uM)Lp3?Wvoo! z=Z@Ly{p3roukZ1td1GQU2Ve_Pd?##3L}rpMHdY`j0N-Eq;A^_BH8?xzTSJ|Ohx>|S z&*r?aX04X%J$I+deQ=X(!afK#=@@9NuQT_soie{?$IjT&zCO{Oqxq5PDg8U2uyi2& zpMCRKPvWJ_t1f$Y^SHz{hVG%N30FeH2T<7R9_s(roxtQl{RV$mRd+@kGkHFn?3H{r z>w}-PwKUs~8Zin8-tQ_?NHYOlv-{8`{=Q;i}qo3rXF)c@59`lH5Ot19hX9+0!_4pbsnaw$M zJ9o5f?Gc2&N+G^yrK*vT`k$4oYv%Xd9L)8}VO_(1|KA5roO5lgj(rRJar$V~UEHb5 zIRv^J!}H{ruf_6wEoStEead{CH6*#OzTG~4PAH4T3yk@U=7jqR;Y@sP{apM0s@dwf z_9Io}ZyEo8eXiXeMJ9G1YxlsX<(qla=tgcISHWZ&FZnmD{XL@Q54pk|ZMxI$Z^(*o zx_43iGVeoQ^E79eJ$9KCxmEiQ^*UeHs%U4at6YdfT}8{&k{<4CTVB@oN9&=rX7EL) z{TL=b^n*j8-6o;6esCYw`94dEF7%}?bgMmCiA8ohBCD&{B!j*V#WtrHN%1k}n`u`I zrEo){^h1>N@U(f=iIs&!>k{#E66=>#qsF4sVGmQN>#f9hCMsiC3OZe%UGMVCb-G@= zUg_Amrd{1F-MbR~z0vRbiG;bXUnM%~FUdC~I>$=qLsX)3nEso~S0GjO+Y_$eq*qCF ze}k@X<`arPi$uHKkG-GLxOJbQjGxx#D79UdWw6iOhn;J=EKJXJd`R%Hgum;$lcH`7 zwc0)9;1$>R3b_TeOD*A|+=qQdVdvvyvxCnI;LR$GQm@MParyrH9=d_6K9!B> z(NvP4CgGNm9SD{q7$eKx@suv7)XT3A%&pRA1+uTIC)zpZ$E2AZOZjZV>sU7o?S7u* z#<_85cO7;QrsG|D9jej5dqNK2B$F5=CIT(F0MNQV#V~yG8gDCiyY78Fl{EKIj*VJtNOZntAG|8K4l6TYiB{h>t zz9DPKoI_;1=`9`&nae1+?+=^wOPthiG%=cvB8~L)o7imDgY+!rDJB-SyY;gGF zC!;SRNuPAB^#6z;v(13?$79-ONAjZr)8fwlJNEY$t+!}QJAEnB`Yn~Ze5tuLiph;UgI`u+V^%9+U(#tk29s)aRN_QEZ16snf~kgAYzToop1;PXnWYp zyAP%Ila#uTX5%w#jM8;LkCgL?vYqCHw<8=~uNxyRJFi#feK(+Z4wHd@V{K6lnPnAa z*2j2zt|EPoNaOlRXqGa0k*aa7k+amu?g{LF8&LloE{;QUg1^!m|2TEZW0|X)t80S3 zK3po)MESOoPT<}r60?n6rO1a^NoE|wG{>)9$gVq%J~>1ipP**aU!9hUms9k49HKnF zOEFNd9jYXMO=es{er)U>UzDkCNFTyajdd}qKDt+_T9oPrpXR&+kuhJu1_usynV$@hOTsxFP(4reu0`X=QK%k9l3IjN7-N>&8fT z?FNeJ)pxF(-mKzv+Q(i+x?{VFckHCp=X{(Kd$6UYtVO-bd&b%5xx_y+DM|cmY#6ii z#VD?Ut56M&JML^_Hz=L^B;7^crqY}O1^eBHP;tF*ZGguTbqAlnqAU1^PfE z(AW71hK!juehwSjHD1O6!;xOSYNhEbP${+X0Rgn3A1-QSo3}IL*Cuu2eVzS)=_}Q% zHY6K+CbB)v_=l6KLz1e!%=pKXs!t4h*mh%5MG(6;V|h1Y(KP=8a<(?Khtq+X>DFqJ z?W#^UyVOzPO%TIAizgRFN=tohB2e)_`N zDY4qW1Hr8JexJZHZ5~WrYyTN%v63FBeCD^EujH1ia5A#?*%%g3Y@b)(Se$H_kr_3i zWAJiUYMnB4A6$VXm%GlfuQSafHAoxA3=Y!XJhn9-l;CU*S=;VEI3#KRRMDPz-1=Q_ z2dn14=2s+{#*8~I9*xW7)dsh+*_in{pCjxK_;kNzZTTQu?$rj*^MCSc12tbiKJ~9Q zkcKWWlUEzaRIfImZS_|h$W;3N)dpU_IeE2#FSRAFHn_oQ)?aOKl`Hj_2$Y#kj{Rt%u3oV_eHN zD3`V=0Zz^tgiaS{?G*I{~4~UJm>`3P7xBFe*f;gFkcsu;Hd2}-lSy*W^Asw| z(nWo5SX9%!CK26@J!0Nb!s&i};Cf3)T?^#dwZH`SRSniSYt;z~-hVtZf{g6G98D&> z$u!PQ&$U!`&p@i!y$D@^U|4{@IY_M{^ejm9oX(_SI%ZqDTC;Z#&LYv-1TQPvJ%iEq zaM4<~Bf7ij-ovGKEamPy6R%&WN8fjt=e~!L`f+(G(R0!F8Ky&fcUF7nChbKpKEar8 z;oQB`%C~o}%iu9ZoPkvBZE8r`d-O1GeTO3T?OmAYxrj#%)1kc|QN+`%RiqkBohB)QXtSyG?LE!4_gc!`rNrwc_2|ondG31zsc-MbM9)QEI!uT5ZcuMu?%S*A1B-cN zUKvbitY>h!%b;a@B8EuS-Z$zIuNvm9FNf5(H%jze#Lo@Wp}h;W^WDTx*U{MwpTM!b zelXfiF4}oi`u5)B+FMcdyArQwqU=21Hq3Kh1*vauHPLfAw+_=$d)3?b`SvRM*(Y*Z zIvq>BwZixKeJ+CosO+AFRPBAS9`T36y!FjP>f5_G(Q^?W9Hv8iXZpp)D$*9XM$xVq zjP|sPb~ly2y-&OLj@W_d>l3dhqU=0BJIr(6<4ApbUr6+v&hLlm(B3ySzOVWAD*B3( z_?-&Qt$y+0+xwc!V1**?g;ec*vL5lxVcz-{AocBClIXdJTZZY--t*MM9ejU}PH-~6 zIZ?E$o9od!Qd(Z$rgE}7igl#4v>do2!*GHuyIgrK(Zy2h`f-2Fo0`FNC__m2+q z-fQsXR?-P<3^w`jVJeKosY+mZ$|~?GxLv{bYpDlc?t=f6%E^D`!~so>#EU9#9x69; z0yOyrc{nnB1*gcTc?VQw|#kGqv zbHj(F`#y8p_VWG}-*NVORJV7>nXkBfw|BXI#pSZS%j+h;?Q%}GU4A#a`soKK_gmby z3G6fS{hIf!$T}UJO_S`Y5g=yI7l3Iybv#upc%%Uzwfj{q(w%A5Pi#%j-@~ zzf5f!MXvUL)3Kd^+|rB!i>4KRU>g^>Ks0gNR8k$U~cMcw)KtiC~k!5 zo9FH<$`7_1bFWi&UnY0YqwX2%emPXv8&Rjp);+++>ukL0gt|@PJ(?ahL2(sxz{Na^ z&$%vgJ!X3K*^P8$_fvGcrtH477oBv=JHw`JZw;w-$=>Eu>QUK{et%v6?cw_C61CpD z*w7^GeuNF3FI|`DXAhj3q}lxvBBl8gC#rXkPjz_rxKw*PlXmy2pXIu@T(7AlHQgK8 zP_RbCYRs@;TM#MOEGPPZ3f6q8Z*Ff78!p&{dd-Y_&8&J&HmNZ?KF<2yaL5JptF=41 ztF#PXN~*iu)r`NS*~w1jAk^>?>lUq+)o8c4YwQ{B@{Q;2wC7vR1#hsky%oRdrT(~K zH6dEGZ1Xnb(dUx!7!X^MQ*^ zrL?Y}dkqA!ajKryg-Yv8>U>%kDv$dq`n240_T3?A?S-k*Isi)->WZOI-3t)9wDN5D zvQbco-n z(}1nPX1+M2Lg4!q_#ak5pMu8~_=HToiT_aG&D4##M0(uDCh`Y&Bl1Avay+`UC*9J> zw@>x4>b?@Gn%r4rL$gf})2Z1UoO(;t%^529Je0U{ZW@NU;ropNg`LT}L(tKhvXI!e z0f%GViNj2{pRAyA>`h+tDiZvq%6hFNcOO;Wc6TxfuvQ;DQcrlVVUarZYXeFDT$k=K zOxn|*NL_||4wIO1TBS3|D$U#LNFu{xthNtZj3>CoxFK1L)gOLko#>YJ29|YK_LXKP zea^#(;|CYkFN)?vF08x|^e%d_@zPD)AurEhle=JzTi-Qn@-GCv3SqPT zvxZ_mznt=8rj+#!oysHR#LkzKGZ~eZccpstI3(7#cF<0{Kx)?@YjbbU{g^wN@xnEw zFj61eA@A7Q(k$*!m%T95WmoQR%}KaZ>}npXgHiYw{b(VRxrhJ_i}+~FxW^Wg*YhV& zPx7CBYr$iK`sOeF8{JDAkvxNcAKRJC5BY4dPxINjP9Kb~X_cC3(=+&!XmPtbj%V=q zppx!yPoBY-CU-5{lV|XKvAI2Y27fyzZ8Ia1XYduFP0!%}Tpq{1g2&CyyFBW)CePq| z7oF{S`k80&rO7k+?U!}V_sSu?p{z$F&*1Mw_>pOQj((}saSelN&-INm9rHC?R``U`cIEG|?%Y$ohZFAl3p3`#;%Hugyy3XIz*~z6n zV$|XC@2*Cc8~Ah zmC-0~q}&r>y>X=OqOzFYlNqhWwC7r+W{e#z+nu?wg3e}mS5cO;=6AbQaA((?iSOg^ z{-`u(x=O?KUPG zB$idLv|6jAR(y)@M2Ttj6ueKY)g`u4iOfQ(=H2fdrdvkx_haf!?3;~LNAa->*sw~t zXGvn<`h$~^L1i<}E>PIV+$$dxb@o#J^r#~1<+tNqmprCH{S$TL-EHv4BsrLUXZ(rk zpkHYeuBOmtGbCUZXy2S*r)e(o(uP&|RW=*X z=x25I)9&5`6*xzhr+x?y1$$sPb3dK#jS{`*y`E)C#z~d#P`ZKG-}G%v>iYTyyx-n=$;L#IcUtpJ z??UPnU{_b?0`&D$=k|iL>z13@&Pu9iI3EMpV+3b?L3cqQvjKJklNh|N&5cC!K7GB-oTVi88y`cx; z*iCi1**D?S$=EDNHcW4BxgX3!of_-yBdMXCx;IXwpR7xNK3w_~QjPHWUg~DB9t+Z7 ze|{)z@0LXG7O%$)?pw@FF*i8|*pU8%L;8JpCBnX`v_V>ZQN2}FHl+T_kb1A7G1Afv z70q+zMsH_Q*XQdLvcKN=vlChGBW!3Q&+$s>mY)u5U0)~j%T447Y`83@*K1}aHNA7# zkcTVk9)32=LvMss$$rU6{Sd@;A1e|ceXEihrubbN^+~*UgVEIV&aB`YG)C3_qNP5? zCuKCnV=DbxIVqzl{zsMFOL2nC9E=x!s6NF5cUb)u<=#2x`egUS8U2)Q*>2dOwRZ_p zwek=zRgVo&<>u0w#PU;h%c;XH??SpC&0OQ8NAOD+yf>Va&*vjeJVvbdk;K8b>khUZ z=AdVDB6Uek8784iZd8{n@Li(rxD$3efG#<@wcaHQT$da{rQd6hab56j%DoHF*7)p* zvh)6lVcz>fmws`Wv?rTLU4}OdlaS#Q z#i?=zhE6rlQ>z5C-@uh$9jh**jsxkZ=cW0a%h;7SX?c0x_1niJcjrzoxblT6yH(~7 zuKbqDZngWPEBAevvU?fii>`c@%6n+7e#uR}A5reTGRazR+Hje_JS_9Rbx7SjThE5Z z;a6UbXCj*SA7^?WPOAUtt5eN9T9`RMvFTw$>s-2BuKi9nClU7D#fBXAZb>$Fa9W(x za4a+{{qFgJVV0fL`JJ8(XE`U)I$=nwH%f%dy*ExJd*h_)@}z24Gx=hwimrGBYm#gB z1sP5{sdlY>hz%_d_YL#BF>zBF^1LajdVa{WVQ}Bt+Vh1O(>sA`&73}P;r=|lm-j;I znl_saHLWjKuUVMX^v20X&UrY@621yh@X{A$`LS_{zxN`@P06mF-k_SD}Hs3d+Bg zX<=HmR+_2q?m;7W59HooG-@5>3RyqhY24(_0Iizggl3xElPRBRPJ4ltuU0LrW_$Z) z7a6Q$)7nVY+H7@OH?qa)$w|~sH@E6YZYFO-jen`tgUoqdXIJw)f_n+i9vzOtfBSW| zF0Rx6X20z+U(e?V`zW6+YE_H6s6}1N3+~b{y1Nqo2SI}u*KNAEPXEdE5*OF*1$XH` zCq@5)yY$QMd^k0w%{1%cI=#t7Xr0A3WMz&`{;#2IcHX(T_P-e#ytsBRxJ$p`H0v+8 zOK(Yv{snjGtzU4L-ueZ1>9>p41fog1hv)ZYb+7xJ&;dQLbNHH|yd$ zolXTL+TLtG{s#Y#JnjHyz(REn`TF~epYWxO>9|8n+p)hwuhafX+ZVXQwMM=3LSz2Q za%Z1qCizS13$!`%Ac_||UAZ}(Vz*miFH-Th6#ePq#Ttt4yc92yWHKK;dtG46N0@6D z5=EOME3~8 zUsZHx#80Y;Z3ok?9G;Yxe$(sP_1j@-EkWqowJzD{eK=8fs!tE8a$|IR4Hpj&tirYO zyYnJr0z&ZDJ?b|{+ue{HO6AyzUVA%~@1#7=W!d2xxa%S0mBm_l{nh0)*ilQUb{VZ; zLp|NQCQ)=NZI{0Ua-FJY2^8c5*6kQdXV%5Wd>rrghQX9R;8Hr5N}s}hE`=W{g-wZP zU8y_o9~$O;Oa@=dq;~=v%G9asKTL%L_EQ3<`aV(cm*96wU|~bOPfm3Syi4WScQkOP zx@>p-2<2yo$``BrxXS%b{v_q`4f5{Gd;>ggu8OC-{C7N*{8uH3?L{n?+^2>mH)cIj z*MXHp&8fu0R5a}qp|*G_l++1wN(1tZ*&XB%PPCveffij)pP%n zldq=ibNA)J+>0*v7ZCT%AecIJb3ON)hb1;<9#Yr$YZ5h=`%S}C%tS3A)A6v44i_0S z=}I*vdOtM{>ASwbr{7;8CY>>!VJiZs`skbsBX`ci2(Ky;hZ@&UH9ywpVB}9&7aj_j>bm$6aNA$Nktn z(0hZiZgDr+BT4!=R=2tZYLR-MB`Tw|+VwFT`AE}olKg(;{S>TCo^^dGP>Gq$0m@#?k z^*{VFpuznto&~%fUBh)h?i=|tEbT|JF`Ln8QeLi_F}|IA)9b9YBR+t5#CCl6r!-RL z!pVcPyo-@@Pw-;708Ue@wt9m1KmXI~^gV=39kGNs^|v6dWV_LQT%qup40FIV?axR3 zorrF?W?fb4bKpeU;hxBBc^+@}R2g4FUCS|hsVFyJ)kF-@ZQ}y{H9NP>=J+qiRJ6a4 z4UbGBRBO~r4Vs#7x%y9Fj9$k}DlJfncSh%Ui?bFQd-!zC+lKV|#k9izxW6++_t^Og zieM9`+U5yJnP}U=9^3{M{fyy9Lp|qyzFw%MY`I;ihX3dL^MCng%bQd8--$Q3 zD5T0$ed$#!rjL7prcj}w8^qB9lNy}<#S&HVT%(Fu+ z+mV)O1>ELH1e~PXW41?a_!GSLd#5B*{yu3m+eF+OV>;F z8l=pzQwGO(4|-u9Xg?@JlvVUq@DuGGuM*gG zf;~$6_KVc{=Z=rkUf%FgcCi@UD`?7Zsc80B{3B#KmVzFgiembZsd zP)4hqH*UARH_16=*d9+rH+fO2d3qAAshUXc^%A>#U9c$;Jn>K7csg-QBKlim6FM7q zkqqf6gAqx;GzU)df3f!+;8hme+I!~PJJ~>h009DmfV3z`5fo66h*W9WDG+Kx2aOO& zkO)Kq(m|wmOeoSzXo?7migW=L6$KR(k*1=cqM-cmJM*;-=sD-!^Z)00?tk+z>s_W(irKxG3`>Os)1JFzk8>POL;=0Ohuwr7Y$Qvb;91aRoH#WFt(_5-RescK#Df!#u2A<_dw~g1?J>?A2Q$6` zQL#Xgd`5x03KSBBMR>@(Mj@C@1y8uSF3oz?A!u%hiNYfbz;= z>=+#~yHS`xlMtUr%UcwYc@dD>K~;Y>(J<_e{V)@cH4}3d6orS-y5YaeU(sL0$4UP; zChQE|qKKaK9COmavka}~ahlo<|9Wt@iwwtgZf_NYL*X2>R8FHaLn$NUFDfc4-{tXn zSkGHToailHGh$81>K-Ow7s^gsUN2zS#G&g2?~F^fvN z1^iCxU!}o69|_C(p_P=+{qD%*u>z7;2PB_6AYs7)$?pWDfW1O&3TuU?zY97m;DVgc zz~4dMwgO{%4PRw)JHLoMgey*_6C;A1STxxE#VWyT#qGp)4~qu~b2Gc83}K0U*lvY! zFqf=mPQx`@JdNIIHs*p$ISbY?EbSKjS7PXnkk3l?5G&d7J#P1I@CQdJk3CaYXiUE$ z$kwK0X!4nE#MWdr%$YW;`SnIF;2eYntxO81hYPs}3fc~Z&3Kr0gCZH!ka6T8@z)#7 zbaAoZfEPDsYW9mX$1|%X)Wp<&42q$9C*9%nch>n|YRHA%!K!#7x8tR<+TEU`vvow>YB2-19{Kjx>8v8 z4CV@)dj<%Cc8asB-7Db1W$kIxL_YY$H9(kkdgQlGj{*;>tANX|)=;;5ReQlYr){?s zR<{1;7R8#pq2eUnztZqdcbIpQ-Ey0Zdt~?Z$Q@6& zyH&d8HPam5cDB~aO?<~w&1~vE)o?$4>!~(jl)#0#rn(KxJ8=|l^7Bq>1e5tJ1(LvV zM^FOPS9h8P^o@;u?Q(le@9Enf0_^)%XG>eRN!Y*k4J{_?jGV`PHG@N#nS_qhX&2aJ-4C}hjHA$5bRh(zq7DaTDRCV?& z{uGQWk}y||b{XO7E<+f;2CK0mA;emIP1m^S_kc4!_O4}GHD^`Z(Q=XxIw{DtJmmI) z-ph6L?yKw8v?yZUC-*hOIWlj#Pcn}xbMe^QcyDmK2jPBea2#50 zy_Lt-{jai+FStdJpTite^cb!kw|D}V4t)qXH%r!bw7eefvVm9?vb^hxh8YVEja{O4 zUqrBrt@DiA0!DD2C15^y$kC(Yk<~fSgH}+&aTYt`7*oD6&qXUvtU_DLHK;nMO1lL- z+1%^D(~F1T3#AiCb(zF_GHb7d=mR~}y^;LE53PB4h2%{e|5rg?F3nuwUEHnjf$ZhO z`FGkzShbyLyvEoS=hcIGS~I=zlwgM0I)(A#K|31vZ`=~MM#s8N;Vlo^VS>f=)(O6( z+~GAEhht342{Fm;vQB*JO1Ul$rM1F5{}GE@?U}c+Wy~0xM`&4Ry)<9W*_xc_J=SRW zdX}|~D_GmOqLp8jTqe<}awK9O?_89tv59$+ zP)({k#`T?W4fk^OQI87=Pk;y98vCff{SGzwSa)vVkb-tP_?(Olx*=6t%1VY?Z$~W; zGG2LH@yZ(%FYBqx+`-mukr|}?Xlvek;9GC{O=!@OqL+-bgiCfFV^!AP`3XLFE4o+d ze#yYWaQAJE`QLs4=njV7i8vyicy-r>~?Yymy;tb1-_o* z9y`bwU%t97e8nASn;X>JQ_gLl73*7W`!Eyt8L3jWw6*!~)@K8@ACHK#_H1r{#4l$q z&OC*2*LTb5Z4HmVUFANmE4cb$k5$xbHz!}od2MN3=PEnxo&BmVdiT2aq&n&Eb6li1 z9B%)d^F?Q0Rkw&cjTwKxEmZ5&!fI}z7F7=x{o{+re%ISih28PC99bnOjbPJa+KSz@>ZU>ukx7NZJ!W0@20WSbUaGg z@i5O2j!@by{O=qq?nhnxr3q{I@!B1H&36xII>Nef;`63!*IGAiI68EO@t~ z(6o*;_eVj0k#+7=bKi(sCVcvCCB(TpsE@1gjEtW4l_ZZ@Q5!6;^)P_PVV-qirbpCf z%WrxFE$NX0Zf_L~_7-kX)Cks7wiR*fd}Nlug!_{bB!KHR6LZy_d9F+?W;_2@>t@pF zcPj3in4p9%Y4^YV{JtwVcuuz6ei~Yzg_ujZ+qw-YW8H?}I+75=^epG>g?n7V$NH^< z(oT*F?z!oV4|-y@jxU^=&e>}TD{CP?x2kP!m#9j}ojbm6`EMtW%9nrX9i}^93Iyj% zL3c*pa(5eax>*Ti-hjBCMwl^H0;%h?oj!~~QFj9AbWjh8Iy7X1j;UJ?x4$^37DZnc#fjO|Q8z^ ztuqiSbDx1K^v)}NXB++FGm!proq#;@!mRTOU!c~Xeiz_dL)V2%`vPhF&%FuxtJHQa z(smc-u0mwZ-1->9%&*X(lfzjuyv*y2`CEjOJ*;(Iz`Hs6I_Rqn|IA&IlZjrpSv!~TV#Ku;hKfI46zR!Si0>GY z_Y+$wei+s2hjn~;Nwp>73skBtF$Le^k{+imM0c|5rQIkLcU#u0Dp{sNdS5=SfEv5V@R=BxaW$Sver8|$5wEK^~!=KaLP z&|BJ~-dV}e7MQGgKXHfRojI_+OY0Tx*1Vrs7LIezf%OVXmZ>mG^Y~IA)~0yJF6-m9 zUg2cT`-!I%@3dw8jMghWr+F{&hT@&Jtb6ik{}R2L_Y*S|@3duoxYjGo(!7^=Rq;++ z)~{*3!W)|R6H}11Za=V|s$`i8(>3oU9#yukg6$y~Jc(*4%bk@2O;&3i;nG za$gYF;tJtz%X+SoWh&gFc|S3-U~pU3ODS2VLjDKYv`dT*4{pnPVlH53yq|bd@lM;n%k|o~>|@sJDp{t&`kMC>>l?xIWj$KSG8Hz~yq|bZ@y;Ar z=YQ71y-B>Rc|VbVK-ukI)}xgSc7csG?RfT! zvOZd$H44XT-cOvVc&9DvbG2UKLe2Y$D;4jwWqqyIE6mlrpSVNuPFvP@X}!YTn)efr zD&A?!`Z29ncwF;-;u*y|ZCO93^$IU(-cP)yc&9DvH?&@%$2=#A>56ySvYw&!3Wsan zPh6{br!DKbTCZ@6=KaKTig((weo5;UUe>&yc<7dMlJ%oXmZ|WV=KaJP>TGlDvR+%C zcM9uj-cRhS&MT)a>-s#*RA`=qe&W?z+Wy_1Pl3{%>#V0L8O~H-y5_@(o$1@%er|sD%_^eNiVU2ItQJ$tVijyQDIfhhZFVL=Coyfr8?s>6|U91 zpLncL@O)W6u4I`CPio#ztX(*`Etc!SQNZ&hsmH%lZwoEzzUTK0i^PiB4N+ z@8mNTi+#|!=fL_QCCgNJRP$aU|2m$#E$fjwXBC#xyqB1wcxMi*r)s^zbj^E-=M?X> zW&M)YE4-|E{0Umz>ndM6ZCS6O{jX48gEPIv8p=OTTh?ovJ|ouEyq|bV@lIRTb$l`v znsMru#GR$)F6YpC3C+Ol3-=ZnI+n)ee=Dc)(z z`WdZPsP7pv{lr}=H=MSt@7DREaG&P=#H!dx?igda9_}sf3u2h&!->r`9|=%DY#V_h zJTU~Sg@?aSX#cPUEfGs;o<`LV>t#`>C)U-xt!KTymMM(CMbCPosVAo1qGvtb)DtJ) zqDNKD3A5)^Vo<< zwn*th-2hbmu+dr+`iR&{XHQwM>Ss5o7c%^onJG~lv$hg-wnY2D97Vyr2xm}ym}s2} z*<`=f8Ujt_htu+WUm?%+isc1bK&pO@fnG)lg}P43^4@G#^w4pt0muzgBt13ker_3l z2~(}Wl_YL;7}Pvogr|KJKrbTJ>D1mD$7;xNoR;=9=p_7Nrg9oFMe}~EdpznrQCv=A zlME$_A&f?0Y+~$XP_j%nARN-HWs%y2N)#Ya8ys3ncj*RJA2vFQ!q5^^^`TbQ(w~Ej z7bDj!`5eJt4ig?bw3pE8DhfhN@Iz~cmTW%NIEFw|e-YYi=okUD9a>iO(6&#@nj&{- z-LlTma|N!@y45_fIeUb*6+kZ{*6C!2)@sOcoR;=9I7B)Mokkq5c{{YjQO`mV9=i*h z%v7QnLJmrBXersH8xYQE)(Qi)+mt9kpf)(Pl%CWLtUhdXRf%E<9vsQRLso@^hrMf> zF{tt{bs&(0z9_+cC>gIC5OOtZ4M}a55(Nm<22YdHWx9dYhmCfi(3!-`nzxsA z>@e;?br%XJOFX1`dorvaHT6XEUdh(G?wQoRQz`QrXbs7Fl$I&vpVq)wc7N(Cpddbk z>WU2z*fKa&l+;JzT!|@~54XmmzAXxD5vazmfm>QuQ&HHPn4@{SH}%h>pf`bPS8rCA zp|CeGTtEBSy{X@Zg5J9UhcruIOV?rJGz_pk8|#cBcN7KJF#@{9()JLFTo`f=r4G`E;hs|nBNmUfCnV6_~+ZN754;|pXg0nB>uuovy zV55}a@MZ%7lPI_WB@wy-fdd3Lr~yfR-GI>ewg!~6(hUeHw>4-E36sXPkzFF*3H4Rl z7*O>?rYDNvUs=&Vw3?2BNDzjjaGK8DJSF3G1H!U@)L^FFfZRe9m?p4iewxmE^JdAq z6$W|A*;$zd)l?KLgusp=Y#CiKoBUj@BG5=at*TpLxXT0;YgM}#!WNWZcT=(p1py-* zNBP?ZhwKLAj-j9@fjzm77`={xu1RczYM*KuM_@;;BL?dre^jdoG{SX273>PgF)y90 z#O9h02iQMV!C^84s)LuoR=PpJYT&vEmik*Qt+hhoP9bup?h}%F-jHH&!c4`+6Xv4e zpb>URou&ac)l0VzNLEjxa3JDk%|{aV;ilX0WU`6=A$Ju8qY}c@O??7^O@mkOv<;o)W|LcBw8ksp>|j<3a$$w9gwZNcq8sd4skK>?JL&iot8B3B(X; zp?E!Mr#;!t!STRBw zcmkI%Je?db#7DpjrBHBXB+w~nK-i+Z5Ko|gASGN@D~m6ML2Iiy#1rbH7@lD=n+z9+ z)`a1TwR7PlhNt({)eJ*Ks?qH89wtnY-6IO38md(sAxBN*-y5(0P>`4=96H4F)4nIH z$N?#*lj$Qr^z^jrnrNwAcQWh@zo3VHWyjqJKAdvvhi7YuCuW8>FvClpZE*Y>KYJ?L z8;UYIo_2^inz!vxpNN8_CFCg9K6Uz{nxaH8gdD}%aYQX>j=NCDIFXcFKha=do6uOX z2?U69_968$;NoSo=^%&3)z3mf91BtA+tWj$+#rmMJzs*rize z>_yGfLy2MuG{Iv&Hk;fytsvx}Xa%_qD2O6q7m8MZQ9rvtccakp#O63f-2tTjDhk{{ z2veuCy2ykpHip1cA16K`N=pee<+{X1pvw3DumnTuhb>y6aB$*L&D&9@emDxc5N0Yi zfiPD~32U{KkgKHxnsN=!*J=7vWe?64$51#p@ucR%h$-rza8iVA{p$RRAyiOo0wGFE z3C*>Xz!yvdQhz3c@~HLGF-N5NJ^MuuM{N6@^0*Q*dO0w}+%& zpYZDH$4@A<0dneOgi^I zl%%65#{n;E-d+XuIVf}-UhPf^f)IkUOds1R4xp1tr%|I3zLE8|*mhD?o-J z3H4F50dmn=L1<+w$no(EJqR>-`;bwpL4aVH3}lmQsTBmvWFVVdyjBn_laAvk;d?o* zf_PQ)w&SShOFGPnunR@ciQI0jARM(7Z zYm9=q5Mpcvxp=K0(BSPuR#goG1j}R~o1A_@7a&+B1KH#fQLuXnmPyBPlnh7VDu~xK zZ#$0ql_;1j!FsC}lTB`)RuGP%=*f~ht`!6t3|<8#9$eZvBr!wt_K?)`_5ec?8lz|f z9q0ZZtr!Oa`*a#i77I1j}R~n_OqDAXp|H$5E1n!c`D& zXx?50^=nZuC&E4yJtuO9w1RNlR**ZX6$Ba#UIiui&_g>8Xuj?XBj#v%q;(#$ZEeUf zJRwH0350kpB@EY6LY9^iXv%fTpe6k}FhHOQP7ApOE=jcw5NJg!$c@$t0_|yqHMwL+ zQdI-{a>zz2QRq%$1vnDC?M~{Cp}XQI3k6dlQ0=-4ruIXn4G^de_P5g@)@tAiu%nH0wDwIOt?{)Ur)It0 z17C{o_jc>=O-5PkWZPCKm?j}bvF!*0w3Kj0O9|(+lt5FihizJlQQ81OTS{~+1sqF> zv~)?gC1^{D?m4wr+E{|N)X}jN>sabYOILJTg0^HoKyyyoQk-L{y<;iPvDDtN6z5p7 zeOdwE->QKEKTQQJ)NC|d&`P->W;|f3W)}ihYIX}?hi0wS<)CXMMA9>jH{t5XlDXcR zI3jyBj>vYEBeEZD4#ECWJv{=4a%B#O!&Oe@1~E+YVZ>a`+bN8y`o;v-To)`Kk6?+w z@gXHl)>6V$EhW&@Eu+>~q5y#=bh?x4p%nyL(F$@iwSquD2hk_*$yijBE1ixZq2=%p;5Ur(zWGzK6 z^+QXSP;hY~(1e~gxgx4ey*rLC{X>0O$lyf+ zA27I*m~3*K>L!N-|J5B=NH5?IEdOhJqmpfB95$2uf|4+)>?w zK!djrxl%O<5G<1c^`LW5D+rd!KsLE!T0yW(I`Lhwa;3i8l$xY-6!7iCL1qAp*M-OaR7j~y-EF16xb%5L(#q= z#|I542>jSxE69~Xfr3DT!QQ0FW2$F>V3`bLle?i61j}R~n_O9CGC;6QI^Lwo6RKw{ z!7>@EOd6_ZEWt7vt4vnb3W8-a);=rfpa>K!gHQ@3co`6wCuNQ7Fz{?uz?0^wF$nhr z_eKd%u_8gn=u&!_xJ~mI*!hV9iz9;29EF47Q4?HGtssoI735}W1!1ABAh%X42pmb9 z0;7KVf~KOV&w!d&%qE|Kk{4f?@ZXHCP!gY~psIe@n};LkO+2M}KS2FZzY7IUBAh}A zb`l%xM!~`er%-}t!v+UY@M?*03WW`vI8bs1g;O9V>(j=b0`)vrF$DtEu6ru*>c9=f zlJj~Rm&^7-z&~h)38A(6VHci~9D#UF^Y&JxekKY=Agonv0%3!e5_n8v(-HP*DS@V3 zTai_sh#ZNSi%kwb(wYhNWg){z1is9{S_ny6O6aSlgj6jhOx04tTrDN!YN^|PRV4}# zw59fh6fGraOYI3WwUnSO*|$ENsh(4;H76Z58XKaz#S&;mE68PQ1%dX015L>m6gr2< zQsR|dh7h4x^+`aamJ(`fDWR^G68I<$r{40Ao}r}#K0YG=1P<#; zCXRXug%@%_m@=A3-~_muKsGr&a3Y~T3Yrs!D>jj^21R<(BZz1zx@R1#UP~mY3B?jP zRc)5sIISR9Gj(<~U3po#GLE1p-OfGfIF~EiIn#{eH2q$BwH@IS3dfAgCRag?*^apvFzVEcjgl3LbJ`$Q>_CSql~p9=qWm15MsTRnl?Un0P zOFhgv2Nv7rpdjpowTg`=GKmP^@F(_zH;Iwob za%+_$K-jI=_5{vVw{cHb&oS0L$5=JT*VV*g37jW;#%7Z{p%sKnwt`%wntv?8oPVTy z{;}DdKmX5M+_S9_%lTmbMa-1}dFK6y?ocnGqCEzPDW^-~?RjRZj z)U_4l^t+381Z&~W@pTCIT_;hH$NcRz8gidxSi?ya`$S^pYZPSEa5T?Cp)Rk-TP~ZG zaVXHu1k5#c>Xc(8TkB2&PMJD&I(;TrKmdP7-POoL@Ls!bflS;>6&$%W#=Ip zSho1q@`&ngAEI+nJ&M9Jfyi5Uo>g98xN=6I6(C--1pQ_)VJcX@2gI4l-x=iZ2l5^f z)1c$r#~oL|MCA~rfE7?&CoPW@on&3sf=yT2E`)uGjpIs7spWMc=taj7^rE|P(K;8p zaO_AGxHtlX>^c~k+8l~A;aJR4@*E)rM#vMj-FCD~3lv)}ywwDwK|PUXe4Zhm8r76V zTA_+aQ>N;#tTOf3oZcLbLjEZ5)*0}vCcof_+$7wkSUa9<-C4~UzwZxB4WlLJu%>=3 z3VIXFcbbHKT1vRA*mi_#T1tq5DQrSQ4aLR~VziWysHKEdEw#MHQS}?b0Kt6!NjQXp zT|&^KCK9e{DM62#NT{le1_*l8L_)ll67;C{RiC47R+eH3den}D54Dt_N9{<++q zwTi?;n3VR8eKgR|DQLk%*6EbMPM1~x1Ooo@l4?Qc(Q|Cw7^~1xb1n+GXm?eOl~5JU zw67D`gG0Oa4%d#Ga20+c-*Y1s?3X0eH%39w2+b9nK#0*&LSHQwUls7O9{udlt5Fs5V|e#69;BTE>L$$ zAm~AzL>Uj+4ipT!8*o&!mLX~_LzavdU|B7L=+}HW@sQ^2>|xu+kTH7zF^Wwf#A_)b zRZ9u!T1r@^rG%AQO5gyl?4kYUN)#Z_FwbCo%nmM5D+si$734Csf-u}xkQ=8J1dgkF zkXx%21kOM!EQgGTWU6WqLs)>qPl{#=|IL!MDx|qSDPrHFD9ivNU;BW!GeFr^&)zX! zKqE=mLF0AJGp|uJ`0sLK-h+&9Yk)oRuE`KE6APE3Igo~yObtZs0OhF%VcaexgA-bDNA)CO=U<;|P|?IG4#dw@K&m%vzrh2SM`+*<9lxWhg*6 zhLR7TFsnS^Z&pyC2ePjzoMt!*!$FMDyq~yF^Y&h1+h`O7fzTO+PK&wq7cTi#Ev+E8 z5(Pa7G^iC;=F((i)gVByOa_$6G_4?5CIi{z)@lX8GU?o*(4_u0S}egb8S63`>o$qU ze>B^Sg7*Rh%cK)I$7Gz_WSq-noZDoa%VeC}q!YPx3^ZAdX`kx!NdyX?{a8 zVJJLQiEQg%JxJb`MLc~+BAnD@j>nzxrveF_SC z6R39GNR~nsrzd{6K-~P`bzzDYs5&DfJ)yWZXuT4G=zdYQJYpvLT1s2L$JTGd6ZGiY z^p`M_wp$^%Tt=}1C*`uyL4o&Mv>xbNH=~EHB=9Utu^{Mw+ z`fbopzD>WniluMd8W%&ntgwD`|6d-p^b2uAm0>A?TEC^CrH^QTC;h(1Ed6BYkKfjR zQBzCbGZ8l+c87fQ z)<4nB(q|0NHiP?TcDM91q345z^<$1d(9)mE(#LhMekxq12VFVlPWHcg*3$2WKHBOG z)b?|RT6*tTeF#}iwEmf4mOcXd#+DMO^KRR4OK+*Pd^)~3>v9H^+TPsfEO{dAU9vja z`k0ZHp8tKZ+k#i0LZP1{M-7iK9yNT?Y546Vqqz+I6fau5MhmY|&lg%GjQk@Zp&JT= z@fuHr`s4%2Xy^C)~6`F!#kJZX5teD%MpNRcnUuULJY ztKQIoY!aHSXf3SHYvd{5^QU0pM!tf+eE#iV^5^jy1;TxK%M3;(tdK7x-?v~w3x}Ry z2d|O8NNCZb;CziE_CwJmBD4>y-q0*1&Hou*Fd79SLcc2pY3MUJe2kt&(JybY(CMgn zjeNz8f{~#Y$cH}8GsGKO7fbLO4NLgW3K5MC-;3f}W&9w}^|A={8b6AXzDIY=fwt*g zzBytzcC)cSl=3}R8N0!F0{QkwTzDzrsQ8 z8#aD3XlDNUpwBhHQQ;f$h5?!-sBa_DvI4==K?6F=szhG&%v8 zCAM>(vqfJneva5W4=`8s;lk&M2XX=PMH_5q-vZI}8^A)b^(0`C_yxz1?awVJ>39Hgi#W(R1Db%SSF4X1gsECYXVk^Vr>DdMC4h(I)Pw87IIMZr8CCx2EI<78h$S~3i zok+u(bmCVJX*9hkW-B{+CX#QJk95*wq(z64{;t}5^%ePe#!hsm^TbUx&&7<2$a9>u z;rpbYs&RW@Gx~)0LDD99NhkFq-KN&n>;n0W1*C~gPf`3F>A(+2`NqsAYK!HOMAEPuXl0PJWB>!1X%hH>@Nb6(Aj^ zW;LCG7q!%^!W)qD#kO z2dMTNnI~f4YSKX!NFPwM%2v^gi=`w-&G1k?@;@#oJ*+&rOL;Q+FeUuWN}s48NW0=% z?-R@KA}v^vboB$IwbVS9Z6trO1L@F_q&HM_o>87W(}a>OTt|KdRz8Q+cw!n(dWxlwbLRbQ`yrxR^-#bWPIJDvle~ zipHwwmw%XYer)9va~hJS;Tnsa=aDS>8l*dwwR#iC|EePXyz+UQ1(Y;a`59JS@t={t zs&+^DqvXp(k=|R4v~xGo2bz(V*h;!Ni}YKy_V4GB&rlZjtK7cyjFKo1%u;zCqx}4s ziuDq;uG1lGvuXrs>bs;vR+7f5zAIHk#zj!lJP&C~3~6iC_oYY34^TTX?ql*39wjYb zm-Jj3>7ebTt(1o!Q<+&^&2~}|%7-Zrmr*&tJdTo{DuSKcke}F{G&GrX3Qt6FUr*9O z%2(A@CLixl$!{u-z3(Ic-b~Vb7fIm^(28oFk!#53-$%OWA<`FSlg=ngy0`~vomr%f zN|T1HAYHJ4lppo^#4fd?FSaB9%}1ohi=>aMY#*sIWNBAQl82D4pGaC`3F&*1H0Mdu z4|p*YvsFGUFFaB?I{^Bp0t+oaPxHXzYQkcrFQyA)$6bt zac?8a$2BIMs#<2plRvGp!v7`tL^bnL3FK$1?8;S^8>lQgKcDh2l`VIv9r4DqlpMaB z^e&a#MU{oDW0btFa&p-~^5+VWZc}sKy^8#+YQ)A<$X`*JKdLSHwp~fLj3F)Eko1j~ zq@nkc_EBflkUr#3DQo4IlCP&?aaxVLN5yEX8fC1?@TniN&0rOsv)_>4tTL*{gXE{G zzB&2Gzh9a3bQEdZQKY+6uGU>mK2v$Xuly6Dd^mmr<-y%6^188`GYoq`Jz?Y0AP~Y7P^Wm!8{9EySSX zOOPH@t8TiU{OjsuSfu8&MPgq`)Rw(_fws9a6SVE&t)N}=a3!g=xcM>UkB~<(`TTa`Ar62mln*>u1(l7sWN*d^P5?|Zu5C^bia85 zv`0TmdS3njwAcQ4(B8EVg7!PL0rbffc*XZr^NXM<$Cx`$mt-dPU->!cfSuohrg}$! z4qS8ubWkDYLYlWTX!<(FeDJKuYnFb%4iS&gX3mC765(j_Z6Tc zW^=?WQK3IzqTzrj2Ann115-E#%QAWXc=IV7?KK@ zCMrz=OcyQd17?Uibl*(z-cx{C;!0n@9C80kfVpDfM}T>v0)0MTJi@>%5ZfvO7K-q^ zfJLG_{hKX*KLf}S1sJ2nqR3pp60wWMmWs>U0WXVjNC@9@F|s^hg?N7vV3oM?IAE<9 z^DtnY_#_FiUi3xo_;N+z(SVI2Wg}pdNbv(Uixy)5pNpLgw zeh`v*cv+O^Bz_cw_X4hp6ZrtYivh<0*M;O#{tz!k0R9y54ChE$gFAMVe1lfT$c1#z zIN7^4V7&bOAYh{0*#R(FuKgJBf_$7?Yr1Sa5->yV=4PHH$1{g#%kfO9x$>c!fO)bK zmoi_D<_=vT+hzk6$>=hGmt>Vs0oihRAwZ7IU|KGbZ`A=TmE&^&D`k9lz$!WNWxyJF zlIPAknI{~uK`v+v$dy}_uVr0EWsB^T4%jO1Z3K8luAc$eCP#b&cuiIu1lTUW83@=R zXEPi-WjuG-8?tMDz%CiW^}QtveFb=1eqI&uj_lqNut%2SKzrpdCfGi?urgr3T*c%& zAU6#F9F#Lw0N#_)=KzQ0^2Y#2E2iA!CBsBT=Z=3yA1(zyz`kMbG?yV`#kUS_XFm8H!>#{cvmF>7J7fC=|$clTLCY5 z3kCq$-gqxyiFXKNwA6cz$L2EcL)=_1dn+yjEJp*t3NQXEMr46^#!SE}?*UGJwRib> zz#8uiUOv})SJU)5Zv?xq_fCERu)!PI9FXh%rZZrp_o-aKCT|jhv)Oy_UceS_sW`w^ zZ%OK2@wS-&c-32mPTuDIhPBtcxygVX-pgF{PVYg6;|*^L`@QLH5D(bp9ZH*TdB;`+ zyzNcoQr_{VKLFV66;A-(^(Gtw?D2MQ1=#Cd&I#`GPK*TX_b%QKIN&Xt1vuy}!ei~Q zci^LdBi=A3!%^?&@UFTx)%P5Lpk)$++$x!SrtMMz+J5qK(9ZGPr(HVN1x@<2K4|i; zQ=mP!alZYA4+Bjv)f;rk$DI1mVsyiEm+k=_D>Ci_Oc7_eA!mp+n*j4fk8r>e5ynNW z5SvDs^YAxivKDy%A<&{Zt3e}|6akHD90B@(mx=aJ5@TEMqb{KJhhR6T6>Jl+P4T(r z8{#L%>}~O56yRg=)Fi-XV)9YIY4ODpvvu)0)n(ezc5vkO3*E$a^2d@wV# zMed8BEkF4Hw9Ugz&%kopd8*}mpwICYnp#1V=1jq+FEExZ2Bv~OF}@OL%U^h+wd(yE zXzMc{g0?x|8nkUHwJ{et%Wm<7L3^A%4chZH&acVe1K`9R-!r2kd`?R6wXTrmzvcd zw9*%}Q{!?9X#Iz{sy6s9b1{butR*Dv>^){rnZm;--}G3}u)P;R^MBnIw7`$Af)?Z( zZeMuhRM0{-xSqm~XMh&r`)XfA5&Exa^b?@PrbDiL_PM+OhlZSypCkk386^gpitt_x zYQzp2YjTmUST2t51H39%)d6gi!|1EmWRJms?Q+Nf!0YnTbATOk9?zMba(gadzud=_ z9+1;d01nEZ7>60YKPCWH_%^Nq9QXbHI$(Cl3PyKMNC+1=H^jq?pBJ)$7lHX9H#wmN zA>*0U3qxMTM13!X>||PHht#JRb3!gNtcybijsPqP@o}C@LoP6O%R>B}0569a9Cmp~ zw@rW*AwP@;tPJ_=5MWhEb>__KkmJ(urs74L;G&Xf>nS|LN3tQ$&huJi;BYQ z;t~V3Lrgpc*eM=kV!j~;y$pC$B+&c2#66tWTjK2(0dI>M!vXJzs)XGlqa@&6F=!!R zk4R^2uP9apuulwW1K2Mb(B=X03$yfy2&;u~UleoN0bEgUSF9S;9qwb>&a1edr23uB zNtXHbJnCiNX^JlQe3>77<$IDrt3MkLTH}TJpfw*~0$S^GBhUxGCrwFi4mu!;Ee8#b z03Cdq37#<+n^G-4dV6uy+tkO5d~JWo0~*`#BxqbRuio)F=RgxGE(UEkf{EO|0guEV*Cpi{(5}OhK$8mc$WHD#3bfn(ly~1<0JO)6#-KeT zyMy*B6${$CGvnFk8HTLyL~fLR?}mXsd7atv)YtccrWhSSpKdBZ`!8t*I^Z}*Pwmzl zbl`o=|3N>egQgwn2b$jfDCpokr$L`-avb#8<=pH;Grj^HEz-UQj1kd3z*zAET{A&U zp9q*Jj=cbwB*t*W$)d;szzbskVZc-|oy(af-r+2#i&{egGsM`AfH~p?X3Bh#I0&#r zyiB*?-gz5fnK;DMds*Du8n9g4vR94!cHdW#+CGQ@MxN ziLreE>qUbv0UN~Q_XBc8^%(%%Ui0FzNd!&-Hj72w09!;kE_tgsM=!r3Udsl&DoU~Y zHc>nc@R|^u#CCDGCE#`OYbIcaILztp6g|1VH^lGv0^SstmjQN(ybQ-%;<5ICx5ezj zfOkZhu7KU*eGdDsm>37xBf35d*eiNZ0PGW5-Tp7RtQJAwnDCXw^4vEe@EZ!6K zhX4+XRrdgnh@Za!d>|^Y--n{&a=>R+i;${xuoS5DSa9*@|4RApW?E$zbPJauyBu+O4d?)1RfbYck48 zE+YV!#Zye*AH~z0?oVO^oqR>)t^-^Z*J=WO7KMugei5hWkY7dAJis;a=*NKH#CRSl zzl#nG_;v9Hmw7{U7!CMCEb#;W6n%J*+!W_l1F|H)@{C8BBW(er^MKYcteo5ZsJhSCwcF2)e zxPcbS9NJtWXO;jgm3K2WmdW3j0A7|w)&rKy?)?BOWX%D9m2xTbdX-GRAFx{H83$M+ zALX!X<*Md@_43JPfQ|A9lW&vE_cUO$+*1^=MV@~buvI370A7*9*8pCXD|n=AlTXms zugUuK%Xazr^MD<)wn}!{V?Jto<(nU1B<540VjqGQj_Lp!xt}Rg;%i2w^j$o#?w(2e z_f&`mE#GGbXyrCsVboOaysFo^Mekq6nAR-59rS^o(?M%3VF+vY;F=zs&*XjR%~;Sn z?N))--Jb<}%O${LLI+8oz<)OP{j^bnv5JgFbWo5zryanLQcfxwD_W z+6r{&Hyn4^b6nlu;u-xmQK5^Wj+-V@>_z+qAEKEN^Y`%{3AL`SB|$71$T zz$fAxy8pPyV3a=m!r1t>1@(WJz71{h=z^gK=0$`hbkHfwu3+Ds8 zDfe(iZ_DP4!#lD7`|Xw+>jMtRiq8TL%F0Z`L-OUlfDdGqi-3>hRPNW03?rg~)|)c71t80iOpTGo4|xG&j4h=AV~sYP#5iLtPstaI z4>-aUqbNsz(OAm;Kiz1$6)?j%5Du7Wyxa&d-zbs;SY#w{GrnYWs0zq7wv`0DY~=Dx zSZ=)bGGK*qlWSjRtmdZ9HLlzZc*Pjp)T0l*xMH6|5T8*AG~q0*^}nzY^m%db7{DAc zhsm&7T>BibP4rm|*dxBDuaAk{Z1R#AZX&| z!k``Ep9Ae!hyLo6$c@-}e|OL>n-f60KFiEVN@HY`Po{%*OI-rmZx$V!GOYpVfY-Ql zQXgmgfqQ9fP{YZf&x~Q_4EeqrXvRm}@Xx--=??v@Ea*oZnF2bZ8ACBjoTZ1x zh+HPuc=73DfJtIo3BU|-l0n1USw?G?ILQHKi>(I%^Tc!Y0L#UozJOKY96hvFT;a~x zAbNfa*dz|qUt2}dj{vw4^8?-%1?k^+#luXsJz^Z4wO8 z@Ua-gt@No_!bN^2N^!iC;@3w3Ux=*pfGViR}US&{uA;JkQpDByxP zupDqvydDF%B<|k>_)g5{4*p)8=T`brTznpIRn&Y1a8vxU4=_PqSO=Iad$9Y9vNvtc zkms`jGi6<#h_mH89ATb3$;4YIS5vr14(BP9BZmzJER~Ho>*exoX4NWLb~9kDEXVDc zD_ea5*erKt0Cvh}=K=P}CLaLy%Hcx*`($bw;D}r^A8=HTV9?)})0yud$WOKdK9QG? z0glTmOqWmP_OXDIa=}8tWx4kOz$ha|nko9oPWri7+Jm6YbMk=piDOEP6dP*+=8D%V z0alBR@RG{u#&hn1pvlHlpiLv0i_NCz2W@_M0%(iaNuW>Ug?V4g2R;Lh>B$2j_AZ`& z35Q+;ZGV9w>d=-B@6?+|Q0HMMK)Y7rB$AIXoZVj>58C5ndc0R*W^JGSBSHJsWvV^- zN^j7V%XII6dd${A{TcnVcisg}KhX$ua42`zuqmAWC^57e;CXR;G+?yo&7>MD7W4v) z6P20EFNnjm`J(8_V+S->*UkP}!g zI-~+tih+#a8u9!pzy`693)m=D)7UHGqd|ae;_iun?V{a&z)n$`(cL8~76H5?ey9T2 zBc{{XKC!V7;GhWOhB_?H90j~D=6($LL@a6p_)OGi2u_IIX8x$8%!gLBM%2oVp9*;qHJRMAw3V%c3`v_($;- z<9J21naQ;IRwgh;7iE>{fFI<(ZvawilTtU#vVp1E5TWADmOtB=;!1H-Q zV++*)P52!7t;TKAVJYgZzG3KF|IX>h_f7y!G&0Q=&8l+n=8sJTZE^7v&?iFYgSPCO z546=;Mla^@T+qO0Y#AGU1~hKQr=an>B0)RsUkTcA{kx!@8a4s#+@%(1mrVmfyN;v0 z`@JPVd$i?A((^i9)n{KB(5KdZ0GiV2Jm}M1FehLC+1xS%UgB0s{gatAFf$f(&{6hH ztI`iNT^0Zx+@%ueGien;heR&}%{a^5`s`)y=bBG61h3(sBY2s)w? zdu549y#XV|tWu^o{uK3@MK^_?`IaS5Gt?vH;x7Tu%NdgZ$C3)l{K(@>u4akuVN&*(k5ZYWK&vyYVl?!NdnJm-}@UncCL0>K#vfm1s+zGH! zKFpo4O4fcEuv*p{1z01?JONlMTXTD?li5Q7>!qYGH_2a+XxN9t8UnV+Z>Ivb%9a}d zugC-j?^RjgHNXzpCI+xmj(HI9ro4~Bcjd#hvPVvx1vn`CoCO?`t=9nFlZQhAhh>k~ z0Y~J@Lx7|5!}|g6%k4b0K9+U106vlB=!uhZ7u|eX4(<#%D;tjnd@GB64mc-c{ebhb z)eOJ|IfWEAa-P>^117{MBVj$@ zd80W^Pd4J2<5P{7xrS**-iHCRjKfAN-~uF~Js#sb;l1q}+CHORi1iKaQ2QI&_+s`0$>`zFV|_zAuk{V>eAYL# z!>n&;=eNJ1UEpuNpzo8uw{0;4*cl?HS(V%Z= z7vndyi(B8&jx-9E2=a~j4ejqmr2V~YAHSFF|A*hp#<#ow9pB3~qDy;?$IAI0U3d|( z6|4MKtO{7MDrmng9u>{+(3iHpLm%SA>Tc^h^kx3$JM?Ak@6eZXe}~>^;|(od6Jau9kRP%4 zm_ak*%KPds{Slj^iS&lP%LcxrGYF?IdCJe+pgfcs7QO=dL<2PQwXAa)w9VV(+s2ZQ zN%$T#@EY61HoOEHx8*y~_~@TNJIrRAj$d2_?R18G=lpEb{YJe!R;K2)GZhK?fI3xhbm&aYZ)Iu$D^m+v znHp|qYN5Z$)WTM#7O^rlKQcAK%G9D(rWUg^wRmu*M&2<~BZD%v1T(dym8o|b1xwvI zQ}41f6$x`$j3E_#4FX=_ zF`8BMJv{L`lC+UIsnD5h;59NTg+@Qfrq5RPH5iINPU$saGe{|_4KyY{`M?_-DYmr$jeC>U$rHQB~La4PM4(M;k!)O8rhfOWh^ z+G#R-b}q+hbva1B>n1Kd=|egyxgV$1Ef4#4pUE~oDi;Fn8ON#jYEP&2j`#yKHH(jHLG0ohE{h?AAH_)uuZv&l zx*K9Ft&EjPT+ulBHisQAmlEd6-?;1M$s))h3w`pwDz z$@h9vUni|!nEYOt0{oh!bBp)4zb5H(E}Z|JUz1c}3N7gh(_^=VsnP$2N&jB!e>hD0 zO1r`o{lCw&xBS-efAEhyhqBpA{p@B;Re}f z-XOQIev$kM>tVRX|KxM=FP?$zHxH$(XN1y!`HT>IxAg!}#)^gc{A@h{#Fcd}J0V{D zj{5D-*FTBBdeDe3Z$1(ySiSJ)ugwPpJk00^gv5%zDu)W=>A~0YBLXj)!|?*ve#`NI z)K4$Qf+WMb@OiBZ-~Wd%qhOIoN450%8uGEpc|8Qy0)S9J02Q7*OP3u?!H2nnI4;_Cu=tyy}8eptgQwcCmG^1*w zNW2R$MZ9?rV5aB~JNhyG|HT7(=PD&JYM1x8oUXrA08M(VCTQ{%F1FiYPPF@+GN3)m zaDhE5a?ZV;Bklbh<$W4*`h7do3H?GJ0DW>6UHa6lDxfJHXzl4uwA}xFjx``q5p?ML zv_I@9Ee!vbPR<-k$%reooF&#%F;bMFPezG36pj{s=)^H%G`%}kG-7SMh+_;Uh`qEi zNz|q81<{$^r-~^YYP(41=&y^ejLiR}`R?BVr_LpNgeyazadCa6cE>oZy$DDMvUZvbluQ;!95HEAc2hd@sJ|s;`Ol ztob-O;Rv6~uh`*|oKfCRgyGLn4kAHO?@Oa4s{AMl?3dh-3!OHa_EcbBtfO!RH!BXmg(N zAY0Bio@6W*7?+gWji1;d+o;K)=NL0-da<#Mu*7(nBP=zZg5P}0j9OgL1|x*d%r(Yw zW*dzpn%-oju=`G9I|KWMk(VBP)9A*7<$%$TOPTGR!L_gQ9^%Qf)4Q0HIO6@4^Zd%| zAzbxV;rhnW+> z1pkFw^MBKy0WzAF3tfjVB$%&Y8}zA-xIMAA7HCWi>C@#&`#0eUI$#{>ph9eumQI>J zkJ`a=$q#**+F?IYlKCMe&z+-WgpZPu;vI@ci2=nJk)8_ku`ZZHnkZ-HYOD2$^OrYq`?w(c2!>8~*Qu`hWgG{Te=; zmY&y1#q|RDJpw;?ZH6MMRjZyhsD}rDf2rLDbxTRa!SAM)%*15LGk?=s@MI!x^?eY9X+enVgvi%tu6jj16EIaHtqlU zDyu);tw-N}{r}DGwOr`w*>g}*zyAHwtMy>)5r?GoXH$EnRqKoFP}P0|Og=TO*WjK5 zlDefmZ3+hU>SL$DZEcbeTE|H0pnm<+d+T}+4geOZm(;C)T0hP9?==Joq4^#I`uFbF z2RuHZ?boYUuimNPeI8HmUft3M4^oqZCM_LC*$RdR_3G6>U3akOk0v&c&PhFc_3kz} zCEYDc8PMY?-777z;z<@MUU` zZk#v0+OyX)J$lm$eX1)-eTSI!v~;Y>vIzfoOH1oDh>JmETEoP<4`yfD#LiREQZ>B$ zmi(Kd#+yPc&i+5_y$f(;*Lfz^n5#^Il5A0?9@g4Uu16~oAc00d0nGB@ZJ@gc4RoV> zx`BDnk~H`@7%w0hri?N?&pK=diB(M!09i5(N86A^82@n_Y>>uhJvCw zG4B^0aFdi+k`as@GXj0}&6VA?J>0s*m*?xU6>9L06GIaz{+F6a%g+f&CbT{o8u~Ml zYX9(EsrC-U=)a9pP55SWZ|~|sU%3`BDFxoU67C&IxNpB)^H$HvIrcaX{W{I^W5y=&8aJ1n^?Du8=m_GJT z7HWG}I2gO?&tv}d2#0TlozpE3cGmK04$&fDbMxRo85-JHSUA5Jym$Wgox88y-FW$> zKMNjsbz^fOPw{Y%-#Yc%!4KYA2p&w~>5%cUD~eYMkA$e6=h3pw-K#zy1b0-kewb0KZ7d2O@y#o>={E(8xGgAcr_ zW8l5Mfb;GRKPw;bBDqKK(=V(8g!cMG@ZkC@_cj~%Hyf|Kx!L%g`_=okiQu92FAQJa z#4q1tfB7JOd5TA#z%M_@Umjc!K5`4+Z`U^N{cRb;`so+b6TwH;U%Xx0ym!tV+QCh3 zy;#5BxZk*gt3I^;;s$n-=4-B>-o}$|-L2v;V7Bq?m(B6uz3W%MfRi7(^@aMv8yg!} z?|u1Rf5og^y|>U9E^Ic=n;Q*3F;Pj4 zr62v%%|#CK%{o5B2tK$TJox&(jk=um`sv_-^*8)KHimz(BpqD&)i)% zZ@<2>e|YV{=`-pcZk!SPzUZFdee)Yz^?dN)tJy46%mRMj*sM&7Ry)5{`t`Q;_!392a~s}kro;Lk9hy!8L`o!W$;oP!v!jz2iIR7 zF5DBbzSX>U_g?K@;~PKc%}4WJHRRQUl~;q0-=g&2zP)j;fqyj>=C`rLr)2MyZ<#Pp z(5~ng28-Dn+zci7{lw54DV^crAA**;_4SF=hW>qe`0>-}&54DLjZHZaJev&OH~jcS z@bBLG!otErb@=-5Pxky59s4QsS#%_R_D|vZ?*A@(M{e}Je{_$Zy7%?3|0brNHqV`n z&ER|2f44gPSHJMvjfKtB#=Z3YH#c@Ce(Oy!c!FowgYRDd&ETW+zw@*A-n#nqowxq! zm+#$w>y6+e^S`zE<{J`9dQ$=}zx-~%1Vqh zp=!V5b?b?ex!->Nja*Xeq|&eC28qG%CWa8P{Lp&vzSr-(xcNq%t`t1c_ni7Vzx@Wj zF>v>?xA6-q>uK&k>|rcx`iI{7p?O?ELs|4}WqI|BJ9mo*w?>@F(4`zlu23?ptqs zsqvMkn=iika^qKTe*G;HU{QM zYy=O?-+SrH!=DHy-zJP=8?x`t@Qsb&{qy$#k-hih^8Nn3yG$;W;yshet8#x|LsHI z?;osa%e4O|U zLMNvZf0f_6t6>r-c52_~tRC*6;p+2od}*xre$7^sSrwB*Ra38G(HOUl0fE61Yb|g=O${k$?PLp*t&optu@XC zKavz{b(E&;DMHRp5v+Xb5q<;EOMd)lvIEHQMDS-X%xClUbSk(#H2=^-4TkMUF$j4O zP-ekl5`jOMy7Ckph&|_1`kY7mKIgmjIs16dhw+>rdtpA4nM?(rKP8WOsPAJQlFvRc z|4|vy2j)MVUIgWQVE&iZ&{zG#qyw{LKHw4f$9{dN-%m;rqydK>9+Y@|K6PEh<|2?!@&UG9G*|6Zod@# zug`Ee!QXw)JjVBR;L`rp(5t~KFJMZB)`Oq;+&r0(C_lgxIdKRfld`bnAUTeBWj^?w z7m~q$K6ESiEnM|;r*Gjte}wt^=Q#SearFN%N7KPKKa(6w1^?j#c-sZ{wqLoP4F1RW z@@<3Pz^}jX-u2+C`1$jA!keJ(KX~t}GLQf48BA>O^D@fccrH01K(q7Uic^UnD*B__4wbhY?nyr!?_>2 zh-d$y2p~wf;39CG$sk-`2tJ)`1|Lg4v@niQ%m>>;3*#Fil73!Bkvwt~kP`0kT~gw2 z@L9i?7kljSce8Ym6)XB>RyU(X?*M7SkO%5 zu_;-WnRn46yt9kL;&-mTD{aR5J$~i-*7o7}*6x$|BZ|~uzHHI67!Uu>skKX3w@-pU z$!Dk6=TF}mpBP8HG+706Yz5E0jpL`z->Ymd;ArwA<=x#{xc;-xhA?Mz22dH152yP^oS-b0EOaBy)I5HeCV7jo(j^Fy6Nw zfEnMHOko@gIAeZ%^zQZ>6WOuU?cm=Bz0QwMR4|;$S0^XZ!S|i|m7$@a{57b;TfwJq zy%Frr2Un88zc-%=9{k$9bg+6VITWlzA>9g|#`omH=tA%YC~Z195u5`g`t{&ya!j8d zJof5DkY7io$;KlS!G3ZfwUAv{5F_AO@r{E;M3E)K+!(GAgOWm4BN7$w&?P zxN2|wO}+nPZH(?Vth{kqz|*p-r=!IbB`|ppf+X1CYK>+e$>_ReQ)7a>R$YH;_=zC@ zwh)TYL?=!Yyv-?d^WWk}Qne%B9_2?wE&mnZ*7?z&_xkU{^`mkJ8Gio}pjzj3J_Pi} zA7ZM>w{slA_Ya33c|>Zij=RN|d1Zy)+&rBEg$LhzlB;>y>EGmcF%+1{!0vS)J;|k~ zqX#>_`-?vKL}Nbvs~qoK_n=W|FnG3j(ZAvMjmYfL;3Fp)^KlcMqb%b~9Y-O*#uG~X zCYBUaTQYq@=O6nE4FQxQ1bRG|oH`x6|7(0|@QiRYNgNMf4;~qQJeeBXT)caC>(Stk z6UodY$)|bVac>j+noz#@Nr1m7U^AbW(9jwj`z)Blv$yuxoU^TJ^J)nIHm{HV(M{u+zZf9mwB zyhfiZ2gs49hJO%SKMf6GeW7j{WO)a)bzoZ83?MEN(9Jy%5E=-4?~ai2ABlGV^%Fhr z+hWzaG-N;4a0VwBE=pILw>*wbeui)P2k#sD@T2L4;L+E|7oH9_<`*Wipp5i*eta~S z-hh2jO*QgRuPL}k!RyZ_v%#O-91fG|m#Pzu7he{qdF^N6E4}%-d10Fq(SuNqfPIvZ z5hf@PhsT>S8^QM_VF;#C?wh6Q2j%X5r2ce?A zhEX)!*Lac&#^9^iC5(6buS8s)`s3iCw@1P6^G$kYHQ&fs=2?3zf$-t8T=Xish2+|kFT3oNxxl{VItO2gC+it6Y@TIK1aM2{PO44v$*&( z$p%LF=y)nM7d$zSLb?Adu?`;(K^}%*crB56T-JSo#c#UAK{dwL>6UfMuX8$S0^#EP5jG@Pw?X= zha7xNM*j3^i7!AU>RskfH>h?d7Vtf|u^#+&PQ|Iy^QVLV9WVXhtx=ef^%sNIe0n@J zhIm&VGxNn%@Yd(nF)x3L`Tkj~z&Gp)@Y>*Cu2ps>70s+y4g5aGGo*aJsq2pWyzLp(` zSh;e*2;SiDCB6n8z$pWb{NMpGIYgJrx4Q%vPE>Ni`T5|7l88=n@jg5stR=TL=v3y@ zc+22N5j%S{9bB7-Z2=xfAn6lAfu~VL!yzvG6sh4CVD7x{7Wy0*n%Q_A2RGLx zgv32wPbGfzL?Yix-8OpKq1>BogpBmH5f~aU4oGqW$^3gi2Fd*Sp`igT5tllxOFhS> z9!tFfCYr>wLJFnQm`mvR@1Acweg3XYz}$S09De)@=P~tzoVC-z_p4d7C0rOBz6$?A*JGsyO)Dt~*`geH}UXVZPa`DZ1m=?!h9A}RAznysmp=!0S!513EJYGBaP|^TivKSA3Lz$~SolWxf6NQ{VHBtrmk`ImT-7DT-FhfAJ!y z29*FG-4?5*3_?393c7mjy7q>1i7IZt9sCb2Q6)i8XjloYNL(Y);QhLTc)h0l-u1&P z&mMOAGl5?hZ-N-_xr+zCA3=U*{z(@a`o^MhJmxGM43D$$A>Qv_AY>!O@;Rhk z9+K%;=I|4Bejof2$9f;8q;f-FG4U6=vA>2!M6~UVf8rgDIGS2FHNN=ia*-}^mcEu4 z5*l8736ZT2Cgu0cOAO&siSBG~Z*SuNoyA9FVSY)5bFbe7eoKvBM^gCZ2rQ9w8c87J zF1;u*qU*a`FoO-o0z~j9KaJN*4#~JLHB0B(B^Ig=em%^J8IpEc`@jEDTrex73+L z8zY&WU9PpuGnJ)wJ4{dFP@yr~YG*V26Mt39&BE+byVPh^s(5A_4@@`4v*~IRAC{~2 z!czNUy;*GIA4c8|+vc}wWofBeYL^y^l>#oq$;*6)Du%v(dBbvx3d-^6cFy;SlNCIgJx=-gTJx2y>hs<-D$T+!ZSPj2M=)0 zc6oo2ngG>642wi|9)aC><~I&0P@dnR$Ii2j`Ii~C!Lon~iaWM<`{Q$T0bG5k?q zUq9#^julr9S4P82V7dDB!)#{6oiiFf8jiU0M#sVtIn|@ZW}yaBY_$uQD*jBB@kKh~ zLba4AY86@UWNLYC0h8QnH)_j5nk1_1R04EZ;*w703hkNY^2oKreax@?7=N-2ENQZ> zkkIz_WNxK><@&lejPtEV1#2}|IXCC6RS~0*NyPoin6agLz1^x6s?{)^sbXlwnW{&M zBO_~j*RO1MMl;DwCY8%grgCSI$CSk1vWmwQXUpf>l}lhl{!*+jmOy%~1;;k2 zTmqDWl`1SPx7x+?ShQ-pSgp2GX}sRKaD?y8GiH~X)o8vRieL%!*WkSUcS#&JOhJ(9Xvrfi80%VkL2(b4devBQnoLIcvheWBEBRUjHk zSEJ#RPab7bdl0oo9r~$WZ7-GDpes;#jsgK+WW3VsWUft#ptLYD zo}JEs{6R(G7#;?`x!mev5>PANMsHBMR9;>j*+s+Rv1|K#hkK(Te-GvN#Npod-ZP#3 zk#>7^G;BlZ9(Ew3_jW;ZBhTRgt&F{O{$*%`o^(X@%ZAiY* z=&hM_Bpy`p5L@Lba)mPpDFCq^+F4G^xLg{Dbo#zH9OMVwNu7Fu({Uy-ze zvQxUiaxFrJ&(4-wn08&b?)yRGo9kkO)j;a6Kh(8x#`5# z!P?fw)}fLazmxLX+vwbT{hA#7WP8zwE9!(=spj)8`IcYa)Z&bK^K!dff_iC|+L`W2 z6wWQNb@O@%cFII}(Z%DP=E>+7|1Ea6SrY_cN1&BY(a((#MT5w`m)A+8J zRkg#=6>1ZkM=kj;?4=D8+TnE@8nS2zsopXvvH^@mv+?m6WO<;6M%4)XzqD`-r#5#9mTc>UrLS}C-jgBo@_owYWcgO&C+yubu? zE%hvnD*Z~dits$*hbSOG0BTug1{z3bp-4cdqyq7g1_}btY;{WN2tzdwL-pL^ayT2x zZWeeB9@C)mQ&9tzX$XWADinefbP+w8#dXE=R$NbJ`6ootT%m>Al`GI|mxX08QMh4y zyR&it*Q9;OnlIF=tcl1q4sl!{Wt>3rg+#eL%h^>S0XfnFPcD^6fJRxTrl>)dTk4^z zN13`*E;q)fm*<)Z8M&+pctpSSEoU3cAzTqA!z4=caPg*~#2gVs-m7BWP$D z^IumtSN4y0U~v^4*iWRpeOGw0bY$g@z8|YLrHYCE_Dp1%P{Pp!(y4t+T!Kaue1K>G zr!18kIA>|j8CAulN`=mgCwF||ak!^th9d`~;l~ejmSIBV!hOh9H{}-!a2MN+LK|!i z*RkL9i;RG-6}{7_l-rdC6kK73feLyUVLm%ul^1E2KshkKMEIV-7c9^Y1Z`5z=pBn; z<}77ns~r=eVUCQ$n#cCa>W^OE+V70;4=gOAHn@SfG$IRnj1TzM)+B+e-EKd6u=N?( z#Up3V{4kDxdUW)M#mE)a_i0WnG)B1+TSgk>XR%;LWf-?t9UQD>TD@U$?653_TC1HK zw206^W)UUQB_@H##*Dy_M4~x2a=B~X^O&nhEw>td6mw2*Qg6%@nloS%*sJi4Npeld z9;l+YJcyzf2vuDyK(K;TV2OY>D6Jrl$mk$ey8GpNbG9^)U{jNHW35$HBAwCMj{DrH z8kH=Z?o{aMa^DT}rpGNvyEX&CPh$(-tznAU!XobEMFVlb`si?e3ozA1&*61o(Soe3 z`OKFsR?a~jXMGMSEMICD3rjGK3(F9Ey87KFDME$fHNc8d)`U$VqmbYU^+G!p8h^qK zMzlXPfXHSLQUPTr=5hw*$PdLAaU34JB}35OLnM&;aMjj=1``gAS_w%4}>v&v}uy4fl6a?H(c z%w9yCwOYYgTp%C|m`(2}Yj%h#FA-bS@Y%)LR&%Ldn_;-Huv9uGiuTOv!T#ai&Xt2U zd%Sc;Vf=3FuUy+S#;=tPzPmEgZhW@A{MmN(vv6ESi2>eS-MO|E$}b2j;ty}Al||96 zqz%U8;97PQ2dpazAd5>$xy{Hn=4%l#WL{lZghMLyt6|zn(PmJ#&}?1QWTK=+(2_9< zXuQIyweeF}n<5Z+xYdMT3hr={3gK?p=#`?#?#d#4){t?7{oRn}2R8OX2sdmOmX?~8 zndPOD$j+7RXI7p)a35nr+I)DSR)SDKcoUJBHrWWa(kv9o((GKdbfHuw8(CS=EG$vO z4Tua*L9bD`6iYM9=LVjg#o5O6D?q6g|^(Uzi*h1^B6 zChKJ+DDMK9>Dv|fGjd8Ig;PWK&G69E5e)#^b-Z+xZtAa`E)@{>iMfT; zOH(Kvj2j9KgnP=65wwbDAdcnr;a?_N+pGJ_yEJ5wVu{icR1^IJXDK*qshh=es>pwy zQuQZ#u90@0fgieLtU^K+PI4eZ&N1~yk6X(UA`4TDEX2GkHL=svNE=M$a;d58RO)O# zk6Ik$qKq=&!iyI{@d6>`LMTzvqC6{sld`!xcz1RLLCjVB-DnohL3AP5B+CPrVrdbg zhSJfgFi#r~khoOGyiC%JP|yjk`ZAObLg3=TYD9Ge7HFY)t~Hu~o`RnPJJ#1%Vb**_ zl)@lRIug1V-qyjvwUyP*F>0la``gjL1iMgZBFTpk52D5*Z`}J`D9uXXNAyWtnMkNp zl!?Skth%;t+?39%``#Fz%vL4oNP^NBIG7&v$y`~hvyHmg3ii0G>_Q2wbNI3Ne^*K^ z_TiD?e=quvMB2!oCxhVKLJ|lg;R~Lbt0@Eo5}7)HIx>VY8BW??5$E-y9<>XF_#{R_ z4>*;2V@YS}{h&z)l^A+iM9FxJWMjXLF99RZDVGzBO!rmfty(Z!IS zccQ%*ihLHSVidPhub0+VGXSOlmjY~YTtX2kOf?%W!g8RQ-l|?0s6*1zbQX0*Yj9)% z3m9NV?jU2jYfVU+24Y|#*(BLD1U^cTSj8}(VQ4Q}eMzA9i3r1%7X!oGMO>0HL?|Mh zQzCS$45Yw5w4Ci&+WZ1EK?dh9=v2WMo5iZk44SM|p0NWNUJV9oWu^|#n=;h15oZ(F zb8Bn;StT&o7f8B#qzgmSXpI<=K=Gv*2e%{@g|VH&zhg_#N@CX5he?fRl5#6X3M^~Dwhr{GgABBD}4>RCJk z<_DYN7Wf{493>h--GQ`o2MhrrfUUy|KmPHMCKX{j84Z$00a+utVB;<#`*=Kxgs`2J zZN-sr&Lp;*Hd@ALOym2UN2-rXHMEck(X8HwFjbtog^umY)1|xhj?L5MC3IUVl@NOI z@J-Yd7A~Rrabz($;cB+GkdmJTRx3{jd<#A|{qI%dez(sd%q)}+SOsa%3-W}Msf1w1 z;;Du9rI7ivg!t>N%Zsz&*1?q#sDWyjg8u~4!Tb23atJ1xv<5nrwNCl;*#vF%f^6}7 z0WgY!ILI*JpA#cqKY$%rMs~d29t!~nV{*6+K8-GH$&SIu$oEOJ0wUGHCds>xh0hzZ zfOFI8qfsRVVeDI2l$BbeT4IC-!8)-jQSco})>Mva&8i-Q8)Sxp&#BQ>cArV4)hQDQedlHyDvCvZDZw=I z%Nr>3cSmguGh*VK=SqvSmsQGTDEgN%A4WCFd=NfF(FrqdYF)p07V<+b)4#R@n#4r( zLhHhjGx(5-fd8G@>Fn(7KYPZ!x07Qo4ivg~?)}V&u{k;dG_l0qjtm0Nr8nlUIS;}P z2_=ZW5|Cl={Z-%@*G!?Du%*r|0e~T5WXj;KfT}XbRf2%07{iRo+f<5qLE%Hia2UxA znE-3Yfw8EAzU+qj1~Q*Ocda_U>iyC3HaU@)E_Faes_h#8cpf#J&MR~kCZGlpR3dZ?W+-@X+60UR3*o&YMHW-Q(Grr?UtY% zce=h^wdfs~V9BYoQiIn|mg!xJbi9=Fg?o{^*7;E>>Uc4ce!I|tXd4@VV^g@gj^ zF^!P|g)WQ=1s21;@UJl>|uqCSr+*;2dM$BnJ0(2QxdJ2#h)qafq z5Z8SXQ)QPkl_Ej=OGxv{BEbC+tH*j+Ndvy%b7<4 z3zn`4|LD@muWI4gF{R^9n~VBbSLDdJkAw=9h2XKML6&DiJqB4H8BbkGH>Tzm?9b7J zfQuz+h(R5<%)Xte;(_1&uvXf95tyqMwNxw6-JBFaieo!Rn`AOe(*@{&-Xx5h+bL>J z>P$dqqN0m*X?(Ki{M?jHnWznlR5JnvagitTF!r%XK1G+P3Eu1YN+{JLq#YJIswNPl zzp{Hwy#W(hL?yHjJ#8;mtS7elw^dduSg04_viJsp&@9PTgK|vK3+zY0_yik;BUz1> z3FZX0f?!St#>+A(rtqEDv$(fQ{P;-e<~1-cLC17{w${V0BheN8+#OhxCAc_YXZxV@ zE%%+m6mR{!$9$@YAs-uv!x?pQjEmUVR zfW^qGdiHNt&46Wt!B&PRLQcOl@Cv;NF&3FpVGfJASh~m&!m&-=_Ki?YihK< zDK87DF=(wTVI;OoH~RHJ`?=|48>wSMgsQw^hM43ZRcon?6}{Gqouhh z(fK`_$z-#zptFj+1B_p5LS+XVT9PEstOs9Fr7<7cj~$^0(Y)ZJ7&=~ zR(5t)Al)~1@!O~gVGuqIQJlJ&pU%zZN)nW}5egv8kCZEF*OQtws6MmYx*STz0mm5J zTf$Pch)V!w7fZOj$haslJfKxDnG0d%5W*72UvztM2F42gb!T=_FflTxrh*#G>Xfsz ztX5dOOiK#oJ3y_Zv&06=a##rN@k^>9KWB(!6g?+_He9gW0*q?7J!eJa6i5c74{D>a z*Pd-Ic{Q0@bj6^74S%p?Q__}=W*vYPD;k{M5LZPahAz2TMxjv~zzTdff`kk#^Sso4 z>O6(Tu~D0X^&f0KrUz-3_=bsBfE&~|k1QW}-VIc(E~~4s$nZ$Lp@`zva)U1nD+Gi| zq^v4DlP>28#WU&Zd`kV;XX%GUwbR>U8^pWpdUQ}2wl1Xosmb)=mxI~2INWrs9$3=sX|Jjv6qFhXQKAqv3Dm~BF)X@znN1O-1^xE7fA9dZ~f0T zpoFla*q`viBd$|ll$!KSk|Fo)zlu{|f4<^BoUcs1s2v(}P zJQQ1x<0-{`5MvikP~IoV52}s+O$1OrMh-h3K#AgM=qaOW)H6gG4$yQuwT7I!5bLftj3h@iOpZ@cN`g$_iJ_RV-?j zOgc|c5Ku}&+*mI=%u|*!@1wSZ!s>{Yz_2MX1sy;QtwPDu&|GjdaWH1IWH1Q4S>3z# z?8w>}3NqSA$fC{g)^6;uV<#j`nykBm(fL%VS%=py-7!$oX&DY@03=3-UK?keWvo#8 z7$1W`^MTEuZO;HZr75+Gl_GLB3T^VTYOY4%5*;^8PD(PFm=Wjia>~T3Ghjda0alas zOn_d*Dw&+MrFvQxJR&Ak@x~Dc*5IOC18~!Z-|RzV8Bo)XrBJ3fwZf1T6#Rp$k8;pE z(fS!DgBDgaPVsD%?oQ(0AV5m*QmBUIZI~WUm+^=VL<_Z{)dhSDWUrBP@ZPFC&7`A9 zb=D~}-3GAW(q%`P7$fIIbQDdI`Z5TC)WHYKClaFvQ#V5{5v)>sEnZmTWCnjz8b6yy zkc?Q=>N`N9;zg0foSd5BKhC0<^@!cM_;n^PlS{$E&>0L?W$mNDqrjJ4MiKp>mMB)3 zimStJ7p$I;ZS)2F%;pr!#pG(EKh-30hR-l_8_~6^l4~u8p_sW2_p03hz=h1 znpRVquqah98AeLLav8W<4qeP26x+SLs27)qYP7v{2^cDHG^C-Z zG>9^hxlr43L*X;UXnGD+dROgftV}&V^uU!MVo8X7M6y z8S6x3bcXG+FhHjYOp?%y&K+3?jtD6_DX}4M8}%$=qRw5cIH-q_wYiK$uD!Bmbvk9j zVrmRjh{5PQVIDLS-QzP`+-Srv(L_3`6BhEw&aVIJ_TG`JPf23ZIfk+XZA8li4B=ZQ z?Zds8QSV~#1cqo|1hz&&QxvpDDLm}NGGGps=6Tz1gynlM{WMf&Y1Z&iI!hPS@=!cB z5`nS&*>l-MP#$xHHcg%d7oK8zA@5RsGGs$W5T8q>pnmO0XNe^#gcE9>NVt1`nn)$ zfLXn-0uh{bwaFq)1V}xt*B#)pw);)DyRYuv*4@gGf-G5=7z1yL=RHdL!Ll` zA*`fz%cWWaRonSA6*8}oYG2UD5-cnWwle5>7p8O?4M#j61!m=mZtA5WT%aRr2Z0$w#0iC{Fi_a;Ep6;ww4;(QTrO-!uWDp<8x2gyNWP;X z3x~H>rSl;V0JNKW>?r1l1qu4}HexWBPl3jc%P+}|2{F*?HN*fLxB^g}`uMgtW~3&& z%B`s)!G$Kl>Y*=uQ>!&clU+*8Xlf}$xP?mc7?6OOM9DT;NowqR;COcqH?HlE?C*}< z=#Nun3&_12Av$Fcx4pZ8QWBg!8lEB1huRU+bke{bK;c%(#NuvV5o2GS$O%%_9=(u@ zIx<)yQ`mim#2pcc!HVu5j@%eSL&{hrCOQscuyGxATcZrHNd%)~3QKU{A1EIp`i|iH z8ZqU#X@C6gK_C2X8-W)v%`#lcbLdFG)FUa;`X*x1D>g?0&4SL6-!OF|hfFLU#&aXW zFJb-omEeZN?X@c#?bYl1`yD7gX+BHMpx0Wk<6NOyhDXmwJK$jv$cJ(UjvG=FEdUS9 zHO+br*slT#ZzFdK!2L)ZEzK>XFN2XrtcDe#Ky@nA*{{_iyFMU13Vnb6D>Yea z5pR+zGpuh1_Zg>pu^zut`2cac*2a4*)k43YQIPugZ>R>8~d ziTq0Evdl(ed`QM|$ipq2Xua zT1k+pr)ptJh``w?#5Y2xTNly54h?I0FH(GL`zmJL zWd3Vj=6{)uJVz5~%6+iEIsva8?v1qH##Am%zK<7uHlNn#evk#Jd^-N#8naCDm_0?!8 zk5El2U!#^l*AZ|khP`A~RQR0@=_he`hCh5Lpx^=Nay!eMcKHd+avJ5%CO1+RXm|-> z+h;iw*-y6FfNEs#QGya%B&o}GeX+`>QHW*pxJEiuuBJ1r;}ArH5DBCfDyuR8POwhM+q5OzO6($VrmT`g)S5Ui2y4O#wvu*~47ZePfSr_P6Ga*L4%c>2^=-_wB z0^C5KxRNEFw%h13N-D(=6Be)tX#v5cF0mG%F%FD$AJx^m=&3Hk$9)|PW?T?>fNgUI zHH;|)*Py`0dNu4jMb?sE@o{fP1Qb<0kwxj z?vZ}fGo?&X#$k!5m)w^R#JY}jIvZyh#CzP;kxp6G@3js_l+{p3N4|;ImYzaz%~>Ch zNDbUKB)k`w;NffBhL(p-sD-F-5IBL$jM+9O-3KB$6L&d|hbYO5DJxg%)*yANu$j(K zhLORf@8vTLG97l$w3xo0V+M|loKF+P17xId35Ht#_Bex~N|aBK)3%-jc`NjJ?^mUi zu(BHQk}BYWWH9|w%G79%JxPoXlWc7RazGOwOHpcKBZCEF&4@jk?dDi%JLI`4^GgN9 zE#}x47CmkwIjk;=nKFcm!5p6RA7O2~tXDuD&q2(j@(@1}@hOUo$_+Jc%?jSG*E|uI zTc8o~W|+$5X;PrHi_u=EX#2=MrepYt1U?&avlHY2xJj%m3bGlia(Qv zxiypu&7dWigH(@LUh$_%Hqm9Z(z9mz$QUwzo*BZJvDT2_6E3c^gYuIAD_Mul2p!h1 zTBpTHRmyx5jRF{)aSXwDvkx3Tp`8uUDxfCHjIIJClce{XT@Dmi8OA3d%loBq$=0U* zy>>0}CYYLnUotK_mMrj+pczFU3^hYeD)MOO`t)TKW(rhgHSf6+vb;!7!^3HtDAmX( z`)=E?(K%d0qq%lxf1k~1_&gb@O@G8jNys2QWb;JS)SFi4C+Y#jBp_iXrfgE2)!MQX zh}?Q$5l*Gpr<%QTeY?@wPlP2^u4O^ZN0~{}0y%Z)@+qSDb&SY(JY^IejXQqnM;dGK zQ?`&NU6B|+bL$8GT=BGt|4C(jctjKhANH$)mQ0^}cXWSMEeCQHMu-|QrbA8?j@y&P zF3)N-(7h9s55mjr`(W|>;91S@$fT&2#?)O3Gwio)IK$a8X6D%HIWq5}Y>LgJ2>%id zFgTZzGvQ#e8I$8ZJ?XUH9wNaF+6K_n;+7dx!Td>PS~?%uNt_DtS3R<%pb#@lXVAI2 zU9zMAWnm^645WzI?i7PUy#NAZ_yj6M=mla(=1UDi4No|zW;)B+bdD=Zl=^@q#e0f- z@s(<|bPge!0xCLSEiqdhv+&sjQ|>Au6}hLaQ#Zpxyc4e@evGAza2kiOvb290&r%Qs z?O$WsOME|;vCnoZ8UY?7W6v#WA~t9D9T+p{+Kp*s?8FvaI{#U&!#8x#K=#kLjjps* zLkjAMWzj3D)`qgjVM67-oSA3e(h#V?_g&j2v)zw0ZE`|P zB0W8^1}d{7xh-e8O#&RWC!tqVoJUxZox{~@147EH`{9`ojVjOdM351S=ez=XaW+Kd zwESVRzFOlaE>{{`rZ^C*<~_9kp@>1)B8FPL8FpLx80(+Lk+jK%`46dSHbc~~< zNc}jAF>0XS*)^O^iDZ0gN2(yz=zi9kk~(x`Nh&oqi}2d9#6A&Y+a%Zy_n#fq7ugt> zg;_+PP|gNHM#W@0NPA|Mj})Wi00IM(dmMTjya+YtD4Pk6n zBiLBNe_?0kW;mk#oAqZKyOtoE$%S_Q~{KYP32A$P`NAfzncjF@EvBqXgBWE1jti~M|?(@6{9WEJM zB2or|X%n^H2(>JkOp2FQ|5tr>w79YFENU{w+O(l$Hx|)f;+RU8Mz$uB;;jBD3auYT zhGJn<%bEpM=Eb|TqvTQ14u`y;hBRaao1=x?pUrQ&@+%gmq3Z5ZcSU)uYHnqB4KCTu zLu;tpa_=ThIj17jAUBIN9m9r@4Fv8m5J!%}PtRKIA_5dCAi6Ir&E*oK#9JdO-J%Fm zvXZ*n(v-nTuU_tmHo@>@ddzjldiz#5TdA08KDK+&YQE0oq^l`3wAMr4D&ifJBi2zt z1EnsP%Ju?+OJ#%{Yb}bd*dhavGAGlaQL=(Y8EE;)enEH-;?KJ*MQ4F`b~^hTo!!-E zvCMdBiiFxDemlkA8#VAlC17upsjQM2EC7R9c-Cvk6dB}zcP6fVGS zCJ+UJgK}#XpKYu@%n&vcVLT1h^(TpdgBN{AV%_<_M~Tv;ZJ9ak(7LK?um#rVio}Zdw7i8R_ ztNtQxniFSBq9g9{=rU1()4GJe3^au;9GTr0iEVRqU8>n0#!tW7g_&zJU>BWXL9yJe z*C)+}Tz4F|@KSAYB9@diI3fSizA+t62ZjODQ>&OkWw0Lp%bWym+%LbE%%|4sJdA& zBUo&~MY$oRdFUsj6*xdQg;>x z=h3lO?c=?ywkHLkstFsl5f?QErlSaKr;+`9mCvxYj>FYlz>`q;*;$XfsI2@J{*2dv zsYDeSm&Kh&9^Z7?tcrw=BS{nlJ~T9oTF!1fWA}gO;$KQkdbz zH9<&7aHyuez|q4zsRP&^6ZJwO9OF`I z5y0b=E-G5^gw7ICZXB(Ujb9dv-t<`InpruH4j@WoO5t#tSdr|PwX?1mzF0$II9$hX zj73-w^xk(&D(sti6WXNE&`};P8iNZ4W z5L#S9U4pG>JeY!a&;0KA$Mg+D^ZzbUx}}N^34VR`Xj#tNjVwAynf+GOkTB%WcR@lXo_aPlUTSJR%5$&4psLeV66uB$_f z0{I!pKrxzZR>LqPH4^u}>B^0<;>-3E!LGP=8|+G^FeOZE zY1?EIoFOJocbbOSTBid8P2(FdwpU?ot5J?pNe76a>FZ4W9)x;NZI~dg(D;OCa-)1* ziVvok@mxIrzYAy-3!AXn(2n%wvt|_qh#D`5GJ6BFwxRW>$ zmOSR>c85GMb+%|(s{mq)Osy$#RLj7GfW-oN^vSjPxjiLbiIa6(r}*S)D{)L(oj^X* z#9n%W+gruOg%VAc(!L=bSJZR)+I~v4wdHqYAkVal$InBM0>*zHpm>EyIml=d{c!% zBCY@)hy{&Z!@?TaduwKI?@%{#k8SS~zLR}hP!wmP3;i~g0ELL1lj_=637C!Ds{nnlAJQ9O zK-rjQ=}D6$q0(ds3BjH@@fjMCQQ%_}*~yg|Q9MhN=Liie%t0-wGDSvuGz#!c*TKLEHo*o@`;*f$7k>evV1`=F` zm_=qdT*Vmub_{LtaBp+FuT_thm&KLC6<WgG;_5(t+oy~ivUk@mQ~kpnKc-JM8@wj@?c75OWhTly`2VWh~HA!jNG(LRdE zF5_WpC1L$ds2FYI7#~*p6RB^SHCP(MHd=8>Nfe3~pNQK+n11{I&Yq7zxcC$}5cG`3 zUOc9Z#JPxZ%@os!PBUR`ad)bN2=U7htX2Of|GaWl7Uoir`7RXl3zwrT_tN zh>Cl!p`&XbRjt!tkGA=ve+Jq@UG~VemHm|+;=e{i_bZ#Vvt%dKT)Cw(vt79J#Y49Y zd=t-ww=w~EjYb}iIOGN~@?-qT_O(2rWoj&^fDYlxqj5vd?yJykgwRgZtn#uemyv#@ z+CfBxmv7WeD?MX<2Si}mMcb-H34Vv|jqSZF*i^(zXi(}|psxkYSkRCTtN4@!O|s|K zq9kToH1DzL+fuVwLEWa$)4U(s#cTS?>2?*)d4fdDrZBptN_yG6h*t_tv`x%@&_Iiz z^nBky%tjhAlp|m{7DIIFeo8*2AA?#j!7PLe*fRkSKO!1}Jg6+AR37B)GM+UuiMqu+ zF($d({xgw`in6iskxt|}Nf|gW(&)9Z$G21w9W=93@{*9VyH zIxWKTqcsSgW}%YU6p`PB8e*XH9E`)or!gwvoh+O^s#8Ns$$3<|_jM0)$NE!K>QA-M znA31!9?OSuL0T^X?SKY6Kq(<=L8+8s?UN2pOjq`6iyJ!RVBE)=-pDG+&HQjLYqc1! zu+%PlVuLuj4kBJ-#6mTvsbf)uJOOf}mx8-Xrwj8CgxZuYk{%AChuTn-+X?*{Gz~hG z`*4)_NAL#X9Aq?rB}f9A&6+{UHz}h_MQrC1n;S3Z;L<*_#N1=aTU=2(I2nr@ZM&yI z#%N!ju4dGKsoGO(b82Ypm`q_r+pEa;oooft*&>A_^L)~|(*|a{@+%Zo*elkR-ALzN z-M&t@_c^Mkf@wDs?X*6^?%%Wqr7Z%H`CtaRfXoh)3E=-Ze@5edC+luPA!LI3($`~9 z4Bj~8ZjT`iI-}~t41*z0U_G!eL<*tbF-P9 zk{6ODg;}N-MWX}DO*t#F&~q4!p_x&mG;V12bK0EoD=$m%Ua5xtXVgw$QzC4F-5X(Y zfjjQBu1y3}H=TE296dywm(WKYiSgsmNhoAH+`HOFvjO6huy|4q6f@Jz9y|O{Uf283 z34V`y;@+^yICB~O7Mi}+#lRyZFHeL$qHah`bW=kZw5y*|AJB*qt|pMG6akd<0Nk>E zjOMqJmuX5Cb4VBrmQ9p3ePt4COvh;Llv6@*3o&PqJjyme7{eiJd4(gg_Db z=U&7#iX3$3UrB4JvNP6dN&B5)W^Ic_gupDw*p(5IeL-v#2t6Q&s(>7-1UX>aXV9eN zJGqe$I@ZyeZ^?)*(=#$(W>(-QV6}U6Jjt3*>hmb`EI!Qft%SjyK?tcV1cq=>ERjJP zlAX7-0K#i}i?D?-xU}ZR#_p)~!RD}IucFD!Z>2F{=O|45XQs; zN24^3n@?*KJG+MyD4<%~d*)zvqOi7d?XYuWWugHWYQ>*UA4m2RiG_N0;v$kp0(bBxsKBf zi?Kq?*xLbUQaVlp%PQFlq_5+M=5lqpHD{ZaYG+bvPpeA2CZ3)MDq*dU&!8 z2&xHIiC8;k*9B(M)A7#6?97FCR2AzRQJH-NTwMg2*H!}j?90*0qWW}nJ zip1U?2xg`@4BbvJOHbaf$St-SSXpOJ&MX6}G!4;te0oH1PR5~E56u@bZ5k5VB}?cA zJz+Y#Ya`Oq#_P@*?6pT0?nlfA4S)4DyK7Pa>4YzlFjjJmR*5I;-nlV`U_bOEm%p#;8+gh0?(D zuC_gzB7{NIU4cr3MFMWddomx~OOwV=l~^MPjqO)p^I#hq?AXEn)y&sflUh6K4IEmA z`5JXs9iBFl1uE{O!K%J$x1@m(wguB%)S~Nz6%w~XS~A;Avg2H=dO#tHd5Vx&8fd9e zS=N#_djk!icZUu5vg|*i(_o~9ni3EpZpEguR&*e+g3528qk#i;;VPsa;;o$}reYin zui7Kl8;C{_w&`MTplGV3W@?}xEOL{xVq*RRuT{t(kI0{K@ahbwjWHK&q+Yf0`Ltla zoyfkRQM3L_WYO;|aB=xAN?8Fccwr7>#+hie1;t|TwRB!~ zGOHmuqbMxkOeC+yq^DP7>tZ((A|5F4Pcb_XP`7P2ZI?;LL!yH+%<<6ll^6NuqWFL$ zk&R*JJEhKTdL)WsJ5hw({7P9{{Q;kbqYW+fD&{RkeX^!-sWhv*UPvg?0PjwcqLVlu zI`_yu#eJ|{2b;}$6NVx?e1HcSX7=}Hw%ZArXe{(3yZ&}J{S{>x3x{x*A`!)rnFh&% zSum=aA*C|=;D=10x(K`xpwkIxZIs~m>EmXPTDg&7!J9Cp;@_PW?*$xfm)v--&YmI{ z2@1pJBgh6L757E6QdVfB@+>x?vuT#3Sz20dE=sV2O$2pO>1lg{4@9cs$%iB(8tArf zBgIgl*)(TYS|6!w{env?6^$A&ls*|o%${e4wYNDSBEaTLXG{HG-F~0}a~_>Vtp|*F zFp96YS9Y%gaJI@ecc`oC>~aG@SWzR-;Q(Q5d+YqmoN*KF66N>DQH4Blqg3XRy`3A`(ehyb0iH7UiBwPhv8V0@;3_-x__c+6 zQ@p4c-Y8Fq((779X~H>OYJM^+-2g3}iZu2CsGMx6&ZHDVO((agqY1MW`mHNx6f_R9oS+3}HA1^1PK!g*qN9j8t7)42(kf`6I{O@RN%3Qz&zujj$m+$2)i6>qeXh;m#^mWbSbRLXOLUP+b;nyJ;UfX zwrv93f+>1TgD!WJS3)BoFSp9{)rE2cR3|eQ$rtMyV>nFBl_Wg!E_A-t(v2KNPLl&bcj*oaG3j7p1U~3S zsYJ?5xSN~1fc+aYQn({GRM#-u-($@7c#LME7&{%gfv))2vloH5Ps;B}RJg4FaO%_X zztdfRXYe;JIfGWf{4uLPrAN(JXnx>>qYgZ$Pc$F%F3;iY{{KHOAHeOzMX@qC-rp~a z!zr=9Gq}=Sp+(Zs8H(TT`kPnSTL4xP@&Chsb8!5Ly`OSVV?2$uu^guU z&s9^wRXD7{zd8QdkA^dQnoOhjjlLS=|c;vmmKW zqukM{)eG~JW~kh0En?4Q?d6CWmR)mf5rq~5q-9f9+G&MFC*-E#&B_y-Da4$;mGu{5 zuA%mJ4@RC>s_9a>WI2tLU-TG8$R}ki^x8k>TCQAgk3o)P!pHZ7ob#YN9bT8$9WWImh^# zsS8+Wy#$73mn?*G1q-4}gV!_!H?* z$9)7`1c8sTIo`vyyf*<`i3zE2gFcRso^h!eRnFO1Lg=lw zzvD!v?9!^(6)bqE$qYYe?^tWIfGLg$RI_C>;EY+ITVx~KE^3v)3F6q3XA(6a>EbvA zpzuXWNR0p}sSGtjYI0rAovRi{1#pbuOi)P?bncnIX0NWuq)Z`nvATP>zpa4@evii@ z&`Z4S!(L*DMGxoiP>P^h=*LLp5f&7+S;G8Pu|>T|V|F$OWoH1*K`eQ2}3nAcX;zAi-A~-?RY{sAsqJ`m%!s0Yvm>vpFJnoWedR=ng zd2^$-;=udRqY#N{NG#qod4ql>wb<4^7i|YFt2EsQxKdxY`xDn3z2}3zmvk2d`d8UN zL*EPe;rX_$BlIt8WCVLl`QJN4;s03Sveh`aAqX)ZH(@F`4t6)`U9>MlazWiow2fqe ztjVnn&gM-sno9AM_#(Gq8g}RHYC_+!^-tb^{uO>k~SNlqoZGlovJP zh9aGaElU{Z@77I{M`-vhK&2LraA}1lY~N|R5o0MJzzJ3D(qI~=!7|Ydh=t6EzTsEggfj>} zYVT}nQX6#^%G}v<26jpbW=Yo$N3gbV_wLTkAwW89>=qC+0IV-=LP_29E$|q)CD)gHVcOX)TC>FIcJ=w`$><5q!*F9-Rp%v({gBia1HrW59?e#p z$HoQnOzpWjwRU!837cD~A=IVM?T*i6GwVKzAuE9{O9wIfLBLBGNLO2d!GH#sV1oQa zjemnY&_`cF@5T~T0CGzj&wl>r^v5_O`(d12X|4Y(*`Pd}` zpOWdhBy-HbSn?kqn8v^cT@o9ZhRFt95*wIYa@@dH)<{V9)!};gzx~b?kgK*3KumIV z?>d^A#E93Q*Z9eB?yh%m(KD-?$6XR_t#OhE#Lhm^6Z~d>gP+iK_KBW=Mhov~l456{ z^a-&SAGi))=Lfv=37-+$dvNenb!}F7(&s$0iox0Li-Vuiefo*s1v@CpL(D#kCwWTu z1t)sW_GE5_Mdixj4}U84aDOhMoI`!*3C{Go-N%^*n(wCQur6(-$5TlwC6D?T@b7*% z#D(j-2S!3M{2jf3yED)Td^WKm2RxmAK9!y)U5`AM*Yv$Rrlh@oT}*_oA-4}UL~yXl zES_@Ir(1hhx&~n{G@qCYyY(7Ooqie&hpDTcSL4g}xJaQ$0%%1s;Q>gfUAcB(A#^VB zDFFpntxZ$gZ|d2=egPvWbr3HO*mliUCwQ6|Y{$2xllWF*a>hjbVE#S}unIi}k z0%4l-?AJ+9_nNjzPqP^{%0AZ8AOQdl1G=0>_lBulE;W^%N}bK;(d{x1d1S|ohKRtCYF1fNrc&PT z5i&n^t5=>XPnTFiEyzjTJjkwAGo2+9(8o7fIsu)*(UNEw9KuR%o~9v5P7_)&)5tU;e1y^=V9lt$tp z)?LnS;vl23-Q_qzO0z8AWGA(wNjEw}DA;8?T{{XaYz{#bsrECBg!>;KMTrtmOEr^k z`lRjY;rkvj7u91Qtcc|uFY2YZC&tiZ7XbuT58G><^{w5`8bh%Tt~0W7c({-4sgT~m zFI!ixVl?y07U?~!q&z6IVLNi8;ynJJ-l5Nbu_H3-Qx z+uvwq0h8VA7=(Rj{jvrHZ?o78V5Fwq3??4M#Cy3u-i93Qc3VE29vM>t>a8L|`#siq z@q**Ga*y0R%c9L`C?1T`H$$@9EK4)bw4EBzOjb3WCPb8n-t|7imcR-#Fkfztv9xrYiSsUeKic849kwAztQ+?VFpanJnL^=go>6cq*m|Cex^JThs zLte6M+9z{`3!2SO<>rV?BPiu$SQfnt>>oe?%EUnba93y8(g04w`mpngyAzX!>5f)49CgCK8?9Ws`0LPqLI1V?gkgOzj zj0OYMxDfudgr`(Toe9BhEkhMBc0-Xa(r1T!><6Z?4UG_3Um-!L5WoeCz+K|y!?m3& zBTmL358TzVtcM|m1ksiNl^HRSI9QfVLzYPs0}2{hDWKH=dD~ezxT?6fsI7rJh3KDL zNN{Qv-^W6^B9u!)1f&U^I|**?q_8=fi|miAoGIP&-9E?8KpYv*rlzoU2;86Djji=( zN8k<}?&+WSJz6e8pR`mS+T1aCqka)M3u15MWU-p|$bxh0@1~T;Vjho{lg%9TAvs3F z%x;xh8VHI;7a4)nfWla6p~i<_WfFP&t}K9~uz7lz12kX86ys`-#pA0xHum2a+X&2B@t)hCJ|;GFG`JTfe^7*&Zq5;e_gL3A#}fqXtYar zY|3@}vcXf%PTi=CL9C}DF!eLJUC7dFy_V^G(^8ZYsbte2F- z+;K?U1Xx6)n=c;iwxM*+__8rN=9RmgBg#9-V`USm+ zR|LDvl309FG`xD$q-ZR_G=bNUwcAkOD6A%lfVINBeru zz+mMBfqVHMLLo*wf#mtrzGNo2b)6^tTTtnO)p} zCk^1vQvTQ}KccO+H{!BwQ!Da(2ZOz86?=4aCkfnA(8lGAqk*E2#67{h`#|@&)Y8Z;rIvdm@h-K0kPKC0u-y@V zm>02J0vuM3t;@U^I5y--y6SXPNe*<$GXg)fQ^`j=?FIehy^L@(zNxY58LF^1{nlIR zY&iQgXv&3FV1w$3D+ z7I*g;610C)S0rQUhv+3NnQp;6HN_LQ{KNJl(zzbINI5dDHwc<`va{x~jm}{I+L4qS z9C?QAP-G4o*lwshs(}bDq$K7!-opZlt<6@F2+pGt_B?=Syic>=A^d+acVV=-K@nF56wt1T&%8INSfE zZ~vQcRT}^*^)x486iy~g-FtbNy|fmKsCr~969N+^e+GznV{7Fx9;XPw>Dn^b?6JnS z1!?Y)s*I`X>sZkl5wHlU*~@4IuSjLsDgDwyS)pHbN3aVlk~vbvV3RuC4x|c8t*nuE zaGt+?qr~Cf^=sEU`>NdDVKbDi1L@jmTAuunX@G+MC*gSb@QO5RM9ap%79D3h6jS>y zoqjQubZuI;Mb9O;b1n4ffm1``k>r)Fno=&6z(*C^Lxcf$eyRxM}RPnplcLu8X&$bt#z$Dk`3LO+pr>p@qQ+eOimo^uTHG5Csz|?wCb5dr=2odrCwQCz3M*1@%AP@ zIPgxI>8$VVcjf?J-dkVS@Fi&-u3;}4r^HxU00h++))lc z(E_-#v*wPKw^ufz>i`eiKaAf>4n=1y?H#Ucx3Dp8!tLLLvh)OZ+PS#5zgF$+M(4_$ z;mF3}W}=)KxjFXi*k?w=a(X2E%vgAHEPNInNlQ07t9)SR;2?pq9Bel6;nUe9t6GyQ}-pqTO%T^i)AY&gOFf&Y74wikrN+qm*fV1?8)I1Wl`(_}N5W^qN1q7SM`T{c z!q329d^D6#@yQeT2V3$0k|i@@Q3NWOikH)lHS7 z-YSqHEBoB*O9@_xj?^d=;%FdFi=1^K#d0os555E6=Ck1ooFdFE`O>EsVGz0Hb@Gv= zt%x7_(y@1i71=Xn^OnVq5QO_U(U-MRyjsFYYVyK$vG`3st&F}~ zd;!NgP7uXqmUqWg0#i?sXc$X~iZyNM@`irGm(eswC=W^0=$) z>C!E2X_B+$q+~-|n$VPU;!Wr->2gxG<+PlXwse>6(iU%N!#13Ff4_U@&dm3{C(~@Q zr{6hG`tCP({@uBA=g!QXI}7uYWu(n8<^V!KPZ=!L!PtnE>lZK2DZh2|T!nSEEV*~O zFa{_$1%isXmEZG>WI)>w)CS@IK9C~vf;GxWc@i~J%UQ@e+`G8pnAI598gOC%kK1J9pH3ZYb?e{2I6h8D_5qkyub+l|6Q3OFXy z>`#Esx9Y@)Fqc8LBO=Vvvd%2*=Eb#!dca07R><6eg>YapG1Uu!`1 zn5r82go?pZ6qcwwOu@@aS^92AcT(MOHi9;VTyfW{Nw4U35Sn|3v1Adc!EGr|B&5|d zfN3NatcHa1I6S5bR!W6jx1l71nVDx%?2GK{!O8SM^Bp@KEtHI_bj^%Cy@-uacvi4E zSx7z7lZfCJA6JaLw4G4}I!sTF|h7N51K)U#10`j;6M~}*pcMW8~X;k96X@=O&%=M#&lqhnUP>< zi_v{tg~jSlV-P<#;Cs()V(Ux`?O14EExiM9ha=M)-xwCTgKA1B5m?{@wZ&NsKeZW| zp62o!NxMP)-Km{Lt+O$#nEmKN(g(})u!H982xY3zjx=8F|MNb-)kYOTPh zQr%prL92QP4mYlVTC30Pp9X4e@iO?OtW-aI7F_sOmSm}@6{j{AE~hc{S@4^s9jZ*C zVm%exAUYhAs}eadkQsY%od++RhV2MeaWQDo2OrFIu(CTe&<#U8T8Yq$cdA8DRX3tD zv!pNRA-tq@8}%UzOlNaY87x6jwtXvx)=C(NB5s^YSO{z!d{wa_0_Jy?FuA2c4bM%j z0~cdB(UE$4WaGZxn3$EaXEZYcSY8&~olFVHafu$#-HQW|sUFam5yvRNw(UDP4xkF7 zhryq7>=QD(X-Fm+K5Xkto(%~RcC z0e)0mv2+(d$ALIbf5_5fIwC}x?Q}RZ*|i6TX3`;WST$GTp2V9s)s3jXv9xfzX`PXF z7_wYl+%<_)lMR{$7<^EqfU`aEA>u-rhunhx|Y?%1Hl!Vp7j05htvyQNL!*_tJGsPKC z;L!olFX#e13muHJnfm8UTlO~fK)#ak56cpvq#EJfq=C~dGDdpt6A}9%+rHhgG zAdKV4Ls6XJRLYvhi&1yD;cB_BT%Vd*flo|1&eR^d1D4lD%GjyFM7)MAfrMPfI@e^# z59P&h1|Sb2sWW0CwB5Gi)tTtY$YL|(m<0Fs^x96ph?<=UVvd#>j8@7q<(91U@*F^a z{h=XK46hRO^mJzzGB8=^+Cea^N$j4YgF0#W9_;U8S>>OvB2=cor*Z$f?PnSH|6kIk zno^uvrW=h4^_9@tHb{*O1kxpqX&M$N*QjEVo#IlEE z3S&8^m!glLT_M0Re@|2r%MO{iz;i>KaD zsd|vdf(9Ux^5W0W#0ytD^`1~1L(3(76BdR|0s=Wmt7OTKo9k&&A&>wtwqZ+r3 zoP{NVgy+VxYRPuj4WE2f->9gEEX(@w5i^7)9F^pbTUv6AfHfG*(RRxz0a3D&)zfsb zC{3iBZJ`U#!9#u1m1nL&OZgxlvgY>L`Eh0;8m{ZJ(XJHLChS?je4 zr+R}QNAfDL|Gbh8%&p9!g=u=;*F|q@4inFqVKfoX_!{VsriDHV8%f?D4)(EC+9;VY zWmf?n2mf>efW85a(?v|`Z+ISEnMsaPu zDA9FjArum}xIO8FE76xfUGz*^wEZCOxjw__gIdceTM~-PY(fu z*{g@rp~^34U_#lXJ@GZPXWA7~m7Od1p3X9O3IOB8T;tkHG z$_@r&JKZF#x@aB);{!Nus{={@`;F7N>8r8$G+nC$b7?>JWZm@a0rq`F(fui8iq)gJ z^7JfsA*Ov;-z@yd5lQ!Q7pikoBFty`krR4{t$lhn4eMNUQ^?6@JC8XOAW9k8y|K>= z+`iTAZQ2Ob98=o8P<8n2nwsMJjE1t(HHnXcq}C|WW{b$&uHlNR?Kr0~_+7Sl7v}fR z9^ji`!yL+FQq7GH_Vx~QT~Evnb7uq8&@wsICJ7+2{I@K{sEyTN>S_Ld7oP@aqjfC* z!qm%eI+y0B%0{V0!~#wFN|V*uDWR*@(8m_blHr9)rDtIthGWh&iGBd3I9$!~C(Pm9>)YPC35JD@ebofqcl>mnGhNwJh% zY&o&r6UsHKQDmQ_F_<4u{!q}W14B-&=}b$X#{BpNYXjb7&@2X-t7j9^UZUmdCf^og z-f#&88&&8zx<`8*hGFh}VefySHUuBdrAqhA?4sT)l({X47Xf)p6l9MWE!46Y*p~SK z&WvDs4ktp;S4OdU$nD@g!(5fVvhe=G*a$A7eovuq*Wf5@-g?K!U>C%b5;#YMF`yed zgHK>^xa&ro%)1#ULvP@*k)nJ^Gzh(%#bfh)08Mdlb5k!DyEvY}VE-(I0S3n>i%)$V z`>dJtIj@T0L(px<#Iwq%AFiaeB1%|&SFb3tCeMQbPE^}29eC0N=?y=3qa>9cpXDI` zYf?%+lo+!_PLdnblDc~{x*ZN@ve3R_2Lm||Dvi!)hqpBg#sl9ja`A-=L5RM4QU?IJO?S4%}ReS}(k zzL2<)n=b#YGjT%C$0_?&sRURY;ee167Hj>CUU*+;J3PHh5rKk2{%AS0ke~-$lwvL| zMLJRlpSKlz_6`l*Eat4(FbLoKu-_ij!~EK(M=cqwh>KTf3zMl}N9>Uq@^y`f#G;*9 zzEC`|#TgkFjb%%I+cjNS7h{3cHZ4Q})5_6{OpXajSuWl6$`*=~GM_6}V7)`ROd=2@ z>;T%?12X1Gx$1G*+=zfi*Ey6GUfYd}V3rvcYT*$N7vYQ!!6J$mpvsLTI~+2aS2Ih_ zcSD4+aqK81%Y56qJt2IX$E3BYyJgywtt`ZJc9WC4(<|^phV_z$|85xq!rt$zLgEMU z3$l}_Viz$~IvA@dhDfxciOdpsPP#01Vy$`n7#~OkE>`L7kDIW|;*!l;*HZ0pGb9^* z&^XvE;p!NTextO{^$9ssolVQ|J;>xt+mKuI?4lPN?Bq(=?{X#C$MO_Uq+HId`7D~9 zmr}5M!i{8Mv;aGXcF2@{AJqPA_qAs7Op@={{cyGg=Osp2l`-(96Izu*h70n()iW%4 zO$YOeJu|dh=viEP^f5E#6efv1vn%$@yb+Q`XUY6#I$Zrkd(UgJ-PkM#=x+IWABIa# zUP9q$5aXkYkn7vCcLXk|M$7Yl8pJg%!$5aSHJG3;;`>0K48%e*n2;EyLjPvtgx61DnD7aBaP{gNx>fpTi44nhQhSPCBCN^CcmeERPQIGLKE0c9fAeYLZ*QDIR# z4kjbe0z-ph5YdG<9x~^{|9gu25NIOHLIDcthPH3IM!TLLkk#spZPj2!h1)!D=s}?JCkMHM_MbclIhqLP^jgsW-UScxiuHX>BM9^4Z|Ez&W6`2vA;gYiImE~fmCYIpdv<}e$r@^gw44%97v4dMp-h624hs4A zd8A8bfKls}RfjMR$+9WS;P=*oJoYQ#E6HS0e>`{W=yIbov-@e4H_Z*DP$|f#6)N@p zlut=5o5-iYI{FIFm!q0A1SA&o~+|@{f;9V0})`h!5j#g*`p+r;N4QajXrquVIr)0^#%VdRSA_ z*S^BR7lWWdWrWU}PbHHA&e$=9;F(X{_J{O_M!PmG!$HWhIR5b0zcM|Eb>&q%adMd8 zC<-Ze8;c)^kijSzv1{-~`h^%RZ1NC8x55jX2YEa^1`6s{9HtMMWQ!JZgSY8DN(=a! zAoAYbIO<5ZRs>Q{2AJ-l7&wEK6a$bI!*4)WYb8v;7#9n8pa_a20JlrFZd$qKf{boh z2qjywu?7P%abBN^D-l0}6&J}$UG;>3!c|uAghW;iBM}6ecOKM){4jQ8YHIB$S+F*R z?d=_rwHoNS+mlJ~l@wPsR9{=qQ&G4vY1{%1#!8Lg`vD$1<@~>}1pNOoRWgeaDX@xf zh8k^N;F@4+VIHO}Tj%EMTX7>8jFxKMTX#*D;2!5tX)DhA!_`8$QYmd+46pB=xqa*Y z#RH|SwdsS&=i;^Sf|`emleNXIy8B&)$xrFJV*A$0>?GYsaXD?egbq+H9@vvcJll@R=Qu+3ShRm$Z>6jlC7u&ejZPivSjzlNel_3kD3O4=7rnekX@1YiOi zfpMJahfklW`a*S##^)~op%>OLhutB;pc^Y371+2Gwn6Rba8N7kKn1sn)xJAM@sVt%B~x3V7VcOM1zYc~^{@tv^zgCsiH4e6jK~^nRwKGrR>JZ{PEydsGfdOiyq*P_>*K#H?iX#o2K*C3n&X1}0KQ*-Tn zAbrHxd>^tGqG1{7g$z8;hV*yioUx$~F3=`X5*(Pv#&xgjpABCy!Fk2fjj8!$cWeh5(8H;6jSUSCFOa}K-GIN-=`Haz+oYu@(_O>` z3HAMMVW|$gIsSuXr=!+W+)tNu_)w!o-8Khze-(I@!#gi@nc{W2#l?EHSaeee+2$(c z{dJ8qy-)-Xh8EEzKm$19(Bf3Ac5-lE~v zV72NKj^za{$dN>vE;9Af6um*Q_*fZ6$_M6`rf`FFv4ZAx^W_6D`-IcIaoz^+mgP?P z3euRFJuu@3G)_PnsNS~#(L~tTBXReKflpZ%Z7V(i@j)j}5gBCNRjZY&;UK&qcGF1^ z{BL0>fZM(hd30fa{q|B7hBYw(!N&kr4RTYy(AbhQXYu2>JY7Bn6HszRK=+;MUqD&V z!W0j|nyA0N zNasV*a=GR}m@YMVGO-Ej<(@e#KFAlu7@Dn3Ay&P*PzjAd^VpbUGmO}Ta+`06>D!}* zEX4R=v^tekGsMbg7)sL+5cnyUcw}L2bfyGtFqf-mn1o!Yo-SPGF!9}F6)6~SoM$W; zq1I_&ZN9wvaHprwpZH?^8(|oI!exLZ=qUg+^bSqgqe4O-Tw2r?@}Cq?4G(>UoMA<% zVHuu=u(n(hn8`jMd2c{zb9hGQa)Ib7Bi>Z`U!X(WhGmk)nskUAzC%PZO9|;F68@@m z<&{NtBr(fxwG*W^K!~2D^4PNXM5Yw(JyeD}KB(7KNzCu;F}+OB3h4#LuvS~vGK1cG z&_ZH;q-#CIr0rjBJzUm*WIZ2OD@&f*lwdR`Eu}kjveboAjMkSXwWO9|W`!Y?iw5fD z>1ItGRt;riMvT~vRC3l=&XY5YJ6l@xoY2*;ENdT5A(ZN}x?~*W|NFUyPXHX&Q?5|a z^}$0JwEU~A)Oe~D7u1j!)DwL1lB=&>bsRLY0)du3wg&EoppyuyoWxwaD+e*3sZEvW zTsDcl(sy4<`4_snW)-5RUCqj!?_-%B>IYPIL4UqX*otE;bqpQlC?RA>il0!+@U;(K zLz-ZZAZ=4BHJyDWsAnAGOEP#OQlNwG{MTLL-2F}Re+b_PS2w58%WrY6lFP@+J@!_#+X}Qj0;D`0 zEZ*~Y>CC0stZh$%-`al2xsDv)F&%~t{)lsHo8-^1F%bIETpkwBy$#_jgpDEWid-1m zk7+I=ETx})U;CrZ-79fo8xj8`zPIPnvND`%5=P!&yw?!+h{RiWY<1{~poI^A@a@hq z?|eUH+(*w6_S9Lzo;yp}%V!CD<1Aqt3XObib+{K{J6EW~DTKXug)n!Sb1$tB_7cLB z@3Z}xbm@Nw@>_vdj$Ur<7vnYK$15NM*MT`In*Xs?kZzQbY&V82BkWZPL%ZE+p^%$C zeCD0ty9?QF8;_lHXY29RcSRhwC)0RxyK{9(LwU*4Zr|bDQ7Qk1W36{at{^=b@39W> zT9f)Q?8U2{n~*eDt{?Mng$(Vy#`r(-GQUsb`*UxS2Fub9+@05ghjX|Vwi%7Sxk))0 zZ~QvcafNu#BkYP5;=S=6&^Q;y`Y_E)!AN|!F~rKzj_)t$^2)b4Cf|GTy%oHhmYwD4--Y%T{MeqX7sFmh*r3FT zVflIy_f_EbAXDY3h-+b|dXYztrWRJezIpyk^NN1(+Y0eoZ$RI9ld`d__hLL>1Yuk8 zPW~rc2dp#_{y$FrWIYO$uN&Lu8Q?zwe8z>0{5Wuh2l)ND6~4c^!uNGU&C}VG`<^cc z;j!~jX5bCu-Qbge#{n07_$z=P!F$*G!pGMhJNM2t$IrQ|^~CCXtjtW~{@sd?x*X$o zOY1RwXPX%OG~j-~1^gIq!=5)!`*Gkf4WpB#^^E^+@JoQ1w!xcGsWk5%z@)c@Pvqbu zIsB73_))-2pY6x8zXrGy?|n)8@q5Py0`FyL@e;l>e~a%%P=CNyFZ;d$-`n-O#JBIA z_+Du8eFEQ^u9bszW;u@Fdxzj}_;@R&J=(>>9y?3eO9)%kc$DMh<4|V!nvn4ed1cD> z1ip7loXE>8!|V8dMU(HBjy13QW_&LwekT1vd{1d&^*Dm>e(hkeAYV3gOS<&&GW!8w_p(yc1yt4+CZ$44wf@ zIuXvN_c}h_i*Ser2`79q2S1d9AIZUw=HSP3@RNX9N9ND;p9Q=rfnNu_A%WL|=xKO6 z;557waI^Zb&QD(m9zr<#QrG${Z!+vrgq_HR6@0von^8Wz_pNU`w&u=rjm`ULp% zhV{crPsZPTi|Hq#;~Z}RKHH384-#`_tNZ$*t339zP(w-C(${24%)a7%V=S*oh9s%is}B5-`Ji^vwbdG zH~aoLz8?jSwX@~ZF>iE={m$Y%kFbZ6IQe=IK8Cse@_IT92{s z+4sRkTSJLs1o7+meiV47JFu+${u;jL^QI70l9)oG}C>ooUKP7^QHXR_F*26BJ5#=Svw$mDgWSyK_@9+qfV8l zJL~+$#gIEk(cV&)Y@JyShHv;7coXk=erDJU2zxdg2C1Mjli`nkJkUBL(-_u)4)=IA zu8ds_dl_L*A;%F#Ygo{c$ghm|IKu9iu&C2&xmsjRlKGzmUe8^St7sR(`Eoyw z@Ugoa%bnt}EH41>1n}M~`Nh7OPm{Q7f6%#8zy)h%+B%PW1;RUk+j)<3&#z${6^^aF z^W5WW?mFj0>)p`bu{N-Nxv|_#r-FEGKd0*m`E&{2i}2S!q3y|h2p=Op=+L+Rieu;9 zx%T+Ecda>b&fTr|=t{u)oz2pD4)I?7w^8><=|aAL4dJcG=T*G-#WjihTKXF0EYrW@ zEryuaQp+PSc(STdugxZi~K`CMT=qLk8jSn7zW-0TyRSK=I~EQ`Hbj%yfJJD(1FKEzHev zThM>=1=pB9oj{y7f5DQi0~@>0G)K{nCu2PF7N5)TGdzZG+}I*(EfR=7hIdthHvzl} z;ISXuV|e5_OK%x?%PZhvnM?4Z{e&BtUfPXAKEaeCuS#akk@p~)g<(myl=M#i_ z@MFGAqUS64b+?4F<5PIwjd#P7x1)eMiJ=d}_+YBwpdzsgARJ$xYLU4MK|WT#DZKvx ze#Bb~ytTlyFnkK{P$wil@zw!v9q>9f5JMl!_jZ85S>)HwVa3~_DDv0vAg)7thIeFR zM6@#8o8Ub;t?9KEBA%rO@fFhJ2q2Vvt`YB3_+)Vy`hL{oZ$8?H_iH)4&0VY9Jy$g1 zeIbYUTl-hJU)j@$_vIYk_;0OpC#;ePquk^3b^Oxuz4*mdZsVW?$sY^*lN{b_YgW52 zk2T``caKND=JOvvxZ0iRu~alKLZ|WLf5ZFy!>!>RpCydp|K#8Kjn7NK>w&zt$EtKL zkcn^4rr&OrIitZA2K7vN)CUxvXmvkmf%s;x{GW6$_tfvUx@Uh($G!prnJ?LqBA7gC z&;5VZ>bkHWV~?e0aI^obeXb^NR{NYOH~6gfIZ!?*thJ^bP#A*-9`<)WtGyIszgGBM zeewCMw$|^|(yf+SfF}ZczTmUpY5WW1Kl_cs9Cfw7DU3-r9`+YLt3?v)Xggz}2c1p>IPnWnU3&MYv=O+ml zUVU9ZpFV@B1fKxkPw0#l`}7&g9n|4F{EXgq=3)Fj@?$anX92e-@aF-$%VPK!0k$Ua zR{^h0VAgG20{v|z~2T8t_|g7e}xPRF#F)8=STQ*z~``o$#eS5R??=R z5bLvxu)C(JUrwJPYsx42aQe)x04@q2PM=z*(CfWz?}*F z*MJ{N;J*WWB!T|{@X-XGKvqxYV5X_hCGOkdUHOarZt{jbg0RUOV(c+e;5+&87QiN7 zTHLvA4shpJH1!t9AI9Hl!Ws0r1K|d*0z856N)k@|#RUFY;2UiCCf}%~{R+Y#^Y{#Z zg86&-gqD=_O`bV-zWW-&4Q>Jaw}4+u;{Oxio?QIaP9xHJ4#S-@xCQc(7>C(5J;6 z1HRcCkRA^J-cV4PN%(Vs&E9}8dEIOb2tN(j>>T9R36lrxW^J zh42(+dfe~U$G2ya-nC8Ad#~3Y*uN$a@x{PD+P>Ux)<@IpNnqx8YXVOI-q?vh^0Wwl zZ0hu&r|+h~Z*8tCS01LvePDewKX*ff8P0uMeSCP}zcx3JOK(pO9?QWq3C!_eE`d!S z;NEYV-$O}$hnnDXuQ$c#8iYP=?r0Ny?#b$-^_dRy`!;uP4u1lO58dR^K~K`-u>@xL zbl~4h+$VGKiO>BieOlaS5N`Wd#{W;Kng^6N_f(Vk+@G@e6L?vxY9QX)-0wHR=l+jA zTE9m=7-5#@wOb=Rf!9Yq6k&$HlE4gq>E|u{9O2jJll=dvN&ei!(MR(a8$9{Y=3Z=q z&%K%y|MgscFL1&uPn-MeCh@uUuaD-}8v2)(_n9Ez+uZ+Y5}#}CY5bExO>T4N1z8j2 z5!c`i{}P1TnmpU{#{k<}ytVH`oFvM##$AVS!aCpA{=YF-UfTTWgYl?I{x2T2aINp- z4;Xxo+Y5ZcoA9Xx^YvB55`FfK4{;&x?*R&1)n+Q+ge@yTxA18qLNRr+gNw~?&;k$ius4>?v z?J@o6g@ivQ5V-dD3}cPED2LDba7|qwtf3Er3WBGG!T_4{*3I5x2_!B^UG3no% z5Z;FJY(j%kpJn)lq{uI9>!Ozc6CV*)36X(u#cOgB4IbUIT=U4DYo;B_Pgd5EE{^ch5S56wf z&X0}-I1%1JG5(G-(zWg%ke=BeUQCXn?(>wck8Hr`eJS8KepUAyE<*n8fX!x&_&)*I zY>^1>1N_{-$37~uCjK15F}|KavJ9^Rz8~^|C1dz;!1ioF_({P1zWy6PkIw=&dmhIB z5@6e>C;W$ijeZ-D-b;jgoY?6x{BHo89U|&Y`zG; z2e1?#|29D03dlY<2;J z|1)4aTR`|P0Gr(i;co+u^{%K9b-*uz zM>pX!;r|J^eTWc+slHvN?RvJJ4=pfkK1u-TLn-V4~y3=ysXHhVq7w*$6ieag%G z0Gllh!yf|t)G=%C_3qQe?=<*4_c&m)vm^eO0GmxRVcOAAKhp8!9QUVysoxwyG7SG4 zz_$Ls0hRw2V51NDeJ}c9{=rt?VJqbZwCCWTdX|m+%CXo`^@kmz;>2^@J)bE zB=VsG_z5g}Uy2UQ_WKy%l%IbVu$@_A{9gk6-rqr;Q6b|0Cg2G_UR;dz&o2Qs`+DMk z9kAKK68cO- zBL95|a4PR-3Hyir`!?e5PXRA)!Ccww8Hv9Qu$?_4+y^+7Ph)^h zU!*+#5a6``90Y8(>5P9I@V)7H0NBnyF#Hz)7ckzCAIOjY0r2Jo{w=^g3BR5qJRIfQ z9|J!2b86ee^j-#RXDtbz25h$0gfGCB9oL_xkssyR#enZm%6B4{eKQ{w5yLpBm1nedvKi>h^?B^MNFJL=MNccg(oNu=x+B*P$8t?;2`dib+fX@$PY_F(+y7@qLMOMvYu@MR;0mrm<{=etV)+xZX1e-~i0K_>iuz_I-1`U4-rUk7aV>x91vIM(+(_jiEpObo-K34Pzjx$fIke_{AlGWU(`cQxQA z68iQ@xMVNI908p2=Okb|+rsn?12!9U!gm3V^j+sZ0XUTxzXCW-?>BPrQ-C)Q$MNHj za^al2n>{r1`$xbJfhTw#moVq*wm*0=xbA$wcGiXAKLXg!3=+N^u$`|Uyc6&s=+OZZ zuz&OdcFFwdCctJRNc;~0o=D_L74U-z{87Mmc9r=52C&(w68`{~R#)2W`Ie9l&NwP5d8(B4TGS2wzIYBpHt{18nD% z8NL~?+1e6*FW{3&f8Gr^rSGkP7k@X(+dBZ;`AWvW2e6&NCHyJCc4nIJX8@=4`U2p! zk7CRO1F-#nAF!RNBmN73&CZ_itHd9V^?w7f+1oRG9ri9?Jc>9Fk%Zq4I2}*k3E1qv z8U8-N8y*MEygoPv*ldOweluV@mq>U9u$>hqd;~DpOO1c;0i4Q%2LN+@wFTw52;=K7 z0#5n)F~I3~@nyhv_LS*A1DN}{<7>1&e*(CGB=~TA|0}`=pd$b#{;D6weB%>Z9>Qw@ z+j%p>mjSl(MuayL{~&OD{PzL2vj_~|1K7?=6229%*(MX70-W;uPXa#mU|f&*7+^au zK>P;*o6R`k&jGgcQG}lWZ0Dc}|0lpH|9u0nogZWP%YYw-JUI$^$o}~{;0_Q2O)B&_ z9|onJpV#q#{Pm-N?Hn27ZwG8=2?_TDwx@uXTL~xg>4SjnybJM906w`7JODJpKS%sd zG&UZtFMS5EowH>4?=YOqf`{Ype*xS%5%rZ90NYte;{QG1=YK8I^MXr(oAl4O0k-pb z#NQ4$((Ck@_W`zZI}E=Cu$_}4JOkLC0$x4>*v`r@{AU^7Y4us_ei87dM1Or0u=#l) z{_hfhgmqJT`~l#!Kl~M7JBLdA7L3()PMYvr0NXhx!dn2_Q^3m(z~;zN*0bXV&Y`Lb(iIlPbU zx_+=Ex6~7bWlKPKW>R-p3tWHHn?nUpGh*HXb@j8?%HOJK|Y#`57Le}goCbqD17hI_}mar>Ry zbK}^;$kM!n>%a;eu#v>PM%tX07N^A(q!UW%O}c2u3b5cE@ZjvCyl$7jJKR?IL)r?5 zK(q79Terb^&DH_5OV8-m-kuw`!a3j8QgsfFX6Ls~;s=kn@HxBnz|<5!zZ))q5bNFL z(&X$`aeK3Ms#>2#BjaDKj{861^ru+t?JxF?>>9!~j=k3p?1me{V(|tv_TT_+4J_j7 z>8f|_Sgg*#eYmtm=%3*o9kh>*b&Jv=!)Nka?h_pNhG2Bq@UB;f&&jpk z{yy({xxd?ASxrxTbju~TS<@de&tIVQx514U{;5xDafw{Vv4vViuJJD72uGz1QjFqq zT$GYZb6%)2b!-wg(8-!dwn|5;8v8DAW4@;B*v@#)|OWs2;RJ zZ)R#Pe1=z1!w9~%yrjU5gh5W|`}bQ)&7-pP(#f6?JlGX$OSoJ6&}?m?T9o5H==gdK zcygUI?vl`3G5zftY^FxP$La!?{iE@2Z}?NwOV9HcSNjoIdke^D2bgB2r94&jiHo6z zewec!M}zyuASB>Py?boW2u52Gw8eO_irjE6mwdDAdJ7U?B-y+pU}11}0A4pERaK|N zmVI$o*B)FMDwjWtb9*NprY60nwg9h*5QhDbdwu47jzr1a6t5^ME_=Z;xbVJuuy3?$ zZ~)%;n59-YmTZvj=pT~Z)K*tz|`GgMj;G-F9VT+r$5$Y_X6A_N^P^g9(OG+R%WMUoB*>O2>$6o z35=}jep#ZS@PnzZUkul?%S(&C=)%=i&^~aScy{c9|KvJ8&-GLm5SG1~TwPBhT`#JI z-ITwhi)@7>_qiff*=BB1*-iXa<=v&qRN#of3nFor=HMGxhkAG!^mU-1Ax96E=jmcs zg(@zG#--A@0UIhp?O^ftDtrwh#@G;*K$VdGWTU46+ESWK89&N#Nsm(A?dYk%6EzaQlsh3(RlC*g-jL;K!<|YQyko`Elh?Av7xYnMWQbOs;*COtc zsQCo7U5(gE26S@B4fwz`HWkhc^1E2fo|2W6(u*R7damx9oiA0eg6@T{bpYw#lrg4C zYtwN=E#iP~5JLmC!4h0gTXbpd>^Mr!k~+~Z`S9qqLzo6Z>IDM(F(coL1y)|A16LW` z1~&7xounxk4rga^C1{ z8hyO0gJ_A*Rb>TjelNEvIEr3e5Ziq8M|mZzn+6FHl%c8lr;I0Z7IK{Kpv`+a^l2!I z934`IR+qtKIDoJE2TAR4XrTptEtP0W~_+?Mz(hGdB2WfOubuOzcZowCV+Y;$Ih zIgAikCuYjj1HsGp@MvHx;m6{@*ifI97C88%HSO@`E#R~V?*`8^(xgG)fg6u^9{O-4lEq52Qfv|OCYa_ zLu%B)cvCge+1dGoJo$l5`-LxN?{awxet#F{sXd63cjh)+E9zQ=)y$6zzDb4uv}!>= z9PPn{N?hwfKQJnbzRwb6SW4MvG^etF{Fzao^lM5z9XCW83C{dZhZGli!7;N*MpvP+ z$RsGW(r26YX!c!Yp43@8TdGv$e7vW8Xm$$M(m-eFD@|5sr#Rrcpm0b)ENvi276;9f~3kR?um*d{dEP>Jh z7R|F$7#awH&?dqft;|IZfsac@jg6;96ktrMQI#t3y3z9oV)ioGna;T9OiZ ziqbWzT31n!Ez4vS(o34a<8r5k>2D&`RhKidp|iQ5_xZ$aZpWfn>hX3KulMYgM}q}!>^!I z*__Wy8gyFkD}8t{6jeobqTjGwXrY~;I0mX*L!uTTvxWM+cPGH^vp$A(wCuuc9r+z#wMn+uzx>!L#O7V#Zxc} zQ{lh%c46bWg=vvrgR^s_exyle0>;`WdPI5;4pt^vLy>b~PNW41GD;^!mUzyg{{g-6 zMVeAI3{kz!!MyoPZ%~v_4^u12$SVt2Dsj^AoTd0aq=SGdjIOs@U8qw2;|2}R;dN?Y z$!2vz%Ih9X9b{siOh!|s#^>UhMwD>t7vZW5Pii#2O$$Zu3T+UQHm+!(fJ{a>>gI1K z-i=FhWWgW~L8w%EM~~NoMFQw|AS7H3PJt$7oI-i$2Prn5+_XTQjKh;^5||!XF}0## z;bwQFsGEDzC9f8UYQg}2JyN8Xjad2x^s<~XSHEh_YE|Qk30`8sXN3TD=IR3(GB{z(=Y?$;WRE z3sbIm!=a*S^W9h)u3WZ3vRly7n>(U&&^O9sTZe5VdbJxwugGD&T9>e{iAX@6vK1U{{;%#gMR=3 literal 0 HcmV?d00001 diff --git a/src/gpio/fpga/ip_params.tcl b/src/gpio/fpga/ip_params.tcl new file mode 100644 index 0000000..5a9619d --- /dev/null +++ b/src/gpio/fpga/ip_params.tcl @@ -0,0 +1,22 @@ +# NOTE: See UG1118 for more information + +set design axi_gpio +set projdir ./ +set root "../.." +set partname "xc7z020clg400-1" + +set hdl_files [list \ + $root/gpio/hdl \ + $root/common/hdl/ \ + $root/emesh/hdl \ + $root/emmu/hdl \ + $root/axi/hdl \ + $root/emailbox/hdl \ + $root/edma/hdl \ + $root/elink/hdl \ + ] + +set ip_files [] + +set constraints_files [] + diff --git a/src/gpio/fpga/package.tcl b/src/gpio/fpga/package.tcl new file mode 100644 index 0000000..5128e86 --- /dev/null +++ b/src/gpio/fpga/package.tcl @@ -0,0 +1,3 @@ +#STEP1: DEFINE KEY PARAMETERS +source ./ip_params.tcl +source ../../common/fpga/create_ip.tcl diff --git a/src/gpio/fpga/run.tcl b/src/gpio/fpga/run.tcl new file mode 100644 index 0000000..0bbddc9 --- /dev/null +++ b/src/gpio/fpga/run.tcl @@ -0,0 +1,12 @@ + +#STEP1: DEFINE KEY PARAMETERS +source ./run_params.tcl + +#STEP2: CREATE PROJECT AND READ IN FILES +source ../../common/fpga/system_init.tcl + +#STEP 3 (OPTIONAL): EDIT system.bd in VIVADO gui, then go to STEP 4. +##... + +#STEP 4: SYNTEHSIZE AND CREATE BITSTRAM +source ../../common/fpga/system_build.tcl diff --git a/src/gpio/fpga/run_params.tcl b/src/gpio/fpga/run_params.tcl new file mode 100644 index 0000000..6c584b2 --- /dev/null +++ b/src/gpio/fpga/run_params.tcl @@ -0,0 +1,19 @@ + +#Design name ("system" recommended) +set design system + +#Project directory ("." recommended) +set projdir ./ + +#Device name +set partname "xc7z020clg400-1" + +#Paths to all IP blocks to use in Vivado "system.bd" + +set ip_repos [list "."] + +#All source files +set hdl_files [] + +#All constraints files +set constraints_files [] diff --git a/src/gpio/fpga/system_bd.tcl b/src/gpio/fpga/system_bd.tcl new file mode 100644 index 0000000..1503c14 --- /dev/null +++ b/src/gpio/fpga/system_bd.tcl @@ -0,0 +1,215 @@ + +################################################################ +# This is a generated script based on design: system +# +# Though there are limitations about the generated script, +# the main purpose of this utility is to make learning +# IP Integrator Tcl commands easier. +################################################################ + +################################################################ +# Check if script is running in correct Vivado version. +################################################################ +set scripts_vivado_version 2015.2 +set current_vivado_version [version -short] + +if { [string first $scripts_vivado_version $current_vivado_version] == -1 } { + puts "" + puts "ERROR: This script was generated using Vivado <$scripts_vivado_version> and is being run in <$current_vivado_version> of Vivado. Please run the script in Vivado <$scripts_vivado_version> then open the design in Vivado <$current_vivado_version>. Upgrade the design by running \"Tools => Report => Report IP Status...\", then run write_bd_tcl to create an updated script." + + return 1 +} + +################################################################ +# START +################################################################ + +# To test this script, run the following commands from Vivado Tcl console: +# source system_script.tcl + +# If you do not already have a project created, +# you can create a project using the following command: +# create_project project_1 myproj -part xc7z020clg400-1 + +# CHECKING IF PROJECT EXISTS +if { [get_projects -quiet] eq "" } { + puts "ERROR: Please open or create a project!" + return 1 +} + + + +# CHANGE DESIGN NAME HERE +set design_name system + +# If you do not already have an existing IP Integrator design open, +# you can create a design using the following command: +# create_bd_design $design_name + +# Creating design if needed +set errMsg "" +set nRet 0 + +set cur_design [current_bd_design -quiet] +set list_cells [get_bd_cells -quiet] + +if { ${design_name} eq "" } { + # USE CASES: + # 1) Design_name not set + + set errMsg "ERROR: Please set the variable to a non-empty value." + set nRet 1 + +} elseif { ${cur_design} ne "" && ${list_cells} eq "" } { + # USE CASES: + # 2): Current design opened AND is empty AND names same. + # 3): Current design opened AND is empty AND names diff; design_name NOT in project. + # 4): Current design opened AND is empty AND names diff; design_name exists in project. + + if { $cur_design ne $design_name } { + puts "INFO: Changing value of from <$design_name> to <$cur_design> since current design is empty." + set design_name [get_property NAME $cur_design] + } + puts "INFO: Constructing design in IPI design <$cur_design>..." + +} elseif { ${cur_design} ne "" && $list_cells ne "" && $cur_design eq $design_name } { + # USE CASES: + # 5) Current design opened AND has components AND same names. + + set errMsg "ERROR: Design <$design_name> already exists in your project, please set the variable to another value." + set nRet 1 +} elseif { [get_files -quiet ${design_name}.bd] ne "" } { + # USE CASES: + # 6) Current opened design, has components, but diff names, design_name exists in project. + # 7) No opened design, design_name exists in project. + + set errMsg "ERROR: Design <$design_name> already exists in your project, please set the variable to another value." + set nRet 2 + +} else { + # USE CASES: + # 8) No opened design, design_name not in project. + # 9) Current opened design, has components, but diff names, design_name not in project. + + puts "INFO: Currently there is no design <$design_name> in project, so creating one..." + + create_bd_design $design_name + + puts "INFO: Making design <$design_name> as current_bd_design." + current_bd_design $design_name + +} + +puts "INFO: Currently the variable is equal to \"$design_name\"." + +if { $nRet != 0 } { + puts $errMsg + return $nRet +} + +################################################################## +# DESIGN PROCs +################################################################## + + + +# Procedure to create entire design; Provide argument to make +# procedure reusable. If parentCell is "", will use root. +proc create_root_design { parentCell } { + + if { $parentCell eq "" } { + set parentCell [get_bd_cells /] + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + puts "ERROR: Unable to find parent cell <$parentCell>!" + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + puts "ERROR: Parent <$parentObj> has TYPE = <$parentType>. Expected to be ." + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + + # Create interface ports + + # Create ports + + # Create instance: axi_gpio_0, and set properties + set axi_gpio_0 [ create_bd_cell -type ip -vlnv www.parallella.org:user:axi_gpio:1.0 axi_gpio_0 ] + + # Create instance: proc_sys_reset_0, and set properties + set proc_sys_reset_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_0 ] + + # Create instance: processing_system7_0, and set properties + set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ] + set_property -dict [ list CONFIG.PCW_CORE0_FIQ_INTR {0} \ +CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \ +CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} CONFIG.PCW_ENET1_PERIPHERAL_ENABLE {0} \ +CONFIG.PCW_EN_CLK3_PORT {1} CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {100} \ +CONFIG.PCW_FPGA3_PERIPHERAL_FREQMHZ {100} CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE {1} \ +CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \ +CONFIG.PCW_I2C0_I2C0_IO {EMIO} CONFIG.PCW_I2C0_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_I2C0_RESET_ENABLE {0} CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \ +CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE {1} CONFIG.PCW_QSPI_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_SD1_PERIPHERAL_ENABLE {1} CONFIG.PCW_SD1_SD1_IO {MIO 10 .. 15} \ +CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {50} CONFIG.PCW_UART1_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_UART1_UART1_IO {MIO 8 .. 9} CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.434} \ +CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.398} CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.410} \ +CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.455} CONFIG.PCW_UIPARAM_DDR_CL {9} \ +CONFIG.PCW_UIPARAM_DDR_CWL {9} CONFIG.PCW_UIPARAM_DDR_DEVICE_CAPACITY {8192 MBits} \ +CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {0.315} CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {0.391} \ +CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {0.374} CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {0.271} \ +CONFIG.PCW_UIPARAM_DDR_DRAM_WIDTH {32 Bits} CONFIG.PCW_UIPARAM_DDR_FREQ_MHZ {400.00} \ +CONFIG.PCW_UIPARAM_DDR_PARTNO {Custom} CONFIG.PCW_UIPARAM_DDR_T_FAW {50} \ +CONFIG.PCW_UIPARAM_DDR_T_RAS_MIN {40} CONFIG.PCW_UIPARAM_DDR_T_RC {60} \ +CONFIG.PCW_UIPARAM_DDR_T_RCD {9} CONFIG.PCW_UIPARAM_DDR_T_RP {9} \ +CONFIG.PCW_UIPARAM_DDR_USE_INTERNAL_VREF {1} CONFIG.PCW_USB0_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_USB0_RESET_ENABLE {0} CONFIG.PCW_USB1_PERIPHERAL_ENABLE {1} \ +CONFIG.PCW_USE_FABRIC_INTERRUPT {1} CONFIG.PCW_USE_M_AXI_GP1 {1} \ +CONFIG.PCW_USE_S_AXI_HP1 {1} ] $processing_system7_0 + + # Create instance: processing_system7_0_axi_periph, and set properties + set processing_system7_0_axi_periph [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 processing_system7_0_axi_periph ] + set_property -dict [ list CONFIG.NUM_MI {1} ] $processing_system7_0_axi_periph + + # Create interface connections + connect_bd_intf_net -intf_net processing_system7_0_M_AXI_GP1 [get_bd_intf_pins processing_system7_0/M_AXI_GP1] [get_bd_intf_pins processing_system7_0_axi_periph/S00_AXI] + connect_bd_intf_net -intf_net processing_system7_0_axi_periph_M00_AXI [get_bd_intf_pins axi_gpio_0/s_axi] [get_bd_intf_pins processing_system7_0_axi_periph/M00_AXI] + + # Create port connections + connect_bd_net -net proc_sys_reset_0_interconnect_aresetn [get_bd_pins proc_sys_reset_0/interconnect_aresetn] [get_bd_pins processing_system7_0_axi_periph/ARESETN] + connect_bd_net -net proc_sys_reset_0_peripheral_aresetn [get_bd_pins axi_gpio_0/s_axi_aresetn] [get_bd_pins axi_gpio_0/sys_nreset] [get_bd_pins proc_sys_reset_0/peripheral_aresetn] [get_bd_pins processing_system7_0_axi_periph/M00_ARESETN] [get_bd_pins processing_system7_0_axi_periph/S00_ARESETN] + connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins axi_gpio_0/sys_clk] [get_bd_pins proc_sys_reset_0/slowest_sync_clk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins processing_system7_0/M_AXI_GP1_ACLK] [get_bd_pins processing_system7_0/S_AXI_HP1_ACLK] [get_bd_pins processing_system7_0_axi_periph/ACLK] [get_bd_pins processing_system7_0_axi_periph/M00_ACLK] [get_bd_pins processing_system7_0_axi_periph/S00_ACLK] + connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_pins proc_sys_reset_0/ext_reset_in] [get_bd_pins processing_system7_0/FCLK_RESET0_N] + + # Create address segments + create_bd_addr_seg -range 0x40000000 -offset 0x80000000 [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_gpio_0/s_axi/axi_lite] SEG_axi_gpio_0_axi_lite + + + # Restore current instance + current_bd_instance $oldCurInst + + save_bd_design +} +# End of create_root_design() + + +################################################################## +# MAIN FLOW +################################################################## + +create_root_design "" + + diff --git a/src/gpio/fpga/system_params.tcl b/src/gpio/fpga/system_params.tcl new file mode 100644 index 0000000..bf1d3e6 --- /dev/null +++ b/src/gpio/fpga/system_params.tcl @@ -0,0 +1,25 @@ +# NOTE: See UG1118 for more information + +######################################### +# VARIABLES +######################################### +set design axi_gpio +set projdir ./ +set root "../.." +set partname "xc7z020clg400-1" + +set hdl_files [list \ + $root/gpio/hdl \ + $root/common/hdl/ \ + $root/emesh/hdl \ + $root/emmu/hdl \ + $root/axi/hdl \ + $root/emailbox/hdl \ + $root/edma/hdl \ + $root/elink/hdl \ + ] + +set ip_files [] + +set constraints_files [] +