1
0
mirror of https://github.com/aolofsson/oh.git synced 2025-01-17 20:02:53 +08:00

Test cleanup

- added lint script using verilator
- adding verilator filter commands for fifo behavioral
- Longer pushbacks in ememory
This commit is contained in:
Andreas Olofsson 2015-11-28 20:15:06 -05:00
parent 0b6f7f7efb
commit 0fc4b6188a
4 changed files with 19 additions and 5 deletions

2
elink/dv/lint.sh Executable file
View File

@ -0,0 +1,2 @@
#!/bin/bash
verilator --lint-only -f ../../common/dv/libs.cmd $1 -DTARGET_VERILATOR=1 -DTARGET_XILINX=1;

View File

@ -183,13 +183,13 @@ module ememory(/*AUTOARG*/
generate
if(WAIT)
begin
reg [7:0] wait_counter;
reg [8:0] wait_counter;
always @ (posedge clk or negedge nreset)
if(!nreset)
wait_counter[7:0] <= 'b0;
wait_counter[8:0] <= 'b0;
else
wait_counter[7:0] <= wait_counter+1'b1;
assign wait_random = (|wait_counter[4:0]);//(|wait_counter[3:0]);//1'b0;
wait_counter[8:0] <= wait_counter+1'b1;
assign wait_random = (|wait_counter[5:0]);//(|wait_counter[3:0]);//1'b0;
end
else
begin

View File

@ -144,11 +144,17 @@ module PLLE2_ADV #(
reg [5:0] CLKOUT_DIV_LOCK;
`ifdef TARGET_VERILATOR
initial
begin
$display("ERROR: PLL divider not implemented");
end
`else
always @ (posedge (CLKIN1 & vco_clk) or negedge (CLKIN1&~vco_clk))
begin
CLKOUT_DIV_LOCK[5:0] <= CLKOUT_DIV[5:0];
end
`endif
//##############
//#SUB PHASE DELAY

View File

@ -1,3 +1,9 @@
/*verilator lint_off IMPLICIT*/
/*verilator lint_off WIDTH*/
/*verilator lint_off LITENDIAN*/
/* verilator lint_off COMBDLY*/
/*
*******************************************************************************
*