From 289024fd89781685c48a0b531e3a05620e964a34 Mon Sep 17 00:00:00 2001 From: aolofsson Date: Tue, 21 Jun 2022 14:48:48 -0400 Subject: [PATCH] Flattening directory tree (again) - Creating an arbitrary 'src' directory really doesn't help much... - Goal is to make each folder self contained - Make meta repos and individual repos have the same directory structure --- {src/asiclib => asiclib}/README.md | 0 {src/asiclib => asiclib}/hdl/asic_and2.v | 0 {src/asiclib => asiclib}/hdl/asic_and3.v | 0 {src/asiclib => asiclib}/hdl/asic_and4.v | 0 {src/asiclib => asiclib}/hdl/asic_antenna.v | 0 {src/asiclib => asiclib}/hdl/asic_ao21.v | 0 {src/asiclib => asiclib}/hdl/asic_ao211.v | 0 {src/asiclib => asiclib}/hdl/asic_ao22.v | 0 {src/asiclib => asiclib}/hdl/asic_ao221.v | 0 {src/asiclib => asiclib}/hdl/asic_ao222.v | 0 {src/asiclib => asiclib}/hdl/asic_ao31.v | 0 {src/asiclib => asiclib}/hdl/asic_ao311.v | 0 {src/asiclib => asiclib}/hdl/asic_ao32.v | 0 {src/asiclib => asiclib}/hdl/asic_ao33.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi21.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi211.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi22.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi221.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi222.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi31.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi311.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi32.v | 0 {src/asiclib => asiclib}/hdl/asic_aoi33.v | 0 {src/asiclib => asiclib}/hdl/asic_buf.v | 0 {src/asiclib => asiclib}/hdl/asic_clkand2.v | 0 {src/asiclib => asiclib}/hdl/asic_clkbuf.v | 0 {src/asiclib => asiclib}/hdl/asic_clkicgand.v | 0 {src/asiclib => asiclib}/hdl/asic_clkicgor.v | 0 {src/asiclib => asiclib}/hdl/asic_clkinv.v | 0 {src/asiclib => asiclib}/hdl/asic_clkmux2.v | 0 {src/asiclib => asiclib}/hdl/asic_clknand2.v | 0 {src/asiclib => asiclib}/hdl/asic_clknor2.v | 0 {src/asiclib => asiclib}/hdl/asic_clkor2.v | 0 {src/asiclib => asiclib}/hdl/asic_clkxor2.v | 0 {src/asiclib => asiclib}/hdl/asic_csa32.v | 0 {src/asiclib => asiclib}/hdl/asic_csa42.v | 0 {src/asiclib => asiclib}/hdl/asic_decap.v | 0 {src/asiclib => asiclib}/hdl/asic_delay.v | 0 {src/asiclib => asiclib}/hdl/asic_dffnq.v | 0 {src/asiclib => asiclib}/hdl/asic_dffq.v | 0 {src/asiclib => asiclib}/hdl/asic_dffqn.v | 0 {src/asiclib => asiclib}/hdl/asic_dffrq.v | 0 {src/asiclib => asiclib}/hdl/asic_dffrqn.v | 0 {src/asiclib => asiclib}/hdl/asic_dffsq.v | 0 {src/asiclib => asiclib}/hdl/asic_dffsqn.v | 0 {src/asiclib => asiclib}/hdl/asic_dmux2.v | 0 {src/asiclib => asiclib}/hdl/asic_dmux3.v | 0 {src/asiclib => asiclib}/hdl/asic_dmux4.v | 0 {src/asiclib => asiclib}/hdl/asic_dmux5.v | 0 {src/asiclib => asiclib}/hdl/asic_dmux6.v | 0 {src/asiclib => asiclib}/hdl/asic_dmux7.v | 0 {src/asiclib => asiclib}/hdl/asic_dmux8.v | 0 {src/asiclib => asiclib}/hdl/asic_dsync.v | 0 {src/asiclib => asiclib}/hdl/asic_footer.v | 0 {src/asiclib => asiclib}/hdl/asic_header.v | 0 {src/asiclib => asiclib}/hdl/asic_iddr.v | 0 {src/asiclib => asiclib}/hdl/asic_inv.v | 0 {src/asiclib => asiclib}/hdl/asic_isohi.v | 0 {src/asiclib => asiclib}/hdl/asic_isolo.v | 0 {src/asiclib => asiclib}/hdl/asic_keeper.v | 0 {src/asiclib => asiclib}/hdl/asic_latnq.v | 0 {src/asiclib => asiclib}/hdl/asic_latq.v | 0 {src/asiclib => asiclib}/hdl/asic_mux2.v | 0 {src/asiclib => asiclib}/hdl/asic_mux3.v | 0 {src/asiclib => asiclib}/hdl/asic_mux4.v | 0 {src/asiclib => asiclib}/hdl/asic_muxi2.v | 0 {src/asiclib => asiclib}/hdl/asic_muxi3.v | 0 {src/asiclib => asiclib}/hdl/asic_muxi4.v | 0 {src/asiclib => asiclib}/hdl/asic_nand2.v | 0 {src/asiclib => asiclib}/hdl/asic_nand3.v | 0 {src/asiclib => asiclib}/hdl/asic_nand4.v | 0 {src/asiclib => asiclib}/hdl/asic_nor2.v | 0 {src/asiclib => asiclib}/hdl/asic_nor3.v | 0 {src/asiclib => asiclib}/hdl/asic_nor4.v | 0 {src/asiclib => asiclib}/hdl/asic_oa21.v | 0 {src/asiclib => asiclib}/hdl/asic_oa211.v | 0 {src/asiclib => asiclib}/hdl/asic_oa22.v | 0 {src/asiclib => asiclib}/hdl/asic_oa221.v | 0 {src/asiclib => asiclib}/hdl/asic_oa222.v | 0 {src/asiclib => asiclib}/hdl/asic_oa31.v | 0 {src/asiclib => asiclib}/hdl/asic_oa311.v | 0 {src/asiclib => asiclib}/hdl/asic_oa32.v | 0 {src/asiclib => asiclib}/hdl/asic_oa33.v | 0 {src/asiclib => asiclib}/hdl/asic_oai21.v | 0 {src/asiclib => asiclib}/hdl/asic_oai22.v | 0 {src/asiclib => asiclib}/hdl/asic_oai221.v | 0 {src/asiclib => asiclib}/hdl/asic_oai222.v | 0 {src/asiclib => asiclib}/hdl/asic_oai31.v | 0 {src/asiclib => asiclib}/hdl/asic_oai311.v | 0 {src/asiclib => asiclib}/hdl/asic_oai32.v | 0 {src/asiclib => asiclib}/hdl/asic_oai33.v | 0 {src/asiclib => asiclib}/hdl/asic_oddr.v | 0 {src/asiclib => asiclib}/hdl/asic_or2.v | 0 {src/asiclib => asiclib}/hdl/asic_or3.v | 0 {src/asiclib => asiclib}/hdl/asic_or4.v | 0 {src/asiclib => asiclib}/hdl/asic_rsync.v | 0 {src/asiclib => asiclib}/hdl/asic_sdffq.v | 0 {src/asiclib => asiclib}/hdl/asic_sdffqn.v | 0 {src/asiclib => asiclib}/hdl/asic_sdffrq.v | 0 {src/asiclib => asiclib}/hdl/asic_sdffrqn.v | 0 {src/asiclib => asiclib}/hdl/asic_sdffsq.v | 0 {src/asiclib => asiclib}/hdl/asic_sdffsqn.v | 0 {src/asiclib => asiclib}/hdl/asic_tbuf.v | 0 {src/asiclib => asiclib}/hdl/asic_tiehi.v | 0 {src/asiclib => asiclib}/hdl/asic_tielo.v | 0 {src/asiclib => asiclib}/hdl/asic_xnor2.v | 0 {src/asiclib => asiclib}/hdl/asic_xnor3.v | 0 {src/asiclib => asiclib}/hdl/asic_xnor4.v | 0 {src/asiclib => asiclib}/hdl/asic_xor2.v | 0 {src/asiclib => asiclib}/hdl/asic_xor3.v | 0 {src/asiclib => asiclib}/hdl/asic_xor4.v | 0 {src/axi => axi}/README.md | 0 {src/axi => axi}/dv/aximaster_stub.v | 0 {src/axi => axi}/dv/axislave_stub.v | 0 {src/axi => axi}/hdl/emaxi.v | 0 {src/axi => axi}/hdl/esaxi.v | 0 {src/edma => edma}/README.md | 0 {src/edma => edma}/dv/dut_edma.v | 0 {src/edma => edma}/dv/tests/test_basic.emf | 0 {src/edma => edma}/hdl/edma.v | 0 {src/edma => edma}/hdl/edma_ctrl.v | 0 {src/edma => edma}/hdl/edma_dp.v | 0 {src/edma => edma}/hdl/edma_regmap.vh | 0 {src/edma => edma}/hdl/edma_regs.v | 0 {src/elink => elink}/README.md | 0 {src/elink => elink}/docs/README.md | 0 {src/elink => elink}/docs/block_diagram.svg | 0 {src/elink => elink}/docs/clocking.pdf | Bin {src/elink => elink}/docs/clocking.png | Bin {src/elink => elink}/docs/clocking.svg | 0 {src/elink => elink}/docs/elink.pdf | Bin {src/elink => elink}/docs/elink.png | Bin {src/elink => elink}/docs/elink.svg | 0 {src/elink => elink}/docs/elink_header.png | Bin {src/elink => elink}/docs/elink_header.svg | 0 {src/elink => elink}/docs/elink_pipe.pdf | Bin {src/elink => elink}/dv/build.sh | 0 {src/elink => elink}/dv/build_axi_elink.sh | 0 {src/elink => elink}/dv/build_eref.sh | 0 {src/elink => elink}/dv/dut_axi_elink.v | 0 {src/elink => elink}/dv/dut_e16ref.v | 0 {src/elink => elink}/dv/dut_elink.v | 0 {src/elink => elink}/dv/elink_e16_model.v | 0 {src/elink => elink}/dv/elink_monitor.v | 0 {src/elink => elink}/dv/gen_random.sh | 0 {src/elink => elink}/dv/lint.sh | 0 {src/elink => elink}/dv/run.sh | 0 {src/elink => elink}/dv/run_axi.sh | 0 {src/elink => elink}/dv/run_eref.sh | 0 {src/elink => elink}/dv/tests/test_axi.emf | 0 {src/elink => elink}/dv/tests/test_back2back.emf | 0 {src/elink => elink}/dv/tests/test_basic.emf | 0 {src/elink => elink}/dv/tests/test_burst.emf | 0 {src/elink => elink}/dv/tests/test_ctrlmode.emf | 0 {src/elink => elink}/dv/tests/test_halfspeed.emf | 0 {src/elink => elink}/dv/tests/test_hello.emf | 0 {src/elink => elink}/dv/tests/test_idelay.emf | 0 {src/elink => elink}/dv/tests/test_mailbox.emf | 0 {src/elink => elink}/dv/tests/test_mmu.emf | 0 {src/elink => elink}/dv/tests/test_pattern.emf | 0 {src/elink => elink}/dv/tests/test_random.emf | 0 {src/elink => elink}/dv/tests/test_random.exp | 0 {src/elink => elink}/dv/tests/test_regs.emf | 0 {src/elink => elink}/dv/tests/test_regs_axi.emf | 0 {src/elink => elink}/dv/tests/test_remapping.emf | 0 {src/elink => elink}/fpga/axi_elink_ip.tcl | 0 {src/elink => elink}/fpga/axi_elink_timing.xdc | 0 {src/elink => elink}/hdl/axi_elink.v | 0 {src/elink => elink}/hdl/ecfg_if.v | 0 {src/elink => elink}/hdl/elink.v | 0 {src/elink => elink}/hdl/elink_cfg.v | 0 {src/elink => elink}/hdl/elink_constants.vh | 0 {src/elink => elink}/hdl/elink_regmap.vh | 0 {src/elink => elink}/hdl/erx.v | 0 {src/elink => elink}/hdl/erx_arbiter.v | 0 {src/elink => elink}/hdl/erx_cfg.v | 0 {src/elink => elink}/hdl/erx_clocks.v | 0 {src/elink => elink}/hdl/erx_core.v | 0 {src/elink => elink}/hdl/erx_fifo.v | 0 {src/elink => elink}/hdl/erx_io.v | 0 {src/elink => elink}/hdl/erx_protocol.v | 0 {src/elink => elink}/hdl/erx_remap.v | 0 {src/elink => elink}/hdl/etx.v | 0 {src/elink => elink}/hdl/etx_arbiter.v | 0 {src/elink => elink}/hdl/etx_cfg.v | 0 {src/elink => elink}/hdl/etx_clocks.v | 0 {src/elink => elink}/hdl/etx_core.v | 0 {src/elink => elink}/hdl/etx_fifo.v | 0 {src/elink => elink}/hdl/etx_io.v | 0 {src/elink => elink}/hdl/etx_protocol.v | 0 {src/elink => elink}/hdl/etx_remap.v | 0 {src/elink => elink}/include/elink_regs.h | 0 {src/elink => elink}/sw/build.sh | 0 {src/elink => elink}/sw/burst-test/README.md | 0 {src/elink => elink}/sw/burst-test/build.sh | 0 {src/elink => elink}/sw/burst-test/run.sh | 0 {src/elink => elink}/sw/burst-test/src/common.h | 0 {src/elink => elink}/sw/burst-test/src/emain.c | 0 {src/elink => elink}/sw/burst-test/src/etest.S | 0 {src/elink => elink}/sw/burst-test/src/main.c | 0 {src/elink => elink}/sw/idelay/DUMP | 0 {src/elink => elink}/sw/idelay/build.sh | 0 {src/elink => elink}/sw/idelay/log | 0 {src/elink => elink}/sw/idelay/run.sh | 0 {src/elink => elink}/sw/idelay/src/common.h | 0 {src/elink => elink}/sw/idelay/src/e-main.c | 0 {src/elink => elink}/sw/idelay/src/e-task.c | 0 {src/elink => elink}/sw/idelay/test.sh | 0 {src/elink => elink}/sw/mailbox-test2/README.md | 0 {src/elink => elink}/sw/mailbox-test2/build.sh | 0 {src/elink => elink}/sw/mailbox-test2/run.sh | 0 {src/elink => elink}/sw/mailbox-test2/src/common.h | 0 {src/elink => elink}/sw/mailbox-test2/src/emain.c | 0 .../elink => elink}/sw/mailbox-test2/src/epiphany.h | 0 {src/elink => elink}/sw/mailbox-test2/src/main.c | 0 {src/elink => elink}/sw/mailbox/DUMP | 0 {src/elink => elink}/sw/mailbox/build.sh | 0 {src/elink => elink}/sw/mailbox/kernel/epiphany.c | 0 {src/elink => elink}/sw/mailbox/kernel/epiphany.h | 0 {src/elink => elink}/sw/mailbox/log | 0 {src/elink => elink}/sw/mailbox/run.sh | 0 {src/elink => elink}/sw/mailbox/src/common.h | 0 {src/elink => elink}/sw/mailbox/src/e-main.c | 0 {src/elink => elink}/sw/mailbox/src/e-task.c | 0 {src/elink => elink}/sw/mailbox/test.sh | 0 {src/elink => elink}/sw/mailbox_test/DUMP | 0 {src/elink => elink}/sw/mailbox_test/build.sh | 0 {src/elink => elink}/sw/mailbox_test/dump | 0 {src/elink => elink}/sw/mailbox_test/log | 0 {src/elink => elink}/sw/mailbox_test/run.sh | 0 {src/elink => elink}/sw/mailbox_test/src/common.h | 0 {src/elink => elink}/sw/mailbox_test/src/e-main.c | 0 {src/elink => elink}/sw/mailbox_test/src/e-task.c | 0 {src/elink => elink}/sw/mailbox_test/test.sh | 0 {src/elink => elink}/sw/src/e-access.c | 0 {src/elink => elink}/sw/src/elink.c | 0 {src/elink => elink}/sw/src/loop.c | 0 {src/elink => elink}/sw/tests/set_halfspeed.sh | 0 {src/elink => elink}/sw/tests/toggle_led.sh | 0 {src/elink => elink}/sw/timeout/DUMP | 0 {src/elink => elink}/sw/timeout/build.sh | 0 {src/elink => elink}/sw/timeout/log | 0 {src/elink => elink}/sw/timeout/run.sh | 0 {src/elink => elink}/sw/timeout/src/common.h | 0 {src/elink => elink}/sw/timeout/src/e-main.c | 0 {src/elink => elink}/sw/timeout/src/e-task.c | 0 {src/elink => elink}/sw/timeout/test.sh | 0 {src/emailbox => emailbox}/README.md | 0 {src/emailbox => emailbox}/dv/dut_emailbox.v | 0 {src/emailbox => emailbox}/dv/tests/test_basic.emf | 0 {src/emailbox => emailbox}/hdl/emailbox.v | 0 {src/emailbox => emailbox}/hdl/emailbox_regmap.vh | 0 {src/emesh => emesh}/README.md | 0 {src/emesh => emesh}/docs/emesh.js | 0 .../phantomjs-prebuilt/node_modules/.bin/which | 0 .../node_modules/fs-extra/node_modules/.bin/rimraf | 0 .../request/node_modules/.bin/har-validator | 0 .../node_modules/request/node_modules/.bin/uuid | 0 .../http-signature/node_modules/.bin/sshpk-conv | 0 .../http-signature/node_modules/.bin/sshpk-sign | 0 .../http-signature/node_modules/.bin/sshpk-verify | 0 {src/emesh => emesh}/dv/README | 0 {src/emesh => emesh}/dv/egen.pl | 0 {src/emesh => emesh}/hdl/emesh_constants.v | 0 {src/emesh => emesh}/hdl/emesh_decode.v | 0 {src/emesh => emesh}/hdl/emesh_if.v | 0 {src/emesh => emesh}/hdl/emesh_memory.v | 0 {src/emesh => emesh}/hdl/emesh_monitor.v | 0 {src/emesh => emesh}/hdl/emesh_mux.v | 0 {src/emesh => emesh}/hdl/emesh_pack.v | 0 {src/emesh => emesh}/hdl/emesh_rdalign.v | 0 {src/emesh => emesh}/hdl/emesh_readback.v | 0 {src/emesh => emesh}/hdl/emesh_unpack.v | 0 {src/emesh => emesh}/hdl/emesh_wralign.v | 0 {src/emmu => emmu}/README.md | 0 {src/emmu => emmu}/dv/dut_emmu.v | 0 {src/emmu => emmu}/dv/tests/test_basic.emf | 0 {src/emmu => emmu}/hdl/emmu.v | 0 {src/etrace => etrace}/README.md | 0 {src/etrace => etrace}/dv/dut_etrace.v | 0 {src/etrace => etrace}/dv/test/test_trace.memh | 0 {src/etrace => etrace}/hdl/axi_etrace.v | 0 {src/etrace => etrace}/hdl/etrace.v | 0 {src/etrace => etrace}/hdl/etrace_regmap.v | 0 {src/etrace => etrace}/hdl/etrace_regmap.vh | 0 {src/gpio => gpio}/README.md | 0 {src/gpio => gpio}/driver/gpiolib/README.md | 0 {src/gpio => gpio}/driver/gpiolib/gpio-epiphany.h | 0 {src/gpio => gpio}/driver/gpiolib/gpio-generic.h | 0 {src/gpio => gpio}/driver/gpiolib/gpio-simple.h | 0 {src/gpio => gpio}/driver/gpiolib/gpio.h | 0 {src/gpio => gpio}/driver/gpiolib/gpio_example.c | 0 {src/gpio => gpio}/driver/gpiolib/test.c | 0 .../devicetree/bindings/gpio/gpio-oh.txt | 0 {src/gpio => gpio}/driver/linux/Kbuild | 0 {src/gpio => gpio}/driver/linux/Makefile | 0 {src/gpio => gpio}/driver/linux/README.md | 0 {src/gpio => gpio}/driver/linux/gpio-oh.c | 0 {src/gpio => gpio}/dv/dut_gpio.v | 0 {src/gpio => gpio}/dv/tests/test_basic.emf | 0 {src/gpio => gpio}/fpga/bit2bin.bif | 0 {src/gpio => gpio}/fpga/build.sh | 0 {src/gpio => gpio}/fpga/dummy.elf | Bin {src/gpio => gpio}/fpga/ip_params.tcl | 0 {src/gpio => gpio}/fpga/package.tcl | 0 {src/gpio => gpio}/fpga/run.tcl | 0 {src/gpio => gpio}/fpga/run_params.tcl | 0 {src/gpio => gpio}/fpga/system_bd.tcl | 0 {src/gpio => gpio}/fpga/system_params.tcl | 0 {src/gpio => gpio}/hdl/axi_gpio.v | 0 {src/gpio => gpio}/hdl/gpio.v | 0 {src/gpio => gpio}/hdl/gpio_regmap.vh | 0 {src/gpio => gpio}/hdl/parallella_gpio.v | 0 {src/mio => mio}/README.md | 0 {src/mio => mio}/docs/c2c_waveform.png | Bin {src/mio => mio}/driver/hello-mio/Makefile | 0 {src/mio => mio}/driver/hello-mio/README.md | 0 {src/mio => mio}/driver/hello-mio/hello-mio.c | 0 {src/mio => mio}/driver/linux-uio/README.md | 0 {src/mio => mio}/driver/linux-uio/uio.ko | Bin .../mio => mio}/driver/linux-uio/uio_pdrv_genirq.ko | Bin .../driver/linux-uio/zynq-parallella-oh-mio.dtb | Bin .../driver/linux-uio/zynq-parallella-oh-mio.dts | 0 {src/mio => mio}/dv/dut_mio.v | 0 {src/mio => mio}/dv/tests/test_basic.emf | 0 {src/mio => mio}/dv/tests/test_fifo.emf | 0 {src/mio => mio}/hdl/cfg_mio.vh | 0 {src/mio => mio}/hdl/mio.v | 0 {src/mio => mio}/hdl/mio_constants.vh | 0 {src/mio => mio}/hdl/mio_dp.v | 0 {src/mio => mio}/hdl/mio_if.v | 0 {src/mio => mio}/hdl/mio_regmap.vh | 0 {src/mio => mio}/hdl/mio_regs.v | 0 {src/mio => mio}/hdl/mrx.v | 0 {src/mio => mio}/hdl/mrx_fifo.v | 0 {src/mio => mio}/hdl/mrx_io.v | 0 {src/mio => mio}/hdl/mrx_protocol.v | 0 {src/mio => mio}/hdl/mtx.v | 0 {src/mio => mio}/hdl/mtx_fifo.v | 0 {src/mio => mio}/hdl/mtx_io.v | 0 {src/padring => padring}/dv/tb_oh_padring.v | 0 {src/padring => padring}/hdl/oh_padring.v | 0 {src/padring => padring}/hdl/oh_pads_corner.v | 0 {src/padring => padring}/hdl/oh_pads_domain.v | 0 {src/padring => padring}/hdl/oh_pads_gpio.v | 0 {src/parallella => parallella}/README.md | 0 {src/parallella => parallella}/fpga/Makefile | 0 {src/parallella => parallella}/fpga/README.md | 0 .../fpga/headless_e16_z7010-vs-z7020.diff | 0 .../fpga/headless_e16_z7010/Makefile | 0 .../fpga/headless_e16_z7010/bit2bin.bif | 0 .../fpga/headless_e16_z7010/build.sh | 0 .../fpga/headless_e16_z7010/dummy.elf | Bin .../fpga/headless_e16_z7010/run.tcl | 0 .../fpga/headless_e16_z7010/system_bd.tcl | 0 .../fpga/headless_e16_z7010/system_params.tcl | 0 .../fpga/headless_e16_z7020/Makefile | 0 .../fpga/headless_e16_z7020/bit2bin.bif | 0 .../fpga/headless_e16_z7020/build.sh | 0 .../fpga/headless_e16_z7020/dummy.elf | Bin .../fpga/headless_e16_z7020/parallella.bit.bin | Bin .../parallella_e16_headless_gpiose_7020.bit.bin | Bin .../fpga/headless_e16_z7020/run.tcl | 0 .../fpga/headless_e16_z7020/system_bd.tcl | 0 .../fpga/headless_e16_z7020/system_params.tcl | 0 .../fpga/parallella_7020_io.xdc | 0 .../fpga/parallella_accelerator/Makefile | 0 .../fpga/parallella_accelerator/build.sh | 0 .../fpga/parallella_accelerator/run.tcl | 0 .../fpga/parallella_accelerator/system_params.tcl | 0 .../fpga/parallella_base/Makefile | 0 .../fpga/parallella_base/build.sh | 0 .../fpga/parallella_base/run.tcl | 0 .../fpga/parallella_base/system_params.tcl | 0 .../fpga/parallella_io.xdc | 0 .../fpga/parallella_timing.xdc | 0 .../fpga/sdr_fmcomms/build.sh | 0 .../fpga/sdr_fmcomms/run.tcl | 0 .../fpga/sdr_fmcomms/system_bd.tcl | 0 .../fpga/sdr_fmcomms/system_params.tcl | 0 .../parallella => parallella}/hdl/parallella_base.v | 0 {src/parallella => parallella}/hdl/pgpio.v | 0 {src/parallella => parallella}/hdl/pi2c.v | 0 {src/spi => spi}/README.md | 0 {src/spi => spi}/dv/dut_spi.v | 0 {src/spi => spi}/dv/tests/test_basic.emf | 0 {src/spi => spi}/dv/tests/test_write.emf | 0 {src/spi => spi}/fpga/axi_spi_timing.xdc | 0 {src/spi => spi}/fpga/bit2bin.bif | 0 {src/spi => spi}/fpga/build.sh | 0 {src/spi => spi}/fpga/clean.sh | 0 {src/spi => spi}/fpga/dummy.elf | Bin {src/spi => spi}/fpga/ip_params.tcl | 0 {src/spi => spi}/fpga/package.tcl | 0 {src/spi => spi}/fpga/run.tcl | 0 {src/spi => spi}/fpga/run_params.tcl | 0 {src/spi => spi}/fpga/system_bd.tcl | 0 {src/spi => spi}/fpga/system_params.tcl | 0 {src/spi => spi}/hdl/axi_spi.v | 0 {src/spi => spi}/hdl/parallella_spi.v | 0 {src/spi => spi}/hdl/spi.v | 0 {src/spi => spi}/hdl/spi_master.v | 0 {src/spi => spi}/hdl/spi_master_fifo.v | 0 {src/spi => spi}/hdl/spi_master_io.v | 0 {src/spi => spi}/hdl/spi_master_regs.v | 0 {src/spi => spi}/hdl/spi_regmap.vh | 0 {src/spi => spi}/hdl/spi_slave.v | 0 {src/spi => spi}/hdl/spi_slave_io.v | 0 {src/spi => spi}/hdl/spi_slave_regs.v | 0 {src/stdcells => stdcells}/dv/oh_nand2_tb.sv | 0 {src/stdcells => stdcells}/dv/oh_nor2_tb.sv | 0 {src/stdcells => stdcells}/dv/run.sh | 0 {src/stdcells => stdcells}/hdl/oh_nand2.sv | 0 {src/stdcells => stdcells}/hdl/oh_nmos.sv | 0 {src/stdcells => stdcells}/hdl/oh_pmos.sv | 0 {src/stdlib => stdlib}/README.md | 0 {src/stdlib => stdlib}/dv/README.md | 0 {src/stdlib => stdlib}/dv/cfg_random.v | 0 {src/stdlib => stdlib}/dv/dut_clockdiv.v | 0 {src/stdlib => stdlib}/dv/dut_debouncer.v | 0 {src/stdlib => stdlib}/dv/dut_fifo_generic.v | 0 {src/stdlib => stdlib}/dv/dut_gray.v | 0 {src/stdlib => stdlib}/dv/dut_template.v | 0 {src/stdlib => stdlib}/dv/dv_driver.v | 0 {src/stdlib => stdlib}/dv/dv_random.v | 0 {src/stdlib => stdlib}/dv/dv_stimulus.v | 0 {src/stdlib => stdlib}/dv/dv_top.v | 0 {src/stdlib => stdlib}/dv/firmware_example.hex | 0 {src/stdlib => stdlib}/dv/libs.cmd | 0 {src/stdlib => stdlib}/dv/oh.gtkw | 0 {src/stdlib => stdlib}/dv/oh_simchecker.v | 0 {src/stdlib => stdlib}/dv/oh_simctrl.v | 0 {src/stdlib => stdlib}/dv/run.sh | 0 {src/stdlib => stdlib}/dv/stimulus.v | 0 {src/stdlib => stdlib}/dv/tb_oh_pulse.v | 0 {src/stdlib => stdlib}/dv/tb_oh_random.v | 0 {src/stdlib => stdlib}/dv/tb_oh_stimulus.v | 0 {src/stdlib => stdlib}/dv/test.mem | 0 {src/stdlib => stdlib}/dv/tests/test_clkdiv.emf | 0 {src/stdlib => stdlib}/dv/tests/test_debounce.emf | 0 {src/stdlib => stdlib}/dv/tests/test_fifo.emf | 0 {src/stdlib => stdlib}/dv/tests/test_gray.emf | 0 {src/stdlib => stdlib}/dv/timescale.v | 0 {src/stdlib => stdlib}/firmware/v2c.sh | 0 {src/stdlib => stdlib}/fpga/create_ip.tcl | 0 {src/stdlib => stdlib}/fpga/system_build.tcl | 0 {src/stdlib => stdlib}/fpga/system_init.tcl | 0 {src/stdlib => stdlib}/hdl/oh_7seg_decode.v | 0 {src/stdlib => stdlib}/hdl/oh_abs.v | 0 {src/stdlib => stdlib}/hdl/oh_add.v | 0 {src/stdlib => stdlib}/hdl/oh_and2.v | 0 {src/stdlib => stdlib}/hdl/oh_and3.v | 0 {src/stdlib => stdlib}/hdl/oh_and4.v | 0 {src/stdlib => stdlib}/hdl/oh_ao21.v | 0 {src/stdlib => stdlib}/hdl/oh_ao211.v | 0 {src/stdlib => stdlib}/hdl/oh_ao22.v | 0 {src/stdlib => stdlib}/hdl/oh_ao221.v | 0 {src/stdlib => stdlib}/hdl/oh_ao222.v | 0 {src/stdlib => stdlib}/hdl/oh_ao31.v | 0 {src/stdlib => stdlib}/hdl/oh_ao311.v | 0 {src/stdlib => stdlib}/hdl/oh_ao32.v | 0 {src/stdlib => stdlib}/hdl/oh_ao33.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi21.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi211.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi22.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi221.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi222.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi31.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi311.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi32.v | 0 {src/stdlib => stdlib}/hdl/oh_aoi33.v | 0 {src/stdlib => stdlib}/hdl/oh_arbiter.v | 0 {src/stdlib => stdlib}/hdl/oh_bin2gray.v | 0 {src/stdlib => stdlib}/hdl/oh_bin2onehot.v | 0 {src/stdlib => stdlib}/hdl/oh_bitreverse.v | 0 {src/stdlib => stdlib}/hdl/oh_buf.v | 0 {src/stdlib => stdlib}/hdl/oh_buffer.v | 0 {src/stdlib => stdlib}/hdl/oh_clockdiv.v | 0 {src/stdlib => stdlib}/hdl/oh_clockgate.v | 0 {src/stdlib => stdlib}/hdl/oh_clockmux.v | 0 {src/stdlib => stdlib}/hdl/oh_clockmux2.v | 0 {src/stdlib => stdlib}/hdl/oh_clockmux4.v | 0 {src/stdlib => stdlib}/hdl/oh_clockor.v | 0 {src/stdlib => stdlib}/hdl/oh_counter.v | 0 {src/stdlib => stdlib}/hdl/oh_csa32.v | 0 {src/stdlib => stdlib}/hdl/oh_csa42.v | 0 {src/stdlib => stdlib}/hdl/oh_csa62.v | 0 {src/stdlib => stdlib}/hdl/oh_csa92.v | 0 {src/stdlib => stdlib}/hdl/oh_datagate.v | 0 {src/stdlib => stdlib}/hdl/oh_debouncer.v | 0 {src/stdlib => stdlib}/hdl/oh_delay.v | 0 {src/stdlib => stdlib}/hdl/oh_dffnq.v | 0 {src/stdlib => stdlib}/hdl/oh_dffq.v | 0 {src/stdlib => stdlib}/hdl/oh_dffqn.v | 0 {src/stdlib => stdlib}/hdl/oh_dffrq.v | 0 {src/stdlib => stdlib}/hdl/oh_dffrqn.v | 0 {src/stdlib => stdlib}/hdl/oh_dffsq.v | 0 {src/stdlib => stdlib}/hdl/oh_dffsqn.v | 0 {src/stdlib => stdlib}/hdl/oh_dpram.v | 0 {src/stdlib => stdlib}/hdl/oh_dsync.v | 0 {src/stdlib => stdlib}/hdl/oh_edge2pulse.v | 0 {src/stdlib => stdlib}/hdl/oh_edgealign.v | 0 {src/stdlib => stdlib}/hdl/oh_fall2pulse.v | 0 {src/stdlib => stdlib}/hdl/oh_fifo_async.v | 0 {src/stdlib => stdlib}/hdl/oh_fifo_cdc.v | 0 {src/stdlib => stdlib}/hdl/oh_fifo_sync.v | 0 {src/stdlib => stdlib}/hdl/oh_gray2bin.v | 0 {src/stdlib => stdlib}/hdl/oh_header.v | 0 {src/stdlib => stdlib}/hdl/oh_iddr.v | 0 {src/stdlib => stdlib}/hdl/oh_inv.v | 0 {src/stdlib => stdlib}/hdl/oh_isobufhi.v | 0 {src/stdlib => stdlib}/hdl/oh_isobuflo.v | 0 {src/stdlib => stdlib}/hdl/oh_lat0.v | 0 {src/stdlib => stdlib}/hdl/oh_lat1.v | 0 {src/stdlib => stdlib}/hdl/oh_latnq.v | 0 {src/stdlib => stdlib}/hdl/oh_latq.v | 0 {src/stdlib => stdlib}/hdl/oh_mult.v | 0 {src/stdlib => stdlib}/hdl/oh_mux.v | 0 {src/stdlib => stdlib}/hdl/oh_mux12.v | 0 {src/stdlib => stdlib}/hdl/oh_mux2.v | 0 {src/stdlib => stdlib}/hdl/oh_mux3.v | 0 {src/stdlib => stdlib}/hdl/oh_mux4.v | 0 {src/stdlib => stdlib}/hdl/oh_mux5.v | 0 {src/stdlib => stdlib}/hdl/oh_mux6.v | 0 {src/stdlib => stdlib}/hdl/oh_mux7.v | 0 {src/stdlib => stdlib}/hdl/oh_mux8.v | 0 {src/stdlib => stdlib}/hdl/oh_mux9.v | 0 {src/stdlib => stdlib}/hdl/oh_mx2.v | 0 {src/stdlib => stdlib}/hdl/oh_mx3.v | 0 {src/stdlib => stdlib}/hdl/oh_mx4.v | 0 {src/stdlib => stdlib}/hdl/oh_mxi2.v | 0 {src/stdlib => stdlib}/hdl/oh_mxi3.v | 0 {src/stdlib => stdlib}/hdl/oh_mxi4.v | 0 {src/stdlib => stdlib}/hdl/oh_nand3.v | 0 {src/stdlib => stdlib}/hdl/oh_nand4.v | 0 {src/stdlib => stdlib}/hdl/oh_nor2.v | 0 {src/stdlib => stdlib}/hdl/oh_nor3.v | 0 {src/stdlib => stdlib}/hdl/oh_nor4.v | 0 {src/stdlib => stdlib}/hdl/oh_oa21.v | 0 {src/stdlib => stdlib}/hdl/oh_oa211.v | 0 {src/stdlib => stdlib}/hdl/oh_oa22.v | 0 {src/stdlib => stdlib}/hdl/oh_oa221.v | 0 {src/stdlib => stdlib}/hdl/oh_oa222.v | 0 {src/stdlib => stdlib}/hdl/oh_oa31.v | 0 {src/stdlib => stdlib}/hdl/oh_oa311.v | 0 {src/stdlib => stdlib}/hdl/oh_oa32.v | 0 {src/stdlib => stdlib}/hdl/oh_oa33.v | 0 {src/stdlib => stdlib}/hdl/oh_oai21.v | 0 {src/stdlib => stdlib}/hdl/oh_oai22.v | 0 {src/stdlib => stdlib}/hdl/oh_oai221.v | 0 {src/stdlib => stdlib}/hdl/oh_oai222.v | 0 {src/stdlib => stdlib}/hdl/oh_oai31.v | 0 {src/stdlib => stdlib}/hdl/oh_oai311.v | 0 {src/stdlib => stdlib}/hdl/oh_oai32.v | 0 {src/stdlib => stdlib}/hdl/oh_oai33.v | 0 {src/stdlib => stdlib}/hdl/oh_oddr.v | 0 {src/stdlib => stdlib}/hdl/oh_or2.v | 0 {src/stdlib => stdlib}/hdl/oh_or3.v | 0 {src/stdlib => stdlib}/hdl/oh_or4.v | 0 {src/stdlib => stdlib}/hdl/oh_par2ser.v | 0 {src/stdlib => stdlib}/hdl/oh_parity.v | 0 {src/stdlib => stdlib}/hdl/oh_pll.v | 0 {src/stdlib => stdlib}/hdl/oh_pulse.v | 0 {src/stdlib => stdlib}/hdl/oh_pulse2pulse.v | 0 {src/stdlib => stdlib}/hdl/oh_pwr_buf.v | 0 {src/stdlib => stdlib}/hdl/oh_ram.v | 0 {src/stdlib => stdlib}/hdl/oh_random.v | 0 {src/stdlib => stdlib}/hdl/oh_reg0.v | 0 {src/stdlib => stdlib}/hdl/oh_reg1.v | 0 {src/stdlib => stdlib}/hdl/oh_regfile.v | 0 {src/stdlib => stdlib}/hdl/oh_rise2pulse.v | 0 {src/stdlib => stdlib}/hdl/oh_rsync.v | 0 {src/stdlib => stdlib}/hdl/oh_sdffq.v | 0 {src/stdlib => stdlib}/hdl/oh_sdffqn.v | 0 {src/stdlib => stdlib}/hdl/oh_sdffrq.v | 0 {src/stdlib => stdlib}/hdl/oh_sdffrqn.v | 0 {src/stdlib => stdlib}/hdl/oh_sdffsq.v | 0 {src/stdlib => stdlib}/hdl/oh_sdffsqn.v | 0 {src/stdlib => stdlib}/hdl/oh_ser2par.v | 0 {src/stdlib => stdlib}/hdl/oh_shift.v | 0 {src/stdlib => stdlib}/hdl/oh_standby.v | 0 {src/stdlib => stdlib}/hdl/oh_stimulus.v | 0 {src/stdlib => stdlib}/hdl/oh_stretcher.v | 0 {src/stdlib => stdlib}/hdl/oh_tristate.v | 0 {src/stdlib => stdlib}/hdl/oh_xnor2.v | 0 {src/stdlib => stdlib}/hdl/oh_xnor3.v | 0 {src/stdlib => stdlib}/hdl/oh_xnor4.v | 0 {src/stdlib => stdlib}/hdl/oh_xor2.v | 0 {src/stdlib => stdlib}/hdl/oh_xor3.v | 0 {src/stdlib => stdlib}/hdl/oh_xor4.v | 0 {src/xilibs => xilibs}/README.md | 0 {src/xilibs => xilibs}/dv/BUF.v | 0 {src/xilibs => xilibs}/dv/BUFG.v | 0 {src/xilibs => xilibs}/dv/BUFIO.v | 0 {src/xilibs => xilibs}/dv/BUFR.v | 0 {src/xilibs => xilibs}/dv/CLKDIV.v | 0 {src/xilibs => xilibs}/dv/IBUF.v | 0 {src/xilibs => xilibs}/dv/IBUFDS.v | 0 {src/xilibs => xilibs}/dv/IBUFDS_DIFF_OUT.v | 0 {src/xilibs => xilibs}/dv/IBUFDS_GTE2.v | 0 {src/xilibs => xilibs}/dv/IBUFDS_IBUFDISABLE.v | 0 {src/xilibs => xilibs}/dv/IBUFDS_IBUFDISABLE_INT.v | 0 {src/xilibs => xilibs}/dv/IBUFDS_INTERMDISABLE.v | 0 .../xilibs => xilibs}/dv/IBUFDS_INTERMDISABLE_INT.v | 0 {src/xilibs => xilibs}/dv/IBUFE3.v | 0 {src/xilibs => xilibs}/dv/IBUFGDS.v | 0 {src/xilibs => xilibs}/dv/IBUF_IBUFDISABLE.v | 0 {src/xilibs => xilibs}/dv/IBUF_INTERMDISABLE.v | 0 {src/xilibs => xilibs}/dv/IDDR.v | 0 {src/xilibs => xilibs}/dv/IDELAYCTRL.v | 0 {src/xilibs => xilibs}/dv/IDELAYE2.v | 0 {src/xilibs => xilibs}/dv/IDELAYE2_FINEDELAY.v | 0 {src/xilibs => xilibs}/dv/IDELAYE3.v | 0 {src/xilibs => xilibs}/dv/IOBUF.v | 0 {src/xilibs => xilibs}/dv/IOBUFDS.v | 0 {src/xilibs => xilibs}/dv/IOBUFDSE3.v | 0 {src/xilibs => xilibs}/dv/IOBUFDS_DCIEN.v | 0 .../dv/IOBUFDS_DIFF_OUT_INTERMDISABLE.v | 0 {src/xilibs => xilibs}/dv/IOBUF_INTERMDISABLE.v | 0 {src/xilibs => xilibs}/dv/ISERDESE2.v | 0 {src/xilibs => xilibs}/dv/MMCME2_ADV.v | 0 {src/xilibs => xilibs}/dv/OBUF.v | 0 {src/xilibs => xilibs}/dv/OBUFDS.v | 0 {src/xilibs => xilibs}/dv/OBUFDS_GTE3_ADV.v | 0 {src/xilibs => xilibs}/dv/OBUFT.v | 0 {src/xilibs => xilibs}/dv/OBUFTDS.v | 0 {src/xilibs => xilibs}/dv/OBUFTDS_DCIEN.v | 0 {src/xilibs => xilibs}/dv/ODDR.v | 0 {src/xilibs => xilibs}/dv/ODELAYE2.v | 0 {src/xilibs => xilibs}/dv/OSERDESE2.v | 0 {src/xilibs => xilibs}/dv/PLLE2_ADV.v | 0 {src/xilibs => xilibs}/dv/PLLE2_BASE.v | 0 {src/xilibs => xilibs}/dv/RAM32X1D.v | 0 {src/xilibs => xilibs}/dv/fifo_async_104x32.v | 0 {src/xilibs => xilibs}/dv/fifo_generator_vlog_beh.v | 0 {src/xilibs => xilibs}/ip/fifo_async_104x32.xci | 0 636 files changed, 0 insertions(+), 0 deletions(-) rename {src/asiclib => asiclib}/README.md (100%) rename {src/asiclib => asiclib}/hdl/asic_and2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_and3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_and4.v (100%) rename {src/asiclib => asiclib}/hdl/asic_antenna.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao21.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao211.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao22.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao221.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao222.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao31.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao311.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao32.v (100%) rename {src/asiclib => asiclib}/hdl/asic_ao33.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi21.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi211.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi22.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi221.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi222.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi31.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi311.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi32.v (100%) rename {src/asiclib => asiclib}/hdl/asic_aoi33.v (100%) rename {src/asiclib => asiclib}/hdl/asic_buf.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clkand2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clkbuf.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clkicgand.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clkicgor.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clkinv.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clkmux2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clknand2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clknor2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clkor2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_clkxor2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_csa32.v (100%) rename {src/asiclib => asiclib}/hdl/asic_csa42.v (100%) rename {src/asiclib => asiclib}/hdl/asic_decap.v (100%) rename {src/asiclib => asiclib}/hdl/asic_delay.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dffnq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dffq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dffqn.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dffrq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dffrqn.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dffsq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dffsqn.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dmux2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dmux3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dmux4.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dmux5.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dmux6.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dmux7.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dmux8.v (100%) rename {src/asiclib => asiclib}/hdl/asic_dsync.v (100%) rename {src/asiclib => asiclib}/hdl/asic_footer.v (100%) rename {src/asiclib => asiclib}/hdl/asic_header.v (100%) rename {src/asiclib => asiclib}/hdl/asic_iddr.v (100%) rename {src/asiclib => asiclib}/hdl/asic_inv.v (100%) rename {src/asiclib => asiclib}/hdl/asic_isohi.v (100%) rename {src/asiclib => asiclib}/hdl/asic_isolo.v (100%) rename {src/asiclib => asiclib}/hdl/asic_keeper.v (100%) rename {src/asiclib => asiclib}/hdl/asic_latnq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_latq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_mux2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_mux3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_mux4.v (100%) rename {src/asiclib => asiclib}/hdl/asic_muxi2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_muxi3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_muxi4.v (100%) rename {src/asiclib => asiclib}/hdl/asic_nand2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_nand3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_nand4.v (100%) rename {src/asiclib => asiclib}/hdl/asic_nor2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_nor3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_nor4.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa21.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa211.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa22.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa221.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa222.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa31.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa311.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa32.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oa33.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oai21.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oai22.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oai221.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oai222.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oai31.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oai311.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oai32.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oai33.v (100%) rename {src/asiclib => asiclib}/hdl/asic_oddr.v (100%) rename {src/asiclib => asiclib}/hdl/asic_or2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_or3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_or4.v (100%) rename {src/asiclib => asiclib}/hdl/asic_rsync.v (100%) rename {src/asiclib => asiclib}/hdl/asic_sdffq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_sdffqn.v (100%) rename {src/asiclib => asiclib}/hdl/asic_sdffrq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_sdffrqn.v (100%) rename {src/asiclib => asiclib}/hdl/asic_sdffsq.v (100%) rename {src/asiclib => asiclib}/hdl/asic_sdffsqn.v (100%) rename {src/asiclib => asiclib}/hdl/asic_tbuf.v (100%) rename {src/asiclib => asiclib}/hdl/asic_tiehi.v (100%) rename {src/asiclib => asiclib}/hdl/asic_tielo.v (100%) rename {src/asiclib => asiclib}/hdl/asic_xnor2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_xnor3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_xnor4.v (100%) rename {src/asiclib => asiclib}/hdl/asic_xor2.v (100%) rename {src/asiclib => asiclib}/hdl/asic_xor3.v (100%) rename {src/asiclib => asiclib}/hdl/asic_xor4.v (100%) rename {src/axi => axi}/README.md (100%) rename {src/axi => axi}/dv/aximaster_stub.v (100%) rename {src/axi => axi}/dv/axislave_stub.v (100%) rename {src/axi => axi}/hdl/emaxi.v (100%) rename {src/axi => axi}/hdl/esaxi.v (100%) rename {src/edma => edma}/README.md (100%) rename {src/edma => edma}/dv/dut_edma.v (100%) rename {src/edma => edma}/dv/tests/test_basic.emf (100%) rename {src/edma => edma}/hdl/edma.v (100%) rename {src/edma => edma}/hdl/edma_ctrl.v (100%) rename {src/edma => edma}/hdl/edma_dp.v (100%) rename {src/edma => edma}/hdl/edma_regmap.vh (100%) rename {src/edma => edma}/hdl/edma_regs.v (100%) rename {src/elink => elink}/README.md (100%) rename {src/elink => elink}/docs/README.md (100%) rename {src/elink => elink}/docs/block_diagram.svg (100%) rename {src/elink => elink}/docs/clocking.pdf (100%) rename {src/elink => elink}/docs/clocking.png (100%) rename {src/elink => elink}/docs/clocking.svg (100%) rename {src/elink => elink}/docs/elink.pdf (100%) rename {src/elink => elink}/docs/elink.png (100%) rename {src/elink => elink}/docs/elink.svg (100%) rename {src/elink => elink}/docs/elink_header.png (100%) rename {src/elink => elink}/docs/elink_header.svg (100%) rename {src/elink => elink}/docs/elink_pipe.pdf (100%) rename {src/elink => elink}/dv/build.sh (100%) rename {src/elink => elink}/dv/build_axi_elink.sh (100%) rename {src/elink => elink}/dv/build_eref.sh (100%) rename {src/elink => elink}/dv/dut_axi_elink.v (100%) rename {src/elink => elink}/dv/dut_e16ref.v (100%) rename {src/elink => elink}/dv/dut_elink.v (100%) rename {src/elink => elink}/dv/elink_e16_model.v (100%) rename {src/elink => elink}/dv/elink_monitor.v (100%) rename {src/elink => elink}/dv/gen_random.sh (100%) rename {src/elink => elink}/dv/lint.sh (100%) rename {src/elink => elink}/dv/run.sh (100%) rename {src/elink => elink}/dv/run_axi.sh (100%) rename {src/elink => elink}/dv/run_eref.sh (100%) rename {src/elink => elink}/dv/tests/test_axi.emf (100%) rename {src/elink => elink}/dv/tests/test_back2back.emf (100%) rename {src/elink => elink}/dv/tests/test_basic.emf (100%) rename {src/elink => elink}/dv/tests/test_burst.emf (100%) rename {src/elink => elink}/dv/tests/test_ctrlmode.emf (100%) rename {src/elink => elink}/dv/tests/test_halfspeed.emf (100%) rename {src/elink => elink}/dv/tests/test_hello.emf (100%) rename {src/elink => elink}/dv/tests/test_idelay.emf (100%) rename {src/elink => elink}/dv/tests/test_mailbox.emf (100%) rename {src/elink => elink}/dv/tests/test_mmu.emf (100%) rename {src/elink => elink}/dv/tests/test_pattern.emf (100%) rename {src/elink => elink}/dv/tests/test_random.emf (100%) rename {src/elink => elink}/dv/tests/test_random.exp (100%) rename {src/elink => elink}/dv/tests/test_regs.emf (100%) rename {src/elink => elink}/dv/tests/test_regs_axi.emf (100%) rename {src/elink => elink}/dv/tests/test_remapping.emf (100%) rename {src/elink => elink}/fpga/axi_elink_ip.tcl (100%) rename {src/elink => elink}/fpga/axi_elink_timing.xdc (100%) rename {src/elink => elink}/hdl/axi_elink.v (100%) rename {src/elink => elink}/hdl/ecfg_if.v (100%) rename {src/elink => elink}/hdl/elink.v (100%) rename {src/elink => elink}/hdl/elink_cfg.v (100%) rename {src/elink => elink}/hdl/elink_constants.vh (100%) rename {src/elink => elink}/hdl/elink_regmap.vh (100%) rename {src/elink => elink}/hdl/erx.v (100%) rename {src/elink => elink}/hdl/erx_arbiter.v (100%) rename {src/elink => elink}/hdl/erx_cfg.v (100%) rename {src/elink => elink}/hdl/erx_clocks.v (100%) rename {src/elink => elink}/hdl/erx_core.v (100%) rename {src/elink => elink}/hdl/erx_fifo.v (100%) rename {src/elink => elink}/hdl/erx_io.v (100%) rename {src/elink => elink}/hdl/erx_protocol.v (100%) rename {src/elink => elink}/hdl/erx_remap.v (100%) rename {src/elink => elink}/hdl/etx.v (100%) rename {src/elink => elink}/hdl/etx_arbiter.v (100%) rename {src/elink => elink}/hdl/etx_cfg.v (100%) rename {src/elink => elink}/hdl/etx_clocks.v (100%) rename {src/elink => elink}/hdl/etx_core.v (100%) rename {src/elink => elink}/hdl/etx_fifo.v (100%) rename {src/elink => elink}/hdl/etx_io.v (100%) rename {src/elink => elink}/hdl/etx_protocol.v (100%) rename {src/elink => elink}/hdl/etx_remap.v (100%) rename {src/elink => elink}/include/elink_regs.h (100%) rename {src/elink => elink}/sw/build.sh (100%) rename {src/elink => elink}/sw/burst-test/README.md (100%) rename {src/elink => elink}/sw/burst-test/build.sh (100%) rename {src/elink => elink}/sw/burst-test/run.sh (100%) rename {src/elink => elink}/sw/burst-test/src/common.h (100%) rename {src/elink => elink}/sw/burst-test/src/emain.c (100%) rename {src/elink => elink}/sw/burst-test/src/etest.S (100%) rename {src/elink => elink}/sw/burst-test/src/main.c (100%) rename {src/elink => elink}/sw/idelay/DUMP (100%) rename {src/elink => elink}/sw/idelay/build.sh (100%) rename {src/elink => elink}/sw/idelay/log (100%) rename {src/elink => elink}/sw/idelay/run.sh (100%) rename {src/elink => elink}/sw/idelay/src/common.h (100%) rename {src/elink => elink}/sw/idelay/src/e-main.c (100%) rename {src/elink => elink}/sw/idelay/src/e-task.c (100%) rename {src/elink => elink}/sw/idelay/test.sh (100%) rename {src/elink => elink}/sw/mailbox-test2/README.md (100%) rename {src/elink => elink}/sw/mailbox-test2/build.sh (100%) rename {src/elink => elink}/sw/mailbox-test2/run.sh (100%) rename {src/elink => elink}/sw/mailbox-test2/src/common.h (100%) rename {src/elink => elink}/sw/mailbox-test2/src/emain.c (100%) rename {src/elink => elink}/sw/mailbox-test2/src/epiphany.h (100%) rename {src/elink => elink}/sw/mailbox-test2/src/main.c (100%) rename {src/elink => elink}/sw/mailbox/DUMP (100%) rename {src/elink => elink}/sw/mailbox/build.sh (100%) rename {src/elink => elink}/sw/mailbox/kernel/epiphany.c (100%) rename {src/elink => elink}/sw/mailbox/kernel/epiphany.h (100%) rename {src/elink => elink}/sw/mailbox/log (100%) rename {src/elink => elink}/sw/mailbox/run.sh (100%) rename {src/elink => elink}/sw/mailbox/src/common.h (100%) rename {src/elink => elink}/sw/mailbox/src/e-main.c (100%) rename {src/elink => elink}/sw/mailbox/src/e-task.c (100%) rename {src/elink => elink}/sw/mailbox/test.sh (100%) rename {src/elink => elink}/sw/mailbox_test/DUMP (100%) rename {src/elink => elink}/sw/mailbox_test/build.sh (100%) rename {src/elink => elink}/sw/mailbox_test/dump (100%) rename {src/elink => elink}/sw/mailbox_test/log (100%) rename {src/elink => elink}/sw/mailbox_test/run.sh (100%) rename {src/elink => elink}/sw/mailbox_test/src/common.h (100%) rename {src/elink => elink}/sw/mailbox_test/src/e-main.c (100%) rename {src/elink => elink}/sw/mailbox_test/src/e-task.c (100%) rename {src/elink => elink}/sw/mailbox_test/test.sh (100%) rename {src/elink => elink}/sw/src/e-access.c (100%) rename {src/elink => elink}/sw/src/elink.c (100%) rename {src/elink => elink}/sw/src/loop.c (100%) rename {src/elink => elink}/sw/tests/set_halfspeed.sh (100%) rename {src/elink => elink}/sw/tests/toggle_led.sh (100%) rename {src/elink => elink}/sw/timeout/DUMP (100%) rename {src/elink => elink}/sw/timeout/build.sh (100%) rename {src/elink => elink}/sw/timeout/log (100%) rename {src/elink => elink}/sw/timeout/run.sh (100%) rename {src/elink => elink}/sw/timeout/src/common.h (100%) rename {src/elink => elink}/sw/timeout/src/e-main.c (100%) rename {src/elink => elink}/sw/timeout/src/e-task.c (100%) rename {src/elink => elink}/sw/timeout/test.sh (100%) rename {src/emailbox => emailbox}/README.md (100%) rename {src/emailbox => emailbox}/dv/dut_emailbox.v (100%) rename {src/emailbox => emailbox}/dv/tests/test_basic.emf (100%) rename {src/emailbox => emailbox}/hdl/emailbox.v (100%) rename {src/emailbox => emailbox}/hdl/emailbox_regmap.vh (100%) rename {src/emesh => emesh}/README.md (100%) rename {src/emesh => emesh}/docs/emesh.js (100%) rename {src/emesh => emesh}/docs/node_modules/phantomjs-prebuilt/node_modules/.bin/which (100%) rename {src/emesh => emesh}/docs/node_modules/phantomjs-prebuilt/node_modules/fs-extra/node_modules/.bin/rimraf (100%) rename {src/emesh => emesh}/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/har-validator (100%) rename {src/emesh => emesh}/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/uuid (100%) rename {src/emesh => emesh}/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-conv (100%) rename {src/emesh => emesh}/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-sign (100%) rename {src/emesh => emesh}/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-verify (100%) rename {src/emesh => emesh}/dv/README (100%) rename {src/emesh => emesh}/dv/egen.pl (100%) rename {src/emesh => emesh}/hdl/emesh_constants.v (100%) rename {src/emesh => emesh}/hdl/emesh_decode.v (100%) rename {src/emesh => emesh}/hdl/emesh_if.v (100%) rename {src/emesh => emesh}/hdl/emesh_memory.v (100%) rename {src/emesh => emesh}/hdl/emesh_monitor.v (100%) rename {src/emesh => emesh}/hdl/emesh_mux.v (100%) rename {src/emesh => emesh}/hdl/emesh_pack.v (100%) rename {src/emesh => emesh}/hdl/emesh_rdalign.v (100%) rename {src/emesh => emesh}/hdl/emesh_readback.v (100%) rename {src/emesh => emesh}/hdl/emesh_unpack.v (100%) rename {src/emesh => emesh}/hdl/emesh_wralign.v (100%) rename {src/emmu => emmu}/README.md (100%) rename {src/emmu => emmu}/dv/dut_emmu.v (100%) rename {src/emmu => emmu}/dv/tests/test_basic.emf (100%) rename {src/emmu => emmu}/hdl/emmu.v (100%) rename {src/etrace => etrace}/README.md (100%) rename {src/etrace => etrace}/dv/dut_etrace.v (100%) rename {src/etrace => etrace}/dv/test/test_trace.memh (100%) rename {src/etrace => etrace}/hdl/axi_etrace.v (100%) rename {src/etrace => etrace}/hdl/etrace.v (100%) rename {src/etrace => etrace}/hdl/etrace_regmap.v (100%) rename {src/etrace => etrace}/hdl/etrace_regmap.vh (100%) rename {src/gpio => gpio}/README.md (100%) rename {src/gpio => gpio}/driver/gpiolib/README.md (100%) rename {src/gpio => gpio}/driver/gpiolib/gpio-epiphany.h (100%) rename {src/gpio => gpio}/driver/gpiolib/gpio-generic.h (100%) rename {src/gpio => gpio}/driver/gpiolib/gpio-simple.h (100%) rename {src/gpio => gpio}/driver/gpiolib/gpio.h (100%) rename {src/gpio => gpio}/driver/gpiolib/gpio_example.c (100%) rename {src/gpio => gpio}/driver/gpiolib/test.c (100%) rename {src/gpio => gpio}/driver/linux/Documentation/devicetree/bindings/gpio/gpio-oh.txt (100%) rename {src/gpio => gpio}/driver/linux/Kbuild (100%) rename {src/gpio => gpio}/driver/linux/Makefile (100%) rename {src/gpio => gpio}/driver/linux/README.md (100%) rename {src/gpio => gpio}/driver/linux/gpio-oh.c (100%) rename {src/gpio => gpio}/dv/dut_gpio.v (100%) rename {src/gpio => gpio}/dv/tests/test_basic.emf (100%) rename {src/gpio => gpio}/fpga/bit2bin.bif (100%) rename {src/gpio => gpio}/fpga/build.sh (100%) rename {src/gpio => gpio}/fpga/dummy.elf (100%) rename {src/gpio => gpio}/fpga/ip_params.tcl (100%) rename {src/gpio => gpio}/fpga/package.tcl (100%) rename {src/gpio => gpio}/fpga/run.tcl (100%) rename {src/gpio => gpio}/fpga/run_params.tcl (100%) rename {src/gpio => gpio}/fpga/system_bd.tcl (100%) rename {src/gpio => gpio}/fpga/system_params.tcl (100%) rename {src/gpio => gpio}/hdl/axi_gpio.v (100%) rename {src/gpio => gpio}/hdl/gpio.v (100%) rename {src/gpio => gpio}/hdl/gpio_regmap.vh (100%) rename {src/gpio => gpio}/hdl/parallella_gpio.v (100%) rename {src/mio => mio}/README.md (100%) rename {src/mio => mio}/docs/c2c_waveform.png (100%) rename {src/mio => mio}/driver/hello-mio/Makefile (100%) rename {src/mio => mio}/driver/hello-mio/README.md (100%) rename {src/mio => mio}/driver/hello-mio/hello-mio.c (100%) rename {src/mio => mio}/driver/linux-uio/README.md (100%) rename {src/mio => mio}/driver/linux-uio/uio.ko (100%) rename {src/mio => mio}/driver/linux-uio/uio_pdrv_genirq.ko (100%) rename {src/mio => mio}/driver/linux-uio/zynq-parallella-oh-mio.dtb (100%) rename {src/mio => mio}/driver/linux-uio/zynq-parallella-oh-mio.dts (100%) rename {src/mio => mio}/dv/dut_mio.v (100%) rename {src/mio => mio}/dv/tests/test_basic.emf (100%) rename {src/mio => mio}/dv/tests/test_fifo.emf (100%) rename {src/mio => mio}/hdl/cfg_mio.vh (100%) rename {src/mio => mio}/hdl/mio.v (100%) rename {src/mio => mio}/hdl/mio_constants.vh (100%) rename {src/mio => mio}/hdl/mio_dp.v (100%) rename {src/mio => mio}/hdl/mio_if.v (100%) rename {src/mio => mio}/hdl/mio_regmap.vh (100%) rename {src/mio => mio}/hdl/mio_regs.v (100%) rename {src/mio => mio}/hdl/mrx.v (100%) rename {src/mio => mio}/hdl/mrx_fifo.v (100%) rename {src/mio => mio}/hdl/mrx_io.v (100%) rename {src/mio => mio}/hdl/mrx_protocol.v (100%) rename {src/mio => mio}/hdl/mtx.v (100%) rename {src/mio => mio}/hdl/mtx_fifo.v (100%) rename {src/mio => mio}/hdl/mtx_io.v (100%) rename {src/padring => padring}/dv/tb_oh_padring.v (100%) rename {src/padring => padring}/hdl/oh_padring.v (100%) rename {src/padring => padring}/hdl/oh_pads_corner.v (100%) rename {src/padring => padring}/hdl/oh_pads_domain.v (100%) rename {src/padring => padring}/hdl/oh_pads_gpio.v (100%) rename {src/parallella => parallella}/README.md (100%) rename {src/parallella => parallella}/fpga/Makefile (100%) rename {src/parallella => parallella}/fpga/README.md (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7010-vs-z7020.diff (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7010/Makefile (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7010/bit2bin.bif (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7010/build.sh (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7010/dummy.elf (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7010/run.tcl (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7010/system_bd.tcl (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7010/system_params.tcl (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/Makefile (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/bit2bin.bif (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/build.sh (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/dummy.elf (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/parallella.bit.bin (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/parallella_e16_headless_gpiose_7020.bit.bin (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/run.tcl (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/system_bd.tcl (100%) rename {src/parallella => parallella}/fpga/headless_e16_z7020/system_params.tcl (100%) rename {src/parallella => parallella}/fpga/parallella_7020_io.xdc (100%) rename {src/parallella => parallella}/fpga/parallella_accelerator/Makefile (100%) rename {src/parallella => parallella}/fpga/parallella_accelerator/build.sh (100%) rename {src/parallella => parallella}/fpga/parallella_accelerator/run.tcl (100%) rename {src/parallella => parallella}/fpga/parallella_accelerator/system_params.tcl (100%) rename {src/parallella => parallella}/fpga/parallella_base/Makefile (100%) rename {src/parallella => parallella}/fpga/parallella_base/build.sh (100%) rename {src/parallella => parallella}/fpga/parallella_base/run.tcl (100%) rename {src/parallella => parallella}/fpga/parallella_base/system_params.tcl (100%) rename {src/parallella => parallella}/fpga/parallella_io.xdc (100%) rename {src/parallella => parallella}/fpga/parallella_timing.xdc (100%) rename {src/parallella => parallella}/fpga/sdr_fmcomms/build.sh (100%) rename {src/parallella => parallella}/fpga/sdr_fmcomms/run.tcl (100%) rename {src/parallella => parallella}/fpga/sdr_fmcomms/system_bd.tcl (100%) rename {src/parallella => parallella}/fpga/sdr_fmcomms/system_params.tcl (100%) rename {src/parallella => parallella}/hdl/parallella_base.v (100%) rename {src/parallella => parallella}/hdl/pgpio.v (100%) rename {src/parallella => parallella}/hdl/pi2c.v (100%) rename {src/spi => spi}/README.md (100%) rename {src/spi => spi}/dv/dut_spi.v (100%) rename {src/spi => spi}/dv/tests/test_basic.emf (100%) rename {src/spi => spi}/dv/tests/test_write.emf (100%) rename {src/spi => spi}/fpga/axi_spi_timing.xdc (100%) rename {src/spi => spi}/fpga/bit2bin.bif (100%) rename {src/spi => spi}/fpga/build.sh (100%) rename {src/spi => spi}/fpga/clean.sh (100%) rename {src/spi => spi}/fpga/dummy.elf (100%) rename {src/spi => spi}/fpga/ip_params.tcl (100%) rename {src/spi => spi}/fpga/package.tcl (100%) rename {src/spi => spi}/fpga/run.tcl (100%) rename {src/spi => spi}/fpga/run_params.tcl (100%) rename {src/spi => spi}/fpga/system_bd.tcl (100%) rename {src/spi => spi}/fpga/system_params.tcl (100%) rename {src/spi => spi}/hdl/axi_spi.v (100%) rename {src/spi => spi}/hdl/parallella_spi.v (100%) rename {src/spi => spi}/hdl/spi.v (100%) rename {src/spi => spi}/hdl/spi_master.v (100%) rename {src/spi => spi}/hdl/spi_master_fifo.v (100%) rename {src/spi => spi}/hdl/spi_master_io.v (100%) rename {src/spi => spi}/hdl/spi_master_regs.v (100%) rename {src/spi => spi}/hdl/spi_regmap.vh (100%) rename {src/spi => spi}/hdl/spi_slave.v (100%) rename {src/spi => spi}/hdl/spi_slave_io.v (100%) rename {src/spi => spi}/hdl/spi_slave_regs.v (100%) rename {src/stdcells => stdcells}/dv/oh_nand2_tb.sv (100%) rename {src/stdcells => stdcells}/dv/oh_nor2_tb.sv (100%) rename {src/stdcells => stdcells}/dv/run.sh (100%) rename {src/stdcells => stdcells}/hdl/oh_nand2.sv (100%) rename {src/stdcells => stdcells}/hdl/oh_nmos.sv (100%) rename {src/stdcells => stdcells}/hdl/oh_pmos.sv (100%) rename {src/stdlib => stdlib}/README.md (100%) rename {src/stdlib => stdlib}/dv/README.md (100%) rename {src/stdlib => stdlib}/dv/cfg_random.v (100%) rename {src/stdlib => stdlib}/dv/dut_clockdiv.v (100%) rename {src/stdlib => stdlib}/dv/dut_debouncer.v (100%) rename {src/stdlib => stdlib}/dv/dut_fifo_generic.v (100%) rename {src/stdlib => stdlib}/dv/dut_gray.v (100%) rename {src/stdlib => stdlib}/dv/dut_template.v (100%) rename {src/stdlib => stdlib}/dv/dv_driver.v (100%) rename {src/stdlib => stdlib}/dv/dv_random.v (100%) rename {src/stdlib => stdlib}/dv/dv_stimulus.v (100%) rename {src/stdlib => stdlib}/dv/dv_top.v (100%) rename {src/stdlib => stdlib}/dv/firmware_example.hex (100%) rename {src/stdlib => stdlib}/dv/libs.cmd (100%) rename {src/stdlib => stdlib}/dv/oh.gtkw (100%) rename {src/stdlib => stdlib}/dv/oh_simchecker.v (100%) rename {src/stdlib => stdlib}/dv/oh_simctrl.v (100%) rename {src/stdlib => stdlib}/dv/run.sh (100%) rename {src/stdlib => stdlib}/dv/stimulus.v (100%) rename {src/stdlib => stdlib}/dv/tb_oh_pulse.v (100%) rename {src/stdlib => stdlib}/dv/tb_oh_random.v (100%) rename {src/stdlib => stdlib}/dv/tb_oh_stimulus.v (100%) rename {src/stdlib => stdlib}/dv/test.mem (100%) rename {src/stdlib => stdlib}/dv/tests/test_clkdiv.emf (100%) rename {src/stdlib => stdlib}/dv/tests/test_debounce.emf (100%) rename {src/stdlib => stdlib}/dv/tests/test_fifo.emf (100%) rename {src/stdlib => stdlib}/dv/tests/test_gray.emf (100%) rename {src/stdlib => stdlib}/dv/timescale.v (100%) rename {src/stdlib => stdlib}/firmware/v2c.sh (100%) rename {src/stdlib => stdlib}/fpga/create_ip.tcl (100%) rename {src/stdlib => stdlib}/fpga/system_build.tcl (100%) rename {src/stdlib => stdlib}/fpga/system_init.tcl (100%) rename {src/stdlib => stdlib}/hdl/oh_7seg_decode.v (100%) rename {src/stdlib => stdlib}/hdl/oh_abs.v (100%) rename {src/stdlib => stdlib}/hdl/oh_add.v (100%) rename {src/stdlib => stdlib}/hdl/oh_and2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_and3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_and4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao21.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao211.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao22.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao221.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao222.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao31.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao311.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao32.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ao33.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi21.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi211.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi22.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi221.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi222.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi31.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi311.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi32.v (100%) rename {src/stdlib => stdlib}/hdl/oh_aoi33.v (100%) rename {src/stdlib => stdlib}/hdl/oh_arbiter.v (100%) rename {src/stdlib => stdlib}/hdl/oh_bin2gray.v (100%) rename {src/stdlib => stdlib}/hdl/oh_bin2onehot.v (100%) rename {src/stdlib => stdlib}/hdl/oh_bitreverse.v (100%) rename {src/stdlib => stdlib}/hdl/oh_buf.v (100%) rename {src/stdlib => stdlib}/hdl/oh_buffer.v (100%) rename {src/stdlib => stdlib}/hdl/oh_clockdiv.v (100%) rename {src/stdlib => stdlib}/hdl/oh_clockgate.v (100%) rename {src/stdlib => stdlib}/hdl/oh_clockmux.v (100%) rename {src/stdlib => stdlib}/hdl/oh_clockmux2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_clockmux4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_clockor.v (100%) rename {src/stdlib => stdlib}/hdl/oh_counter.v (100%) rename {src/stdlib => stdlib}/hdl/oh_csa32.v (100%) rename {src/stdlib => stdlib}/hdl/oh_csa42.v (100%) rename {src/stdlib => stdlib}/hdl/oh_csa62.v (100%) rename {src/stdlib => stdlib}/hdl/oh_csa92.v (100%) rename {src/stdlib => stdlib}/hdl/oh_datagate.v (100%) rename {src/stdlib => stdlib}/hdl/oh_debouncer.v (100%) rename {src/stdlib => stdlib}/hdl/oh_delay.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dffnq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dffq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dffqn.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dffrq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dffrqn.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dffsq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dffsqn.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dpram.v (100%) rename {src/stdlib => stdlib}/hdl/oh_dsync.v (100%) rename {src/stdlib => stdlib}/hdl/oh_edge2pulse.v (100%) rename {src/stdlib => stdlib}/hdl/oh_edgealign.v (100%) rename {src/stdlib => stdlib}/hdl/oh_fall2pulse.v (100%) rename {src/stdlib => stdlib}/hdl/oh_fifo_async.v (100%) rename {src/stdlib => stdlib}/hdl/oh_fifo_cdc.v (100%) rename {src/stdlib => stdlib}/hdl/oh_fifo_sync.v (100%) rename {src/stdlib => stdlib}/hdl/oh_gray2bin.v (100%) rename {src/stdlib => stdlib}/hdl/oh_header.v (100%) rename {src/stdlib => stdlib}/hdl/oh_iddr.v (100%) rename {src/stdlib => stdlib}/hdl/oh_inv.v (100%) rename {src/stdlib => stdlib}/hdl/oh_isobufhi.v (100%) rename {src/stdlib => stdlib}/hdl/oh_isobuflo.v (100%) rename {src/stdlib => stdlib}/hdl/oh_lat0.v (100%) rename {src/stdlib => stdlib}/hdl/oh_lat1.v (100%) rename {src/stdlib => stdlib}/hdl/oh_latnq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_latq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mult.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux12.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux5.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux6.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux7.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux8.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mux9.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mx2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mx3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mx4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mxi2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mxi3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_mxi4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_nand3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_nand4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_nor2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_nor3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_nor4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa21.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa211.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa22.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa221.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa222.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa31.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa311.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa32.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oa33.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oai21.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oai22.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oai221.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oai222.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oai31.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oai311.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oai32.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oai33.v (100%) rename {src/stdlib => stdlib}/hdl/oh_oddr.v (100%) rename {src/stdlib => stdlib}/hdl/oh_or2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_or3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_or4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_par2ser.v (100%) rename {src/stdlib => stdlib}/hdl/oh_parity.v (100%) rename {src/stdlib => stdlib}/hdl/oh_pll.v (100%) rename {src/stdlib => stdlib}/hdl/oh_pulse.v (100%) rename {src/stdlib => stdlib}/hdl/oh_pulse2pulse.v (100%) rename {src/stdlib => stdlib}/hdl/oh_pwr_buf.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ram.v (100%) rename {src/stdlib => stdlib}/hdl/oh_random.v (100%) rename {src/stdlib => stdlib}/hdl/oh_reg0.v (100%) rename {src/stdlib => stdlib}/hdl/oh_reg1.v (100%) rename {src/stdlib => stdlib}/hdl/oh_regfile.v (100%) rename {src/stdlib => stdlib}/hdl/oh_rise2pulse.v (100%) rename {src/stdlib => stdlib}/hdl/oh_rsync.v (100%) rename {src/stdlib => stdlib}/hdl/oh_sdffq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_sdffqn.v (100%) rename {src/stdlib => stdlib}/hdl/oh_sdffrq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_sdffrqn.v (100%) rename {src/stdlib => stdlib}/hdl/oh_sdffsq.v (100%) rename {src/stdlib => stdlib}/hdl/oh_sdffsqn.v (100%) rename {src/stdlib => stdlib}/hdl/oh_ser2par.v (100%) rename {src/stdlib => stdlib}/hdl/oh_shift.v (100%) rename {src/stdlib => stdlib}/hdl/oh_standby.v (100%) rename {src/stdlib => stdlib}/hdl/oh_stimulus.v (100%) rename {src/stdlib => stdlib}/hdl/oh_stretcher.v (100%) rename {src/stdlib => stdlib}/hdl/oh_tristate.v (100%) rename {src/stdlib => stdlib}/hdl/oh_xnor2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_xnor3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_xnor4.v (100%) rename {src/stdlib => stdlib}/hdl/oh_xor2.v (100%) rename {src/stdlib => stdlib}/hdl/oh_xor3.v (100%) rename {src/stdlib => stdlib}/hdl/oh_xor4.v (100%) rename {src/xilibs => xilibs}/README.md (100%) rename {src/xilibs => xilibs}/dv/BUF.v (100%) rename {src/xilibs => xilibs}/dv/BUFG.v (100%) rename {src/xilibs => xilibs}/dv/BUFIO.v (100%) rename {src/xilibs => xilibs}/dv/BUFR.v (100%) rename {src/xilibs => xilibs}/dv/CLKDIV.v (100%) rename {src/xilibs => xilibs}/dv/IBUF.v (100%) rename {src/xilibs => xilibs}/dv/IBUFDS.v (100%) rename {src/xilibs => xilibs}/dv/IBUFDS_DIFF_OUT.v (100%) rename {src/xilibs => xilibs}/dv/IBUFDS_GTE2.v (100%) rename {src/xilibs => xilibs}/dv/IBUFDS_IBUFDISABLE.v (100%) rename {src/xilibs => xilibs}/dv/IBUFDS_IBUFDISABLE_INT.v (100%) rename {src/xilibs => xilibs}/dv/IBUFDS_INTERMDISABLE.v (100%) rename {src/xilibs => xilibs}/dv/IBUFDS_INTERMDISABLE_INT.v (100%) rename {src/xilibs => xilibs}/dv/IBUFE3.v (100%) rename {src/xilibs => xilibs}/dv/IBUFGDS.v (100%) rename {src/xilibs => xilibs}/dv/IBUF_IBUFDISABLE.v (100%) rename {src/xilibs => xilibs}/dv/IBUF_INTERMDISABLE.v (100%) rename {src/xilibs => xilibs}/dv/IDDR.v (100%) rename {src/xilibs => xilibs}/dv/IDELAYCTRL.v (100%) rename {src/xilibs => xilibs}/dv/IDELAYE2.v (100%) rename {src/xilibs => xilibs}/dv/IDELAYE2_FINEDELAY.v (100%) rename {src/xilibs => xilibs}/dv/IDELAYE3.v (100%) rename {src/xilibs => xilibs}/dv/IOBUF.v (100%) rename {src/xilibs => xilibs}/dv/IOBUFDS.v (100%) rename {src/xilibs => xilibs}/dv/IOBUFDSE3.v (100%) rename {src/xilibs => xilibs}/dv/IOBUFDS_DCIEN.v (100%) rename {src/xilibs => xilibs}/dv/IOBUFDS_DIFF_OUT_INTERMDISABLE.v (100%) rename {src/xilibs => xilibs}/dv/IOBUF_INTERMDISABLE.v (100%) rename {src/xilibs => xilibs}/dv/ISERDESE2.v (100%) rename {src/xilibs => xilibs}/dv/MMCME2_ADV.v (100%) rename {src/xilibs => xilibs}/dv/OBUF.v (100%) rename {src/xilibs => xilibs}/dv/OBUFDS.v (100%) rename {src/xilibs => xilibs}/dv/OBUFDS_GTE3_ADV.v (100%) rename {src/xilibs => xilibs}/dv/OBUFT.v (100%) rename {src/xilibs => xilibs}/dv/OBUFTDS.v (100%) rename {src/xilibs => xilibs}/dv/OBUFTDS_DCIEN.v (100%) rename {src/xilibs => xilibs}/dv/ODDR.v (100%) rename {src/xilibs => xilibs}/dv/ODELAYE2.v (100%) rename {src/xilibs => xilibs}/dv/OSERDESE2.v (100%) rename {src/xilibs => xilibs}/dv/PLLE2_ADV.v (100%) rename {src/xilibs => xilibs}/dv/PLLE2_BASE.v (100%) rename {src/xilibs => xilibs}/dv/RAM32X1D.v (100%) rename {src/xilibs => xilibs}/dv/fifo_async_104x32.v (100%) rename {src/xilibs => xilibs}/dv/fifo_generator_vlog_beh.v (100%) rename {src/xilibs => xilibs}/ip/fifo_async_104x32.xci (100%) diff --git a/src/asiclib/README.md b/asiclib/README.md similarity index 100% rename from src/asiclib/README.md rename to asiclib/README.md diff --git a/src/asiclib/hdl/asic_and2.v b/asiclib/hdl/asic_and2.v similarity index 100% rename from src/asiclib/hdl/asic_and2.v rename to asiclib/hdl/asic_and2.v diff --git a/src/asiclib/hdl/asic_and3.v b/asiclib/hdl/asic_and3.v similarity index 100% rename from src/asiclib/hdl/asic_and3.v rename to asiclib/hdl/asic_and3.v diff --git a/src/asiclib/hdl/asic_and4.v b/asiclib/hdl/asic_and4.v similarity index 100% rename from src/asiclib/hdl/asic_and4.v rename to asiclib/hdl/asic_and4.v diff --git a/src/asiclib/hdl/asic_antenna.v b/asiclib/hdl/asic_antenna.v similarity index 100% rename from src/asiclib/hdl/asic_antenna.v rename to asiclib/hdl/asic_antenna.v diff --git a/src/asiclib/hdl/asic_ao21.v b/asiclib/hdl/asic_ao21.v similarity index 100% rename from src/asiclib/hdl/asic_ao21.v rename to asiclib/hdl/asic_ao21.v diff --git a/src/asiclib/hdl/asic_ao211.v b/asiclib/hdl/asic_ao211.v similarity index 100% rename from src/asiclib/hdl/asic_ao211.v rename to asiclib/hdl/asic_ao211.v diff --git a/src/asiclib/hdl/asic_ao22.v b/asiclib/hdl/asic_ao22.v similarity index 100% rename from src/asiclib/hdl/asic_ao22.v rename to asiclib/hdl/asic_ao22.v diff --git a/src/asiclib/hdl/asic_ao221.v b/asiclib/hdl/asic_ao221.v similarity index 100% rename from src/asiclib/hdl/asic_ao221.v rename to asiclib/hdl/asic_ao221.v diff --git a/src/asiclib/hdl/asic_ao222.v b/asiclib/hdl/asic_ao222.v similarity index 100% rename from src/asiclib/hdl/asic_ao222.v rename to asiclib/hdl/asic_ao222.v diff --git a/src/asiclib/hdl/asic_ao31.v b/asiclib/hdl/asic_ao31.v similarity index 100% rename from src/asiclib/hdl/asic_ao31.v rename to asiclib/hdl/asic_ao31.v diff --git a/src/asiclib/hdl/asic_ao311.v b/asiclib/hdl/asic_ao311.v similarity index 100% rename from src/asiclib/hdl/asic_ao311.v rename to asiclib/hdl/asic_ao311.v diff --git a/src/asiclib/hdl/asic_ao32.v b/asiclib/hdl/asic_ao32.v similarity index 100% rename from src/asiclib/hdl/asic_ao32.v rename to asiclib/hdl/asic_ao32.v diff --git a/src/asiclib/hdl/asic_ao33.v b/asiclib/hdl/asic_ao33.v similarity index 100% rename from src/asiclib/hdl/asic_ao33.v rename to asiclib/hdl/asic_ao33.v diff --git a/src/asiclib/hdl/asic_aoi21.v b/asiclib/hdl/asic_aoi21.v similarity index 100% rename from src/asiclib/hdl/asic_aoi21.v rename to asiclib/hdl/asic_aoi21.v diff --git a/src/asiclib/hdl/asic_aoi211.v b/asiclib/hdl/asic_aoi211.v similarity index 100% rename from src/asiclib/hdl/asic_aoi211.v rename to asiclib/hdl/asic_aoi211.v diff --git a/src/asiclib/hdl/asic_aoi22.v b/asiclib/hdl/asic_aoi22.v similarity index 100% rename from src/asiclib/hdl/asic_aoi22.v rename to asiclib/hdl/asic_aoi22.v diff --git a/src/asiclib/hdl/asic_aoi221.v b/asiclib/hdl/asic_aoi221.v similarity index 100% rename from src/asiclib/hdl/asic_aoi221.v rename to asiclib/hdl/asic_aoi221.v diff --git a/src/asiclib/hdl/asic_aoi222.v b/asiclib/hdl/asic_aoi222.v similarity index 100% rename from src/asiclib/hdl/asic_aoi222.v rename to asiclib/hdl/asic_aoi222.v diff --git a/src/asiclib/hdl/asic_aoi31.v b/asiclib/hdl/asic_aoi31.v similarity index 100% rename from src/asiclib/hdl/asic_aoi31.v rename to asiclib/hdl/asic_aoi31.v diff --git a/src/asiclib/hdl/asic_aoi311.v b/asiclib/hdl/asic_aoi311.v similarity index 100% rename from src/asiclib/hdl/asic_aoi311.v rename to asiclib/hdl/asic_aoi311.v diff --git a/src/asiclib/hdl/asic_aoi32.v b/asiclib/hdl/asic_aoi32.v similarity index 100% rename from src/asiclib/hdl/asic_aoi32.v rename to asiclib/hdl/asic_aoi32.v diff --git a/src/asiclib/hdl/asic_aoi33.v b/asiclib/hdl/asic_aoi33.v similarity index 100% rename from src/asiclib/hdl/asic_aoi33.v rename to asiclib/hdl/asic_aoi33.v diff --git a/src/asiclib/hdl/asic_buf.v b/asiclib/hdl/asic_buf.v similarity index 100% rename from src/asiclib/hdl/asic_buf.v rename to asiclib/hdl/asic_buf.v diff --git a/src/asiclib/hdl/asic_clkand2.v b/asiclib/hdl/asic_clkand2.v similarity index 100% rename from src/asiclib/hdl/asic_clkand2.v rename to asiclib/hdl/asic_clkand2.v diff --git a/src/asiclib/hdl/asic_clkbuf.v b/asiclib/hdl/asic_clkbuf.v similarity index 100% rename from src/asiclib/hdl/asic_clkbuf.v rename to asiclib/hdl/asic_clkbuf.v diff --git a/src/asiclib/hdl/asic_clkicgand.v b/asiclib/hdl/asic_clkicgand.v similarity index 100% rename from src/asiclib/hdl/asic_clkicgand.v rename to asiclib/hdl/asic_clkicgand.v diff --git a/src/asiclib/hdl/asic_clkicgor.v b/asiclib/hdl/asic_clkicgor.v similarity index 100% rename from src/asiclib/hdl/asic_clkicgor.v rename to asiclib/hdl/asic_clkicgor.v diff --git a/src/asiclib/hdl/asic_clkinv.v b/asiclib/hdl/asic_clkinv.v similarity index 100% rename from src/asiclib/hdl/asic_clkinv.v rename to asiclib/hdl/asic_clkinv.v diff --git a/src/asiclib/hdl/asic_clkmux2.v b/asiclib/hdl/asic_clkmux2.v similarity index 100% rename from src/asiclib/hdl/asic_clkmux2.v rename to asiclib/hdl/asic_clkmux2.v diff --git a/src/asiclib/hdl/asic_clknand2.v b/asiclib/hdl/asic_clknand2.v similarity index 100% rename from src/asiclib/hdl/asic_clknand2.v rename to asiclib/hdl/asic_clknand2.v diff --git a/src/asiclib/hdl/asic_clknor2.v b/asiclib/hdl/asic_clknor2.v similarity index 100% rename from src/asiclib/hdl/asic_clknor2.v rename to asiclib/hdl/asic_clknor2.v diff --git a/src/asiclib/hdl/asic_clkor2.v b/asiclib/hdl/asic_clkor2.v similarity index 100% rename from src/asiclib/hdl/asic_clkor2.v rename to asiclib/hdl/asic_clkor2.v diff --git a/src/asiclib/hdl/asic_clkxor2.v b/asiclib/hdl/asic_clkxor2.v similarity index 100% rename from src/asiclib/hdl/asic_clkxor2.v rename to asiclib/hdl/asic_clkxor2.v diff --git a/src/asiclib/hdl/asic_csa32.v b/asiclib/hdl/asic_csa32.v similarity index 100% rename from src/asiclib/hdl/asic_csa32.v rename to asiclib/hdl/asic_csa32.v diff --git a/src/asiclib/hdl/asic_csa42.v b/asiclib/hdl/asic_csa42.v similarity index 100% rename from src/asiclib/hdl/asic_csa42.v rename to asiclib/hdl/asic_csa42.v diff --git a/src/asiclib/hdl/asic_decap.v b/asiclib/hdl/asic_decap.v similarity index 100% rename from src/asiclib/hdl/asic_decap.v rename to asiclib/hdl/asic_decap.v diff --git a/src/asiclib/hdl/asic_delay.v b/asiclib/hdl/asic_delay.v similarity index 100% rename from src/asiclib/hdl/asic_delay.v rename to asiclib/hdl/asic_delay.v diff --git a/src/asiclib/hdl/asic_dffnq.v b/asiclib/hdl/asic_dffnq.v similarity index 100% rename from src/asiclib/hdl/asic_dffnq.v rename to asiclib/hdl/asic_dffnq.v diff --git a/src/asiclib/hdl/asic_dffq.v b/asiclib/hdl/asic_dffq.v similarity index 100% rename from src/asiclib/hdl/asic_dffq.v rename to asiclib/hdl/asic_dffq.v diff --git a/src/asiclib/hdl/asic_dffqn.v b/asiclib/hdl/asic_dffqn.v similarity index 100% rename from src/asiclib/hdl/asic_dffqn.v rename to asiclib/hdl/asic_dffqn.v diff --git a/src/asiclib/hdl/asic_dffrq.v b/asiclib/hdl/asic_dffrq.v similarity index 100% rename from src/asiclib/hdl/asic_dffrq.v rename to asiclib/hdl/asic_dffrq.v diff --git a/src/asiclib/hdl/asic_dffrqn.v b/asiclib/hdl/asic_dffrqn.v similarity index 100% rename from src/asiclib/hdl/asic_dffrqn.v rename to asiclib/hdl/asic_dffrqn.v diff --git a/src/asiclib/hdl/asic_dffsq.v b/asiclib/hdl/asic_dffsq.v similarity index 100% rename from src/asiclib/hdl/asic_dffsq.v rename to asiclib/hdl/asic_dffsq.v diff --git a/src/asiclib/hdl/asic_dffsqn.v b/asiclib/hdl/asic_dffsqn.v similarity index 100% rename from src/asiclib/hdl/asic_dffsqn.v rename to asiclib/hdl/asic_dffsqn.v diff --git a/src/asiclib/hdl/asic_dmux2.v b/asiclib/hdl/asic_dmux2.v similarity index 100% rename from src/asiclib/hdl/asic_dmux2.v rename to asiclib/hdl/asic_dmux2.v diff --git a/src/asiclib/hdl/asic_dmux3.v b/asiclib/hdl/asic_dmux3.v similarity index 100% rename from src/asiclib/hdl/asic_dmux3.v rename to asiclib/hdl/asic_dmux3.v diff --git a/src/asiclib/hdl/asic_dmux4.v b/asiclib/hdl/asic_dmux4.v similarity index 100% rename from src/asiclib/hdl/asic_dmux4.v rename to asiclib/hdl/asic_dmux4.v diff --git a/src/asiclib/hdl/asic_dmux5.v b/asiclib/hdl/asic_dmux5.v similarity index 100% rename from src/asiclib/hdl/asic_dmux5.v rename to asiclib/hdl/asic_dmux5.v diff --git a/src/asiclib/hdl/asic_dmux6.v b/asiclib/hdl/asic_dmux6.v similarity index 100% rename from src/asiclib/hdl/asic_dmux6.v rename to asiclib/hdl/asic_dmux6.v diff --git a/src/asiclib/hdl/asic_dmux7.v b/asiclib/hdl/asic_dmux7.v similarity index 100% rename from src/asiclib/hdl/asic_dmux7.v rename to asiclib/hdl/asic_dmux7.v diff --git a/src/asiclib/hdl/asic_dmux8.v b/asiclib/hdl/asic_dmux8.v similarity index 100% rename from src/asiclib/hdl/asic_dmux8.v rename to asiclib/hdl/asic_dmux8.v diff --git a/src/asiclib/hdl/asic_dsync.v b/asiclib/hdl/asic_dsync.v similarity index 100% rename from src/asiclib/hdl/asic_dsync.v rename to asiclib/hdl/asic_dsync.v diff --git a/src/asiclib/hdl/asic_footer.v b/asiclib/hdl/asic_footer.v similarity index 100% rename from src/asiclib/hdl/asic_footer.v rename to asiclib/hdl/asic_footer.v diff --git a/src/asiclib/hdl/asic_header.v b/asiclib/hdl/asic_header.v similarity index 100% rename from src/asiclib/hdl/asic_header.v rename to asiclib/hdl/asic_header.v diff --git a/src/asiclib/hdl/asic_iddr.v b/asiclib/hdl/asic_iddr.v similarity index 100% rename from src/asiclib/hdl/asic_iddr.v rename to asiclib/hdl/asic_iddr.v diff --git a/src/asiclib/hdl/asic_inv.v b/asiclib/hdl/asic_inv.v similarity index 100% rename from src/asiclib/hdl/asic_inv.v rename to asiclib/hdl/asic_inv.v diff --git a/src/asiclib/hdl/asic_isohi.v b/asiclib/hdl/asic_isohi.v similarity index 100% rename from src/asiclib/hdl/asic_isohi.v rename to asiclib/hdl/asic_isohi.v diff --git a/src/asiclib/hdl/asic_isolo.v b/asiclib/hdl/asic_isolo.v similarity index 100% rename from src/asiclib/hdl/asic_isolo.v rename to asiclib/hdl/asic_isolo.v diff --git a/src/asiclib/hdl/asic_keeper.v b/asiclib/hdl/asic_keeper.v similarity index 100% rename from src/asiclib/hdl/asic_keeper.v rename to asiclib/hdl/asic_keeper.v diff --git a/src/asiclib/hdl/asic_latnq.v b/asiclib/hdl/asic_latnq.v similarity index 100% rename from src/asiclib/hdl/asic_latnq.v rename to asiclib/hdl/asic_latnq.v diff --git a/src/asiclib/hdl/asic_latq.v b/asiclib/hdl/asic_latq.v similarity index 100% rename from src/asiclib/hdl/asic_latq.v rename to asiclib/hdl/asic_latq.v diff --git a/src/asiclib/hdl/asic_mux2.v b/asiclib/hdl/asic_mux2.v similarity index 100% rename from src/asiclib/hdl/asic_mux2.v rename to asiclib/hdl/asic_mux2.v diff --git a/src/asiclib/hdl/asic_mux3.v b/asiclib/hdl/asic_mux3.v similarity index 100% rename from src/asiclib/hdl/asic_mux3.v rename to asiclib/hdl/asic_mux3.v diff --git a/src/asiclib/hdl/asic_mux4.v b/asiclib/hdl/asic_mux4.v similarity index 100% rename from src/asiclib/hdl/asic_mux4.v rename to asiclib/hdl/asic_mux4.v diff --git a/src/asiclib/hdl/asic_muxi2.v b/asiclib/hdl/asic_muxi2.v similarity index 100% rename from src/asiclib/hdl/asic_muxi2.v rename to asiclib/hdl/asic_muxi2.v diff --git a/src/asiclib/hdl/asic_muxi3.v b/asiclib/hdl/asic_muxi3.v similarity index 100% rename from src/asiclib/hdl/asic_muxi3.v rename to asiclib/hdl/asic_muxi3.v diff --git a/src/asiclib/hdl/asic_muxi4.v b/asiclib/hdl/asic_muxi4.v similarity index 100% rename from src/asiclib/hdl/asic_muxi4.v rename to asiclib/hdl/asic_muxi4.v diff --git a/src/asiclib/hdl/asic_nand2.v b/asiclib/hdl/asic_nand2.v similarity index 100% rename from src/asiclib/hdl/asic_nand2.v rename to asiclib/hdl/asic_nand2.v diff --git a/src/asiclib/hdl/asic_nand3.v b/asiclib/hdl/asic_nand3.v similarity index 100% rename from src/asiclib/hdl/asic_nand3.v rename to asiclib/hdl/asic_nand3.v diff --git a/src/asiclib/hdl/asic_nand4.v b/asiclib/hdl/asic_nand4.v similarity index 100% rename from src/asiclib/hdl/asic_nand4.v rename to asiclib/hdl/asic_nand4.v diff --git a/src/asiclib/hdl/asic_nor2.v b/asiclib/hdl/asic_nor2.v similarity index 100% rename from src/asiclib/hdl/asic_nor2.v rename to asiclib/hdl/asic_nor2.v diff --git a/src/asiclib/hdl/asic_nor3.v b/asiclib/hdl/asic_nor3.v similarity index 100% rename from src/asiclib/hdl/asic_nor3.v rename to asiclib/hdl/asic_nor3.v diff --git a/src/asiclib/hdl/asic_nor4.v b/asiclib/hdl/asic_nor4.v similarity index 100% rename from src/asiclib/hdl/asic_nor4.v rename to asiclib/hdl/asic_nor4.v diff --git a/src/asiclib/hdl/asic_oa21.v b/asiclib/hdl/asic_oa21.v similarity index 100% rename from src/asiclib/hdl/asic_oa21.v rename to asiclib/hdl/asic_oa21.v diff --git a/src/asiclib/hdl/asic_oa211.v b/asiclib/hdl/asic_oa211.v similarity index 100% rename from src/asiclib/hdl/asic_oa211.v rename to asiclib/hdl/asic_oa211.v diff --git a/src/asiclib/hdl/asic_oa22.v b/asiclib/hdl/asic_oa22.v similarity index 100% rename from src/asiclib/hdl/asic_oa22.v rename to asiclib/hdl/asic_oa22.v diff --git a/src/asiclib/hdl/asic_oa221.v b/asiclib/hdl/asic_oa221.v similarity index 100% rename from src/asiclib/hdl/asic_oa221.v rename to asiclib/hdl/asic_oa221.v diff --git a/src/asiclib/hdl/asic_oa222.v b/asiclib/hdl/asic_oa222.v similarity index 100% rename from src/asiclib/hdl/asic_oa222.v rename to asiclib/hdl/asic_oa222.v diff --git a/src/asiclib/hdl/asic_oa31.v b/asiclib/hdl/asic_oa31.v similarity index 100% rename from src/asiclib/hdl/asic_oa31.v rename to asiclib/hdl/asic_oa31.v diff --git a/src/asiclib/hdl/asic_oa311.v b/asiclib/hdl/asic_oa311.v similarity index 100% rename from src/asiclib/hdl/asic_oa311.v rename to asiclib/hdl/asic_oa311.v diff --git a/src/asiclib/hdl/asic_oa32.v b/asiclib/hdl/asic_oa32.v similarity index 100% rename from src/asiclib/hdl/asic_oa32.v rename to asiclib/hdl/asic_oa32.v diff --git a/src/asiclib/hdl/asic_oa33.v b/asiclib/hdl/asic_oa33.v similarity index 100% rename from src/asiclib/hdl/asic_oa33.v rename to asiclib/hdl/asic_oa33.v diff --git a/src/asiclib/hdl/asic_oai21.v b/asiclib/hdl/asic_oai21.v similarity index 100% rename from src/asiclib/hdl/asic_oai21.v rename to asiclib/hdl/asic_oai21.v diff --git a/src/asiclib/hdl/asic_oai22.v b/asiclib/hdl/asic_oai22.v similarity index 100% rename from src/asiclib/hdl/asic_oai22.v rename to asiclib/hdl/asic_oai22.v diff --git a/src/asiclib/hdl/asic_oai221.v b/asiclib/hdl/asic_oai221.v similarity index 100% rename from src/asiclib/hdl/asic_oai221.v rename to asiclib/hdl/asic_oai221.v diff --git a/src/asiclib/hdl/asic_oai222.v b/asiclib/hdl/asic_oai222.v similarity index 100% rename from src/asiclib/hdl/asic_oai222.v rename to asiclib/hdl/asic_oai222.v diff --git a/src/asiclib/hdl/asic_oai31.v b/asiclib/hdl/asic_oai31.v similarity index 100% rename from src/asiclib/hdl/asic_oai31.v rename to asiclib/hdl/asic_oai31.v diff --git a/src/asiclib/hdl/asic_oai311.v b/asiclib/hdl/asic_oai311.v similarity index 100% rename from src/asiclib/hdl/asic_oai311.v rename to asiclib/hdl/asic_oai311.v diff --git a/src/asiclib/hdl/asic_oai32.v b/asiclib/hdl/asic_oai32.v similarity index 100% rename from src/asiclib/hdl/asic_oai32.v rename to asiclib/hdl/asic_oai32.v diff --git a/src/asiclib/hdl/asic_oai33.v b/asiclib/hdl/asic_oai33.v similarity index 100% rename from src/asiclib/hdl/asic_oai33.v rename to asiclib/hdl/asic_oai33.v diff --git a/src/asiclib/hdl/asic_oddr.v b/asiclib/hdl/asic_oddr.v similarity index 100% rename from src/asiclib/hdl/asic_oddr.v rename to asiclib/hdl/asic_oddr.v diff --git a/src/asiclib/hdl/asic_or2.v b/asiclib/hdl/asic_or2.v similarity index 100% rename from src/asiclib/hdl/asic_or2.v rename to asiclib/hdl/asic_or2.v diff --git a/src/asiclib/hdl/asic_or3.v b/asiclib/hdl/asic_or3.v similarity index 100% rename from src/asiclib/hdl/asic_or3.v rename to asiclib/hdl/asic_or3.v diff --git a/src/asiclib/hdl/asic_or4.v b/asiclib/hdl/asic_or4.v similarity index 100% rename from src/asiclib/hdl/asic_or4.v rename to asiclib/hdl/asic_or4.v diff --git a/src/asiclib/hdl/asic_rsync.v b/asiclib/hdl/asic_rsync.v similarity index 100% rename from src/asiclib/hdl/asic_rsync.v rename to asiclib/hdl/asic_rsync.v diff --git a/src/asiclib/hdl/asic_sdffq.v b/asiclib/hdl/asic_sdffq.v similarity index 100% rename from src/asiclib/hdl/asic_sdffq.v rename to asiclib/hdl/asic_sdffq.v diff --git a/src/asiclib/hdl/asic_sdffqn.v b/asiclib/hdl/asic_sdffqn.v similarity index 100% rename from src/asiclib/hdl/asic_sdffqn.v rename to asiclib/hdl/asic_sdffqn.v diff --git a/src/asiclib/hdl/asic_sdffrq.v b/asiclib/hdl/asic_sdffrq.v similarity index 100% rename from src/asiclib/hdl/asic_sdffrq.v rename to asiclib/hdl/asic_sdffrq.v diff --git a/src/asiclib/hdl/asic_sdffrqn.v b/asiclib/hdl/asic_sdffrqn.v similarity index 100% rename from src/asiclib/hdl/asic_sdffrqn.v rename to asiclib/hdl/asic_sdffrqn.v diff --git a/src/asiclib/hdl/asic_sdffsq.v b/asiclib/hdl/asic_sdffsq.v similarity index 100% rename from src/asiclib/hdl/asic_sdffsq.v rename to asiclib/hdl/asic_sdffsq.v diff --git a/src/asiclib/hdl/asic_sdffsqn.v b/asiclib/hdl/asic_sdffsqn.v similarity index 100% rename from src/asiclib/hdl/asic_sdffsqn.v rename to asiclib/hdl/asic_sdffsqn.v diff --git a/src/asiclib/hdl/asic_tbuf.v b/asiclib/hdl/asic_tbuf.v similarity index 100% rename from src/asiclib/hdl/asic_tbuf.v rename to asiclib/hdl/asic_tbuf.v diff --git a/src/asiclib/hdl/asic_tiehi.v b/asiclib/hdl/asic_tiehi.v similarity index 100% rename from src/asiclib/hdl/asic_tiehi.v rename to asiclib/hdl/asic_tiehi.v diff --git a/src/asiclib/hdl/asic_tielo.v b/asiclib/hdl/asic_tielo.v similarity index 100% rename from src/asiclib/hdl/asic_tielo.v rename to asiclib/hdl/asic_tielo.v diff --git a/src/asiclib/hdl/asic_xnor2.v b/asiclib/hdl/asic_xnor2.v similarity index 100% rename from src/asiclib/hdl/asic_xnor2.v rename to asiclib/hdl/asic_xnor2.v diff --git a/src/asiclib/hdl/asic_xnor3.v b/asiclib/hdl/asic_xnor3.v similarity index 100% rename from src/asiclib/hdl/asic_xnor3.v rename to asiclib/hdl/asic_xnor3.v diff --git a/src/asiclib/hdl/asic_xnor4.v b/asiclib/hdl/asic_xnor4.v similarity index 100% rename from src/asiclib/hdl/asic_xnor4.v rename to asiclib/hdl/asic_xnor4.v diff --git a/src/asiclib/hdl/asic_xor2.v b/asiclib/hdl/asic_xor2.v similarity index 100% rename from src/asiclib/hdl/asic_xor2.v rename to asiclib/hdl/asic_xor2.v diff --git a/src/asiclib/hdl/asic_xor3.v b/asiclib/hdl/asic_xor3.v similarity index 100% rename from src/asiclib/hdl/asic_xor3.v rename to asiclib/hdl/asic_xor3.v diff --git a/src/asiclib/hdl/asic_xor4.v b/asiclib/hdl/asic_xor4.v similarity index 100% rename from src/asiclib/hdl/asic_xor4.v rename to asiclib/hdl/asic_xor4.v diff --git a/src/axi/README.md b/axi/README.md similarity index 100% rename from src/axi/README.md rename to axi/README.md diff --git a/src/axi/dv/aximaster_stub.v b/axi/dv/aximaster_stub.v similarity index 100% rename from src/axi/dv/aximaster_stub.v rename to axi/dv/aximaster_stub.v diff --git a/src/axi/dv/axislave_stub.v b/axi/dv/axislave_stub.v similarity index 100% rename from src/axi/dv/axislave_stub.v rename to axi/dv/axislave_stub.v diff --git a/src/axi/hdl/emaxi.v b/axi/hdl/emaxi.v similarity index 100% rename from src/axi/hdl/emaxi.v rename to axi/hdl/emaxi.v diff --git a/src/axi/hdl/esaxi.v b/axi/hdl/esaxi.v similarity index 100% rename from src/axi/hdl/esaxi.v rename to axi/hdl/esaxi.v diff --git a/src/edma/README.md b/edma/README.md similarity index 100% rename from src/edma/README.md rename to edma/README.md diff --git a/src/edma/dv/dut_edma.v b/edma/dv/dut_edma.v similarity index 100% rename from src/edma/dv/dut_edma.v rename to edma/dv/dut_edma.v diff --git a/src/edma/dv/tests/test_basic.emf b/edma/dv/tests/test_basic.emf similarity index 100% rename from src/edma/dv/tests/test_basic.emf rename to edma/dv/tests/test_basic.emf diff --git a/src/edma/hdl/edma.v b/edma/hdl/edma.v similarity index 100% rename from src/edma/hdl/edma.v rename to edma/hdl/edma.v diff --git a/src/edma/hdl/edma_ctrl.v b/edma/hdl/edma_ctrl.v similarity index 100% rename from src/edma/hdl/edma_ctrl.v rename to edma/hdl/edma_ctrl.v diff --git a/src/edma/hdl/edma_dp.v b/edma/hdl/edma_dp.v similarity index 100% rename from src/edma/hdl/edma_dp.v rename to edma/hdl/edma_dp.v diff --git a/src/edma/hdl/edma_regmap.vh b/edma/hdl/edma_regmap.vh similarity index 100% rename from src/edma/hdl/edma_regmap.vh rename to edma/hdl/edma_regmap.vh diff --git a/src/edma/hdl/edma_regs.v b/edma/hdl/edma_regs.v similarity index 100% rename from src/edma/hdl/edma_regs.v rename to edma/hdl/edma_regs.v diff --git a/src/elink/README.md b/elink/README.md similarity index 100% rename from src/elink/README.md rename to elink/README.md diff --git a/src/elink/docs/README.md b/elink/docs/README.md similarity index 100% rename from src/elink/docs/README.md rename to elink/docs/README.md diff --git a/src/elink/docs/block_diagram.svg b/elink/docs/block_diagram.svg similarity index 100% rename from src/elink/docs/block_diagram.svg rename to elink/docs/block_diagram.svg diff --git a/src/elink/docs/clocking.pdf b/elink/docs/clocking.pdf similarity index 100% rename from src/elink/docs/clocking.pdf rename to elink/docs/clocking.pdf diff --git a/src/elink/docs/clocking.png b/elink/docs/clocking.png similarity index 100% rename from src/elink/docs/clocking.png rename to elink/docs/clocking.png diff --git a/src/elink/docs/clocking.svg b/elink/docs/clocking.svg similarity index 100% rename from src/elink/docs/clocking.svg rename to elink/docs/clocking.svg diff --git a/src/elink/docs/elink.pdf b/elink/docs/elink.pdf similarity index 100% rename from src/elink/docs/elink.pdf rename to elink/docs/elink.pdf diff --git a/src/elink/docs/elink.png b/elink/docs/elink.png similarity index 100% rename from src/elink/docs/elink.png rename to elink/docs/elink.png diff --git a/src/elink/docs/elink.svg b/elink/docs/elink.svg similarity index 100% rename from src/elink/docs/elink.svg rename to elink/docs/elink.svg diff --git a/src/elink/docs/elink_header.png b/elink/docs/elink_header.png similarity index 100% rename from src/elink/docs/elink_header.png rename to elink/docs/elink_header.png diff --git a/src/elink/docs/elink_header.svg b/elink/docs/elink_header.svg similarity index 100% rename from src/elink/docs/elink_header.svg rename to elink/docs/elink_header.svg diff --git a/src/elink/docs/elink_pipe.pdf b/elink/docs/elink_pipe.pdf similarity index 100% rename from src/elink/docs/elink_pipe.pdf rename to elink/docs/elink_pipe.pdf diff --git a/src/elink/dv/build.sh b/elink/dv/build.sh similarity index 100% rename from src/elink/dv/build.sh rename to elink/dv/build.sh diff --git a/src/elink/dv/build_axi_elink.sh b/elink/dv/build_axi_elink.sh similarity index 100% rename from src/elink/dv/build_axi_elink.sh rename to elink/dv/build_axi_elink.sh diff --git a/src/elink/dv/build_eref.sh b/elink/dv/build_eref.sh similarity index 100% rename from src/elink/dv/build_eref.sh rename to elink/dv/build_eref.sh diff --git a/src/elink/dv/dut_axi_elink.v b/elink/dv/dut_axi_elink.v similarity index 100% rename from src/elink/dv/dut_axi_elink.v rename to elink/dv/dut_axi_elink.v diff --git a/src/elink/dv/dut_e16ref.v b/elink/dv/dut_e16ref.v similarity index 100% rename from src/elink/dv/dut_e16ref.v rename to elink/dv/dut_e16ref.v diff --git a/src/elink/dv/dut_elink.v b/elink/dv/dut_elink.v similarity index 100% rename from src/elink/dv/dut_elink.v rename to elink/dv/dut_elink.v diff --git a/src/elink/dv/elink_e16_model.v b/elink/dv/elink_e16_model.v similarity index 100% rename from src/elink/dv/elink_e16_model.v rename to elink/dv/elink_e16_model.v diff --git a/src/elink/dv/elink_monitor.v b/elink/dv/elink_monitor.v similarity index 100% rename from src/elink/dv/elink_monitor.v rename to elink/dv/elink_monitor.v diff --git a/src/elink/dv/gen_random.sh b/elink/dv/gen_random.sh similarity index 100% rename from src/elink/dv/gen_random.sh rename to elink/dv/gen_random.sh diff --git a/src/elink/dv/lint.sh b/elink/dv/lint.sh similarity index 100% rename from src/elink/dv/lint.sh rename to elink/dv/lint.sh diff --git a/src/elink/dv/run.sh b/elink/dv/run.sh similarity index 100% rename from src/elink/dv/run.sh rename to elink/dv/run.sh diff --git a/src/elink/dv/run_axi.sh b/elink/dv/run_axi.sh similarity index 100% rename from src/elink/dv/run_axi.sh rename to elink/dv/run_axi.sh diff --git a/src/elink/dv/run_eref.sh b/elink/dv/run_eref.sh similarity index 100% rename from src/elink/dv/run_eref.sh rename to elink/dv/run_eref.sh diff --git a/src/elink/dv/tests/test_axi.emf b/elink/dv/tests/test_axi.emf similarity index 100% rename from src/elink/dv/tests/test_axi.emf rename to elink/dv/tests/test_axi.emf diff --git a/src/elink/dv/tests/test_back2back.emf b/elink/dv/tests/test_back2back.emf similarity index 100% rename from src/elink/dv/tests/test_back2back.emf rename to elink/dv/tests/test_back2back.emf diff --git a/src/elink/dv/tests/test_basic.emf b/elink/dv/tests/test_basic.emf similarity index 100% rename from src/elink/dv/tests/test_basic.emf rename to elink/dv/tests/test_basic.emf diff --git a/src/elink/dv/tests/test_burst.emf b/elink/dv/tests/test_burst.emf similarity index 100% rename from src/elink/dv/tests/test_burst.emf rename to elink/dv/tests/test_burst.emf diff --git a/src/elink/dv/tests/test_ctrlmode.emf b/elink/dv/tests/test_ctrlmode.emf similarity index 100% rename from src/elink/dv/tests/test_ctrlmode.emf rename to elink/dv/tests/test_ctrlmode.emf diff --git a/src/elink/dv/tests/test_halfspeed.emf b/elink/dv/tests/test_halfspeed.emf similarity index 100% rename from src/elink/dv/tests/test_halfspeed.emf rename to elink/dv/tests/test_halfspeed.emf diff --git a/src/elink/dv/tests/test_hello.emf b/elink/dv/tests/test_hello.emf similarity index 100% rename from src/elink/dv/tests/test_hello.emf rename to elink/dv/tests/test_hello.emf diff --git a/src/elink/dv/tests/test_idelay.emf b/elink/dv/tests/test_idelay.emf similarity index 100% rename from src/elink/dv/tests/test_idelay.emf rename to elink/dv/tests/test_idelay.emf diff --git a/src/elink/dv/tests/test_mailbox.emf b/elink/dv/tests/test_mailbox.emf similarity index 100% rename from src/elink/dv/tests/test_mailbox.emf rename to elink/dv/tests/test_mailbox.emf diff --git a/src/elink/dv/tests/test_mmu.emf b/elink/dv/tests/test_mmu.emf similarity index 100% rename from src/elink/dv/tests/test_mmu.emf rename to elink/dv/tests/test_mmu.emf diff --git a/src/elink/dv/tests/test_pattern.emf b/elink/dv/tests/test_pattern.emf similarity index 100% rename from src/elink/dv/tests/test_pattern.emf rename to elink/dv/tests/test_pattern.emf diff --git a/src/elink/dv/tests/test_random.emf b/elink/dv/tests/test_random.emf similarity index 100% rename from src/elink/dv/tests/test_random.emf rename to elink/dv/tests/test_random.emf diff --git a/src/elink/dv/tests/test_random.exp b/elink/dv/tests/test_random.exp similarity index 100% rename from src/elink/dv/tests/test_random.exp rename to elink/dv/tests/test_random.exp diff --git a/src/elink/dv/tests/test_regs.emf b/elink/dv/tests/test_regs.emf similarity index 100% rename from src/elink/dv/tests/test_regs.emf rename to elink/dv/tests/test_regs.emf diff --git a/src/elink/dv/tests/test_regs_axi.emf b/elink/dv/tests/test_regs_axi.emf similarity index 100% rename from src/elink/dv/tests/test_regs_axi.emf rename to elink/dv/tests/test_regs_axi.emf diff --git a/src/elink/dv/tests/test_remapping.emf b/elink/dv/tests/test_remapping.emf similarity index 100% rename from src/elink/dv/tests/test_remapping.emf rename to elink/dv/tests/test_remapping.emf diff --git a/src/elink/fpga/axi_elink_ip.tcl b/elink/fpga/axi_elink_ip.tcl similarity index 100% rename from src/elink/fpga/axi_elink_ip.tcl rename to elink/fpga/axi_elink_ip.tcl diff --git a/src/elink/fpga/axi_elink_timing.xdc b/elink/fpga/axi_elink_timing.xdc similarity index 100% rename from src/elink/fpga/axi_elink_timing.xdc rename to elink/fpga/axi_elink_timing.xdc diff --git a/src/elink/hdl/axi_elink.v b/elink/hdl/axi_elink.v similarity index 100% rename from src/elink/hdl/axi_elink.v rename to elink/hdl/axi_elink.v diff --git a/src/elink/hdl/ecfg_if.v b/elink/hdl/ecfg_if.v similarity index 100% rename from src/elink/hdl/ecfg_if.v rename to elink/hdl/ecfg_if.v diff --git a/src/elink/hdl/elink.v b/elink/hdl/elink.v similarity index 100% rename from src/elink/hdl/elink.v rename to elink/hdl/elink.v diff --git a/src/elink/hdl/elink_cfg.v b/elink/hdl/elink_cfg.v similarity index 100% rename from src/elink/hdl/elink_cfg.v rename to elink/hdl/elink_cfg.v diff --git a/src/elink/hdl/elink_constants.vh b/elink/hdl/elink_constants.vh similarity index 100% rename from src/elink/hdl/elink_constants.vh rename to elink/hdl/elink_constants.vh diff --git a/src/elink/hdl/elink_regmap.vh b/elink/hdl/elink_regmap.vh similarity index 100% rename from src/elink/hdl/elink_regmap.vh rename to elink/hdl/elink_regmap.vh diff --git a/src/elink/hdl/erx.v b/elink/hdl/erx.v similarity index 100% rename from src/elink/hdl/erx.v rename to elink/hdl/erx.v diff --git a/src/elink/hdl/erx_arbiter.v b/elink/hdl/erx_arbiter.v similarity index 100% rename from src/elink/hdl/erx_arbiter.v rename to elink/hdl/erx_arbiter.v diff --git a/src/elink/hdl/erx_cfg.v b/elink/hdl/erx_cfg.v similarity index 100% rename from src/elink/hdl/erx_cfg.v rename to elink/hdl/erx_cfg.v diff --git a/src/elink/hdl/erx_clocks.v b/elink/hdl/erx_clocks.v similarity index 100% rename from src/elink/hdl/erx_clocks.v rename to elink/hdl/erx_clocks.v diff --git a/src/elink/hdl/erx_core.v b/elink/hdl/erx_core.v similarity index 100% rename from src/elink/hdl/erx_core.v rename to elink/hdl/erx_core.v diff --git a/src/elink/hdl/erx_fifo.v b/elink/hdl/erx_fifo.v similarity index 100% rename from src/elink/hdl/erx_fifo.v rename to elink/hdl/erx_fifo.v diff --git a/src/elink/hdl/erx_io.v b/elink/hdl/erx_io.v similarity index 100% rename from src/elink/hdl/erx_io.v rename to elink/hdl/erx_io.v diff --git a/src/elink/hdl/erx_protocol.v b/elink/hdl/erx_protocol.v similarity index 100% rename from src/elink/hdl/erx_protocol.v rename to elink/hdl/erx_protocol.v diff --git a/src/elink/hdl/erx_remap.v b/elink/hdl/erx_remap.v similarity index 100% rename from src/elink/hdl/erx_remap.v rename to elink/hdl/erx_remap.v diff --git a/src/elink/hdl/etx.v b/elink/hdl/etx.v similarity index 100% rename from src/elink/hdl/etx.v rename to elink/hdl/etx.v diff --git a/src/elink/hdl/etx_arbiter.v b/elink/hdl/etx_arbiter.v similarity index 100% rename from src/elink/hdl/etx_arbiter.v rename to elink/hdl/etx_arbiter.v diff --git a/src/elink/hdl/etx_cfg.v b/elink/hdl/etx_cfg.v similarity index 100% rename from src/elink/hdl/etx_cfg.v rename to elink/hdl/etx_cfg.v diff --git a/src/elink/hdl/etx_clocks.v b/elink/hdl/etx_clocks.v similarity index 100% rename from src/elink/hdl/etx_clocks.v rename to elink/hdl/etx_clocks.v diff --git a/src/elink/hdl/etx_core.v b/elink/hdl/etx_core.v similarity index 100% rename from src/elink/hdl/etx_core.v rename to elink/hdl/etx_core.v diff --git a/src/elink/hdl/etx_fifo.v b/elink/hdl/etx_fifo.v similarity index 100% rename from src/elink/hdl/etx_fifo.v rename to elink/hdl/etx_fifo.v diff --git a/src/elink/hdl/etx_io.v b/elink/hdl/etx_io.v similarity index 100% rename from src/elink/hdl/etx_io.v rename to elink/hdl/etx_io.v diff --git a/src/elink/hdl/etx_protocol.v b/elink/hdl/etx_protocol.v similarity index 100% rename from src/elink/hdl/etx_protocol.v rename to elink/hdl/etx_protocol.v diff --git a/src/elink/hdl/etx_remap.v b/elink/hdl/etx_remap.v similarity index 100% rename from src/elink/hdl/etx_remap.v rename to elink/hdl/etx_remap.v diff --git a/src/elink/include/elink_regs.h b/elink/include/elink_regs.h similarity index 100% rename from src/elink/include/elink_regs.h rename to elink/include/elink_regs.h diff --git a/src/elink/sw/build.sh b/elink/sw/build.sh similarity index 100% rename from src/elink/sw/build.sh rename to elink/sw/build.sh diff --git a/src/elink/sw/burst-test/README.md b/elink/sw/burst-test/README.md similarity index 100% rename from src/elink/sw/burst-test/README.md rename to elink/sw/burst-test/README.md diff --git a/src/elink/sw/burst-test/build.sh b/elink/sw/burst-test/build.sh similarity index 100% rename from src/elink/sw/burst-test/build.sh rename to elink/sw/burst-test/build.sh diff --git a/src/elink/sw/burst-test/run.sh b/elink/sw/burst-test/run.sh similarity index 100% rename from src/elink/sw/burst-test/run.sh rename to elink/sw/burst-test/run.sh diff --git a/src/elink/sw/burst-test/src/common.h b/elink/sw/burst-test/src/common.h similarity index 100% rename from src/elink/sw/burst-test/src/common.h rename to elink/sw/burst-test/src/common.h diff --git a/src/elink/sw/burst-test/src/emain.c b/elink/sw/burst-test/src/emain.c similarity index 100% rename from src/elink/sw/burst-test/src/emain.c rename to elink/sw/burst-test/src/emain.c diff --git a/src/elink/sw/burst-test/src/etest.S b/elink/sw/burst-test/src/etest.S similarity index 100% rename from src/elink/sw/burst-test/src/etest.S rename to elink/sw/burst-test/src/etest.S diff --git a/src/elink/sw/burst-test/src/main.c b/elink/sw/burst-test/src/main.c similarity index 100% rename from src/elink/sw/burst-test/src/main.c rename to elink/sw/burst-test/src/main.c diff --git a/src/elink/sw/idelay/DUMP b/elink/sw/idelay/DUMP similarity index 100% rename from src/elink/sw/idelay/DUMP rename to elink/sw/idelay/DUMP diff --git a/src/elink/sw/idelay/build.sh b/elink/sw/idelay/build.sh similarity index 100% rename from src/elink/sw/idelay/build.sh rename to elink/sw/idelay/build.sh diff --git a/src/elink/sw/idelay/log b/elink/sw/idelay/log similarity index 100% rename from src/elink/sw/idelay/log rename to elink/sw/idelay/log diff --git a/src/elink/sw/idelay/run.sh b/elink/sw/idelay/run.sh similarity index 100% rename from src/elink/sw/idelay/run.sh rename to elink/sw/idelay/run.sh diff --git a/src/elink/sw/idelay/src/common.h b/elink/sw/idelay/src/common.h similarity index 100% rename from src/elink/sw/idelay/src/common.h rename to elink/sw/idelay/src/common.h diff --git a/src/elink/sw/idelay/src/e-main.c b/elink/sw/idelay/src/e-main.c similarity index 100% rename from src/elink/sw/idelay/src/e-main.c rename to elink/sw/idelay/src/e-main.c diff --git a/src/elink/sw/idelay/src/e-task.c b/elink/sw/idelay/src/e-task.c similarity index 100% rename from src/elink/sw/idelay/src/e-task.c rename to elink/sw/idelay/src/e-task.c diff --git a/src/elink/sw/idelay/test.sh b/elink/sw/idelay/test.sh similarity index 100% rename from src/elink/sw/idelay/test.sh rename to elink/sw/idelay/test.sh diff --git a/src/elink/sw/mailbox-test2/README.md b/elink/sw/mailbox-test2/README.md similarity index 100% rename from src/elink/sw/mailbox-test2/README.md rename to elink/sw/mailbox-test2/README.md diff --git a/src/elink/sw/mailbox-test2/build.sh b/elink/sw/mailbox-test2/build.sh similarity index 100% rename from src/elink/sw/mailbox-test2/build.sh rename to elink/sw/mailbox-test2/build.sh diff --git a/src/elink/sw/mailbox-test2/run.sh b/elink/sw/mailbox-test2/run.sh similarity index 100% rename from src/elink/sw/mailbox-test2/run.sh rename to elink/sw/mailbox-test2/run.sh diff --git a/src/elink/sw/mailbox-test2/src/common.h b/elink/sw/mailbox-test2/src/common.h similarity index 100% rename from src/elink/sw/mailbox-test2/src/common.h rename to elink/sw/mailbox-test2/src/common.h diff --git a/src/elink/sw/mailbox-test2/src/emain.c b/elink/sw/mailbox-test2/src/emain.c similarity index 100% rename from src/elink/sw/mailbox-test2/src/emain.c rename to elink/sw/mailbox-test2/src/emain.c diff --git a/src/elink/sw/mailbox-test2/src/epiphany.h b/elink/sw/mailbox-test2/src/epiphany.h similarity index 100% rename from src/elink/sw/mailbox-test2/src/epiphany.h rename to elink/sw/mailbox-test2/src/epiphany.h diff --git a/src/elink/sw/mailbox-test2/src/main.c b/elink/sw/mailbox-test2/src/main.c similarity index 100% rename from src/elink/sw/mailbox-test2/src/main.c rename to elink/sw/mailbox-test2/src/main.c diff --git a/src/elink/sw/mailbox/DUMP b/elink/sw/mailbox/DUMP similarity index 100% rename from src/elink/sw/mailbox/DUMP rename to elink/sw/mailbox/DUMP diff --git a/src/elink/sw/mailbox/build.sh b/elink/sw/mailbox/build.sh similarity index 100% rename from src/elink/sw/mailbox/build.sh rename to elink/sw/mailbox/build.sh diff --git a/src/elink/sw/mailbox/kernel/epiphany.c b/elink/sw/mailbox/kernel/epiphany.c similarity index 100% rename from src/elink/sw/mailbox/kernel/epiphany.c rename to elink/sw/mailbox/kernel/epiphany.c diff --git a/src/elink/sw/mailbox/kernel/epiphany.h b/elink/sw/mailbox/kernel/epiphany.h similarity index 100% rename from src/elink/sw/mailbox/kernel/epiphany.h rename to elink/sw/mailbox/kernel/epiphany.h diff --git a/src/elink/sw/mailbox/log b/elink/sw/mailbox/log similarity index 100% rename from src/elink/sw/mailbox/log rename to elink/sw/mailbox/log diff --git a/src/elink/sw/mailbox/run.sh b/elink/sw/mailbox/run.sh similarity index 100% rename from src/elink/sw/mailbox/run.sh rename to elink/sw/mailbox/run.sh diff --git a/src/elink/sw/mailbox/src/common.h b/elink/sw/mailbox/src/common.h similarity index 100% rename from src/elink/sw/mailbox/src/common.h rename to elink/sw/mailbox/src/common.h diff --git a/src/elink/sw/mailbox/src/e-main.c b/elink/sw/mailbox/src/e-main.c similarity index 100% rename from src/elink/sw/mailbox/src/e-main.c rename to elink/sw/mailbox/src/e-main.c diff --git a/src/elink/sw/mailbox/src/e-task.c b/elink/sw/mailbox/src/e-task.c similarity index 100% rename from src/elink/sw/mailbox/src/e-task.c rename to elink/sw/mailbox/src/e-task.c diff --git a/src/elink/sw/mailbox/test.sh b/elink/sw/mailbox/test.sh similarity index 100% rename from src/elink/sw/mailbox/test.sh rename to elink/sw/mailbox/test.sh diff --git a/src/elink/sw/mailbox_test/DUMP b/elink/sw/mailbox_test/DUMP similarity index 100% rename from src/elink/sw/mailbox_test/DUMP rename to elink/sw/mailbox_test/DUMP diff --git a/src/elink/sw/mailbox_test/build.sh b/elink/sw/mailbox_test/build.sh similarity index 100% rename from src/elink/sw/mailbox_test/build.sh rename to elink/sw/mailbox_test/build.sh diff --git a/src/elink/sw/mailbox_test/dump b/elink/sw/mailbox_test/dump similarity index 100% rename from src/elink/sw/mailbox_test/dump rename to elink/sw/mailbox_test/dump diff --git a/src/elink/sw/mailbox_test/log b/elink/sw/mailbox_test/log similarity index 100% rename from src/elink/sw/mailbox_test/log rename to elink/sw/mailbox_test/log diff --git a/src/elink/sw/mailbox_test/run.sh b/elink/sw/mailbox_test/run.sh similarity index 100% rename from src/elink/sw/mailbox_test/run.sh rename to elink/sw/mailbox_test/run.sh diff --git a/src/elink/sw/mailbox_test/src/common.h b/elink/sw/mailbox_test/src/common.h similarity index 100% rename from src/elink/sw/mailbox_test/src/common.h rename to elink/sw/mailbox_test/src/common.h diff --git a/src/elink/sw/mailbox_test/src/e-main.c b/elink/sw/mailbox_test/src/e-main.c similarity index 100% rename from src/elink/sw/mailbox_test/src/e-main.c rename to elink/sw/mailbox_test/src/e-main.c diff --git a/src/elink/sw/mailbox_test/src/e-task.c b/elink/sw/mailbox_test/src/e-task.c similarity index 100% rename from src/elink/sw/mailbox_test/src/e-task.c rename to elink/sw/mailbox_test/src/e-task.c diff --git a/src/elink/sw/mailbox_test/test.sh b/elink/sw/mailbox_test/test.sh similarity index 100% rename from src/elink/sw/mailbox_test/test.sh rename to elink/sw/mailbox_test/test.sh diff --git a/src/elink/sw/src/e-access.c b/elink/sw/src/e-access.c similarity index 100% rename from src/elink/sw/src/e-access.c rename to elink/sw/src/e-access.c diff --git a/src/elink/sw/src/elink.c b/elink/sw/src/elink.c similarity index 100% rename from src/elink/sw/src/elink.c rename to elink/sw/src/elink.c diff --git a/src/elink/sw/src/loop.c b/elink/sw/src/loop.c similarity index 100% rename from src/elink/sw/src/loop.c rename to elink/sw/src/loop.c diff --git a/src/elink/sw/tests/set_halfspeed.sh b/elink/sw/tests/set_halfspeed.sh similarity index 100% rename from src/elink/sw/tests/set_halfspeed.sh rename to elink/sw/tests/set_halfspeed.sh diff --git a/src/elink/sw/tests/toggle_led.sh b/elink/sw/tests/toggle_led.sh similarity index 100% rename from src/elink/sw/tests/toggle_led.sh rename to elink/sw/tests/toggle_led.sh diff --git a/src/elink/sw/timeout/DUMP b/elink/sw/timeout/DUMP similarity index 100% rename from src/elink/sw/timeout/DUMP rename to elink/sw/timeout/DUMP diff --git a/src/elink/sw/timeout/build.sh b/elink/sw/timeout/build.sh similarity index 100% rename from src/elink/sw/timeout/build.sh rename to elink/sw/timeout/build.sh diff --git a/src/elink/sw/timeout/log b/elink/sw/timeout/log similarity index 100% rename from src/elink/sw/timeout/log rename to elink/sw/timeout/log diff --git a/src/elink/sw/timeout/run.sh b/elink/sw/timeout/run.sh similarity index 100% rename from src/elink/sw/timeout/run.sh rename to elink/sw/timeout/run.sh diff --git a/src/elink/sw/timeout/src/common.h b/elink/sw/timeout/src/common.h similarity index 100% rename from src/elink/sw/timeout/src/common.h rename to elink/sw/timeout/src/common.h diff --git a/src/elink/sw/timeout/src/e-main.c b/elink/sw/timeout/src/e-main.c similarity index 100% rename from src/elink/sw/timeout/src/e-main.c rename to elink/sw/timeout/src/e-main.c diff --git a/src/elink/sw/timeout/src/e-task.c b/elink/sw/timeout/src/e-task.c similarity index 100% rename from src/elink/sw/timeout/src/e-task.c rename to elink/sw/timeout/src/e-task.c diff --git a/src/elink/sw/timeout/test.sh b/elink/sw/timeout/test.sh similarity index 100% rename from src/elink/sw/timeout/test.sh rename to elink/sw/timeout/test.sh diff --git a/src/emailbox/README.md b/emailbox/README.md similarity index 100% rename from src/emailbox/README.md rename to emailbox/README.md diff --git a/src/emailbox/dv/dut_emailbox.v b/emailbox/dv/dut_emailbox.v similarity index 100% rename from src/emailbox/dv/dut_emailbox.v rename to emailbox/dv/dut_emailbox.v diff --git a/src/emailbox/dv/tests/test_basic.emf b/emailbox/dv/tests/test_basic.emf similarity index 100% rename from src/emailbox/dv/tests/test_basic.emf rename to emailbox/dv/tests/test_basic.emf diff --git a/src/emailbox/hdl/emailbox.v b/emailbox/hdl/emailbox.v similarity index 100% rename from src/emailbox/hdl/emailbox.v rename to emailbox/hdl/emailbox.v diff --git a/src/emailbox/hdl/emailbox_regmap.vh b/emailbox/hdl/emailbox_regmap.vh similarity index 100% rename from src/emailbox/hdl/emailbox_regmap.vh rename to emailbox/hdl/emailbox_regmap.vh diff --git a/src/emesh/README.md b/emesh/README.md similarity index 100% rename from src/emesh/README.md rename to emesh/README.md diff --git a/src/emesh/docs/emesh.js b/emesh/docs/emesh.js similarity index 100% rename from src/emesh/docs/emesh.js rename to emesh/docs/emesh.js diff --git a/src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/.bin/which b/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/.bin/which similarity index 100% rename from src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/.bin/which rename to emesh/docs/node_modules/phantomjs-prebuilt/node_modules/.bin/which diff --git a/src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/fs-extra/node_modules/.bin/rimraf b/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/fs-extra/node_modules/.bin/rimraf similarity index 100% rename from src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/fs-extra/node_modules/.bin/rimraf rename to emesh/docs/node_modules/phantomjs-prebuilt/node_modules/fs-extra/node_modules/.bin/rimraf diff --git a/src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/har-validator b/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/har-validator similarity index 100% rename from src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/har-validator rename to emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/har-validator diff --git a/src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/uuid b/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/uuid similarity index 100% rename from src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/uuid rename to emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/.bin/uuid diff --git a/src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-conv b/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-conv similarity index 100% rename from src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-conv rename to emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-conv diff --git a/src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-sign b/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-sign similarity index 100% rename from src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-sign rename to emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-sign diff --git a/src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-verify b/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-verify similarity index 100% rename from src/emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-verify rename to emesh/docs/node_modules/phantomjs-prebuilt/node_modules/request/node_modules/http-signature/node_modules/.bin/sshpk-verify diff --git a/src/emesh/dv/README b/emesh/dv/README similarity index 100% rename from src/emesh/dv/README rename to emesh/dv/README diff --git a/src/emesh/dv/egen.pl b/emesh/dv/egen.pl similarity index 100% rename from src/emesh/dv/egen.pl rename to emesh/dv/egen.pl diff --git a/src/emesh/hdl/emesh_constants.v b/emesh/hdl/emesh_constants.v similarity index 100% rename from src/emesh/hdl/emesh_constants.v rename to emesh/hdl/emesh_constants.v diff --git a/src/emesh/hdl/emesh_decode.v b/emesh/hdl/emesh_decode.v similarity index 100% rename from src/emesh/hdl/emesh_decode.v rename to emesh/hdl/emesh_decode.v diff --git a/src/emesh/hdl/emesh_if.v b/emesh/hdl/emesh_if.v similarity index 100% rename from src/emesh/hdl/emesh_if.v rename to emesh/hdl/emesh_if.v diff --git a/src/emesh/hdl/emesh_memory.v b/emesh/hdl/emesh_memory.v similarity index 100% rename from src/emesh/hdl/emesh_memory.v rename to emesh/hdl/emesh_memory.v diff --git a/src/emesh/hdl/emesh_monitor.v b/emesh/hdl/emesh_monitor.v similarity index 100% rename from src/emesh/hdl/emesh_monitor.v rename to emesh/hdl/emesh_monitor.v diff --git a/src/emesh/hdl/emesh_mux.v b/emesh/hdl/emesh_mux.v similarity index 100% rename from src/emesh/hdl/emesh_mux.v rename to emesh/hdl/emesh_mux.v diff --git a/src/emesh/hdl/emesh_pack.v b/emesh/hdl/emesh_pack.v similarity index 100% rename from src/emesh/hdl/emesh_pack.v rename to emesh/hdl/emesh_pack.v diff --git a/src/emesh/hdl/emesh_rdalign.v b/emesh/hdl/emesh_rdalign.v similarity index 100% rename from src/emesh/hdl/emesh_rdalign.v rename to emesh/hdl/emesh_rdalign.v diff --git a/src/emesh/hdl/emesh_readback.v b/emesh/hdl/emesh_readback.v similarity index 100% rename from src/emesh/hdl/emesh_readback.v rename to emesh/hdl/emesh_readback.v diff --git a/src/emesh/hdl/emesh_unpack.v b/emesh/hdl/emesh_unpack.v similarity index 100% rename from src/emesh/hdl/emesh_unpack.v rename to emesh/hdl/emesh_unpack.v diff --git a/src/emesh/hdl/emesh_wralign.v b/emesh/hdl/emesh_wralign.v similarity index 100% rename from src/emesh/hdl/emesh_wralign.v rename to emesh/hdl/emesh_wralign.v diff --git a/src/emmu/README.md b/emmu/README.md similarity index 100% rename from src/emmu/README.md rename to emmu/README.md diff --git a/src/emmu/dv/dut_emmu.v b/emmu/dv/dut_emmu.v similarity index 100% rename from src/emmu/dv/dut_emmu.v rename to emmu/dv/dut_emmu.v diff --git a/src/emmu/dv/tests/test_basic.emf b/emmu/dv/tests/test_basic.emf similarity index 100% rename from src/emmu/dv/tests/test_basic.emf rename to emmu/dv/tests/test_basic.emf diff --git a/src/emmu/hdl/emmu.v b/emmu/hdl/emmu.v similarity index 100% rename from src/emmu/hdl/emmu.v rename to emmu/hdl/emmu.v diff --git a/src/etrace/README.md b/etrace/README.md similarity index 100% rename from src/etrace/README.md rename to etrace/README.md diff --git a/src/etrace/dv/dut_etrace.v b/etrace/dv/dut_etrace.v similarity index 100% rename from src/etrace/dv/dut_etrace.v rename to etrace/dv/dut_etrace.v diff --git a/src/etrace/dv/test/test_trace.memh b/etrace/dv/test/test_trace.memh similarity index 100% rename from src/etrace/dv/test/test_trace.memh rename to etrace/dv/test/test_trace.memh diff --git a/src/etrace/hdl/axi_etrace.v b/etrace/hdl/axi_etrace.v similarity index 100% rename from src/etrace/hdl/axi_etrace.v rename to etrace/hdl/axi_etrace.v diff --git a/src/etrace/hdl/etrace.v b/etrace/hdl/etrace.v similarity index 100% rename from src/etrace/hdl/etrace.v rename to etrace/hdl/etrace.v diff --git a/src/etrace/hdl/etrace_regmap.v b/etrace/hdl/etrace_regmap.v similarity index 100% rename from src/etrace/hdl/etrace_regmap.v rename to etrace/hdl/etrace_regmap.v diff --git a/src/etrace/hdl/etrace_regmap.vh b/etrace/hdl/etrace_regmap.vh similarity index 100% rename from src/etrace/hdl/etrace_regmap.vh rename to etrace/hdl/etrace_regmap.vh diff --git a/src/gpio/README.md b/gpio/README.md similarity index 100% rename from src/gpio/README.md rename to gpio/README.md diff --git a/src/gpio/driver/gpiolib/README.md b/gpio/driver/gpiolib/README.md similarity index 100% rename from src/gpio/driver/gpiolib/README.md rename to gpio/driver/gpiolib/README.md diff --git a/src/gpio/driver/gpiolib/gpio-epiphany.h b/gpio/driver/gpiolib/gpio-epiphany.h similarity index 100% rename from src/gpio/driver/gpiolib/gpio-epiphany.h rename to gpio/driver/gpiolib/gpio-epiphany.h diff --git a/src/gpio/driver/gpiolib/gpio-generic.h b/gpio/driver/gpiolib/gpio-generic.h similarity index 100% rename from src/gpio/driver/gpiolib/gpio-generic.h rename to gpio/driver/gpiolib/gpio-generic.h diff --git a/src/gpio/driver/gpiolib/gpio-simple.h b/gpio/driver/gpiolib/gpio-simple.h similarity index 100% rename from src/gpio/driver/gpiolib/gpio-simple.h rename to gpio/driver/gpiolib/gpio-simple.h diff --git a/src/gpio/driver/gpiolib/gpio.h b/gpio/driver/gpiolib/gpio.h similarity index 100% rename from src/gpio/driver/gpiolib/gpio.h rename to gpio/driver/gpiolib/gpio.h diff --git a/src/gpio/driver/gpiolib/gpio_example.c b/gpio/driver/gpiolib/gpio_example.c similarity index 100% rename from src/gpio/driver/gpiolib/gpio_example.c rename to gpio/driver/gpiolib/gpio_example.c diff --git a/src/gpio/driver/gpiolib/test.c b/gpio/driver/gpiolib/test.c similarity index 100% rename from src/gpio/driver/gpiolib/test.c rename to gpio/driver/gpiolib/test.c diff --git a/src/gpio/driver/linux/Documentation/devicetree/bindings/gpio/gpio-oh.txt b/gpio/driver/linux/Documentation/devicetree/bindings/gpio/gpio-oh.txt similarity index 100% rename from src/gpio/driver/linux/Documentation/devicetree/bindings/gpio/gpio-oh.txt rename to gpio/driver/linux/Documentation/devicetree/bindings/gpio/gpio-oh.txt diff --git a/src/gpio/driver/linux/Kbuild b/gpio/driver/linux/Kbuild similarity index 100% rename from src/gpio/driver/linux/Kbuild rename to gpio/driver/linux/Kbuild diff --git a/src/gpio/driver/linux/Makefile b/gpio/driver/linux/Makefile similarity index 100% rename from src/gpio/driver/linux/Makefile rename to gpio/driver/linux/Makefile diff --git a/src/gpio/driver/linux/README.md b/gpio/driver/linux/README.md similarity index 100% rename from src/gpio/driver/linux/README.md rename to gpio/driver/linux/README.md diff --git a/src/gpio/driver/linux/gpio-oh.c b/gpio/driver/linux/gpio-oh.c similarity index 100% rename from src/gpio/driver/linux/gpio-oh.c rename to gpio/driver/linux/gpio-oh.c diff --git a/src/gpio/dv/dut_gpio.v b/gpio/dv/dut_gpio.v similarity index 100% rename from src/gpio/dv/dut_gpio.v rename to gpio/dv/dut_gpio.v diff --git a/src/gpio/dv/tests/test_basic.emf b/gpio/dv/tests/test_basic.emf similarity index 100% rename from src/gpio/dv/tests/test_basic.emf rename to gpio/dv/tests/test_basic.emf diff --git a/src/gpio/fpga/bit2bin.bif b/gpio/fpga/bit2bin.bif similarity index 100% rename from src/gpio/fpga/bit2bin.bif rename to gpio/fpga/bit2bin.bif diff --git a/src/gpio/fpga/build.sh b/gpio/fpga/build.sh similarity index 100% rename from src/gpio/fpga/build.sh rename to gpio/fpga/build.sh diff --git a/src/gpio/fpga/dummy.elf b/gpio/fpga/dummy.elf similarity index 100% rename from src/gpio/fpga/dummy.elf rename to gpio/fpga/dummy.elf diff --git a/src/gpio/fpga/ip_params.tcl b/gpio/fpga/ip_params.tcl similarity index 100% rename from src/gpio/fpga/ip_params.tcl rename to gpio/fpga/ip_params.tcl diff --git a/src/gpio/fpga/package.tcl b/gpio/fpga/package.tcl similarity index 100% rename from src/gpio/fpga/package.tcl rename to gpio/fpga/package.tcl diff --git a/src/gpio/fpga/run.tcl b/gpio/fpga/run.tcl similarity index 100% rename from src/gpio/fpga/run.tcl rename to gpio/fpga/run.tcl diff --git a/src/gpio/fpga/run_params.tcl b/gpio/fpga/run_params.tcl similarity index 100% rename from src/gpio/fpga/run_params.tcl rename to gpio/fpga/run_params.tcl diff --git a/src/gpio/fpga/system_bd.tcl b/gpio/fpga/system_bd.tcl similarity index 100% rename from src/gpio/fpga/system_bd.tcl rename to gpio/fpga/system_bd.tcl diff --git a/src/gpio/fpga/system_params.tcl b/gpio/fpga/system_params.tcl similarity index 100% rename from src/gpio/fpga/system_params.tcl rename to gpio/fpga/system_params.tcl diff --git a/src/gpio/hdl/axi_gpio.v b/gpio/hdl/axi_gpio.v similarity index 100% rename from src/gpio/hdl/axi_gpio.v rename to gpio/hdl/axi_gpio.v diff --git a/src/gpio/hdl/gpio.v b/gpio/hdl/gpio.v similarity index 100% rename from src/gpio/hdl/gpio.v rename to gpio/hdl/gpio.v diff --git a/src/gpio/hdl/gpio_regmap.vh b/gpio/hdl/gpio_regmap.vh similarity index 100% rename from src/gpio/hdl/gpio_regmap.vh rename to gpio/hdl/gpio_regmap.vh diff --git a/src/gpio/hdl/parallella_gpio.v b/gpio/hdl/parallella_gpio.v similarity index 100% rename from src/gpio/hdl/parallella_gpio.v rename to gpio/hdl/parallella_gpio.v diff --git a/src/mio/README.md b/mio/README.md similarity index 100% rename from src/mio/README.md rename to mio/README.md diff --git a/src/mio/docs/c2c_waveform.png b/mio/docs/c2c_waveform.png similarity index 100% rename from src/mio/docs/c2c_waveform.png rename to mio/docs/c2c_waveform.png diff --git a/src/mio/driver/hello-mio/Makefile b/mio/driver/hello-mio/Makefile similarity index 100% rename from src/mio/driver/hello-mio/Makefile rename to mio/driver/hello-mio/Makefile diff --git a/src/mio/driver/hello-mio/README.md b/mio/driver/hello-mio/README.md similarity index 100% rename from src/mio/driver/hello-mio/README.md rename to mio/driver/hello-mio/README.md diff --git a/src/mio/driver/hello-mio/hello-mio.c b/mio/driver/hello-mio/hello-mio.c similarity index 100% rename from src/mio/driver/hello-mio/hello-mio.c rename to mio/driver/hello-mio/hello-mio.c diff --git a/src/mio/driver/linux-uio/README.md b/mio/driver/linux-uio/README.md similarity index 100% rename from src/mio/driver/linux-uio/README.md rename to mio/driver/linux-uio/README.md diff --git a/src/mio/driver/linux-uio/uio.ko b/mio/driver/linux-uio/uio.ko similarity index 100% rename from src/mio/driver/linux-uio/uio.ko rename to mio/driver/linux-uio/uio.ko diff --git a/src/mio/driver/linux-uio/uio_pdrv_genirq.ko b/mio/driver/linux-uio/uio_pdrv_genirq.ko similarity index 100% rename from src/mio/driver/linux-uio/uio_pdrv_genirq.ko rename to mio/driver/linux-uio/uio_pdrv_genirq.ko diff --git a/src/mio/driver/linux-uio/zynq-parallella-oh-mio.dtb b/mio/driver/linux-uio/zynq-parallella-oh-mio.dtb similarity index 100% rename from src/mio/driver/linux-uio/zynq-parallella-oh-mio.dtb rename to mio/driver/linux-uio/zynq-parallella-oh-mio.dtb diff --git a/src/mio/driver/linux-uio/zynq-parallella-oh-mio.dts b/mio/driver/linux-uio/zynq-parallella-oh-mio.dts similarity index 100% rename from src/mio/driver/linux-uio/zynq-parallella-oh-mio.dts rename to mio/driver/linux-uio/zynq-parallella-oh-mio.dts diff --git a/src/mio/dv/dut_mio.v b/mio/dv/dut_mio.v similarity index 100% rename from src/mio/dv/dut_mio.v rename to mio/dv/dut_mio.v diff --git a/src/mio/dv/tests/test_basic.emf b/mio/dv/tests/test_basic.emf similarity index 100% rename from src/mio/dv/tests/test_basic.emf rename to mio/dv/tests/test_basic.emf diff --git a/src/mio/dv/tests/test_fifo.emf b/mio/dv/tests/test_fifo.emf similarity index 100% rename from src/mio/dv/tests/test_fifo.emf rename to mio/dv/tests/test_fifo.emf diff --git a/src/mio/hdl/cfg_mio.vh b/mio/hdl/cfg_mio.vh similarity index 100% rename from src/mio/hdl/cfg_mio.vh rename to mio/hdl/cfg_mio.vh diff --git a/src/mio/hdl/mio.v b/mio/hdl/mio.v similarity index 100% rename from src/mio/hdl/mio.v rename to mio/hdl/mio.v diff --git a/src/mio/hdl/mio_constants.vh b/mio/hdl/mio_constants.vh similarity index 100% rename from src/mio/hdl/mio_constants.vh rename to mio/hdl/mio_constants.vh diff --git a/src/mio/hdl/mio_dp.v b/mio/hdl/mio_dp.v similarity index 100% rename from src/mio/hdl/mio_dp.v rename to mio/hdl/mio_dp.v diff --git a/src/mio/hdl/mio_if.v b/mio/hdl/mio_if.v similarity index 100% rename from src/mio/hdl/mio_if.v rename to mio/hdl/mio_if.v diff --git a/src/mio/hdl/mio_regmap.vh b/mio/hdl/mio_regmap.vh similarity index 100% rename from src/mio/hdl/mio_regmap.vh rename to mio/hdl/mio_regmap.vh diff --git a/src/mio/hdl/mio_regs.v b/mio/hdl/mio_regs.v similarity index 100% rename from src/mio/hdl/mio_regs.v rename to mio/hdl/mio_regs.v diff --git a/src/mio/hdl/mrx.v b/mio/hdl/mrx.v similarity index 100% rename from src/mio/hdl/mrx.v rename to mio/hdl/mrx.v diff --git a/src/mio/hdl/mrx_fifo.v b/mio/hdl/mrx_fifo.v similarity index 100% rename from src/mio/hdl/mrx_fifo.v rename to mio/hdl/mrx_fifo.v diff --git a/src/mio/hdl/mrx_io.v b/mio/hdl/mrx_io.v similarity index 100% rename from src/mio/hdl/mrx_io.v rename to mio/hdl/mrx_io.v diff --git a/src/mio/hdl/mrx_protocol.v b/mio/hdl/mrx_protocol.v similarity index 100% rename from src/mio/hdl/mrx_protocol.v rename to mio/hdl/mrx_protocol.v diff --git a/src/mio/hdl/mtx.v b/mio/hdl/mtx.v similarity index 100% rename from src/mio/hdl/mtx.v rename to mio/hdl/mtx.v diff --git a/src/mio/hdl/mtx_fifo.v b/mio/hdl/mtx_fifo.v similarity index 100% rename from src/mio/hdl/mtx_fifo.v rename to mio/hdl/mtx_fifo.v diff --git a/src/mio/hdl/mtx_io.v b/mio/hdl/mtx_io.v similarity index 100% rename from src/mio/hdl/mtx_io.v rename to mio/hdl/mtx_io.v diff --git a/src/padring/dv/tb_oh_padring.v b/padring/dv/tb_oh_padring.v similarity index 100% rename from src/padring/dv/tb_oh_padring.v rename to padring/dv/tb_oh_padring.v diff --git a/src/padring/hdl/oh_padring.v b/padring/hdl/oh_padring.v similarity index 100% rename from src/padring/hdl/oh_padring.v rename to padring/hdl/oh_padring.v diff --git a/src/padring/hdl/oh_pads_corner.v b/padring/hdl/oh_pads_corner.v similarity index 100% rename from src/padring/hdl/oh_pads_corner.v rename to padring/hdl/oh_pads_corner.v diff --git a/src/padring/hdl/oh_pads_domain.v b/padring/hdl/oh_pads_domain.v similarity index 100% rename from src/padring/hdl/oh_pads_domain.v rename to padring/hdl/oh_pads_domain.v diff --git a/src/padring/hdl/oh_pads_gpio.v b/padring/hdl/oh_pads_gpio.v similarity index 100% rename from src/padring/hdl/oh_pads_gpio.v rename to padring/hdl/oh_pads_gpio.v diff --git a/src/parallella/README.md b/parallella/README.md similarity index 100% rename from src/parallella/README.md rename to parallella/README.md diff --git a/src/parallella/fpga/Makefile b/parallella/fpga/Makefile similarity index 100% rename from src/parallella/fpga/Makefile rename to parallella/fpga/Makefile diff --git a/src/parallella/fpga/README.md b/parallella/fpga/README.md similarity index 100% rename from src/parallella/fpga/README.md rename to parallella/fpga/README.md diff --git a/src/parallella/fpga/headless_e16_z7010-vs-z7020.diff b/parallella/fpga/headless_e16_z7010-vs-z7020.diff similarity index 100% rename from src/parallella/fpga/headless_e16_z7010-vs-z7020.diff rename to parallella/fpga/headless_e16_z7010-vs-z7020.diff diff --git a/src/parallella/fpga/headless_e16_z7010/Makefile b/parallella/fpga/headless_e16_z7010/Makefile similarity index 100% rename from src/parallella/fpga/headless_e16_z7010/Makefile rename to parallella/fpga/headless_e16_z7010/Makefile diff --git a/src/parallella/fpga/headless_e16_z7010/bit2bin.bif b/parallella/fpga/headless_e16_z7010/bit2bin.bif similarity index 100% rename from src/parallella/fpga/headless_e16_z7010/bit2bin.bif rename to parallella/fpga/headless_e16_z7010/bit2bin.bif diff --git a/src/parallella/fpga/headless_e16_z7010/build.sh b/parallella/fpga/headless_e16_z7010/build.sh similarity index 100% rename from src/parallella/fpga/headless_e16_z7010/build.sh rename to parallella/fpga/headless_e16_z7010/build.sh diff --git a/src/parallella/fpga/headless_e16_z7010/dummy.elf b/parallella/fpga/headless_e16_z7010/dummy.elf similarity index 100% rename from src/parallella/fpga/headless_e16_z7010/dummy.elf rename to parallella/fpga/headless_e16_z7010/dummy.elf diff --git a/src/parallella/fpga/headless_e16_z7010/run.tcl b/parallella/fpga/headless_e16_z7010/run.tcl similarity index 100% rename from src/parallella/fpga/headless_e16_z7010/run.tcl rename to parallella/fpga/headless_e16_z7010/run.tcl diff --git a/src/parallella/fpga/headless_e16_z7010/system_bd.tcl b/parallella/fpga/headless_e16_z7010/system_bd.tcl similarity index 100% rename from src/parallella/fpga/headless_e16_z7010/system_bd.tcl rename to parallella/fpga/headless_e16_z7010/system_bd.tcl diff --git a/src/parallella/fpga/headless_e16_z7010/system_params.tcl b/parallella/fpga/headless_e16_z7010/system_params.tcl similarity index 100% rename from src/parallella/fpga/headless_e16_z7010/system_params.tcl rename to parallella/fpga/headless_e16_z7010/system_params.tcl diff --git a/src/parallella/fpga/headless_e16_z7020/Makefile b/parallella/fpga/headless_e16_z7020/Makefile similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/Makefile rename to parallella/fpga/headless_e16_z7020/Makefile diff --git a/src/parallella/fpga/headless_e16_z7020/bit2bin.bif b/parallella/fpga/headless_e16_z7020/bit2bin.bif similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/bit2bin.bif rename to parallella/fpga/headless_e16_z7020/bit2bin.bif diff --git a/src/parallella/fpga/headless_e16_z7020/build.sh b/parallella/fpga/headless_e16_z7020/build.sh similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/build.sh rename to parallella/fpga/headless_e16_z7020/build.sh diff --git a/src/parallella/fpga/headless_e16_z7020/dummy.elf b/parallella/fpga/headless_e16_z7020/dummy.elf similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/dummy.elf rename to parallella/fpga/headless_e16_z7020/dummy.elf diff --git a/src/parallella/fpga/headless_e16_z7020/parallella.bit.bin b/parallella/fpga/headless_e16_z7020/parallella.bit.bin similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/parallella.bit.bin rename to parallella/fpga/headless_e16_z7020/parallella.bit.bin diff --git a/src/parallella/fpga/headless_e16_z7020/parallella_e16_headless_gpiose_7020.bit.bin b/parallella/fpga/headless_e16_z7020/parallella_e16_headless_gpiose_7020.bit.bin similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/parallella_e16_headless_gpiose_7020.bit.bin rename to parallella/fpga/headless_e16_z7020/parallella_e16_headless_gpiose_7020.bit.bin diff --git a/src/parallella/fpga/headless_e16_z7020/run.tcl b/parallella/fpga/headless_e16_z7020/run.tcl similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/run.tcl rename to parallella/fpga/headless_e16_z7020/run.tcl diff --git a/src/parallella/fpga/headless_e16_z7020/system_bd.tcl b/parallella/fpga/headless_e16_z7020/system_bd.tcl similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/system_bd.tcl rename to parallella/fpga/headless_e16_z7020/system_bd.tcl diff --git a/src/parallella/fpga/headless_e16_z7020/system_params.tcl b/parallella/fpga/headless_e16_z7020/system_params.tcl similarity index 100% rename from src/parallella/fpga/headless_e16_z7020/system_params.tcl rename to parallella/fpga/headless_e16_z7020/system_params.tcl diff --git a/src/parallella/fpga/parallella_7020_io.xdc b/parallella/fpga/parallella_7020_io.xdc similarity index 100% rename from src/parallella/fpga/parallella_7020_io.xdc rename to parallella/fpga/parallella_7020_io.xdc diff --git a/src/parallella/fpga/parallella_accelerator/Makefile b/parallella/fpga/parallella_accelerator/Makefile similarity index 100% rename from src/parallella/fpga/parallella_accelerator/Makefile rename to parallella/fpga/parallella_accelerator/Makefile diff --git a/src/parallella/fpga/parallella_accelerator/build.sh b/parallella/fpga/parallella_accelerator/build.sh similarity index 100% rename from src/parallella/fpga/parallella_accelerator/build.sh rename to parallella/fpga/parallella_accelerator/build.sh diff --git a/src/parallella/fpga/parallella_accelerator/run.tcl b/parallella/fpga/parallella_accelerator/run.tcl similarity index 100% rename from src/parallella/fpga/parallella_accelerator/run.tcl rename to parallella/fpga/parallella_accelerator/run.tcl diff --git a/src/parallella/fpga/parallella_accelerator/system_params.tcl b/parallella/fpga/parallella_accelerator/system_params.tcl similarity index 100% rename from src/parallella/fpga/parallella_accelerator/system_params.tcl rename to parallella/fpga/parallella_accelerator/system_params.tcl diff --git a/src/parallella/fpga/parallella_base/Makefile b/parallella/fpga/parallella_base/Makefile similarity index 100% rename from src/parallella/fpga/parallella_base/Makefile rename to parallella/fpga/parallella_base/Makefile diff --git a/src/parallella/fpga/parallella_base/build.sh b/parallella/fpga/parallella_base/build.sh similarity index 100% rename from src/parallella/fpga/parallella_base/build.sh rename to parallella/fpga/parallella_base/build.sh diff --git a/src/parallella/fpga/parallella_base/run.tcl b/parallella/fpga/parallella_base/run.tcl similarity index 100% rename from src/parallella/fpga/parallella_base/run.tcl rename to parallella/fpga/parallella_base/run.tcl diff --git a/src/parallella/fpga/parallella_base/system_params.tcl b/parallella/fpga/parallella_base/system_params.tcl similarity index 100% rename from src/parallella/fpga/parallella_base/system_params.tcl rename to parallella/fpga/parallella_base/system_params.tcl diff --git a/src/parallella/fpga/parallella_io.xdc b/parallella/fpga/parallella_io.xdc similarity index 100% rename from src/parallella/fpga/parallella_io.xdc rename to parallella/fpga/parallella_io.xdc diff --git a/src/parallella/fpga/parallella_timing.xdc b/parallella/fpga/parallella_timing.xdc similarity index 100% rename from src/parallella/fpga/parallella_timing.xdc rename to parallella/fpga/parallella_timing.xdc diff --git a/src/parallella/fpga/sdr_fmcomms/build.sh b/parallella/fpga/sdr_fmcomms/build.sh similarity index 100% rename from src/parallella/fpga/sdr_fmcomms/build.sh rename to parallella/fpga/sdr_fmcomms/build.sh diff --git a/src/parallella/fpga/sdr_fmcomms/run.tcl b/parallella/fpga/sdr_fmcomms/run.tcl similarity index 100% rename from src/parallella/fpga/sdr_fmcomms/run.tcl rename to parallella/fpga/sdr_fmcomms/run.tcl diff --git a/src/parallella/fpga/sdr_fmcomms/system_bd.tcl b/parallella/fpga/sdr_fmcomms/system_bd.tcl similarity index 100% rename from src/parallella/fpga/sdr_fmcomms/system_bd.tcl rename to parallella/fpga/sdr_fmcomms/system_bd.tcl diff --git a/src/parallella/fpga/sdr_fmcomms/system_params.tcl b/parallella/fpga/sdr_fmcomms/system_params.tcl similarity index 100% rename from src/parallella/fpga/sdr_fmcomms/system_params.tcl rename to parallella/fpga/sdr_fmcomms/system_params.tcl diff --git a/src/parallella/hdl/parallella_base.v b/parallella/hdl/parallella_base.v similarity index 100% rename from src/parallella/hdl/parallella_base.v rename to parallella/hdl/parallella_base.v diff --git a/src/parallella/hdl/pgpio.v b/parallella/hdl/pgpio.v similarity index 100% rename from src/parallella/hdl/pgpio.v rename to parallella/hdl/pgpio.v diff --git a/src/parallella/hdl/pi2c.v b/parallella/hdl/pi2c.v similarity index 100% rename from src/parallella/hdl/pi2c.v rename to parallella/hdl/pi2c.v diff --git a/src/spi/README.md b/spi/README.md similarity index 100% rename from src/spi/README.md rename to spi/README.md diff --git a/src/spi/dv/dut_spi.v b/spi/dv/dut_spi.v similarity index 100% rename from src/spi/dv/dut_spi.v rename to spi/dv/dut_spi.v diff --git a/src/spi/dv/tests/test_basic.emf b/spi/dv/tests/test_basic.emf similarity index 100% rename from src/spi/dv/tests/test_basic.emf rename to spi/dv/tests/test_basic.emf diff --git a/src/spi/dv/tests/test_write.emf b/spi/dv/tests/test_write.emf similarity index 100% rename from src/spi/dv/tests/test_write.emf rename to spi/dv/tests/test_write.emf diff --git a/src/spi/fpga/axi_spi_timing.xdc b/spi/fpga/axi_spi_timing.xdc similarity index 100% rename from src/spi/fpga/axi_spi_timing.xdc rename to spi/fpga/axi_spi_timing.xdc diff --git a/src/spi/fpga/bit2bin.bif b/spi/fpga/bit2bin.bif similarity index 100% rename from src/spi/fpga/bit2bin.bif rename to spi/fpga/bit2bin.bif diff --git a/src/spi/fpga/build.sh b/spi/fpga/build.sh similarity index 100% rename from src/spi/fpga/build.sh rename to spi/fpga/build.sh diff --git a/src/spi/fpga/clean.sh b/spi/fpga/clean.sh similarity index 100% rename from src/spi/fpga/clean.sh rename to spi/fpga/clean.sh diff --git a/src/spi/fpga/dummy.elf b/spi/fpga/dummy.elf similarity index 100% rename from src/spi/fpga/dummy.elf rename to spi/fpga/dummy.elf diff --git a/src/spi/fpga/ip_params.tcl b/spi/fpga/ip_params.tcl similarity index 100% rename from src/spi/fpga/ip_params.tcl rename to spi/fpga/ip_params.tcl diff --git a/src/spi/fpga/package.tcl b/spi/fpga/package.tcl similarity index 100% rename from src/spi/fpga/package.tcl rename to spi/fpga/package.tcl diff --git a/src/spi/fpga/run.tcl b/spi/fpga/run.tcl similarity index 100% rename from src/spi/fpga/run.tcl rename to spi/fpga/run.tcl diff --git a/src/spi/fpga/run_params.tcl b/spi/fpga/run_params.tcl similarity index 100% rename from src/spi/fpga/run_params.tcl rename to spi/fpga/run_params.tcl diff --git a/src/spi/fpga/system_bd.tcl b/spi/fpga/system_bd.tcl similarity index 100% rename from src/spi/fpga/system_bd.tcl rename to spi/fpga/system_bd.tcl diff --git a/src/spi/fpga/system_params.tcl b/spi/fpga/system_params.tcl similarity index 100% rename from src/spi/fpga/system_params.tcl rename to spi/fpga/system_params.tcl diff --git a/src/spi/hdl/axi_spi.v b/spi/hdl/axi_spi.v similarity index 100% rename from src/spi/hdl/axi_spi.v rename to spi/hdl/axi_spi.v diff --git a/src/spi/hdl/parallella_spi.v b/spi/hdl/parallella_spi.v similarity index 100% rename from src/spi/hdl/parallella_spi.v rename to spi/hdl/parallella_spi.v diff --git a/src/spi/hdl/spi.v b/spi/hdl/spi.v similarity index 100% rename from src/spi/hdl/spi.v rename to spi/hdl/spi.v diff --git a/src/spi/hdl/spi_master.v b/spi/hdl/spi_master.v similarity index 100% rename from src/spi/hdl/spi_master.v rename to spi/hdl/spi_master.v diff --git a/src/spi/hdl/spi_master_fifo.v b/spi/hdl/spi_master_fifo.v similarity index 100% rename from src/spi/hdl/spi_master_fifo.v rename to spi/hdl/spi_master_fifo.v diff --git a/src/spi/hdl/spi_master_io.v b/spi/hdl/spi_master_io.v similarity index 100% rename from src/spi/hdl/spi_master_io.v rename to spi/hdl/spi_master_io.v diff --git a/src/spi/hdl/spi_master_regs.v b/spi/hdl/spi_master_regs.v similarity index 100% rename from src/spi/hdl/spi_master_regs.v rename to spi/hdl/spi_master_regs.v diff --git a/src/spi/hdl/spi_regmap.vh b/spi/hdl/spi_regmap.vh similarity index 100% rename from src/spi/hdl/spi_regmap.vh rename to spi/hdl/spi_regmap.vh diff --git a/src/spi/hdl/spi_slave.v b/spi/hdl/spi_slave.v similarity index 100% rename from src/spi/hdl/spi_slave.v rename to spi/hdl/spi_slave.v diff --git a/src/spi/hdl/spi_slave_io.v b/spi/hdl/spi_slave_io.v similarity index 100% rename from src/spi/hdl/spi_slave_io.v rename to spi/hdl/spi_slave_io.v diff --git a/src/spi/hdl/spi_slave_regs.v b/spi/hdl/spi_slave_regs.v similarity index 100% rename from src/spi/hdl/spi_slave_regs.v rename to spi/hdl/spi_slave_regs.v diff --git a/src/stdcells/dv/oh_nand2_tb.sv b/stdcells/dv/oh_nand2_tb.sv similarity index 100% rename from src/stdcells/dv/oh_nand2_tb.sv rename to stdcells/dv/oh_nand2_tb.sv diff --git a/src/stdcells/dv/oh_nor2_tb.sv b/stdcells/dv/oh_nor2_tb.sv similarity index 100% rename from src/stdcells/dv/oh_nor2_tb.sv rename to stdcells/dv/oh_nor2_tb.sv diff --git a/src/stdcells/dv/run.sh b/stdcells/dv/run.sh similarity index 100% rename from src/stdcells/dv/run.sh rename to stdcells/dv/run.sh diff --git a/src/stdcells/hdl/oh_nand2.sv b/stdcells/hdl/oh_nand2.sv similarity index 100% rename from src/stdcells/hdl/oh_nand2.sv rename to stdcells/hdl/oh_nand2.sv diff --git a/src/stdcells/hdl/oh_nmos.sv b/stdcells/hdl/oh_nmos.sv similarity index 100% rename from src/stdcells/hdl/oh_nmos.sv rename to stdcells/hdl/oh_nmos.sv diff --git a/src/stdcells/hdl/oh_pmos.sv b/stdcells/hdl/oh_pmos.sv similarity index 100% rename from src/stdcells/hdl/oh_pmos.sv rename to stdcells/hdl/oh_pmos.sv diff --git a/src/stdlib/README.md b/stdlib/README.md similarity index 100% rename from src/stdlib/README.md rename to stdlib/README.md diff --git a/src/stdlib/dv/README.md b/stdlib/dv/README.md similarity index 100% rename from src/stdlib/dv/README.md rename to stdlib/dv/README.md diff --git a/src/stdlib/dv/cfg_random.v b/stdlib/dv/cfg_random.v similarity index 100% rename from src/stdlib/dv/cfg_random.v rename to stdlib/dv/cfg_random.v diff --git a/src/stdlib/dv/dut_clockdiv.v b/stdlib/dv/dut_clockdiv.v similarity index 100% rename from src/stdlib/dv/dut_clockdiv.v rename to stdlib/dv/dut_clockdiv.v diff --git a/src/stdlib/dv/dut_debouncer.v b/stdlib/dv/dut_debouncer.v similarity index 100% rename from src/stdlib/dv/dut_debouncer.v rename to stdlib/dv/dut_debouncer.v diff --git a/src/stdlib/dv/dut_fifo_generic.v b/stdlib/dv/dut_fifo_generic.v similarity index 100% rename from src/stdlib/dv/dut_fifo_generic.v rename to stdlib/dv/dut_fifo_generic.v diff --git a/src/stdlib/dv/dut_gray.v b/stdlib/dv/dut_gray.v similarity index 100% rename from src/stdlib/dv/dut_gray.v rename to stdlib/dv/dut_gray.v diff --git a/src/stdlib/dv/dut_template.v b/stdlib/dv/dut_template.v similarity index 100% rename from src/stdlib/dv/dut_template.v rename to stdlib/dv/dut_template.v diff --git a/src/stdlib/dv/dv_driver.v b/stdlib/dv/dv_driver.v similarity index 100% rename from src/stdlib/dv/dv_driver.v rename to stdlib/dv/dv_driver.v diff --git a/src/stdlib/dv/dv_random.v b/stdlib/dv/dv_random.v similarity index 100% rename from src/stdlib/dv/dv_random.v rename to stdlib/dv/dv_random.v diff --git a/src/stdlib/dv/dv_stimulus.v b/stdlib/dv/dv_stimulus.v similarity index 100% rename from src/stdlib/dv/dv_stimulus.v rename to stdlib/dv/dv_stimulus.v diff --git a/src/stdlib/dv/dv_top.v b/stdlib/dv/dv_top.v similarity index 100% rename from src/stdlib/dv/dv_top.v rename to stdlib/dv/dv_top.v diff --git a/src/stdlib/dv/firmware_example.hex b/stdlib/dv/firmware_example.hex similarity index 100% rename from src/stdlib/dv/firmware_example.hex rename to stdlib/dv/firmware_example.hex diff --git a/src/stdlib/dv/libs.cmd b/stdlib/dv/libs.cmd similarity index 100% rename from src/stdlib/dv/libs.cmd rename to stdlib/dv/libs.cmd diff --git a/src/stdlib/dv/oh.gtkw b/stdlib/dv/oh.gtkw similarity index 100% rename from src/stdlib/dv/oh.gtkw rename to stdlib/dv/oh.gtkw diff --git a/src/stdlib/dv/oh_simchecker.v b/stdlib/dv/oh_simchecker.v similarity index 100% rename from src/stdlib/dv/oh_simchecker.v rename to stdlib/dv/oh_simchecker.v diff --git a/src/stdlib/dv/oh_simctrl.v b/stdlib/dv/oh_simctrl.v similarity index 100% rename from src/stdlib/dv/oh_simctrl.v rename to stdlib/dv/oh_simctrl.v diff --git a/src/stdlib/dv/run.sh b/stdlib/dv/run.sh similarity index 100% rename from src/stdlib/dv/run.sh rename to stdlib/dv/run.sh diff --git a/src/stdlib/dv/stimulus.v b/stdlib/dv/stimulus.v similarity index 100% rename from src/stdlib/dv/stimulus.v rename to stdlib/dv/stimulus.v diff --git a/src/stdlib/dv/tb_oh_pulse.v b/stdlib/dv/tb_oh_pulse.v similarity index 100% rename from src/stdlib/dv/tb_oh_pulse.v rename to stdlib/dv/tb_oh_pulse.v diff --git a/src/stdlib/dv/tb_oh_random.v b/stdlib/dv/tb_oh_random.v similarity index 100% rename from src/stdlib/dv/tb_oh_random.v rename to stdlib/dv/tb_oh_random.v diff --git a/src/stdlib/dv/tb_oh_stimulus.v b/stdlib/dv/tb_oh_stimulus.v similarity index 100% rename from src/stdlib/dv/tb_oh_stimulus.v rename to stdlib/dv/tb_oh_stimulus.v diff --git a/src/stdlib/dv/test.mem b/stdlib/dv/test.mem similarity index 100% rename from src/stdlib/dv/test.mem rename to stdlib/dv/test.mem diff --git a/src/stdlib/dv/tests/test_clkdiv.emf b/stdlib/dv/tests/test_clkdiv.emf similarity index 100% rename from src/stdlib/dv/tests/test_clkdiv.emf rename to stdlib/dv/tests/test_clkdiv.emf diff --git a/src/stdlib/dv/tests/test_debounce.emf b/stdlib/dv/tests/test_debounce.emf similarity index 100% rename from src/stdlib/dv/tests/test_debounce.emf rename to stdlib/dv/tests/test_debounce.emf diff --git a/src/stdlib/dv/tests/test_fifo.emf b/stdlib/dv/tests/test_fifo.emf similarity index 100% rename from src/stdlib/dv/tests/test_fifo.emf rename to stdlib/dv/tests/test_fifo.emf diff --git a/src/stdlib/dv/tests/test_gray.emf b/stdlib/dv/tests/test_gray.emf similarity index 100% rename from src/stdlib/dv/tests/test_gray.emf rename to stdlib/dv/tests/test_gray.emf diff --git a/src/stdlib/dv/timescale.v b/stdlib/dv/timescale.v similarity index 100% rename from src/stdlib/dv/timescale.v rename to stdlib/dv/timescale.v diff --git a/src/stdlib/firmware/v2c.sh b/stdlib/firmware/v2c.sh similarity index 100% rename from src/stdlib/firmware/v2c.sh rename to stdlib/firmware/v2c.sh diff --git a/src/stdlib/fpga/create_ip.tcl b/stdlib/fpga/create_ip.tcl similarity index 100% rename from src/stdlib/fpga/create_ip.tcl rename to stdlib/fpga/create_ip.tcl diff --git a/src/stdlib/fpga/system_build.tcl b/stdlib/fpga/system_build.tcl similarity index 100% rename from src/stdlib/fpga/system_build.tcl rename to stdlib/fpga/system_build.tcl diff --git a/src/stdlib/fpga/system_init.tcl b/stdlib/fpga/system_init.tcl similarity index 100% rename from src/stdlib/fpga/system_init.tcl rename to stdlib/fpga/system_init.tcl diff --git a/src/stdlib/hdl/oh_7seg_decode.v b/stdlib/hdl/oh_7seg_decode.v similarity index 100% rename from src/stdlib/hdl/oh_7seg_decode.v rename to stdlib/hdl/oh_7seg_decode.v diff --git a/src/stdlib/hdl/oh_abs.v b/stdlib/hdl/oh_abs.v similarity index 100% rename from src/stdlib/hdl/oh_abs.v rename to stdlib/hdl/oh_abs.v diff --git a/src/stdlib/hdl/oh_add.v b/stdlib/hdl/oh_add.v similarity index 100% rename from src/stdlib/hdl/oh_add.v rename to stdlib/hdl/oh_add.v diff --git a/src/stdlib/hdl/oh_and2.v b/stdlib/hdl/oh_and2.v similarity index 100% rename from src/stdlib/hdl/oh_and2.v rename to stdlib/hdl/oh_and2.v diff --git a/src/stdlib/hdl/oh_and3.v b/stdlib/hdl/oh_and3.v similarity index 100% rename from src/stdlib/hdl/oh_and3.v rename to stdlib/hdl/oh_and3.v diff --git a/src/stdlib/hdl/oh_and4.v b/stdlib/hdl/oh_and4.v similarity index 100% rename from src/stdlib/hdl/oh_and4.v rename to stdlib/hdl/oh_and4.v diff --git a/src/stdlib/hdl/oh_ao21.v b/stdlib/hdl/oh_ao21.v similarity index 100% rename from src/stdlib/hdl/oh_ao21.v rename to stdlib/hdl/oh_ao21.v diff --git a/src/stdlib/hdl/oh_ao211.v b/stdlib/hdl/oh_ao211.v similarity index 100% rename from src/stdlib/hdl/oh_ao211.v rename to stdlib/hdl/oh_ao211.v diff --git a/src/stdlib/hdl/oh_ao22.v b/stdlib/hdl/oh_ao22.v similarity index 100% rename from src/stdlib/hdl/oh_ao22.v rename to stdlib/hdl/oh_ao22.v diff --git a/src/stdlib/hdl/oh_ao221.v b/stdlib/hdl/oh_ao221.v similarity index 100% rename from src/stdlib/hdl/oh_ao221.v rename to stdlib/hdl/oh_ao221.v diff --git a/src/stdlib/hdl/oh_ao222.v b/stdlib/hdl/oh_ao222.v similarity index 100% rename from src/stdlib/hdl/oh_ao222.v rename to stdlib/hdl/oh_ao222.v diff --git a/src/stdlib/hdl/oh_ao31.v b/stdlib/hdl/oh_ao31.v similarity index 100% rename from src/stdlib/hdl/oh_ao31.v rename to stdlib/hdl/oh_ao31.v diff --git a/src/stdlib/hdl/oh_ao311.v b/stdlib/hdl/oh_ao311.v similarity index 100% rename from src/stdlib/hdl/oh_ao311.v rename to stdlib/hdl/oh_ao311.v diff --git a/src/stdlib/hdl/oh_ao32.v b/stdlib/hdl/oh_ao32.v similarity index 100% rename from src/stdlib/hdl/oh_ao32.v rename to stdlib/hdl/oh_ao32.v diff --git a/src/stdlib/hdl/oh_ao33.v b/stdlib/hdl/oh_ao33.v similarity index 100% rename from src/stdlib/hdl/oh_ao33.v rename to stdlib/hdl/oh_ao33.v diff --git a/src/stdlib/hdl/oh_aoi21.v b/stdlib/hdl/oh_aoi21.v similarity index 100% rename from src/stdlib/hdl/oh_aoi21.v rename to stdlib/hdl/oh_aoi21.v diff --git a/src/stdlib/hdl/oh_aoi211.v b/stdlib/hdl/oh_aoi211.v similarity index 100% rename from src/stdlib/hdl/oh_aoi211.v rename to stdlib/hdl/oh_aoi211.v diff --git a/src/stdlib/hdl/oh_aoi22.v b/stdlib/hdl/oh_aoi22.v similarity index 100% rename from src/stdlib/hdl/oh_aoi22.v rename to stdlib/hdl/oh_aoi22.v diff --git a/src/stdlib/hdl/oh_aoi221.v b/stdlib/hdl/oh_aoi221.v similarity index 100% rename from src/stdlib/hdl/oh_aoi221.v rename to stdlib/hdl/oh_aoi221.v diff --git a/src/stdlib/hdl/oh_aoi222.v b/stdlib/hdl/oh_aoi222.v similarity index 100% rename from src/stdlib/hdl/oh_aoi222.v rename to stdlib/hdl/oh_aoi222.v diff --git a/src/stdlib/hdl/oh_aoi31.v b/stdlib/hdl/oh_aoi31.v similarity index 100% rename from src/stdlib/hdl/oh_aoi31.v rename to stdlib/hdl/oh_aoi31.v diff --git a/src/stdlib/hdl/oh_aoi311.v b/stdlib/hdl/oh_aoi311.v similarity index 100% rename from src/stdlib/hdl/oh_aoi311.v rename to stdlib/hdl/oh_aoi311.v diff --git a/src/stdlib/hdl/oh_aoi32.v b/stdlib/hdl/oh_aoi32.v similarity index 100% rename from src/stdlib/hdl/oh_aoi32.v rename to stdlib/hdl/oh_aoi32.v diff --git a/src/stdlib/hdl/oh_aoi33.v b/stdlib/hdl/oh_aoi33.v similarity index 100% rename from src/stdlib/hdl/oh_aoi33.v rename to stdlib/hdl/oh_aoi33.v diff --git a/src/stdlib/hdl/oh_arbiter.v b/stdlib/hdl/oh_arbiter.v similarity index 100% rename from src/stdlib/hdl/oh_arbiter.v rename to stdlib/hdl/oh_arbiter.v diff --git a/src/stdlib/hdl/oh_bin2gray.v b/stdlib/hdl/oh_bin2gray.v similarity index 100% rename from src/stdlib/hdl/oh_bin2gray.v rename to stdlib/hdl/oh_bin2gray.v diff --git a/src/stdlib/hdl/oh_bin2onehot.v b/stdlib/hdl/oh_bin2onehot.v similarity index 100% rename from src/stdlib/hdl/oh_bin2onehot.v rename to stdlib/hdl/oh_bin2onehot.v diff --git a/src/stdlib/hdl/oh_bitreverse.v b/stdlib/hdl/oh_bitreverse.v similarity index 100% rename from src/stdlib/hdl/oh_bitreverse.v rename to stdlib/hdl/oh_bitreverse.v diff --git a/src/stdlib/hdl/oh_buf.v b/stdlib/hdl/oh_buf.v similarity index 100% rename from src/stdlib/hdl/oh_buf.v rename to stdlib/hdl/oh_buf.v diff --git a/src/stdlib/hdl/oh_buffer.v b/stdlib/hdl/oh_buffer.v similarity index 100% rename from src/stdlib/hdl/oh_buffer.v rename to stdlib/hdl/oh_buffer.v diff --git a/src/stdlib/hdl/oh_clockdiv.v b/stdlib/hdl/oh_clockdiv.v similarity index 100% rename from src/stdlib/hdl/oh_clockdiv.v rename to stdlib/hdl/oh_clockdiv.v diff --git a/src/stdlib/hdl/oh_clockgate.v b/stdlib/hdl/oh_clockgate.v similarity index 100% rename from src/stdlib/hdl/oh_clockgate.v rename to stdlib/hdl/oh_clockgate.v diff --git a/src/stdlib/hdl/oh_clockmux.v b/stdlib/hdl/oh_clockmux.v similarity index 100% rename from src/stdlib/hdl/oh_clockmux.v rename to stdlib/hdl/oh_clockmux.v diff --git a/src/stdlib/hdl/oh_clockmux2.v b/stdlib/hdl/oh_clockmux2.v similarity index 100% rename from src/stdlib/hdl/oh_clockmux2.v rename to stdlib/hdl/oh_clockmux2.v diff --git a/src/stdlib/hdl/oh_clockmux4.v b/stdlib/hdl/oh_clockmux4.v similarity index 100% rename from src/stdlib/hdl/oh_clockmux4.v rename to stdlib/hdl/oh_clockmux4.v diff --git a/src/stdlib/hdl/oh_clockor.v b/stdlib/hdl/oh_clockor.v similarity index 100% rename from src/stdlib/hdl/oh_clockor.v rename to stdlib/hdl/oh_clockor.v diff --git a/src/stdlib/hdl/oh_counter.v b/stdlib/hdl/oh_counter.v similarity index 100% rename from src/stdlib/hdl/oh_counter.v rename to stdlib/hdl/oh_counter.v diff --git a/src/stdlib/hdl/oh_csa32.v b/stdlib/hdl/oh_csa32.v similarity index 100% rename from src/stdlib/hdl/oh_csa32.v rename to stdlib/hdl/oh_csa32.v diff --git a/src/stdlib/hdl/oh_csa42.v b/stdlib/hdl/oh_csa42.v similarity index 100% rename from src/stdlib/hdl/oh_csa42.v rename to stdlib/hdl/oh_csa42.v diff --git a/src/stdlib/hdl/oh_csa62.v b/stdlib/hdl/oh_csa62.v similarity index 100% rename from src/stdlib/hdl/oh_csa62.v rename to stdlib/hdl/oh_csa62.v diff --git a/src/stdlib/hdl/oh_csa92.v b/stdlib/hdl/oh_csa92.v similarity index 100% rename from src/stdlib/hdl/oh_csa92.v rename to stdlib/hdl/oh_csa92.v diff --git a/src/stdlib/hdl/oh_datagate.v b/stdlib/hdl/oh_datagate.v similarity index 100% rename from src/stdlib/hdl/oh_datagate.v rename to stdlib/hdl/oh_datagate.v diff --git a/src/stdlib/hdl/oh_debouncer.v b/stdlib/hdl/oh_debouncer.v similarity index 100% rename from src/stdlib/hdl/oh_debouncer.v rename to stdlib/hdl/oh_debouncer.v diff --git a/src/stdlib/hdl/oh_delay.v b/stdlib/hdl/oh_delay.v similarity index 100% rename from src/stdlib/hdl/oh_delay.v rename to stdlib/hdl/oh_delay.v diff --git a/src/stdlib/hdl/oh_dffnq.v b/stdlib/hdl/oh_dffnq.v similarity index 100% rename from src/stdlib/hdl/oh_dffnq.v rename to stdlib/hdl/oh_dffnq.v diff --git a/src/stdlib/hdl/oh_dffq.v b/stdlib/hdl/oh_dffq.v similarity index 100% rename from src/stdlib/hdl/oh_dffq.v rename to stdlib/hdl/oh_dffq.v diff --git a/src/stdlib/hdl/oh_dffqn.v b/stdlib/hdl/oh_dffqn.v similarity index 100% rename from src/stdlib/hdl/oh_dffqn.v rename to stdlib/hdl/oh_dffqn.v diff --git a/src/stdlib/hdl/oh_dffrq.v b/stdlib/hdl/oh_dffrq.v similarity index 100% rename from src/stdlib/hdl/oh_dffrq.v rename to stdlib/hdl/oh_dffrq.v diff --git a/src/stdlib/hdl/oh_dffrqn.v b/stdlib/hdl/oh_dffrqn.v similarity index 100% rename from src/stdlib/hdl/oh_dffrqn.v rename to stdlib/hdl/oh_dffrqn.v diff --git a/src/stdlib/hdl/oh_dffsq.v b/stdlib/hdl/oh_dffsq.v similarity index 100% rename from src/stdlib/hdl/oh_dffsq.v rename to stdlib/hdl/oh_dffsq.v diff --git a/src/stdlib/hdl/oh_dffsqn.v b/stdlib/hdl/oh_dffsqn.v similarity index 100% rename from src/stdlib/hdl/oh_dffsqn.v rename to stdlib/hdl/oh_dffsqn.v diff --git a/src/stdlib/hdl/oh_dpram.v b/stdlib/hdl/oh_dpram.v similarity index 100% rename from src/stdlib/hdl/oh_dpram.v rename to stdlib/hdl/oh_dpram.v diff --git a/src/stdlib/hdl/oh_dsync.v b/stdlib/hdl/oh_dsync.v similarity index 100% rename from src/stdlib/hdl/oh_dsync.v rename to stdlib/hdl/oh_dsync.v diff --git a/src/stdlib/hdl/oh_edge2pulse.v b/stdlib/hdl/oh_edge2pulse.v similarity index 100% rename from src/stdlib/hdl/oh_edge2pulse.v rename to stdlib/hdl/oh_edge2pulse.v diff --git a/src/stdlib/hdl/oh_edgealign.v b/stdlib/hdl/oh_edgealign.v similarity index 100% rename from src/stdlib/hdl/oh_edgealign.v rename to stdlib/hdl/oh_edgealign.v diff --git a/src/stdlib/hdl/oh_fall2pulse.v b/stdlib/hdl/oh_fall2pulse.v similarity index 100% rename from src/stdlib/hdl/oh_fall2pulse.v rename to stdlib/hdl/oh_fall2pulse.v diff --git a/src/stdlib/hdl/oh_fifo_async.v b/stdlib/hdl/oh_fifo_async.v similarity index 100% rename from src/stdlib/hdl/oh_fifo_async.v rename to stdlib/hdl/oh_fifo_async.v diff --git a/src/stdlib/hdl/oh_fifo_cdc.v b/stdlib/hdl/oh_fifo_cdc.v similarity index 100% rename from src/stdlib/hdl/oh_fifo_cdc.v rename to stdlib/hdl/oh_fifo_cdc.v diff --git a/src/stdlib/hdl/oh_fifo_sync.v b/stdlib/hdl/oh_fifo_sync.v similarity index 100% rename from src/stdlib/hdl/oh_fifo_sync.v rename to stdlib/hdl/oh_fifo_sync.v diff --git a/src/stdlib/hdl/oh_gray2bin.v b/stdlib/hdl/oh_gray2bin.v similarity index 100% rename from src/stdlib/hdl/oh_gray2bin.v rename to stdlib/hdl/oh_gray2bin.v diff --git a/src/stdlib/hdl/oh_header.v b/stdlib/hdl/oh_header.v similarity index 100% rename from src/stdlib/hdl/oh_header.v rename to stdlib/hdl/oh_header.v diff --git a/src/stdlib/hdl/oh_iddr.v b/stdlib/hdl/oh_iddr.v similarity index 100% rename from src/stdlib/hdl/oh_iddr.v rename to stdlib/hdl/oh_iddr.v diff --git a/src/stdlib/hdl/oh_inv.v b/stdlib/hdl/oh_inv.v similarity index 100% rename from src/stdlib/hdl/oh_inv.v rename to stdlib/hdl/oh_inv.v diff --git a/src/stdlib/hdl/oh_isobufhi.v b/stdlib/hdl/oh_isobufhi.v similarity index 100% rename from src/stdlib/hdl/oh_isobufhi.v rename to stdlib/hdl/oh_isobufhi.v diff --git a/src/stdlib/hdl/oh_isobuflo.v b/stdlib/hdl/oh_isobuflo.v similarity index 100% rename from src/stdlib/hdl/oh_isobuflo.v rename to stdlib/hdl/oh_isobuflo.v diff --git a/src/stdlib/hdl/oh_lat0.v b/stdlib/hdl/oh_lat0.v similarity index 100% rename from src/stdlib/hdl/oh_lat0.v rename to stdlib/hdl/oh_lat0.v diff --git a/src/stdlib/hdl/oh_lat1.v b/stdlib/hdl/oh_lat1.v similarity index 100% rename from src/stdlib/hdl/oh_lat1.v rename to stdlib/hdl/oh_lat1.v diff --git a/src/stdlib/hdl/oh_latnq.v b/stdlib/hdl/oh_latnq.v similarity index 100% rename from src/stdlib/hdl/oh_latnq.v rename to stdlib/hdl/oh_latnq.v diff --git a/src/stdlib/hdl/oh_latq.v b/stdlib/hdl/oh_latq.v similarity index 100% rename from src/stdlib/hdl/oh_latq.v rename to stdlib/hdl/oh_latq.v diff --git a/src/stdlib/hdl/oh_mult.v b/stdlib/hdl/oh_mult.v similarity index 100% rename from src/stdlib/hdl/oh_mult.v rename to stdlib/hdl/oh_mult.v diff --git a/src/stdlib/hdl/oh_mux.v b/stdlib/hdl/oh_mux.v similarity index 100% rename from src/stdlib/hdl/oh_mux.v rename to stdlib/hdl/oh_mux.v diff --git a/src/stdlib/hdl/oh_mux12.v b/stdlib/hdl/oh_mux12.v similarity index 100% rename from src/stdlib/hdl/oh_mux12.v rename to stdlib/hdl/oh_mux12.v diff --git a/src/stdlib/hdl/oh_mux2.v b/stdlib/hdl/oh_mux2.v similarity index 100% rename from src/stdlib/hdl/oh_mux2.v rename to stdlib/hdl/oh_mux2.v diff --git a/src/stdlib/hdl/oh_mux3.v b/stdlib/hdl/oh_mux3.v similarity index 100% rename from src/stdlib/hdl/oh_mux3.v rename to stdlib/hdl/oh_mux3.v diff --git a/src/stdlib/hdl/oh_mux4.v b/stdlib/hdl/oh_mux4.v similarity index 100% rename from src/stdlib/hdl/oh_mux4.v rename to stdlib/hdl/oh_mux4.v diff --git a/src/stdlib/hdl/oh_mux5.v b/stdlib/hdl/oh_mux5.v similarity index 100% rename from src/stdlib/hdl/oh_mux5.v rename to stdlib/hdl/oh_mux5.v diff --git a/src/stdlib/hdl/oh_mux6.v b/stdlib/hdl/oh_mux6.v similarity index 100% rename from src/stdlib/hdl/oh_mux6.v rename to stdlib/hdl/oh_mux6.v diff --git a/src/stdlib/hdl/oh_mux7.v b/stdlib/hdl/oh_mux7.v similarity index 100% rename from src/stdlib/hdl/oh_mux7.v rename to stdlib/hdl/oh_mux7.v diff --git a/src/stdlib/hdl/oh_mux8.v b/stdlib/hdl/oh_mux8.v similarity index 100% rename from src/stdlib/hdl/oh_mux8.v rename to stdlib/hdl/oh_mux8.v diff --git a/src/stdlib/hdl/oh_mux9.v b/stdlib/hdl/oh_mux9.v similarity index 100% rename from src/stdlib/hdl/oh_mux9.v rename to stdlib/hdl/oh_mux9.v diff --git a/src/stdlib/hdl/oh_mx2.v b/stdlib/hdl/oh_mx2.v similarity index 100% rename from src/stdlib/hdl/oh_mx2.v rename to stdlib/hdl/oh_mx2.v diff --git a/src/stdlib/hdl/oh_mx3.v b/stdlib/hdl/oh_mx3.v similarity index 100% rename from src/stdlib/hdl/oh_mx3.v rename to stdlib/hdl/oh_mx3.v diff --git a/src/stdlib/hdl/oh_mx4.v b/stdlib/hdl/oh_mx4.v similarity index 100% rename from src/stdlib/hdl/oh_mx4.v rename to stdlib/hdl/oh_mx4.v diff --git a/src/stdlib/hdl/oh_mxi2.v b/stdlib/hdl/oh_mxi2.v similarity index 100% rename from src/stdlib/hdl/oh_mxi2.v rename to stdlib/hdl/oh_mxi2.v diff --git a/src/stdlib/hdl/oh_mxi3.v b/stdlib/hdl/oh_mxi3.v similarity index 100% rename from src/stdlib/hdl/oh_mxi3.v rename to stdlib/hdl/oh_mxi3.v diff --git a/src/stdlib/hdl/oh_mxi4.v b/stdlib/hdl/oh_mxi4.v similarity index 100% rename from src/stdlib/hdl/oh_mxi4.v rename to stdlib/hdl/oh_mxi4.v diff --git a/src/stdlib/hdl/oh_nand3.v b/stdlib/hdl/oh_nand3.v similarity index 100% rename from src/stdlib/hdl/oh_nand3.v rename to stdlib/hdl/oh_nand3.v diff --git a/src/stdlib/hdl/oh_nand4.v b/stdlib/hdl/oh_nand4.v similarity index 100% rename from src/stdlib/hdl/oh_nand4.v rename to stdlib/hdl/oh_nand4.v diff --git a/src/stdlib/hdl/oh_nor2.v b/stdlib/hdl/oh_nor2.v similarity index 100% rename from src/stdlib/hdl/oh_nor2.v rename to stdlib/hdl/oh_nor2.v diff --git a/src/stdlib/hdl/oh_nor3.v b/stdlib/hdl/oh_nor3.v similarity index 100% rename from src/stdlib/hdl/oh_nor3.v rename to stdlib/hdl/oh_nor3.v diff --git a/src/stdlib/hdl/oh_nor4.v b/stdlib/hdl/oh_nor4.v similarity index 100% rename from src/stdlib/hdl/oh_nor4.v rename to stdlib/hdl/oh_nor4.v diff --git a/src/stdlib/hdl/oh_oa21.v b/stdlib/hdl/oh_oa21.v similarity index 100% rename from src/stdlib/hdl/oh_oa21.v rename to stdlib/hdl/oh_oa21.v diff --git a/src/stdlib/hdl/oh_oa211.v b/stdlib/hdl/oh_oa211.v similarity index 100% rename from src/stdlib/hdl/oh_oa211.v rename to stdlib/hdl/oh_oa211.v diff --git a/src/stdlib/hdl/oh_oa22.v b/stdlib/hdl/oh_oa22.v similarity index 100% rename from src/stdlib/hdl/oh_oa22.v rename to stdlib/hdl/oh_oa22.v diff --git a/src/stdlib/hdl/oh_oa221.v b/stdlib/hdl/oh_oa221.v similarity index 100% rename from src/stdlib/hdl/oh_oa221.v rename to stdlib/hdl/oh_oa221.v diff --git a/src/stdlib/hdl/oh_oa222.v b/stdlib/hdl/oh_oa222.v similarity index 100% rename from src/stdlib/hdl/oh_oa222.v rename to stdlib/hdl/oh_oa222.v diff --git a/src/stdlib/hdl/oh_oa31.v b/stdlib/hdl/oh_oa31.v similarity index 100% rename from src/stdlib/hdl/oh_oa31.v rename to stdlib/hdl/oh_oa31.v diff --git a/src/stdlib/hdl/oh_oa311.v b/stdlib/hdl/oh_oa311.v similarity index 100% rename from src/stdlib/hdl/oh_oa311.v rename to stdlib/hdl/oh_oa311.v diff --git a/src/stdlib/hdl/oh_oa32.v b/stdlib/hdl/oh_oa32.v similarity index 100% rename from src/stdlib/hdl/oh_oa32.v rename to stdlib/hdl/oh_oa32.v diff --git a/src/stdlib/hdl/oh_oa33.v b/stdlib/hdl/oh_oa33.v similarity index 100% rename from src/stdlib/hdl/oh_oa33.v rename to stdlib/hdl/oh_oa33.v diff --git a/src/stdlib/hdl/oh_oai21.v b/stdlib/hdl/oh_oai21.v similarity index 100% rename from src/stdlib/hdl/oh_oai21.v rename to stdlib/hdl/oh_oai21.v diff --git a/src/stdlib/hdl/oh_oai22.v b/stdlib/hdl/oh_oai22.v similarity index 100% rename from src/stdlib/hdl/oh_oai22.v rename to stdlib/hdl/oh_oai22.v diff --git a/src/stdlib/hdl/oh_oai221.v b/stdlib/hdl/oh_oai221.v similarity index 100% rename from src/stdlib/hdl/oh_oai221.v rename to stdlib/hdl/oh_oai221.v diff --git a/src/stdlib/hdl/oh_oai222.v b/stdlib/hdl/oh_oai222.v similarity index 100% rename from src/stdlib/hdl/oh_oai222.v rename to stdlib/hdl/oh_oai222.v diff --git a/src/stdlib/hdl/oh_oai31.v b/stdlib/hdl/oh_oai31.v similarity index 100% rename from src/stdlib/hdl/oh_oai31.v rename to stdlib/hdl/oh_oai31.v diff --git a/src/stdlib/hdl/oh_oai311.v b/stdlib/hdl/oh_oai311.v similarity index 100% rename from src/stdlib/hdl/oh_oai311.v rename to stdlib/hdl/oh_oai311.v diff --git a/src/stdlib/hdl/oh_oai32.v b/stdlib/hdl/oh_oai32.v similarity index 100% rename from src/stdlib/hdl/oh_oai32.v rename to stdlib/hdl/oh_oai32.v diff --git a/src/stdlib/hdl/oh_oai33.v b/stdlib/hdl/oh_oai33.v similarity index 100% rename from src/stdlib/hdl/oh_oai33.v rename to stdlib/hdl/oh_oai33.v diff --git a/src/stdlib/hdl/oh_oddr.v b/stdlib/hdl/oh_oddr.v similarity index 100% rename from src/stdlib/hdl/oh_oddr.v rename to stdlib/hdl/oh_oddr.v diff --git a/src/stdlib/hdl/oh_or2.v b/stdlib/hdl/oh_or2.v similarity index 100% rename from src/stdlib/hdl/oh_or2.v rename to stdlib/hdl/oh_or2.v diff --git a/src/stdlib/hdl/oh_or3.v b/stdlib/hdl/oh_or3.v similarity index 100% rename from src/stdlib/hdl/oh_or3.v rename to stdlib/hdl/oh_or3.v diff --git a/src/stdlib/hdl/oh_or4.v b/stdlib/hdl/oh_or4.v similarity index 100% rename from src/stdlib/hdl/oh_or4.v rename to stdlib/hdl/oh_or4.v diff --git a/src/stdlib/hdl/oh_par2ser.v b/stdlib/hdl/oh_par2ser.v similarity index 100% rename from src/stdlib/hdl/oh_par2ser.v rename to stdlib/hdl/oh_par2ser.v diff --git a/src/stdlib/hdl/oh_parity.v b/stdlib/hdl/oh_parity.v similarity index 100% rename from src/stdlib/hdl/oh_parity.v rename to stdlib/hdl/oh_parity.v diff --git a/src/stdlib/hdl/oh_pll.v b/stdlib/hdl/oh_pll.v similarity index 100% rename from src/stdlib/hdl/oh_pll.v rename to stdlib/hdl/oh_pll.v diff --git a/src/stdlib/hdl/oh_pulse.v b/stdlib/hdl/oh_pulse.v similarity index 100% rename from src/stdlib/hdl/oh_pulse.v rename to stdlib/hdl/oh_pulse.v diff --git a/src/stdlib/hdl/oh_pulse2pulse.v b/stdlib/hdl/oh_pulse2pulse.v similarity index 100% rename from src/stdlib/hdl/oh_pulse2pulse.v rename to stdlib/hdl/oh_pulse2pulse.v diff --git a/src/stdlib/hdl/oh_pwr_buf.v b/stdlib/hdl/oh_pwr_buf.v similarity index 100% rename from src/stdlib/hdl/oh_pwr_buf.v rename to stdlib/hdl/oh_pwr_buf.v diff --git a/src/stdlib/hdl/oh_ram.v b/stdlib/hdl/oh_ram.v similarity index 100% rename from src/stdlib/hdl/oh_ram.v rename to stdlib/hdl/oh_ram.v diff --git a/src/stdlib/hdl/oh_random.v b/stdlib/hdl/oh_random.v similarity index 100% rename from src/stdlib/hdl/oh_random.v rename to stdlib/hdl/oh_random.v diff --git a/src/stdlib/hdl/oh_reg0.v b/stdlib/hdl/oh_reg0.v similarity index 100% rename from src/stdlib/hdl/oh_reg0.v rename to stdlib/hdl/oh_reg0.v diff --git a/src/stdlib/hdl/oh_reg1.v b/stdlib/hdl/oh_reg1.v similarity index 100% rename from src/stdlib/hdl/oh_reg1.v rename to stdlib/hdl/oh_reg1.v diff --git a/src/stdlib/hdl/oh_regfile.v b/stdlib/hdl/oh_regfile.v similarity index 100% rename from src/stdlib/hdl/oh_regfile.v rename to stdlib/hdl/oh_regfile.v diff --git a/src/stdlib/hdl/oh_rise2pulse.v b/stdlib/hdl/oh_rise2pulse.v similarity index 100% rename from src/stdlib/hdl/oh_rise2pulse.v rename to stdlib/hdl/oh_rise2pulse.v diff --git a/src/stdlib/hdl/oh_rsync.v b/stdlib/hdl/oh_rsync.v similarity index 100% rename from src/stdlib/hdl/oh_rsync.v rename to stdlib/hdl/oh_rsync.v diff --git a/src/stdlib/hdl/oh_sdffq.v b/stdlib/hdl/oh_sdffq.v similarity index 100% rename from src/stdlib/hdl/oh_sdffq.v rename to stdlib/hdl/oh_sdffq.v diff --git a/src/stdlib/hdl/oh_sdffqn.v b/stdlib/hdl/oh_sdffqn.v similarity index 100% rename from src/stdlib/hdl/oh_sdffqn.v rename to stdlib/hdl/oh_sdffqn.v diff --git a/src/stdlib/hdl/oh_sdffrq.v b/stdlib/hdl/oh_sdffrq.v similarity index 100% rename from src/stdlib/hdl/oh_sdffrq.v rename to stdlib/hdl/oh_sdffrq.v diff --git a/src/stdlib/hdl/oh_sdffrqn.v b/stdlib/hdl/oh_sdffrqn.v similarity index 100% rename from src/stdlib/hdl/oh_sdffrqn.v rename to stdlib/hdl/oh_sdffrqn.v diff --git a/src/stdlib/hdl/oh_sdffsq.v b/stdlib/hdl/oh_sdffsq.v similarity index 100% rename from src/stdlib/hdl/oh_sdffsq.v rename to stdlib/hdl/oh_sdffsq.v diff --git a/src/stdlib/hdl/oh_sdffsqn.v b/stdlib/hdl/oh_sdffsqn.v similarity index 100% rename from src/stdlib/hdl/oh_sdffsqn.v rename to stdlib/hdl/oh_sdffsqn.v diff --git a/src/stdlib/hdl/oh_ser2par.v b/stdlib/hdl/oh_ser2par.v similarity index 100% rename from src/stdlib/hdl/oh_ser2par.v rename to stdlib/hdl/oh_ser2par.v diff --git a/src/stdlib/hdl/oh_shift.v b/stdlib/hdl/oh_shift.v similarity index 100% rename from src/stdlib/hdl/oh_shift.v rename to stdlib/hdl/oh_shift.v diff --git a/src/stdlib/hdl/oh_standby.v b/stdlib/hdl/oh_standby.v similarity index 100% rename from src/stdlib/hdl/oh_standby.v rename to stdlib/hdl/oh_standby.v diff --git a/src/stdlib/hdl/oh_stimulus.v b/stdlib/hdl/oh_stimulus.v similarity index 100% rename from src/stdlib/hdl/oh_stimulus.v rename to stdlib/hdl/oh_stimulus.v diff --git a/src/stdlib/hdl/oh_stretcher.v b/stdlib/hdl/oh_stretcher.v similarity index 100% rename from src/stdlib/hdl/oh_stretcher.v rename to stdlib/hdl/oh_stretcher.v diff --git a/src/stdlib/hdl/oh_tristate.v b/stdlib/hdl/oh_tristate.v similarity index 100% rename from src/stdlib/hdl/oh_tristate.v rename to stdlib/hdl/oh_tristate.v diff --git a/src/stdlib/hdl/oh_xnor2.v b/stdlib/hdl/oh_xnor2.v similarity index 100% rename from src/stdlib/hdl/oh_xnor2.v rename to stdlib/hdl/oh_xnor2.v diff --git a/src/stdlib/hdl/oh_xnor3.v b/stdlib/hdl/oh_xnor3.v similarity index 100% rename from src/stdlib/hdl/oh_xnor3.v rename to stdlib/hdl/oh_xnor3.v diff --git a/src/stdlib/hdl/oh_xnor4.v b/stdlib/hdl/oh_xnor4.v similarity index 100% rename from src/stdlib/hdl/oh_xnor4.v rename to stdlib/hdl/oh_xnor4.v diff --git a/src/stdlib/hdl/oh_xor2.v b/stdlib/hdl/oh_xor2.v similarity index 100% rename from src/stdlib/hdl/oh_xor2.v rename to stdlib/hdl/oh_xor2.v diff --git a/src/stdlib/hdl/oh_xor3.v b/stdlib/hdl/oh_xor3.v similarity index 100% rename from src/stdlib/hdl/oh_xor3.v rename to stdlib/hdl/oh_xor3.v diff --git a/src/stdlib/hdl/oh_xor4.v b/stdlib/hdl/oh_xor4.v similarity index 100% rename from src/stdlib/hdl/oh_xor4.v rename to stdlib/hdl/oh_xor4.v diff --git a/src/xilibs/README.md b/xilibs/README.md similarity index 100% rename from src/xilibs/README.md rename to xilibs/README.md diff --git a/src/xilibs/dv/BUF.v b/xilibs/dv/BUF.v similarity index 100% rename from src/xilibs/dv/BUF.v rename to xilibs/dv/BUF.v diff --git a/src/xilibs/dv/BUFG.v b/xilibs/dv/BUFG.v similarity index 100% rename from src/xilibs/dv/BUFG.v rename to xilibs/dv/BUFG.v diff --git a/src/xilibs/dv/BUFIO.v b/xilibs/dv/BUFIO.v similarity index 100% rename from src/xilibs/dv/BUFIO.v rename to xilibs/dv/BUFIO.v diff --git a/src/xilibs/dv/BUFR.v b/xilibs/dv/BUFR.v similarity index 100% rename from src/xilibs/dv/BUFR.v rename to xilibs/dv/BUFR.v diff --git a/src/xilibs/dv/CLKDIV.v b/xilibs/dv/CLKDIV.v similarity index 100% rename from src/xilibs/dv/CLKDIV.v rename to xilibs/dv/CLKDIV.v diff --git a/src/xilibs/dv/IBUF.v b/xilibs/dv/IBUF.v similarity index 100% rename from src/xilibs/dv/IBUF.v rename to xilibs/dv/IBUF.v diff --git a/src/xilibs/dv/IBUFDS.v b/xilibs/dv/IBUFDS.v similarity index 100% rename from src/xilibs/dv/IBUFDS.v rename to xilibs/dv/IBUFDS.v diff --git a/src/xilibs/dv/IBUFDS_DIFF_OUT.v b/xilibs/dv/IBUFDS_DIFF_OUT.v similarity index 100% rename from src/xilibs/dv/IBUFDS_DIFF_OUT.v rename to xilibs/dv/IBUFDS_DIFF_OUT.v diff --git a/src/xilibs/dv/IBUFDS_GTE2.v b/xilibs/dv/IBUFDS_GTE2.v similarity index 100% rename from src/xilibs/dv/IBUFDS_GTE2.v rename to xilibs/dv/IBUFDS_GTE2.v diff --git a/src/xilibs/dv/IBUFDS_IBUFDISABLE.v b/xilibs/dv/IBUFDS_IBUFDISABLE.v similarity index 100% rename from src/xilibs/dv/IBUFDS_IBUFDISABLE.v rename to xilibs/dv/IBUFDS_IBUFDISABLE.v diff --git a/src/xilibs/dv/IBUFDS_IBUFDISABLE_INT.v b/xilibs/dv/IBUFDS_IBUFDISABLE_INT.v similarity index 100% rename from src/xilibs/dv/IBUFDS_IBUFDISABLE_INT.v rename to xilibs/dv/IBUFDS_IBUFDISABLE_INT.v diff --git a/src/xilibs/dv/IBUFDS_INTERMDISABLE.v b/xilibs/dv/IBUFDS_INTERMDISABLE.v similarity index 100% rename from src/xilibs/dv/IBUFDS_INTERMDISABLE.v rename to xilibs/dv/IBUFDS_INTERMDISABLE.v diff --git a/src/xilibs/dv/IBUFDS_INTERMDISABLE_INT.v b/xilibs/dv/IBUFDS_INTERMDISABLE_INT.v similarity index 100% rename from src/xilibs/dv/IBUFDS_INTERMDISABLE_INT.v rename to xilibs/dv/IBUFDS_INTERMDISABLE_INT.v diff --git a/src/xilibs/dv/IBUFE3.v b/xilibs/dv/IBUFE3.v similarity index 100% rename from src/xilibs/dv/IBUFE3.v rename to xilibs/dv/IBUFE3.v diff --git a/src/xilibs/dv/IBUFGDS.v b/xilibs/dv/IBUFGDS.v similarity index 100% rename from src/xilibs/dv/IBUFGDS.v rename to xilibs/dv/IBUFGDS.v diff --git a/src/xilibs/dv/IBUF_IBUFDISABLE.v b/xilibs/dv/IBUF_IBUFDISABLE.v similarity index 100% rename from src/xilibs/dv/IBUF_IBUFDISABLE.v rename to xilibs/dv/IBUF_IBUFDISABLE.v diff --git a/src/xilibs/dv/IBUF_INTERMDISABLE.v b/xilibs/dv/IBUF_INTERMDISABLE.v similarity index 100% rename from src/xilibs/dv/IBUF_INTERMDISABLE.v rename to xilibs/dv/IBUF_INTERMDISABLE.v diff --git a/src/xilibs/dv/IDDR.v b/xilibs/dv/IDDR.v similarity index 100% rename from src/xilibs/dv/IDDR.v rename to xilibs/dv/IDDR.v diff --git a/src/xilibs/dv/IDELAYCTRL.v b/xilibs/dv/IDELAYCTRL.v similarity index 100% rename from src/xilibs/dv/IDELAYCTRL.v rename to xilibs/dv/IDELAYCTRL.v diff --git a/src/xilibs/dv/IDELAYE2.v b/xilibs/dv/IDELAYE2.v similarity index 100% rename from src/xilibs/dv/IDELAYE2.v rename to xilibs/dv/IDELAYE2.v diff --git a/src/xilibs/dv/IDELAYE2_FINEDELAY.v b/xilibs/dv/IDELAYE2_FINEDELAY.v similarity index 100% rename from src/xilibs/dv/IDELAYE2_FINEDELAY.v rename to xilibs/dv/IDELAYE2_FINEDELAY.v diff --git a/src/xilibs/dv/IDELAYE3.v b/xilibs/dv/IDELAYE3.v similarity index 100% rename from src/xilibs/dv/IDELAYE3.v rename to xilibs/dv/IDELAYE3.v diff --git a/src/xilibs/dv/IOBUF.v b/xilibs/dv/IOBUF.v similarity index 100% rename from src/xilibs/dv/IOBUF.v rename to xilibs/dv/IOBUF.v diff --git a/src/xilibs/dv/IOBUFDS.v b/xilibs/dv/IOBUFDS.v similarity index 100% rename from src/xilibs/dv/IOBUFDS.v rename to xilibs/dv/IOBUFDS.v diff --git a/src/xilibs/dv/IOBUFDSE3.v b/xilibs/dv/IOBUFDSE3.v similarity index 100% rename from src/xilibs/dv/IOBUFDSE3.v rename to xilibs/dv/IOBUFDSE3.v diff --git a/src/xilibs/dv/IOBUFDS_DCIEN.v b/xilibs/dv/IOBUFDS_DCIEN.v similarity index 100% rename from src/xilibs/dv/IOBUFDS_DCIEN.v rename to xilibs/dv/IOBUFDS_DCIEN.v diff --git a/src/xilibs/dv/IOBUFDS_DIFF_OUT_INTERMDISABLE.v b/xilibs/dv/IOBUFDS_DIFF_OUT_INTERMDISABLE.v similarity index 100% rename from src/xilibs/dv/IOBUFDS_DIFF_OUT_INTERMDISABLE.v rename to xilibs/dv/IOBUFDS_DIFF_OUT_INTERMDISABLE.v diff --git a/src/xilibs/dv/IOBUF_INTERMDISABLE.v b/xilibs/dv/IOBUF_INTERMDISABLE.v similarity index 100% rename from src/xilibs/dv/IOBUF_INTERMDISABLE.v rename to xilibs/dv/IOBUF_INTERMDISABLE.v diff --git a/src/xilibs/dv/ISERDESE2.v b/xilibs/dv/ISERDESE2.v similarity index 100% rename from src/xilibs/dv/ISERDESE2.v rename to xilibs/dv/ISERDESE2.v diff --git a/src/xilibs/dv/MMCME2_ADV.v b/xilibs/dv/MMCME2_ADV.v similarity index 100% rename from src/xilibs/dv/MMCME2_ADV.v rename to xilibs/dv/MMCME2_ADV.v diff --git a/src/xilibs/dv/OBUF.v b/xilibs/dv/OBUF.v similarity index 100% rename from src/xilibs/dv/OBUF.v rename to xilibs/dv/OBUF.v diff --git a/src/xilibs/dv/OBUFDS.v b/xilibs/dv/OBUFDS.v similarity index 100% rename from src/xilibs/dv/OBUFDS.v rename to xilibs/dv/OBUFDS.v diff --git a/src/xilibs/dv/OBUFDS_GTE3_ADV.v b/xilibs/dv/OBUFDS_GTE3_ADV.v similarity index 100% rename from src/xilibs/dv/OBUFDS_GTE3_ADV.v rename to xilibs/dv/OBUFDS_GTE3_ADV.v diff --git a/src/xilibs/dv/OBUFT.v b/xilibs/dv/OBUFT.v similarity index 100% rename from src/xilibs/dv/OBUFT.v rename to xilibs/dv/OBUFT.v diff --git a/src/xilibs/dv/OBUFTDS.v b/xilibs/dv/OBUFTDS.v similarity index 100% rename from src/xilibs/dv/OBUFTDS.v rename to xilibs/dv/OBUFTDS.v diff --git a/src/xilibs/dv/OBUFTDS_DCIEN.v b/xilibs/dv/OBUFTDS_DCIEN.v similarity index 100% rename from src/xilibs/dv/OBUFTDS_DCIEN.v rename to xilibs/dv/OBUFTDS_DCIEN.v diff --git a/src/xilibs/dv/ODDR.v b/xilibs/dv/ODDR.v similarity index 100% rename from src/xilibs/dv/ODDR.v rename to xilibs/dv/ODDR.v diff --git a/src/xilibs/dv/ODELAYE2.v b/xilibs/dv/ODELAYE2.v similarity index 100% rename from src/xilibs/dv/ODELAYE2.v rename to xilibs/dv/ODELAYE2.v diff --git a/src/xilibs/dv/OSERDESE2.v b/xilibs/dv/OSERDESE2.v similarity index 100% rename from src/xilibs/dv/OSERDESE2.v rename to xilibs/dv/OSERDESE2.v diff --git a/src/xilibs/dv/PLLE2_ADV.v b/xilibs/dv/PLLE2_ADV.v similarity index 100% rename from src/xilibs/dv/PLLE2_ADV.v rename to xilibs/dv/PLLE2_ADV.v diff --git a/src/xilibs/dv/PLLE2_BASE.v b/xilibs/dv/PLLE2_BASE.v similarity index 100% rename from src/xilibs/dv/PLLE2_BASE.v rename to xilibs/dv/PLLE2_BASE.v diff --git a/src/xilibs/dv/RAM32X1D.v b/xilibs/dv/RAM32X1D.v similarity index 100% rename from src/xilibs/dv/RAM32X1D.v rename to xilibs/dv/RAM32X1D.v diff --git a/src/xilibs/dv/fifo_async_104x32.v b/xilibs/dv/fifo_async_104x32.v similarity index 100% rename from src/xilibs/dv/fifo_async_104x32.v rename to xilibs/dv/fifo_async_104x32.v diff --git a/src/xilibs/dv/fifo_generator_vlog_beh.v b/xilibs/dv/fifo_generator_vlog_beh.v similarity index 100% rename from src/xilibs/dv/fifo_generator_vlog_beh.v rename to xilibs/dv/fifo_generator_vlog_beh.v diff --git a/src/xilibs/ip/fifo_async_104x32.xci b/xilibs/ip/fifo_async_104x32.xci similarity index 100% rename from src/xilibs/ip/fifo_async_104x32.xci rename to xilibs/ip/fifo_async_104x32.xci