diff --git a/enoc/hdl/emesh_readback.v b/enoc/hdl/emesh_readback.v index a6d5a34..623061d 100644 --- a/enoc/hdl/emesh_readback.v +++ b/enoc/hdl/emesh_readback.v @@ -17,7 +17,7 @@ module emesh_readback (/*AUTOARG*/ output ready_out; // pushback from mesh // register/memory data (already pipelined) - input [63:0] read_data; // data from register/memory + input [63:0] read_data; // data from register/memorye // output transaction output access_out; // register access @@ -45,8 +45,8 @@ module emesh_readback (/*AUTOARG*/ //# Parse packet //####################################### - packet2emesh #(.AW(AW), - .PW(PW)) + enoc_unpack #(.AW(AW), + .PW(PW)) p2e (/*AUTOINST*/ // Outputs .write_in (write_in), @@ -88,8 +88,8 @@ module emesh_readback (/*AUTOARG*/ //# Convert to Packet //####################################### - emesh2packet #(.AW(AW), - .PW(PW)) + enoc_pack #(.AW(AW), + .PW(PW)) e2p (.write_out (1'b1), /*AUTOINST*/ // Outputs diff --git a/gpio/hdl/gpio.v b/gpio/hdl/gpio.v index 98632a0..4893ac9 100644 --- a/gpio/hdl/gpio.v +++ b/gpio/hdl/gpio.v @@ -74,8 +74,8 @@ module gpio #( parameter integer N = 24, // number of gpio pins //# DECODE LOGIC //################################ - packet2emesh #(.AW(AW), - .PW(PW)) + enoc_unpack #(.AW(AW), + .PW(PW)) p2e( /*AUTOINST*/ // Outputs diff --git a/scripts/build.sh b/scripts/build.sh index f65ad6f..70799da 100755 --- a/scripts/build.sh +++ b/scripts/build.sh @@ -9,24 +9,13 @@ # ############################################################################ -DUT=$1 - -############################## -#Create directory of all links -############################## -$OH_HOME/scripts/link.sh - ############################## #Build ############################### iverilog -g2005\ -DTARGET_SIM=1\ -DCFG_ASIC=0\ - -DCFG_PLATFORM=\"ZYNQ\"\ - $DUT\ - $OH_HOME/symlinks/dv/dv_top.v\ - -y .\ - -y $OH_HOME/symlinks/hdl\ - -y $OH_HOME/symlinks/dv\ - -I $OH_HOME/symlinks/hdl\ - -o dut.bin\ + -f $OH_HOME/scripts/libs.cmd \ + -o dut.bin $1 + + diff --git a/scripts/build_all.sh b/scripts/build_all.sh index 731b3d3..800e19a 100755 --- a/scripts/build_all.sh +++ b/scripts/build_all.sh @@ -1,5 +1,6 @@ #!/bin/bash +common/hdl/*.v list=(accelerator elink emailbox emmu gpio mio pic spi) diff --git a/stdcells/hdl/oh_and2.v b/stdcells/hdl/oh_and2.v deleted file mode 100644 index b0ae700..0000000 --- a/stdcells/hdl/oh_and2.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: 2 Input And Gate # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_and2 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - output [DW-1:0] z - ); - - assign z = a & b; - -endmodule diff --git a/stdcells/hdl/oh_and3.v b/stdcells/hdl/oh_and3.v deleted file mode 100644 index 96f4501..0000000 --- a/stdcells/hdl/oh_and3.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: 3 Input And Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_and3 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - output [DW-1:0] z - ); - - assign z = a & b & c; - -endmodule diff --git a/stdcells/hdl/oh_and4.v b/stdcells/hdl/oh_and4.v deleted file mode 100644 index dcb4e16..0000000 --- a/stdcells/hdl/oh_and4.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: 4 Input And Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_and4 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - input [DW-1:0] d, - output [DW-1:0] z - ); - - assign z = (a&b&c&d); - -endmodule diff --git a/stdcells/hdl/oh_ao21.v b/stdcells/hdl/oh_ao21.v deleted file mode 100644 index 5425d7c..0000000 --- a/stdcells/hdl/oh_ao21.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao21) Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao21 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - output [DW-1:0] z - ); - - assign z = (a0 & a1) | b0; - -endmodule diff --git a/stdcells/hdl/oh_ao211.v b/stdcells/hdl/oh_ao211.v deleted file mode 100644 index c47a6cb..0000000 --- a/stdcells/hdl/oh_ao211.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao211) Gate # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao211 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = (a0 & a1) | b0 | c0; - -endmodule diff --git a/stdcells/hdl/oh_ao22.v b/stdcells/hdl/oh_ao22.v deleted file mode 100644 index b613937..0000000 --- a/stdcells/hdl/oh_ao22.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao22) Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao22 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - output [DW-1:0] z - ); - - assign z = (a0 & a1) | (b0 & b1); - -endmodule diff --git a/stdcells/hdl/oh_ao221.v b/stdcells/hdl/oh_ao221.v deleted file mode 100644 index 2a9fee1..0000000 --- a/stdcells/hdl/oh_ao221.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao221) Gate # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao221 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = (a0 & a1) | (b0 & b1) | (c0); - -endmodule diff --git a/stdcells/hdl/oh_ao222.v b/stdcells/hdl/oh_ao222.v deleted file mode 100644 index fa547c2..0000000 --- a/stdcells/hdl/oh_ao222.v +++ /dev/null @@ -1,21 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao222) Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao222 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] c0, - input [DW-1:0] c1, - output [DW-1:0] z - ); - - assign z = (a0 & a1) | (b0 & b1) | (c0 & c1); - -endmodule diff --git a/stdcells/hdl/oh_ao31.v b/stdcells/hdl/oh_ao31.v deleted file mode 100644 index 7792bcb..0000000 --- a/stdcells/hdl/oh_ao31.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao31) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao31 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - output [DW-1:0] z - ); - - assign z = (a0 & a1 & a2) | b0; - -endmodule diff --git a/stdcells/hdl/oh_ao311.v b/stdcells/hdl/oh_ao311.v deleted file mode 100644 index 69abe55..0000000 --- a/stdcells/hdl/oh_ao311.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao311) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao311 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = (a0 & a1 & a2) | b0 | c0; - -endmodule diff --git a/stdcells/hdl/oh_ao32.v b/stdcells/hdl/oh_ao32.v deleted file mode 100644 index 3ba4ddb..0000000 --- a/stdcells/hdl/oh_ao32.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao32) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao32 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] b1, - output [DW-1:0] z - ); - - assign z = (a0 & a1 & a2) | (b0 & b1); - -endmodule diff --git a/stdcells/hdl/oh_ao33.v b/stdcells/hdl/oh_ao33.v deleted file mode 100644 index dbf76f9..0000000 --- a/stdcells/hdl/oh_ao33.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: And-Or (ao33) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_ao33 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] b2, - output [DW-1:0] z - ); - - assign z = (a0 & a1 & a2) | (b0 & b1 & b2); - -endmodule diff --git a/stdcells/hdl/oh_aoi21.v b/stdcells/hdl/oh_aoi21.v deleted file mode 100644 index d70d8dc..0000000 --- a/stdcells/hdl/oh_aoi21.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi21) Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi21 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1) | b0); - -endmodule diff --git a/stdcells/hdl/oh_aoi211.v b/stdcells/hdl/oh_aoi211.v deleted file mode 100644 index e86d7bb..0000000 --- a/stdcells/hdl/oh_aoi211.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi211) Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi211 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1) | b0 | c0); - -endmodule diff --git a/stdcells/hdl/oh_aoi22.v b/stdcells/hdl/oh_aoi22.v deleted file mode 100644 index 6ef3f62..0000000 --- a/stdcells/hdl/oh_aoi22.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi22) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi22 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1) | (b0 & b1)); - -endmodule diff --git a/stdcells/hdl/oh_aoi221.v b/stdcells/hdl/oh_aoi221.v deleted file mode 100644 index 209d529..0000000 --- a/stdcells/hdl/oh_aoi221.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi221) Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi221 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1) | (b0 & b1) | c0); - -endmodule diff --git a/stdcells/hdl/oh_aoi222.v b/stdcells/hdl/oh_aoi222.v deleted file mode 100644 index 50dbfae..0000000 --- a/stdcells/hdl/oh_aoi222.v +++ /dev/null @@ -1,21 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi222) Gate # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi222 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] c0, - input [DW-1:0] c1, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1) | (b0 & b1) | (c0 & c1)); - -endmodule diff --git a/stdcells/hdl/oh_aoi31.v b/stdcells/hdl/oh_aoi31.v deleted file mode 100644 index 07c8557..0000000 --- a/stdcells/hdl/oh_aoi31.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi31) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi31 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1 & a2) | b0); - -endmodule diff --git a/stdcells/hdl/oh_aoi311.v b/stdcells/hdl/oh_aoi311.v deleted file mode 100644 index 7eccb6e..0000000 --- a/stdcells/hdl/oh_aoi311.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi311) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi311 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1 & a2) | b0 | c0); - -endmodule diff --git a/stdcells/hdl/oh_aoi32.v b/stdcells/hdl/oh_aoi32.v deleted file mode 100644 index 5efd027..0000000 --- a/stdcells/hdl/oh_aoi32.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi32) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi32 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] b1, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1 & a2) | (b0 & b1)); - -endmodule diff --git a/stdcells/hdl/oh_aoi33.v b/stdcells/hdl/oh_aoi33.v deleted file mode 100644 index 4b4ed1b..0000000 --- a/stdcells/hdl/oh_aoi33.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: And-Or-Inverter (aoi33) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_aoi33 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] b2, - output [DW-1:0] z - ); - - assign z = ~((a0 & a1 & a2) | (b0 & b1 & b2)); - -endmodule diff --git a/stdcells/hdl/oh_buf.v b/stdcells/hdl/oh_buf.v deleted file mode 100644 index 240570b..0000000 --- a/stdcells/hdl/oh_buf.v +++ /dev/null @@ -1,15 +0,0 @@ -//############################################################################# -//# Function: Non-inverting Buffer # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_buf #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - output [DW-1:0] z - ); - - assign z = a; - -endmodule diff --git a/stdcells/hdl/oh_dffnq.v b/stdcells/hdl/oh_dffnq.v deleted file mode 100644 index 3100163..0000000 --- a/stdcells/hdl/oh_dffnq.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: Negative edge-triggered static D-type flop-flop # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_dffnq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] clk, - output reg [DW-1:0] q - ); - - always @ (negedge clk) - q <= d; - -endmodule diff --git a/stdcells/hdl/oh_dffq.v b/stdcells/hdl/oh_dffq.v deleted file mode 100644 index ed4a5fc..0000000 --- a/stdcells/hdl/oh_dffq.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static D-type flop-flop # - -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_dffq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] clk, - output reg [DW-1:0] q - ); - - always @ (posedge clk) - q <= d; - -endmodule diff --git a/stdcells/hdl/oh_dffqn.v b/stdcells/hdl/oh_dffqn.v deleted file mode 100644 index d44a6fc..0000000 --- a/stdcells/hdl/oh_dffqn.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered inverting static D-type flop-flop # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_dffqn #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] clk, - output reg [DW-1:0] qn - ); - - always @ (posedge clk) - qn <= ~d; - -endmodule diff --git a/stdcells/hdl/oh_dffrq.v b/stdcells/hdl/oh_dffrq.v deleted file mode 100644 index 1953f19..0000000 --- a/stdcells/hdl/oh_dffrq.v +++ /dev/null @@ -1,23 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static D-type flop-flop with async # -//# active low reset. # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_dffrq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] clk, - input [DW-1:0] nreset, - output reg [DW-1:0] q - ); - - always @ (posedge clk or negedge nreset) - if(!nreset) - q <= 'b0; - else - q <= d; - -endmodule diff --git a/stdcells/hdl/oh_dffrqn.v b/stdcells/hdl/oh_dffrqn.v deleted file mode 100644 index dffe0fc..0000000 --- a/stdcells/hdl/oh_dffrqn.v +++ /dev/null @@ -1,22 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static inverting D-type flop-flop with # -// async active low reset. # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_dffrqn #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] clk, - input [DW-1:0] nreset, - output reg [DW-1:0] qn - ); - - always @ (posedge clk or negedge nreset) - if(!nreset) - qn <= {DW{1'b1}}; - else - qn <= ~d; - -endmodule diff --git a/stdcells/hdl/oh_dffsq.v b/stdcells/hdl/oh_dffsq.v deleted file mode 100644 index a702673..0000000 --- a/stdcells/hdl/oh_dffsq.v +++ /dev/null @@ -1,22 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static D-type flop-flop with async # -//# active low preset. # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_dffsq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] clk, - input [DW-1:0] nset, - output reg [DW-1:0] q - ); - - always @ (posedge clk or negedge nset) - if(!nset) - q <= {DW{1'b1}}; - else - q <= d; - -endmodule diff --git a/stdcells/hdl/oh_dffsqn.v b/stdcells/hdl/oh_dffsqn.v deleted file mode 100644 index dbbd3f2..0000000 --- a/stdcells/hdl/oh_dffsqn.v +++ /dev/null @@ -1,22 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static inverting D-type flop-flop with # -// async active low set. # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_dffsqn #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] clk, - input [DW-1:0] nset, - output reg [DW-1:0] qn - ); - - always @ (posedge clk or negedge nset) - if(!nset) - qn <= 'b0; - else - qn <= ~d; - -endmodule diff --git a/stdcells/hdl/oh_inv.v b/stdcells/hdl/oh_inv.v deleted file mode 100644 index 8becdf6..0000000 --- a/stdcells/hdl/oh_inv.v +++ /dev/null @@ -1,15 +0,0 @@ -//############################################################################# -//# Function: Inverter # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_inv #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - output [DW-1:0] z - ); - - assign z = ~a; - -endmodule diff --git a/stdcells/hdl/oh_latnq.v b/stdcells/hdl/oh_latnq.v deleted file mode 100644 index 41e9264..0000000 --- a/stdcells/hdl/oh_latnq.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: D-type active-low transparent latch # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_latnq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] gn, - output reg [DW-1:0] q - ); - - always_latch - if(!gn) - q <= d; - -endmodule diff --git a/stdcells/hdl/oh_latq.v b/stdcells/hdl/oh_latq.v deleted file mode 100644 index 0e6a475..0000000 --- a/stdcells/hdl/oh_latq.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: D-type active-high transparent latch # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_latq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] g, - output reg [DW-1:0] q - ); - - always_latch - if(g) - q <= d; - -endmodule diff --git a/stdcells/hdl/oh_mx2.v b/stdcells/hdl/oh_mx2.v deleted file mode 100644 index 709bdf8..0000000 --- a/stdcells/hdl/oh_mx2.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: 2-Input Mux # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_mx2 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] d0, - input [DW-1:0] d1, - input [DW-1:0] s, - output [DW-1:0] z - ); - - assign z = (d0 & ~s) | (d1 & s); - -endmodule diff --git a/stdcells/hdl/oh_mx3.v b/stdcells/hdl/oh_mx3.v deleted file mode 100644 index 2199185..0000000 --- a/stdcells/hdl/oh_mx3.v +++ /dev/null @@ -1,22 +0,0 @@ -//############################################################################# -//# Function: 3-Input Mux # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_mx3 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] d0, - input [DW-1:0] d1, - input [DW-1:0] d2, - input [DW-1:0] s0, - input [DW-1:0] s1, - output [DW-1:0] z - ); - - assign z = (d0 & ~s0 & ~s1) | - (d1 & s0 & ~s1) | - (d2 & s1); - -endmodule diff --git a/stdcells/hdl/oh_mx4.v b/stdcells/hdl/oh_mx4.v deleted file mode 100644 index bdebeee..0000000 --- a/stdcells/hdl/oh_mx4.v +++ /dev/null @@ -1,24 +0,0 @@ -//############################################################################# -//# Function: 4-Input Mux # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_mx4 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] d0, - input [DW-1:0] d1, - input [DW-1:0] d2, - input [DW-1:0] d3, - input [DW-1:0] s0, - input [DW-1:0] s1, - output [DW-1:0] z - ); - - assign z = (d0 & ~s1 & ~s0) | - (d1 & ~s1 & s0) | - (d2 & s1 & ~s0) | - (d2 & s1 & s0); - -endmodule diff --git a/stdcells/hdl/oh_mxi2.v b/stdcells/hdl/oh_mxi2.v deleted file mode 100644 index 651764d..0000000 --- a/stdcells/hdl/oh_mxi2.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: 2-Input Inverting Mux # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_mxi2 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] d0, - input [DW-1:0] d1, - input [DW-1:0] s, - output [DW-1:0] z - ); - - assign z = ~((d0 & ~s) | (d1 & s)); - -endmodule diff --git a/stdcells/hdl/oh_mxi3.v b/stdcells/hdl/oh_mxi3.v deleted file mode 100644 index 76c6254..0000000 --- a/stdcells/hdl/oh_mxi3.v +++ /dev/null @@ -1,22 +0,0 @@ -//############################################################################# -//# Function: 3-Input Inverting Mux # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_mxi3 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] d0, - input [DW-1:0] d1, - input [DW-1:0] d2, - input [DW-1:0] s0, - input [DW-1:0] s1, - output [DW-1:0] z - ); - - assign z = ~((d0 & ~s0 & ~s1) | - (d1 & s0 & ~s1) | - (d2 & s1)); - -endmodule diff --git a/stdcells/hdl/oh_mxi4.v b/stdcells/hdl/oh_mxi4.v deleted file mode 100644 index 75afe7d..0000000 --- a/stdcells/hdl/oh_mxi4.v +++ /dev/null @@ -1,24 +0,0 @@ -//############################################################################# -//# Function: 4-Input Inverting Mux # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_mxi4 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] d0, - input [DW-1:0] d1, - input [DW-1:0] d2, - input [DW-1:0] d3, - input [DW-1:0] s0, - input [DW-1:0] s1, - output [DW-1:0] z - ); - - assign z = ~((d0 & ~s1 & ~s0) | - (d1 & ~s1 & s0) | - (d2 & s1 & ~s0) | - (d2 & s1 & s0)); - -endmodule diff --git a/stdcells/hdl/oh_nand3.v b/stdcells/hdl/oh_nand3.v deleted file mode 100644 index ad12a1b..0000000 --- a/stdcells/hdl/oh_nand3.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: 3 Input Nand Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_nand3 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - output [DW-1:0] z - ); - - assign z = ~(a & b & c); - -endmodule diff --git a/stdcells/hdl/oh_nand4.v b/stdcells/hdl/oh_nand4.v deleted file mode 100644 index ed358ba..0000000 --- a/stdcells/hdl/oh_nand4.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: 4 Input Nand Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_nand4 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - input [DW-1:0] d, - output [DW-1:0] z - ); - - assign z = ~(a & b & c & d); - -endmodule diff --git a/stdcells/hdl/oh_nor2.v b/stdcells/hdl/oh_nor2.v deleted file mode 100644 index 40e016f..0000000 --- a/stdcells/hdl/oh_nor2.v +++ /dev/null @@ -1,16 +0,0 @@ -//############################################################################# -//# Function: 2 Input Nor Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_nor2 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - output [DW-1:0] z - ); - - assign z = ~(a | b); - -endmodule diff --git a/stdcells/hdl/oh_nor3.v b/stdcells/hdl/oh_nor3.v deleted file mode 100644 index 7594c13..0000000 --- a/stdcells/hdl/oh_nor3.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: 3 Input Nor Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_nor3 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - output [DW-1:0] z - ); - - assign z = ~(a | b | c); - -endmodule diff --git a/stdcells/hdl/oh_nor4.v b/stdcells/hdl/oh_nor4.v deleted file mode 100644 index aade513..0000000 --- a/stdcells/hdl/oh_nor4.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: 4 Input Nor Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_nor4 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - input [DW-1:0] d, - output [DW-1:0] z - ); - - assign z = ~(a | b | c | d); - -endmodule diff --git a/stdcells/hdl/oh_oa21.v b/stdcells/hdl/oh_oa21.v deleted file mode 100644 index 62ddb7d..0000000 --- a/stdcells/hdl/oh_oa21.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa21) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa21 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - output [DW-1:0] z - ); - - assign z = (a0 | a1) & b0; - -endmodule diff --git a/stdcells/hdl/oh_oa211.v b/stdcells/hdl/oh_oa211.v deleted file mode 100644 index 13d54bc..0000000 --- a/stdcells/hdl/oh_oa211.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa211) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa211 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = (a0 | a1) & b0 & c0; - -endmodule diff --git a/stdcells/hdl/oh_oa22.v b/stdcells/hdl/oh_oa22.v deleted file mode 100644 index 500ea79..0000000 --- a/stdcells/hdl/oh_oa22.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa22) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa22 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - output [DW-1:0] z - ); - - assign z = (a0 | a1) & (b0 | b1); - -endmodule diff --git a/stdcells/hdl/oh_oa221.v b/stdcells/hdl/oh_oa221.v deleted file mode 100644 index 063663c..0000000 --- a/stdcells/hdl/oh_oa221.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa221) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa221 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = (a0 | a1) & (b0 | b1) & (c0); - -endmodule diff --git a/stdcells/hdl/oh_oa222.v b/stdcells/hdl/oh_oa222.v deleted file mode 100644 index 544350a..0000000 --- a/stdcells/hdl/oh_oa222.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa222) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa222 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] c0, - input [DW-1:0] c1, - output [DW-1:0] z - ); - - assign z = (a0 | a1) & (b0 | b1) & (c0 | c1); - -endmodule diff --git a/stdcells/hdl/oh_oa31.v b/stdcells/hdl/oh_oa31.v deleted file mode 100644 index 6a4b31f..0000000 --- a/stdcells/hdl/oh_oa31.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa31) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa31 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - output [DW-1:0] z - ); - - assign z = (a0 | a1 | a2) & b0; - -endmodule diff --git a/stdcells/hdl/oh_oa311.v b/stdcells/hdl/oh_oa311.v deleted file mode 100644 index 8a5d539..0000000 --- a/stdcells/hdl/oh_oa311.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa311) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa311 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = (a0 | a1 | a2) & b0 & c0; - -endmodule diff --git a/stdcells/hdl/oh_oa32.v b/stdcells/hdl/oh_oa32.v deleted file mode 100644 index 68487fe..0000000 --- a/stdcells/hdl/oh_oa32.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa32) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa32 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] b1, - output [DW-1:0] z - ); - - assign z = (a0 | a1 | a2) & (b0 | b1); - -endmodule diff --git a/stdcells/hdl/oh_oa33.v b/stdcells/hdl/oh_oa33.v deleted file mode 100644 index 894549b..0000000 --- a/stdcells/hdl/oh_oa33.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: Or-And (oa33) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oa33 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] b2, - output [DW-1:0] z - ); - - assign z = (a0 | a1 | a2) & (b0 | b1 | b2); - -endmodule diff --git a/stdcells/hdl/oh_oai21.v b/stdcells/hdl/oh_oai21.v deleted file mode 100644 index 617adff..0000000 --- a/stdcells/hdl/oh_oai21.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: Or-And-Inverter (oai21) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oai21 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - output [DW-1:0] z - ); - - assign z = ~((a0 | a1) & b0); - -endmodule diff --git a/stdcells/hdl/oh_oai22.v b/stdcells/hdl/oh_oai22.v deleted file mode 100644 index 7cee37a..0000000 --- a/stdcells/hdl/oh_oai22.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: Or-And-Inverter (oai22) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oai22 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - output [DW-1:0] z - ); - - assign z = ~((a0 | a1) & (b0 | b1)); - -endmodule diff --git a/stdcells/hdl/oh_oai221.v b/stdcells/hdl/oh_oai221.v deleted file mode 100644 index e51651f..0000000 --- a/stdcells/hdl/oh_oai221.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: Or-And-Inverter (oai221) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oai221 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = ~((a0 | a1) & (b0 | b1) & (c0)); - -endmodule diff --git a/stdcells/hdl/oh_oai222.v b/stdcells/hdl/oh_oai222.v deleted file mode 100644 index b715f70..0000000 --- a/stdcells/hdl/oh_oai222.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: Or-And-Inverter (oai222) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oai222 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] c0, - input [DW-1:0] c1, - output [DW-1:0] z - ); - - assign z = ~((a0 | a1) & (b0 | b1) & (c0 | c1)); - -endmodule diff --git a/stdcells/hdl/oh_oai31.v b/stdcells/hdl/oh_oai31.v deleted file mode 100644 index ac0111c..0000000 --- a/stdcells/hdl/oh_oai31.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: Or-And-Inverter (oai31) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oai31 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - output [DW-1:0] z - ); - - assign z = ~((a0 | a1 | a2) & b0); - -endmodule diff --git a/stdcells/hdl/oh_oai311.v b/stdcells/hdl/oh_oai311.v deleted file mode 100644 index 3b1b9fd..0000000 --- a/stdcells/hdl/oh_oai311.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: Or-And-Inverter (oai311) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oai311 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] c0, - output [DW-1:0] z - ); - - assign z = ~((a0 | a1 | a2) & b0 & c0); - -endmodule diff --git a/stdcells/hdl/oh_oai32.v b/stdcells/hdl/oh_oai32.v deleted file mode 100644 index 1d49d00..0000000 --- a/stdcells/hdl/oh_oai32.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: Or-And-Inverter (oai32) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oai32 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] b1, - output [DW-1:0] z - ); - - assign z = ~((a0 | a1 | a2) & (b0 | b1)); - -endmodule diff --git a/stdcells/hdl/oh_oai33.v b/stdcells/hdl/oh_oai33.v deleted file mode 100644 index b9cf33d..0000000 --- a/stdcells/hdl/oh_oai33.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: Or-And-Inverter (oai33) Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_oai33 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a0, - input [DW-1:0] a1, - input [DW-1:0] a2, - input [DW-1:0] b0, - input [DW-1:0] b1, - input [DW-1:0] b2, - output [DW-1:0] z - ); - - assign z = ~((a0 | a1 | a2) & (b0 | b1 | b2)); - -endmodule diff --git a/stdcells/hdl/oh_or2.v b/stdcells/hdl/oh_or2.v deleted file mode 100644 index 0747e29..0000000 --- a/stdcells/hdl/oh_or2.v +++ /dev/null @@ -1,16 +0,0 @@ -//############################################################################# -//# Function: 2 Input Or Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_or2 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - output [DW-1:0] z - ); - - assign z = ( a | b); - -endmodule diff --git a/stdcells/hdl/oh_or3.v b/stdcells/hdl/oh_or3.v deleted file mode 100644 index 16a8a3a..0000000 --- a/stdcells/hdl/oh_or3.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: 3 Input Or Gate # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_or3 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - output [DW-1:0] z - ); - - assign z = a | b | c ; - -endmodule diff --git a/stdcells/hdl/oh_or4.v b/stdcells/hdl/oh_or4.v deleted file mode 100644 index 868e21e..0000000 --- a/stdcells/hdl/oh_or4.v +++ /dev/null @@ -1,19 +0,0 @@ -//############################################################################# -//# Function: 4 Input Or Gate # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_or4 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - input [DW-1:0] d, - output [DW-1:0] z - ); - - assign z = a | b | c | d; - -endmodule diff --git a/stdcells/hdl/oh_sdffq.v b/stdcells/hdl/oh_sdffq.v deleted file mode 100644 index dc791d6..0000000 --- a/stdcells/hdl/oh_sdffq.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static D-type flop-flop with scan input # -//# # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_sdffq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] si, - input [DW-1:0] se, - input [DW-1:0] clk, - output reg [DW-1:0] q - ); - - always @ (posedge clk) - q <= se ? si : d; - -endmodule diff --git a/stdcells/hdl/oh_sdffqn.v b/stdcells/hdl/oh_sdffqn.v deleted file mode 100644 index 1b7c14f..0000000 --- a/stdcells/hdl/oh_sdffqn.v +++ /dev/null @@ -1,20 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered inverting static D-type flop-flop # -//# with scan input. # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_sdffqn #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] si, - input [DW-1:0] se, - input [DW-1:0] clk, - output reg [DW-1:0] qn - ); - - always @ (posedge clk) - qn <= se ? ~si : ~d; - -endmodule diff --git a/stdcells/hdl/oh_sdffrq.v b/stdcells/hdl/oh_sdffrq.v deleted file mode 100644 index e3a679b..0000000 --- a/stdcells/hdl/oh_sdffrq.v +++ /dev/null @@ -1,25 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static D-type flop-flop with async # -//# active low reset and scan input # -//# # -//# Copyright: OH Project Authors. All rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_sdffrq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] si, - input [DW-1:0] se, - input [DW-1:0] clk, - input [DW-1:0] nreset, - output reg [DW-1:0] q - ); - - always @ (posedge clk or negedge nreset) - if(!nreset) - q <= 'b0; - else - q <= se ? si : d; - -endmodule diff --git a/stdcells/hdl/oh_sdffrqn.v b/stdcells/hdl/oh_sdffrqn.v deleted file mode 100644 index a5adf08..0000000 --- a/stdcells/hdl/oh_sdffrqn.v +++ /dev/null @@ -1,24 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static inverting D-type flop-flop with # -// async active low reset and scan input # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_sdffrqn #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] si, - input [DW-1:0] se, - input [DW-1:0] clk, - input [DW-1:0] nreset, - output reg [DW-1:0] qn - ); - - always @ (posedge clk or negedge nreset) - if(!nreset) - qn <= {DW{1'b1}}; - else - qn <= se ? ~si : ~d; - -endmodule diff --git a/stdcells/hdl/oh_sdffsq.v b/stdcells/hdl/oh_sdffsq.v deleted file mode 100644 index 6e91e85..0000000 --- a/stdcells/hdl/oh_sdffsq.v +++ /dev/null @@ -1,24 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static D-type flop-flop with async # -//# active low preset and scan input. # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_sdffsq #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] si, - input [DW-1:0] se, - input [DW-1:0] clk, - input [DW-1:0] nset, - output reg [DW-1:0] q - ); - - always @ (posedge clk or negedge nset) - if(!nset) - q <= {DW{1'b1}}; - else - q <= se ? si : d; - -endmodule diff --git a/stdcells/hdl/oh_sdffsqn.v b/stdcells/hdl/oh_sdffsqn.v deleted file mode 100644 index e14619b..0000000 --- a/stdcells/hdl/oh_sdffsqn.v +++ /dev/null @@ -1,24 +0,0 @@ -//############################################################################# -//# Function: Positive edge-triggered static inverting D-type flop-flop with # -// async active low set and scan input # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_sdffsqn #(parameter DW = 1) // array width - ( - input [DW-1:0] d, - input [DW-1:0] si, - input [DW-1:0] se, - input [DW-1:0] clk, - input [DW-1:0] nset, - output reg [DW-1:0] qn - ); - - always @ (posedge clk or negedge nset) - if(!nset) - qn <= 'b0; - else - qn <= se ? ~si : ~d; - -endmodule diff --git a/stdcells/hdl/oh_xnor2.v b/stdcells/hdl/oh_xnor2.v deleted file mode 100644 index 44a953a..0000000 --- a/stdcells/hdl/oh_xnor2.v +++ /dev/null @@ -1,16 +0,0 @@ -//############################################################################# -//# Function: 2-Input Exclusive-NOr Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_xnor2 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - output [DW-1:0] z - ); - - assign z = ~(a ^ b); - -endmodule diff --git a/stdcells/hdl/oh_xnor3.v b/stdcells/hdl/oh_xnor3.v deleted file mode 100644 index 7811fd7..0000000 --- a/stdcells/hdl/oh_xnor3.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: 3-Input Exclusive-NOr Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_xnor3 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - output [DW-1:0] z - ); - - assign z = ~(a ^ b ^ c); - -endmodule diff --git a/stdcells/hdl/oh_xnor4.v b/stdcells/hdl/oh_xnor4.v deleted file mode 100644 index 7f70ab3..0000000 --- a/stdcells/hdl/oh_xnor4.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: 4-Input Exclusive-NOr Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_xnor4 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - input [DW-1:0] d, - output [DW-1:0] z - ); - - assign z = ~(a ^ b ^ c ^ d); - -endmodule diff --git a/stdcells/hdl/oh_xor2.v b/stdcells/hdl/oh_xor2.v deleted file mode 100644 index 4115437..0000000 --- a/stdcells/hdl/oh_xor2.v +++ /dev/null @@ -1,16 +0,0 @@ -//############################################################################# -//# Function: 2-Input Exclusive-Or Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_xor2 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - output [DW-1:0] z - ); - - assign z = a ^ b; - -endmodule diff --git a/stdcells/hdl/oh_xor3.v b/stdcells/hdl/oh_xor3.v deleted file mode 100644 index 8666d31..0000000 --- a/stdcells/hdl/oh_xor3.v +++ /dev/null @@ -1,17 +0,0 @@ -//############################################################################# -//# Function: 3-Input Exclusive-Or Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_xor3 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - output [DW-1:0] z - ); - - assign z = a ^ b ^ c; - -endmodule diff --git a/stdcells/hdl/oh_xor4.v b/stdcells/hdl/oh_xor4.v deleted file mode 100644 index 62d4fc2..0000000 --- a/stdcells/hdl/oh_xor4.v +++ /dev/null @@ -1,18 +0,0 @@ -//############################################################################# -//# Function: 4-Input Exclusive-Or Gate # -//# Copyright: OH Project Authors. ALl rights Reserved. # -//# License: MIT (see LICENSE file in OH repository) # -//############################################################################# - -module oh_xor4 #(parameter DW = 1 ) // array width - ( - input [DW-1:0] a, - input [DW-1:0] b, - input [DW-1:0] c, - input [DW-1:0] d, - output [DW-1:0] z - ); - - assign z = a ^ b ^ c ^ d; - -endmodule diff --git a/common/README.md b/stdlib/README.md similarity index 100% rename from common/README.md rename to stdlib/README.md diff --git a/common/dv/README.md b/stdlib/dv/README.md similarity index 100% rename from common/dv/README.md rename to stdlib/dv/README.md diff --git a/common/dv/cfg_random.v b/stdlib/dv/cfg_random.v similarity index 100% rename from common/dv/cfg_random.v rename to stdlib/dv/cfg_random.v diff --git a/common/dv/dut_clockdiv.v b/stdlib/dv/dut_clockdiv.v similarity index 100% rename from common/dv/dut_clockdiv.v rename to stdlib/dv/dut_clockdiv.v diff --git a/common/dv/dut_debouncer.v b/stdlib/dv/dut_debouncer.v similarity index 100% rename from common/dv/dut_debouncer.v rename to stdlib/dv/dut_debouncer.v diff --git a/common/dv/dut_fifo_generic.v b/stdlib/dv/dut_fifo_generic.v similarity index 100% rename from common/dv/dut_fifo_generic.v rename to stdlib/dv/dut_fifo_generic.v diff --git a/common/dv/dut_gray.v b/stdlib/dv/dut_gray.v similarity index 100% rename from common/dv/dut_gray.v rename to stdlib/dv/dut_gray.v diff --git a/common/dv/dut_template.v b/stdlib/dv/dut_template.v similarity index 100% rename from common/dv/dut_template.v rename to stdlib/dv/dut_template.v diff --git a/common/dv/dv_driver.v b/stdlib/dv/dv_driver.v similarity index 100% rename from common/dv/dv_driver.v rename to stdlib/dv/dv_driver.v diff --git a/common/dv/dv_random.v b/stdlib/dv/dv_random.v similarity index 100% rename from common/dv/dv_random.v rename to stdlib/dv/dv_random.v diff --git a/common/dv/dv_stimulus.v b/stdlib/dv/dv_stimulus.v similarity index 100% rename from common/dv/dv_stimulus.v rename to stdlib/dv/dv_stimulus.v diff --git a/common/dv/dv_top.v b/stdlib/dv/dv_top.v similarity index 100% rename from common/dv/dv_top.v rename to stdlib/dv/dv_top.v diff --git a/common/dv/firmware_example.hex b/stdlib/dv/firmware_example.hex similarity index 100% rename from common/dv/firmware_example.hex rename to stdlib/dv/firmware_example.hex diff --git a/common/dv/libs.cmd b/stdlib/dv/libs.cmd similarity index 100% rename from common/dv/libs.cmd rename to stdlib/dv/libs.cmd diff --git a/common/dv/oh.gtkw b/stdlib/dv/oh.gtkw similarity index 100% rename from common/dv/oh.gtkw rename to stdlib/dv/oh.gtkw diff --git a/common/dv/oh_simchecker.v b/stdlib/dv/oh_simchecker.v similarity index 100% rename from common/dv/oh_simchecker.v rename to stdlib/dv/oh_simchecker.v diff --git a/common/dv/oh_simctrl.v b/stdlib/dv/oh_simctrl.v similarity index 100% rename from common/dv/oh_simctrl.v rename to stdlib/dv/oh_simctrl.v diff --git a/common/dv/run.sh b/stdlib/dv/run.sh similarity index 100% rename from common/dv/run.sh rename to stdlib/dv/run.sh diff --git a/common/dv/stimulus.v b/stdlib/dv/stimulus.v similarity index 100% rename from common/dv/stimulus.v rename to stdlib/dv/stimulus.v diff --git a/common/dv/tests/test_clkdiv.emf b/stdlib/dv/tests/test_clkdiv.emf similarity index 100% rename from common/dv/tests/test_clkdiv.emf rename to stdlib/dv/tests/test_clkdiv.emf diff --git a/common/dv/tests/test_debounce.emf b/stdlib/dv/tests/test_debounce.emf similarity index 100% rename from common/dv/tests/test_debounce.emf rename to stdlib/dv/tests/test_debounce.emf diff --git a/common/dv/tests/test_fifo.emf b/stdlib/dv/tests/test_fifo.emf similarity index 100% rename from common/dv/tests/test_fifo.emf rename to stdlib/dv/tests/test_fifo.emf diff --git a/common/dv/tests/test_gray.emf b/stdlib/dv/tests/test_gray.emf similarity index 100% rename from common/dv/tests/test_gray.emf rename to stdlib/dv/tests/test_gray.emf diff --git a/common/dv/timescale.v b/stdlib/dv/timescale.v similarity index 100% rename from common/dv/timescale.v rename to stdlib/dv/timescale.v diff --git a/common/firmware/v2c.sh b/stdlib/firmware/v2c.sh similarity index 100% rename from common/firmware/v2c.sh rename to stdlib/firmware/v2c.sh diff --git a/common/fpga/create_ip.tcl b/stdlib/fpga/create_ip.tcl similarity index 100% rename from common/fpga/create_ip.tcl rename to stdlib/fpga/create_ip.tcl diff --git a/common/fpga/system_build.tcl b/stdlib/fpga/system_build.tcl similarity index 100% rename from common/fpga/system_build.tcl rename to stdlib/fpga/system_build.tcl diff --git a/common/fpga/system_init.tcl b/stdlib/fpga/system_init.tcl similarity index 100% rename from common/fpga/system_init.tcl rename to stdlib/fpga/system_init.tcl diff --git a/common/hdl/oh_7seg_decode.v b/stdlib/hdl/oh_7seg_decode.v similarity index 100% rename from common/hdl/oh_7seg_decode.v rename to stdlib/hdl/oh_7seg_decode.v diff --git a/common/hdl/oh_abs.v b/stdlib/hdl/oh_abs.v similarity index 100% rename from common/hdl/oh_abs.v rename to stdlib/hdl/oh_abs.v diff --git a/common/hdl/oh_add.v b/stdlib/hdl/oh_add.v similarity index 100% rename from common/hdl/oh_add.v rename to stdlib/hdl/oh_add.v diff --git a/common/hdl/oh_arbiter.v b/stdlib/hdl/oh_arbiter.v similarity index 100% rename from common/hdl/oh_arbiter.v rename to stdlib/hdl/oh_arbiter.v diff --git a/common/hdl/oh_bin2gray.v b/stdlib/hdl/oh_bin2gray.v similarity index 100% rename from common/hdl/oh_bin2gray.v rename to stdlib/hdl/oh_bin2gray.v diff --git a/common/hdl/oh_bin2onehot.v b/stdlib/hdl/oh_bin2onehot.v similarity index 100% rename from common/hdl/oh_bin2onehot.v rename to stdlib/hdl/oh_bin2onehot.v diff --git a/common/hdl/oh_bitreverse.v b/stdlib/hdl/oh_bitreverse.v similarity index 100% rename from common/hdl/oh_bitreverse.v rename to stdlib/hdl/oh_bitreverse.v diff --git a/common/hdl/oh_buffer.v b/stdlib/hdl/oh_buffer.v similarity index 100% rename from common/hdl/oh_buffer.v rename to stdlib/hdl/oh_buffer.v diff --git a/common/hdl/oh_clockdiv.v b/stdlib/hdl/oh_clockdiv.v similarity index 100% rename from common/hdl/oh_clockdiv.v rename to stdlib/hdl/oh_clockdiv.v diff --git a/common/hdl/oh_clockgate.v b/stdlib/hdl/oh_clockgate.v similarity index 100% rename from common/hdl/oh_clockgate.v rename to stdlib/hdl/oh_clockgate.v diff --git a/common/hdl/oh_clockmux.v b/stdlib/hdl/oh_clockmux.v similarity index 100% rename from common/hdl/oh_clockmux.v rename to stdlib/hdl/oh_clockmux.v diff --git a/common/hdl/oh_clockmux2.v b/stdlib/hdl/oh_clockmux2.v similarity index 100% rename from common/hdl/oh_clockmux2.v rename to stdlib/hdl/oh_clockmux2.v diff --git a/common/hdl/oh_clockmux4.v b/stdlib/hdl/oh_clockmux4.v similarity index 100% rename from common/hdl/oh_clockmux4.v rename to stdlib/hdl/oh_clockmux4.v diff --git a/common/hdl/oh_clockor.v b/stdlib/hdl/oh_clockor.v similarity index 100% rename from common/hdl/oh_clockor.v rename to stdlib/hdl/oh_clockor.v diff --git a/common/hdl/oh_counter.v b/stdlib/hdl/oh_counter.v similarity index 100% rename from common/hdl/oh_counter.v rename to stdlib/hdl/oh_counter.v diff --git a/common/hdl/oh_csa32.v b/stdlib/hdl/oh_csa32.v similarity index 100% rename from common/hdl/oh_csa32.v rename to stdlib/hdl/oh_csa32.v diff --git a/common/hdl/oh_csa42.v b/stdlib/hdl/oh_csa42.v similarity index 100% rename from common/hdl/oh_csa42.v rename to stdlib/hdl/oh_csa42.v diff --git a/common/hdl/oh_csa62.v b/stdlib/hdl/oh_csa62.v similarity index 100% rename from common/hdl/oh_csa62.v rename to stdlib/hdl/oh_csa62.v diff --git a/common/hdl/oh_csa92.v b/stdlib/hdl/oh_csa92.v similarity index 100% rename from common/hdl/oh_csa92.v rename to stdlib/hdl/oh_csa92.v diff --git a/common/hdl/oh_datagate.v b/stdlib/hdl/oh_datagate.v similarity index 100% rename from common/hdl/oh_datagate.v rename to stdlib/hdl/oh_datagate.v diff --git a/common/hdl/oh_debouncer.v b/stdlib/hdl/oh_debouncer.v similarity index 100% rename from common/hdl/oh_debouncer.v rename to stdlib/hdl/oh_debouncer.v diff --git a/common/hdl/oh_delay.v b/stdlib/hdl/oh_delay.v similarity index 100% rename from common/hdl/oh_delay.v rename to stdlib/hdl/oh_delay.v diff --git a/common/hdl/oh_dsync.v b/stdlib/hdl/oh_dsync.v similarity index 100% rename from common/hdl/oh_dsync.v rename to stdlib/hdl/oh_dsync.v diff --git a/common/hdl/oh_edge2pulse.v b/stdlib/hdl/oh_edge2pulse.v similarity index 100% rename from common/hdl/oh_edge2pulse.v rename to stdlib/hdl/oh_edge2pulse.v diff --git a/common/hdl/oh_edgealign.v b/stdlib/hdl/oh_edgealign.v similarity index 100% rename from common/hdl/oh_edgealign.v rename to stdlib/hdl/oh_edgealign.v diff --git a/common/hdl/oh_fall2pulse.v b/stdlib/hdl/oh_fall2pulse.v similarity index 100% rename from common/hdl/oh_fall2pulse.v rename to stdlib/hdl/oh_fall2pulse.v diff --git a/common/hdl/oh_fifo_async.v b/stdlib/hdl/oh_fifo_async.v similarity index 100% rename from common/hdl/oh_fifo_async.v rename to stdlib/hdl/oh_fifo_async.v diff --git a/common/hdl/oh_fifo_cdc.v b/stdlib/hdl/oh_fifo_cdc.v similarity index 100% rename from common/hdl/oh_fifo_cdc.v rename to stdlib/hdl/oh_fifo_cdc.v diff --git a/common/hdl/oh_fifo_sync.v b/stdlib/hdl/oh_fifo_sync.v similarity index 100% rename from common/hdl/oh_fifo_sync.v rename to stdlib/hdl/oh_fifo_sync.v diff --git a/common/hdl/oh_gray2bin.v b/stdlib/hdl/oh_gray2bin.v similarity index 100% rename from common/hdl/oh_gray2bin.v rename to stdlib/hdl/oh_gray2bin.v diff --git a/common/hdl/oh_header.v b/stdlib/hdl/oh_header.v similarity index 100% rename from common/hdl/oh_header.v rename to stdlib/hdl/oh_header.v diff --git a/common/hdl/oh_iddr.v b/stdlib/hdl/oh_iddr.v similarity index 100% rename from common/hdl/oh_iddr.v rename to stdlib/hdl/oh_iddr.v diff --git a/common/hdl/oh_isobufhi.v b/stdlib/hdl/oh_isobufhi.v similarity index 100% rename from common/hdl/oh_isobufhi.v rename to stdlib/hdl/oh_isobufhi.v diff --git a/common/hdl/oh_isobuflo.v b/stdlib/hdl/oh_isobuflo.v similarity index 100% rename from common/hdl/oh_isobuflo.v rename to stdlib/hdl/oh_isobuflo.v diff --git a/common/hdl/oh_lat0.v b/stdlib/hdl/oh_lat0.v similarity index 100% rename from common/hdl/oh_lat0.v rename to stdlib/hdl/oh_lat0.v diff --git a/common/hdl/oh_lat1.v b/stdlib/hdl/oh_lat1.v similarity index 100% rename from common/hdl/oh_lat1.v rename to stdlib/hdl/oh_lat1.v diff --git a/common/hdl/oh_memory_dp.v b/stdlib/hdl/oh_memory_dp.v similarity index 100% rename from common/hdl/oh_memory_dp.v rename to stdlib/hdl/oh_memory_dp.v diff --git a/common/hdl/oh_memory_sp.v b/stdlib/hdl/oh_memory_sp.v similarity index 100% rename from common/hdl/oh_memory_sp.v rename to stdlib/hdl/oh_memory_sp.v diff --git a/common/hdl/oh_mult.v b/stdlib/hdl/oh_mult.v similarity index 98% rename from common/hdl/oh_mult.v rename to stdlib/hdl/oh_mult.v index 5dec3f6..cc58b75 100644 --- a/common/hdl/oh_mult.v +++ b/stdlib/hdl/oh_mult.v @@ -5,6 +5,8 @@ //# License: MIT (see LICENSE file in OH! repository) # //############################################################################# +defparam oh_mult.N = 16; + module oh_mult #(parameter N = 32, // block width parameter SYN = "TRUE", // synthesizable diff --git a/common/hdl/oh_mux.v b/stdlib/hdl/oh_mux.v similarity index 100% rename from common/hdl/oh_mux.v rename to stdlib/hdl/oh_mux.v diff --git a/common/hdl/oh_mux12.v b/stdlib/hdl/oh_mux12.v similarity index 100% rename from common/hdl/oh_mux12.v rename to stdlib/hdl/oh_mux12.v diff --git a/common/hdl/oh_mux2.v b/stdlib/hdl/oh_mux2.v similarity index 100% rename from common/hdl/oh_mux2.v rename to stdlib/hdl/oh_mux2.v diff --git a/common/hdl/oh_mux3.v b/stdlib/hdl/oh_mux3.v similarity index 100% rename from common/hdl/oh_mux3.v rename to stdlib/hdl/oh_mux3.v diff --git a/common/hdl/oh_mux4.v b/stdlib/hdl/oh_mux4.v similarity index 100% rename from common/hdl/oh_mux4.v rename to stdlib/hdl/oh_mux4.v diff --git a/common/hdl/oh_mux5.v b/stdlib/hdl/oh_mux5.v similarity index 100% rename from common/hdl/oh_mux5.v rename to stdlib/hdl/oh_mux5.v diff --git a/common/hdl/oh_mux6.v b/stdlib/hdl/oh_mux6.v similarity index 100% rename from common/hdl/oh_mux6.v rename to stdlib/hdl/oh_mux6.v diff --git a/common/hdl/oh_mux7.v b/stdlib/hdl/oh_mux7.v similarity index 100% rename from common/hdl/oh_mux7.v rename to stdlib/hdl/oh_mux7.v diff --git a/common/hdl/oh_mux8.v b/stdlib/hdl/oh_mux8.v similarity index 100% rename from common/hdl/oh_mux8.v rename to stdlib/hdl/oh_mux8.v diff --git a/common/hdl/oh_mux9.v b/stdlib/hdl/oh_mux9.v similarity index 100% rename from common/hdl/oh_mux9.v rename to stdlib/hdl/oh_mux9.v diff --git a/common/hdl/oh_oddr.v b/stdlib/hdl/oh_oddr.v similarity index 100% rename from common/hdl/oh_oddr.v rename to stdlib/hdl/oh_oddr.v diff --git a/common/hdl/oh_par2ser.v b/stdlib/hdl/oh_par2ser.v similarity index 100% rename from common/hdl/oh_par2ser.v rename to stdlib/hdl/oh_par2ser.v diff --git a/common/hdl/oh_parity.v b/stdlib/hdl/oh_parity.v similarity index 100% rename from common/hdl/oh_parity.v rename to stdlib/hdl/oh_parity.v diff --git a/common/hdl/oh_pll.v b/stdlib/hdl/oh_pll.v similarity index 100% rename from common/hdl/oh_pll.v rename to stdlib/hdl/oh_pll.v diff --git a/common/hdl/oh_pulse2pulse.v b/stdlib/hdl/oh_pulse2pulse.v similarity index 100% rename from common/hdl/oh_pulse2pulse.v rename to stdlib/hdl/oh_pulse2pulse.v diff --git a/common/hdl/oh_pwr_buf.v b/stdlib/hdl/oh_pwr_buf.v similarity index 100% rename from common/hdl/oh_pwr_buf.v rename to stdlib/hdl/oh_pwr_buf.v diff --git a/common/hdl/oh_reg0.v b/stdlib/hdl/oh_reg0.v similarity index 100% rename from common/hdl/oh_reg0.v rename to stdlib/hdl/oh_reg0.v diff --git a/common/hdl/oh_reg1.v b/stdlib/hdl/oh_reg1.v similarity index 100% rename from common/hdl/oh_reg1.v rename to stdlib/hdl/oh_reg1.v diff --git a/common/hdl/oh_regfile.v b/stdlib/hdl/oh_regfile.v similarity index 100% rename from common/hdl/oh_regfile.v rename to stdlib/hdl/oh_regfile.v diff --git a/common/hdl/oh_rise2pulse.v b/stdlib/hdl/oh_rise2pulse.v similarity index 100% rename from common/hdl/oh_rise2pulse.v rename to stdlib/hdl/oh_rise2pulse.v diff --git a/common/hdl/oh_rsync.v b/stdlib/hdl/oh_rsync.v similarity index 100% rename from common/hdl/oh_rsync.v rename to stdlib/hdl/oh_rsync.v diff --git a/common/hdl/oh_ser2par.v b/stdlib/hdl/oh_ser2par.v similarity index 100% rename from common/hdl/oh_ser2par.v rename to stdlib/hdl/oh_ser2par.v diff --git a/common/hdl/oh_shift.v b/stdlib/hdl/oh_shift.v similarity index 100% rename from common/hdl/oh_shift.v rename to stdlib/hdl/oh_shift.v diff --git a/common/hdl/oh_standby.v b/stdlib/hdl/oh_standby.v similarity index 100% rename from common/hdl/oh_standby.v rename to stdlib/hdl/oh_standby.v diff --git a/common/hdl/oh_stretcher.v b/stdlib/hdl/oh_stretcher.v similarity index 100% rename from common/hdl/oh_stretcher.v rename to stdlib/hdl/oh_stretcher.v diff --git a/common/hdl/oh_tristate.v b/stdlib/hdl/oh_tristate.v similarity index 100% rename from common/hdl/oh_tristate.v rename to stdlib/hdl/oh_tristate.v