mirror of
https://github.com/aolofsson/oh.git
synced 2025-01-17 20:02:53 +08:00
Bulk edits (clean up later)
This commit is contained in:
parent
d15f67f470
commit
e47fd56a21
10
README.md
10
README.md
@ -1,21 +1,21 @@
|
||||
=======
|
||||
# OH!
|
||||
|
||||
An Open Hardware Model Library for Chip and FPGA Designers
|
||||
An Open Hardware Library for Chip and FPGA Designers
|
||||
|
||||
The library is written in vanilla Verilog. Pull requests accepted.
|
||||
This library is written in vanilla Verilog. Pull requests accepted.
|
||||
|
||||
| Spec | Status | Description |
|
||||
|---------------------|--------|---------------------------------------------|
|
||||
| [eaxi](eaxi) | | AXI network interface stuff |
|
||||
| [common](common) | | Common modules (synchronizer etc) |
|
||||
| [edma](edma) | | Basic DMA module |
|
||||
| [common](common) | | Common modules (synchronizer,clocks,etc) |
|
||||
| [edma](edma) | | DMA module |
|
||||
| [emesh](emesh) | | Epiphany emesh related circuits |
|
||||
| [elink](elink) | | Epiphany point to point LVDS link |
|
||||
| [emailbox](emailbox)| | Simple mailbox with interrupt output |
|
||||
| [emmu](emmu) | | Simple memory transaction translation unit |
|
||||
| [memory](memory) | | Various simple memory structures (RAM/FIFO) |
|
||||
| [rand](rand) | | Random number generators |
|
||||
| [scripts](scripts) | | Common scripts/utilities for FPGA design |
|
||||
| [xilibs](xilibs) | | Simulation modules for Xilinx primitives |
|
||||
|
||||
## LICENSE
|
||||
|
@ -1,21 +1,21 @@
|
||||
set oh_path "../../../.."
|
||||
# Set the reference directory for source file relative paths (by default the value is script directory path)
|
||||
set origin_dir "."
|
||||
#########################################
|
||||
# VARIABLES
|
||||
#########################################
|
||||
set design axi_elink
|
||||
|
||||
# Set the directory path for the original project from where this script was exported
|
||||
set orig_proj_dir "[file normalize "$origin_dir"]"
|
||||
# Set the reference directory for source file relative paths
|
||||
set oh_root "../../../.."
|
||||
|
||||
#########################################
|
||||
# FLOW
|
||||
#########################################
|
||||
|
||||
# Create project
|
||||
create_project axi_elink_v1_0 .
|
||||
|
||||
# Set the directory path for the new project
|
||||
set proj_dir [get_property directory [current_project]]
|
||||
create_project $design .
|
||||
|
||||
# Set project properties
|
||||
set obj [get_projects axi_elink_v1_0]
|
||||
set_property "default_lib" "xil_defaultlib" $obj
|
||||
set_property "part" "xc7z030sbg485-1" $obj
|
||||
set_property "simulator_language" "Mixed" $obj
|
||||
set_property "default_lib" "xil_defaultlib" [current_project]
|
||||
set_property "simulator_language" "Mixed" [current_project]]
|
||||
|
||||
# Create 'sources_1' fileset (if not found)
|
||||
if {[string equal [get_filesets -quiet sources_1] ""]} {
|
||||
@ -23,8 +23,7 @@ if {[string equal [get_filesets -quiet sources_1] ""]} {
|
||||
}
|
||||
|
||||
# Set IP repository paths
|
||||
set obj [get_filesets sources_1]
|
||||
set_property "ip_repo_paths" "[file normalize "$origin_dir"] [file normalize "$origin_dir"]" $obj
|
||||
set_property "ip_repo_paths" "[file normalize "$origin_dir"] [file normalize "$origin_dir"]" [get_filesets sources_1]
|
||||
|
||||
# Rebuild user ip_repo's index before adding any source files
|
||||
update_ip_catalog -rebuild
|
||||
|
@ -947,7 +947,7 @@
|
||||
<spirit:parameters>
|
||||
<spirit:parameter>
|
||||
<spirit:name>viewChecksum</spirit:name>
|
||||
<spirit:value>0f342c84</spirit:value>
|
||||
<spirit:value>85ab5268</spirit:value>
|
||||
</spirit:parameter>
|
||||
</spirit:parameters>
|
||||
</spirit:view>
|
||||
@ -965,7 +965,7 @@
|
||||
<spirit:parameters>
|
||||
<spirit:parameter>
|
||||
<spirit:name>viewChecksum</spirit:name>
|
||||
<spirit:value>0f342c84</spirit:value>
|
||||
<spirit:value>85ab5268</spirit:value>
|
||||
</spirit:parameter>
|
||||
</spirit:parameters>
|
||||
</spirit:view>
|
||||
@ -985,6 +985,32 @@
|
||||
</spirit:view>
|
||||
</spirit:views>
|
||||
<spirit:ports>
|
||||
<spirit:port>
|
||||
<spirit:name>timeout</spirit:name>
|
||||
<spirit:wire>
|
||||
<spirit:direction>out</spirit:direction>
|
||||
<spirit:wireTypeDefs>
|
||||
<spirit:wireTypeDef>
|
||||
<spirit:typeName>std_logic</spirit:typeName>
|
||||
<spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
|
||||
<spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
|
||||
</spirit:wireTypeDef>
|
||||
</spirit:wireTypeDefs>
|
||||
</spirit:wire>
|
||||
</spirit:port>
|
||||
<spirit:port>
|
||||
<spirit:name>elink_active</spirit:name>
|
||||
<spirit:wire>
|
||||
<spirit:direction>out</spirit:direction>
|
||||
<spirit:wireTypeDefs>
|
||||
<spirit:wireTypeDef>
|
||||
<spirit:typeName>std_logic</spirit:typeName>
|
||||
<spirit:viewNameRef>xilinx_anylanguagesynthesis</spirit:viewNameRef>
|
||||
<spirit:viewNameRef>xilinx_anylanguagebehavioralsimulation</spirit:viewNameRef>
|
||||
</spirit:wireTypeDef>
|
||||
</spirit:wireTypeDefs>
|
||||
</spirit:wire>
|
||||
</spirit:port>
|
||||
<spirit:port>
|
||||
<spirit:name>rxo_wr_wait_p</spirit:name>
|
||||
<spirit:wire>
|
||||
@ -2655,10 +2681,6 @@
|
||||
<spirit:fileSets>
|
||||
<spirit:fileSet>
|
||||
<spirit:name>xilinx_anylanguagesynthesis_view_fileset</spirit:name>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/xilibs/ip/fifo_async_104x32/fifo_async_104x32.xci</spirit:name>
|
||||
<spirit:userFileType>xci</spirit:userFileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/elink_constants.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
@ -2691,6 +2713,10 @@
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/emmu/hdl/emmu.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/emailbox/hdl/emailbox_regmap.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/memory/hdl/fifo_cdc.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
@ -2771,6 +2797,14 @@
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/erx_fifo.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/etx_clocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/erx_clocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/ecfg_elink.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
@ -2779,10 +2813,6 @@
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/etx.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/ereset.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/erx.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
@ -2803,26 +2833,10 @@
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/esaxi.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/eclocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/axi_elink.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/emailbox/hdl/emailbox_regmap.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/etx_clocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/erx_clocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>../../../../xilibs/ip/fifo_async_104x16/fifo_async_104x16.xci</spirit:name>
|
||||
<spirit:userFileType>xci</spirit:userFileType>
|
||||
@ -2852,10 +2866,6 @@
|
||||
</spirit:fileSet>
|
||||
<spirit:fileSet>
|
||||
<spirit:name>xilinx_anylanguagebehavioralsimulation_view_fileset</spirit:name>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/xilibs/ip/fifo_async_104x32/fifo_async_104x32.xci</spirit:name>
|
||||
<spirit:userFileType>xci</spirit:userFileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/elink_constants.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
@ -2888,6 +2898,10 @@
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/emmu/hdl/emmu.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/emailbox/hdl/emailbox_regmap.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/memory/hdl/fifo_cdc.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
@ -2968,6 +2982,14 @@
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/erx_fifo.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/etx_clocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/erx_clocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/ecfg_elink.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
@ -2976,10 +2998,6 @@
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/etx.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/ereset.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/erx.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
@ -3000,26 +3018,10 @@
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/esaxi.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/eclocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/axi_elink.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/emailbox/hdl/emailbox_regmap.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/etx_clocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>/home/aolofsson/Work_all/oh/elink/hdl/erx_clocks.v</spirit:name>
|
||||
<spirit:fileType>verilogSource</spirit:fileType>
|
||||
</spirit:file>
|
||||
<spirit:file>
|
||||
<spirit:name>../../../../xilibs/ip/fifo_async_104x16/fifo_async_104x16.xci</spirit:name>
|
||||
<spirit:userFileType>xci</spirit:userFileType>
|
||||
@ -3057,7 +3059,7 @@
|
||||
</spirit:file>
|
||||
</spirit:fileSet>
|
||||
</spirit:fileSets>
|
||||
<spirit:description>axi_elink_v1_0</spirit:description>
|
||||
<spirit:description>axi_elink_0</spirit:description>
|
||||
<spirit:parameters>
|
||||
<spirit:parameter>
|
||||
<spirit:name>AW</spirit:name>
|
||||
@ -3112,9 +3114,9 @@
|
||||
<xilinx:taxonomies>
|
||||
<xilinx:taxonomy>/UserIP</xilinx:taxonomy>
|
||||
</xilinx:taxonomies>
|
||||
<xilinx:displayName>axi_elink_v1_0</xilinx:displayName>
|
||||
<xilinx:coreRevision>13</xilinx:coreRevision>
|
||||
<xilinx:coreCreationDateTime>2015-10-08T01:28:33Z</xilinx:coreCreationDateTime>
|
||||
<xilinx:displayName>axi_elink_0</xilinx:displayName>
|
||||
<xilinx:coreRevision>16</xilinx:coreRevision>
|
||||
<xilinx:coreCreationDateTime>2015-11-04T04:10:02Z</xilinx:coreCreationDateTime>
|
||||
<xilinx:tags>
|
||||
<xilinx:tag xilinx:name="Adapteva:user:axi_elink:1.0_ARCHIVE_LOCATION">/home/aolofsson/Work_all/oh/elink/projects/xilinx/patrik/ephycard</xilinx:tag>
|
||||
</xilinx:tags>
|
||||
@ -3124,8 +3126,8 @@
|
||||
<xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="2f0537cd"/>
|
||||
<xilinx:checksum xilinx:scope="addressSpaces" xilinx:value="bfa0be72"/>
|
||||
<xilinx:checksum xilinx:scope="memoryMaps" xilinx:value="78389c6b"/>
|
||||
<xilinx:checksum xilinx:scope="fileGroups" xilinx:value="c29a9a79"/>
|
||||
<xilinx:checksum xilinx:scope="ports" xilinx:value="f5b4afa0"/>
|
||||
<xilinx:checksum xilinx:scope="fileGroups" xilinx:value="66ac10cf"/>
|
||||
<xilinx:checksum xilinx:scope="ports" xilinx:value="9bffd570"/>
|
||||
<xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="b46bb04b"/>
|
||||
<xilinx:checksum xilinx:scope="parameters" xilinx:value="56bb5f92"/>
|
||||
</xilinx:packagingInfo>
|
||||
|
@ -1,14 +0,0 @@
|
||||
#AXI Master Clock
|
||||
create_clock -period 10 -name m_axi_aclk -waveform {0.000 5} [get_ports m_axi_aclk]
|
||||
|
||||
#AXI Slave Clock
|
||||
create_clock -period 10 -name s_axi_aclk -waveform {0.000 5} [get_ports s_axi_aclk]
|
||||
|
||||
#AXI Slave Config Clock
|
||||
create_clock -period 10 -name s_axicfg_aclk -waveform {0.000 5} [get_ports s_axicfg_aclk]
|
||||
|
||||
#RX Clock
|
||||
create_clock -period 2 -name rx_lclk_p -waveform {0.000 1} [get_ports rx_lclk_p]
|
||||
|
||||
#CLKIN
|
||||
create_clock -period 10 -name clkin -waveform {0.000 1} [get_ports clkin]
|
@ -1,86 +0,0 @@
|
||||
#BANK SELECT
|
||||
set_property CFGBVS VCCO [current_design]
|
||||
set_property CONFIG_VOLTAGE 3.3 [current_design]
|
||||
|
||||
#SETTING SIGNAL STANDARDS
|
||||
set_property IOSTANDARD LVDS_25 [get_ports {rxi*}]
|
||||
set_property IOSTANDARD LVDS_25 [get_ports {rxo*}]
|
||||
set_property IOSTANDARD LVDS_25 [get_ports {txi_wr_wait_*}]
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports {txi_rd_wait_*}]
|
||||
set_property IOSTANDARD LVDS_25 [get_ports {txo*}]
|
||||
set_property IOSTANDARD LVDS_25 [get_ports {cclk*}]
|
||||
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports {start}]
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports {chipid}]
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports {chip_resetb}]
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports {reset}]
|
||||
set_property IOSTANDARD LVDS_25 [get_ports {sys_clk*}]
|
||||
set_property IOSTANDARD LVDS_25 [get_ports {clkin_*}]
|
||||
|
||||
#####################
|
||||
# Epiphany Interface
|
||||
#####################
|
||||
set_property PACKAGE_PIN H16 [get_ports {cclk_p}]
|
||||
set_property PACKAGE_PIN H17 [get_ports {cclk_n}]
|
||||
set_property PACKAGE_PIN G14 [get_ports {chip_resetb}]
|
||||
|
||||
set_property PACKAGE_PIN F16 [get_ports {txo_lclk_p}]
|
||||
set_property PACKAGE_PIN F17 [get_ports {txo_lclk_n}]
|
||||
set_property PACKAGE_PIN B19 [get_ports {txo_data_p[0]}]
|
||||
set_property PACKAGE_PIN A20 [get_ports {txo_data_n[0]}]
|
||||
set_property PACKAGE_PIN C20 [get_ports {txo_data_p[1]}]
|
||||
set_property PACKAGE_PIN B20 [get_ports {txo_data_n[1]}]
|
||||
set_property PACKAGE_PIN D19 [get_ports {txo_data_p[2]}]
|
||||
set_property PACKAGE_PIN D20 [get_ports {txo_data_n[2]}]
|
||||
set_property PACKAGE_PIN E18 [get_ports {txo_data_p[3]}]
|
||||
set_property PACKAGE_PIN E19 [get_ports {txo_data_n[3]}]
|
||||
set_property PACKAGE_PIN E17 [get_ports {txo_data_p[4]}]
|
||||
set_property PACKAGE_PIN D18 [get_ports {txo_data_n[4]}]
|
||||
set_property PACKAGE_PIN F19 [get_ports {txo_data_p[5]}]
|
||||
set_property PACKAGE_PIN F20 [get_ports {txo_data_n[5]}]
|
||||
set_property PACKAGE_PIN G17 [get_ports {txo_data_p[6]}]
|
||||
set_property PACKAGE_PIN G18 [get_ports {txo_data_n[6]}]
|
||||
set_property PACKAGE_PIN G19 [get_ports {txo_data_p[7]}]
|
||||
set_property PACKAGE_PIN G20 [get_ports {txo_data_n[7]}]
|
||||
set_property PACKAGE_PIN H15 [get_ports {txo_frame_p}]
|
||||
set_property PACKAGE_PIN G15 [get_ports {txo_frame_n}]
|
||||
set_property PACKAGE_PIN J15 [get_ports {txi_rd_wait_p}]
|
||||
set_property PACKAGE_PIN J18 [get_ports {txi_wr_wait_p}]
|
||||
set_property PACKAGE_PIN H18 [get_ports {txi_wr_wait_n}]
|
||||
|
||||
set_property PACKAGE_PIN K17 [get_ports {rxi_lclk_p}]
|
||||
set_property PACKAGE_PIN K18 [get_ports {rxi_lclk_n}]
|
||||
set_property PACKAGE_PIN K19 [get_ports {rxi_data_p[0]}]
|
||||
set_property PACKAGE_PIN J19 [get_ports {rxi_data_n[0]}]
|
||||
set_property PACKAGE_PIN L14 [get_ports {rxi_data_p[1]}]
|
||||
set_property PACKAGE_PIN L15 [get_ports {rxi_data_n[1]}]
|
||||
set_property PACKAGE_PIN L16 [get_ports {rxi_data_p[2]}]
|
||||
set_property PACKAGE_PIN L17 [get_ports {rxi_data_n[2]}]
|
||||
set_property PACKAGE_PIN M14 [get_ports {rxi_data_p[3]}]
|
||||
set_property PACKAGE_PIN M15 [get_ports {rxi_data_n[3]}]
|
||||
set_property PACKAGE_PIN L19 [get_ports {rxi_data_p[4]}]
|
||||
set_property PACKAGE_PIN L20 [get_ports {rxi_data_n[4]}]
|
||||
set_property PACKAGE_PIN M19 [get_ports {rxi_data_p[5]}]
|
||||
set_property PACKAGE_PIN M20 [get_ports {rxi_data_n[5]}]
|
||||
set_property PACKAGE_PIN M17 [get_ports {rxi_data_p[6]}]
|
||||
set_property PACKAGE_PIN M18 [get_ports {rxi_data_n[6]}]
|
||||
set_property PACKAGE_PIN N15 [get_ports {rxi_data_p[7]}]
|
||||
set_property PACKAGE_PIN N16 [get_ports {rxi_data_n[7]}]
|
||||
set_property PACKAGE_PIN J20 [get_ports {rxi_frame_p}]
|
||||
set_property PACKAGE_PIN H20 [get_ports {rxi_frame_n}]
|
||||
set_property PACKAGE_PIN K14 [get_ports {rxo_rd_wait_p}]
|
||||
set_property PACKAGE_PIN J14 [get_ports {rxo_rd_wait_n}]
|
||||
set_property PACKAGE_PIN K16 [get_ports {rxo_wr_wait_p}]
|
||||
set_property PACKAGE_PIN J16 [get_ports {rxo_wr_wait_n}]
|
||||
|
||||
#####################
|
||||
# Dummy (only for example)
|
||||
#####################
|
||||
#MRCC
|
||||
set_property PACKAGE_PIN U18 [get_ports {clkin_p}]
|
||||
set_property PACKAGE_PIN U19 [get_ports {clkin_n}]
|
||||
set_property PACKAGE_PIN U14 [get_ports {sys_clk_p}]
|
||||
set_property PACKAGE_PIN U15 [get_ports {sys_clk_p}]
|
||||
|
||||
set_property PACKAGE_PIN U12 [get_ports {start}]
|
||||
set_property PACKAGE_PIN U13 [get_ports {reset}]
|
@ -1,28 +0,0 @@
|
||||
#PLL CLOCK
|
||||
create_clock -name pll_clkin -period 10 [get_ports clkin_p]
|
||||
|
||||
#SYS_CLK
|
||||
create_clock -name sys_clk -period 10 [get_ports sys_clk_p]
|
||||
|
||||
#RECEIVER
|
||||
create_clock -period 3.333 -name rx_lclk -waveform {0.000 1.666} [get_ports rxi_lclk_p]
|
||||
set_input_delay -clock [get_clocks rx_lclk] -max -add_delay 2.5 [get_ports {rxi_data_p[*] rxi_frame_p}]
|
||||
set_input_delay -clock [get_clocks rx_lclk] -min -add_delay 0.833 [get_ports {rxi_data_p[*] rxi_frame_p}]
|
||||
#set_false_path -rise_from [get_clocks rx_lclk] -through [get_ports {rxi_data_p[*] rxi_frame_p}] -fall_to [get_clocks rx_lclk]
|
||||
|
||||
set_input_delay -clock [get_clocks rx_lclk] -clock_fall -max -add_delay 2.5 [get_ports {rxi_data_p[*] rxi_frame_p}]
|
||||
set_input_delay -clock [get_clocks rx_lclk] -clock_fall -min -add_delay 0.833 [get_ports {RX_data_p[*] rxi_frame_p}]
|
||||
#set_false_path -fall_from [get_clocks rx_lclk] -through [get_ports {rxi_data_p[*] rxi_frame_p}] -rise_to [get_clocks rx_lclk]
|
||||
|
||||
|
||||
#TRANSMITTER
|
||||
#????
|
||||
#create_clock -name tx_lclk -period 2 elink/eclocks/pll_lclk/CLKOUT0
|
||||
#create_clock -name tx_lclk90 -period 2 elink/eclocks/pll_lclk/CLKOUT1
|
||||
##create_clock -name tx_lclk_div4 -period 8 elink/eclocks/pll_lclk/CLKOUT2
|
||||
#set_output_delay -clock tx_lclk 0.5 [get_ports txo_data_*]
|
||||
#set_output_delay -clock tx_lclk 0.5 [get_ports txo_frame_*]
|
||||
|
||||
|
||||
|
||||
|
@ -1,10 +0,0 @@
|
||||
set pwd [file dirname [info script]]
|
||||
source $pwd/../../../include/oh.tcl
|
||||
|
||||
read_xdc $pwd/elink_pins.xdc
|
||||
read_xdc $pwd/elink_timing.xdc
|
||||
|
||||
# Do we need this?
|
||||
#read_xdc $pwd/elink_clocks.xdc
|
||||
|
||||
|
@ -1,6 +0,0 @@
|
||||
set pwd [file dirname [info script]]
|
||||
source $pwd/../../../include/oh.tcl
|
||||
|
||||
read_ip $top_srcdir/xilibs/ip/fifo_async_104x16/fifo_async_104x16.xci
|
||||
read_ip $top_srcdir/xilibs/ip/fifo_async_104x32/fifo_async_104x32.xci
|
||||
|
@ -1,84 +0,0 @@
|
||||
set pwd [file dirname [info script]]
|
||||
source $pwd/../../../include/oh.tcl
|
||||
|
||||
###########################################################
|
||||
#STEP0: Define variables
|
||||
set OUTDIR ./tmp
|
||||
set PART xc7z010clg400-1
|
||||
set TOP axi_elink
|
||||
|
||||
file mkdir $OUTDIR
|
||||
|
||||
###########################################################
|
||||
#STEP1: Read sources, constraints, IP files
|
||||
create_project -in_memory -part $PART -force my_project
|
||||
source $pwd/read_verilog.tcl
|
||||
source $pwd/read_constraints.tcl
|
||||
source $pwd/read_ip.tcl
|
||||
|
||||
###########################################################
|
||||
#STEP2: SYNTHESIS
|
||||
###########################################################
|
||||
upgrade_ip [get_ips]
|
||||
generate_target all [get_ips]
|
||||
synth_ip [get_ips]
|
||||
synth_design -top $TOP -part $PART
|
||||
|
||||
#create a checkpoint
|
||||
write_checkpoint -force $OUTDIR/post_syn.dcp
|
||||
|
||||
#report timing
|
||||
check_timing -verbose -file $OUTDIR/check_timing.rpt
|
||||
report_clocks -file $OUTDIR/clock_basic.rpt
|
||||
report_clock_interaction -delay_type min_max -significant_digits 3 -file $OUTDIR/clock_cdc.rpt
|
||||
report_clock_networks -file $OUTDIR/clock_networks.rpt
|
||||
report_timing_summary -file $OUTDIR/post_syn_timing_summary.rpt
|
||||
report_utilization -file $OUTDIR/post_syn_util.rpt
|
||||
|
||||
###########################################################
|
||||
#STEP3: PLACEMENT
|
||||
###########################################################
|
||||
#optimize design
|
||||
opt_design
|
||||
|
||||
#place design
|
||||
place_design
|
||||
|
||||
#optimzier design
|
||||
phys_opt_design
|
||||
|
||||
#create a checkpoint
|
||||
write_checkpoint -force $OUTDIR/post_place.dcp
|
||||
|
||||
#post placement repororts
|
||||
|
||||
|
||||
report_clock_utilization -file $OUTDIR/clock_util.rpt
|
||||
report_utilization -file $OUTDIR/post_place_util.rpt
|
||||
report_timing_summary -file $OUTDIR/post_place_timing_summary.rpt
|
||||
|
||||
###########################################################
|
||||
#STEP4: ROUTING
|
||||
###########################################################
|
||||
|
||||
#route design
|
||||
route_design
|
||||
|
||||
#create checkpoint
|
||||
write_checkpoint -force $OUTDIR/post_route.dcp
|
||||
|
||||
#create reports
|
||||
report_route_status -file $OUTDIR/post_route_status.rpt
|
||||
report_timing_summary -file $OUTDIR/post_route_timing_summary.rpt
|
||||
report_timing -sort_by group -max_paths 100 -path_type summary -file $OUTDIR/post_route_timing.rpt
|
||||
report_power -file $OUTDIR/post_route_power.rpt
|
||||
report_drc -file $OUTDIR/post_imp_drc.rpt
|
||||
|
||||
###########################################################
|
||||
#STEP5: GENERATE BITSTREAM AND NETLIST
|
||||
###########################################################
|
||||
write_verilog -force $OUTDIR/$TOP.v
|
||||
|
||||
write_xdc -no_fixed_only -force $OUTDIR/$TOP.xdc
|
||||
|
||||
write_bitstream -force $OUTDIR/$TOP.bit
|
@ -1,196 +0,0 @@
|
||||
|
||||
module ememory(/*AUTOARG*/
|
||||
// Outputs
|
||||
wait_out, access_out, packet_out,
|
||||
// Inputs
|
||||
clk, nreset, coreid, access_in, packet_in, wait_in
|
||||
);
|
||||
parameter PW = 104;
|
||||
parameter IDW = 12;
|
||||
parameter DW = 32;
|
||||
parameter AW = 32;
|
||||
parameter MAW = 16; //=64K words
|
||||
parameter NAME = "emem";
|
||||
|
||||
//Basic Interface
|
||||
input clk;
|
||||
input nreset;
|
||||
input [IDW-1:0] coreid;
|
||||
|
||||
//incoming read/write
|
||||
input access_in;
|
||||
input [PW-1:0] packet_in;
|
||||
output wait_out; //pushback
|
||||
|
||||
//back to mesh (readback data)
|
||||
output access_out;
|
||||
output [PW-1:0] packet_out;
|
||||
input wait_in; //pushback
|
||||
|
||||
wire [MAW-1:0] addr;
|
||||
wire [63:0] din;
|
||||
wire [63:0] dout;
|
||||
wire en;
|
||||
wire mem_rd;
|
||||
wire mem_wr;
|
||||
reg [7:0] wen;
|
||||
|
||||
//State
|
||||
reg access_out;
|
||||
reg write_out;
|
||||
reg [1:0] datamode_out;
|
||||
reg [4:0] ctrlmode_out;
|
||||
reg [AW-1:0] dstaddr_out;
|
||||
|
||||
wire [AW-1:0] srcaddr_out;
|
||||
wire [AW-1:0] data_out;
|
||||
reg hilo_sel;
|
||||
|
||||
wire write_in;
|
||||
wire [1:0] datamode_in;
|
||||
wire [3:0] ctrlmode_in;
|
||||
wire [AW-1:0] dstaddr_in;
|
||||
wire [DW-1:0] data_in;
|
||||
wire [AW-1:0] srcaddr_in;
|
||||
|
||||
|
||||
packet2emesh #(.PW(PW))
|
||||
p2e (
|
||||
.write_out (write_in),
|
||||
.datamode_out (datamode_in[1:0]),
|
||||
.ctrlmode_out (ctrlmode_in[3:0]),
|
||||
.dstaddr_out (dstaddr_in[AW-1:0]),
|
||||
.data_out (data_in[DW-1:0]),
|
||||
.srcaddr_out (srcaddr_in[AW-1:0]),
|
||||
.packet_in (packet_in[PW-1:0])
|
||||
);
|
||||
|
||||
//Access-in
|
||||
assign mem_rd = (access_in & ~write_in & ~wait_in);
|
||||
assign mem_wr = (access_in & write_in );
|
||||
|
||||
assign en = mem_rd | mem_wr;
|
||||
|
||||
//Pushback Circuit (pass through problems?)
|
||||
assign wait_out = access_in & wait_in;
|
||||
|
||||
//Address-in (shifted by three bits, 64 bit wide memory)
|
||||
assign addr[MAW-1:0] = dstaddr_in[MAW+2:3];
|
||||
|
||||
//Data-in (hardoded width)
|
||||
assign din[63:0] =(datamode_in[1:0]==2'b11) ? {srcaddr_in[31:0],data_in[31:0]}:
|
||||
{data_in[31:0],data_in[31:0]};
|
||||
//Write mask
|
||||
always@*
|
||||
casez({write_in, datamode_in[1:0],dstaddr_in[2:0]})
|
||||
//Byte
|
||||
6'b100000 : wen[7:0] = 8'b00000001;
|
||||
6'b100001 : wen[7:0] = 8'b00000010;
|
||||
6'b100010 : wen[7:0] = 8'b00000100;
|
||||
6'b100011 : wen[7:0] = 8'b00001000;
|
||||
6'b100100 : wen[7:0] = 8'b00010000;
|
||||
6'b100101 : wen[7:0] = 8'b00100000;
|
||||
6'b100110 : wen[7:0] = 8'b01000000;
|
||||
6'b100111 : wen[7:0] = 8'b10000000;
|
||||
//Short
|
||||
6'b10100? : wen[7:0] = 8'b00000011;
|
||||
6'b10101? : wen[7:0] = 8'b00001100;
|
||||
6'b10110? : wen[7:0] = 8'b00110000;
|
||||
6'b10111? : wen[7:0] = 8'b11000000;
|
||||
//Word
|
||||
6'b1100?? : wen[7:0] = 8'b00001111;
|
||||
6'b1101?? : wen[7:0] = 8'b11110000;
|
||||
//Double
|
||||
6'b111??? : wen[7:0] = 8'b11111111;
|
||||
default : wen[7:0] = 8'b00000000;
|
||||
endcase // casez ({write, datamode_in[1:0],addr_in[2:0]})
|
||||
|
||||
//Single ported memory
|
||||
defparam mem.DW=2*DW;//TODO: really fixed to 64 bits
|
||||
defparam mem.AW=MAW;
|
||||
memory_sp mem(
|
||||
// Inputs
|
||||
.clk (clk),
|
||||
.en (en),
|
||||
.wen (wen[7:0]),
|
||||
.addr (addr[MAW-1:0]),
|
||||
.din (din[63:0]),
|
||||
.dout (dout[63:0])
|
||||
);
|
||||
|
||||
//Outgoing transaction
|
||||
always @ (posedge clk or negedge nreset)
|
||||
if(!nreset)
|
||||
access_out <=1'b0;
|
||||
else if(~wait_in)
|
||||
access_out <= mem_rd;
|
||||
|
||||
//Other emesh signals "dataload"
|
||||
always @ (posedge clk)
|
||||
if(mem_rd & ~wait_in)
|
||||
begin
|
||||
write_out <= 1'b1;
|
||||
hilo_sel <= dstaddr_in[2];
|
||||
datamode_out[1:0] <= datamode_in[1:0];
|
||||
ctrlmode_out[4:0] <= ctrlmode_in[3:0];
|
||||
dstaddr_out[AW-1:0] <= srcaddr_in[AW-1:0];
|
||||
end
|
||||
|
||||
|
||||
assign srcaddr_out[AW-1:0] = (datamode_out[1:0]==2'b11) ? dout[63:32] :
|
||||
32'b0;
|
||||
assign data_out[DW-1:0] = hilo_sel ? dout[63:32] :
|
||||
dout[31:0];
|
||||
|
||||
//Concatenate
|
||||
emesh2packet #(.PW(PW))
|
||||
e2p (.packet_out (packet_out[PW-1:0]),
|
||||
.write_in (write_out),
|
||||
.datamode_in (datamode_out[1:0]),
|
||||
.ctrlmode_in (ctrlmode_out[3:0]),
|
||||
.dstaddr_in (dstaddr_out[AW-1:0]),
|
||||
.data_in (data_out[DW-1:0]),
|
||||
.srcaddr_in (srcaddr_out[AW-1:0])
|
||||
);
|
||||
|
||||
//Write monitor
|
||||
emesh_monitor
|
||||
#(.PW(PW),
|
||||
.INDEX(1),
|
||||
.NAME(NAME)
|
||||
)
|
||||
emesh_monitor (.dut_access (access_in & write_in),
|
||||
.dut_packet (packet_in[PW-1:0]),
|
||||
.wait_in (1'b0),
|
||||
/*AUTOINST*/
|
||||
// Inputs
|
||||
.clk (clk),
|
||||
.nreset (nreset),
|
||||
.coreid (coreid[IDW-1:0]));
|
||||
|
||||
endmodule // emesh_memory
|
||||
// Local Variables:
|
||||
// verilog-library-directories:("." "../dv" )
|
||||
// End:
|
||||
|
||||
|
||||
|
||||
/*
|
||||
Copyright (C) 2015 Adapteva, Inc.
|
||||
Contributed by Andreas Olofsson <support@adapteva.com>
|
||||
|
||||
This program is free software: you can redistribute it and/or modify
|
||||
it under the terms of the GNU General Public License as published by
|
||||
the Free Software Foundation, either version 3 of the License, or
|
||||
(at your option) any later version.
|
||||
|
||||
This program is distributed in the hope that it will be useful,
|
||||
but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
GNU General Public License for more details.
|
||||
|
||||
You should have received a copy of the GNU General Public License
|
||||
along with this program (see the file COPYING). If not, see
|
||||
<http://www.gnu.org/licenses/>.
|
||||
*/
|
||||
|
@ -129,7 +129,6 @@ module emmu (/*AUTOARG*/
|
||||
|
||||
assign emesh_dstaddr_out[63:0] = (mmu_en & ~mmu_bp) ? {emmu_lookup_data[43:0], emesh_packet_reg[27:8]} :
|
||||
{32'b0,emesh_packet_reg[39:8]};
|
||||
|
||||
//Concatenating output packet
|
||||
assign emesh_packet_out[PW-1:0] = {emesh_packet_reg[PW-1:40],
|
||||
emesh_dstaddr_out[31:0],
|
||||
|
Binary file not shown.
@ -1,7 +1,7 @@
|
||||
// Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2015.2 (lin64) Build 1266856 Fri Jun 26 16:35:25 MDT 2015
|
||||
// Date : Wed Nov 4 19:31:31 2015
|
||||
// Date : Wed Nov 4 22:10:19 2015
|
||||
// Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
// Command : write_verilog -force -mode funcsim
|
||||
// /home/aolofsson/Work_all/oh/memory/ip/xilinx/fifo_async_104x32_funcsim.v
|
||||
|
@ -1,7 +1,7 @@
|
||||
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2015.2 (lin64) Build 1266856 Fri Jun 26 16:35:25 MDT 2015
|
||||
-- Date : Wed Nov 4 19:31:31 2015
|
||||
-- Date : Wed Nov 4 22:10:19 2015
|
||||
-- Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
-- Command : write_vhdl -force -mode funcsim
|
||||
-- /home/aolofsson/Work_all/oh/memory/ip/xilinx/fifo_async_104x32_funcsim.vhdl
|
||||
|
51
scripts/xilinx/oh_common.tcl
Normal file
51
scripts/xilinx/oh_common.tcl
Normal file
@ -0,0 +1,51 @@
|
||||
|
||||
|
||||
set top_srcdir [file dirname [info script]]/../../
|
||||
set top_builddir $top_srcdir
|
||||
|
||||
# TODO: Support building out of tree
|
||||
#if [info exists ::env(top_builddir)] {
|
||||
# set top_builddir $::env(top_builddir)
|
||||
#}
|
||||
|
||||
|
||||
namespace eval oh {
|
||||
namespace eval ip {
|
||||
|
||||
|
||||
|
||||
### ADD FILES
|
||||
proc add_files {ip_name ip_files} {
|
||||
set fileset [::get_filesets sources_1]
|
||||
::add_files -fileset $fileset -norecurse -scan_for_includes $ip_files
|
||||
::set_property "top" "$ip_name" $fileset
|
||||
}
|
||||
|
||||
### ADD CONSTRAINTS
|
||||
proc add_constraints {ip_constr_files {processing_order late}} {
|
||||
}
|
||||
|
||||
### IP SETTINGS
|
||||
|
||||
proc set_properties {ip_dir} {
|
||||
|
||||
|
||||
set c ::ipx::current_core
|
||||
::set_property vendor {www.parallella.org} [$c]
|
||||
::set_property library {user} [$c]
|
||||
::set_property taxonomy {{/AXI_Infrastructure}} [$c]
|
||||
::set_property vendor_display_name {OH!} [$c]
|
||||
::set_property company_url {www.parallella.org} [$c]
|
||||
|
||||
::set_property supported_families \
|
||||
{
|
||||
{virtex7} {Production} \
|
||||
{kintex7} {Production} \
|
||||
{artix7} {Production} \
|
||||
{zynq} {Production} \
|
||||
} \
|
||||
[$c]
|
||||
}
|
||||
|
||||
}; # namespace ip
|
||||
}; # namespace oh
|
27
xilibs/hdl/IOBUF.v
Normal file
27
xilibs/hdl/IOBUF.v
Normal file
@ -0,0 +1,27 @@
|
||||
module IOBUF(/*AUTOARG*/
|
||||
// Outputs
|
||||
O,
|
||||
// Inouts
|
||||
IO,
|
||||
// Inputs
|
||||
T, I
|
||||
);
|
||||
|
||||
parameter DRIVE = 8;
|
||||
parameter IOSTANDARD = "LVDS_25";
|
||||
parameter DIFF_TERM = "TRUE";
|
||||
parameter SLEW = "FAST";
|
||||
parameter IBUF_LOW_PWR = "TRUE";
|
||||
|
||||
inout IO;
|
||||
input T;
|
||||
input I;
|
||||
output O;
|
||||
|
||||
assign O = IO;
|
||||
|
||||
assign IO = T ? 1'bz : I;
|
||||
|
||||
endmodule // IOBUF
|
||||
|
||||
|
@ -1,395 +0,0 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
|
||||
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||
<spirit:library>xci</spirit:library>
|
||||
<spirit:name>unknown</spirit:name>
|
||||
<spirit:version>1.0</spirit:version>
|
||||
<spirit:componentInstances>
|
||||
<spirit:componentInstance>
|
||||
<spirit:instanceName>fifo_async_104x16</spirit:instanceName>
|
||||
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="fifo_generator" spirit:version="12.0"/>
|
||||
<spirit:configurableElementValues>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">fifo_async_104x16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Fifo_Implementation">Independent_Clocks_Distributed_RAM</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.synchronization_stages">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.synchronization_stages_axi">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_TYPE">Native</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Performance_Options">Standard_FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.asymmetric_port_width">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Data_Width">104</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Data_Width">104</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Depth">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Pin">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Reset_Synchronization">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Type">Asynchronous_Reset</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Flags_Reset_Value">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Dout_Reset">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Dout_Reset_Value">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.dynamic_power_saving">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Almost_Full_Flag">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Almost_Empty_Flag">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Flag">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Valid_Sense">Active_High</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Flag">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense">Active_High</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Acknowledge_Flag">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Acknowledge_Sense">Active_High</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Flag">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Sense">Active_High</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ecc_pipeline_reg">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Extra_Logic">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Count">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Count_Width">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Data_Count">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Data_Count_Width">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Data_Count">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Data_Count_Width">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Timing_Violations">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Clock_Frequency">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Clock_Frequency">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type">Single_Programmable_Full_Threshold_Constant</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value">8</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Negate_Value">7</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Negate_Value">3</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PROTOCOL">AXI4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Type_AXI">Common_Clock</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_ACLKEN">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Clock_Enable_Type">Slave_Interface_Clock_Enable</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ID_WIDTH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ADDRESS_WIDTH">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DATA_WIDTH">64</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AWUSER_Width">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.WUSER_Width">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BUSER_Width">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARUSER_Width">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RUSER_Width">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDATA_NUM_BYTES">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TID_WIDTH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TUSER_WIDTH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_TREADY">true</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_TLAST">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_TSTRB">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TSTRB_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HAS_TKEEP">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TKEEP_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wach_type">FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wach">Common_Clock_Block_RAM</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wach">Data_FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wach">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wach">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wach">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wach">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wach">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wach">No_Programmable_Full_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wach">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wach">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wach">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wdch_type">FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wdch">Common_Clock_Block_RAM</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wdch">Data_FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wdch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wdch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wdch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wdch">1024</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wdch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wdch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wdch">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wdch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wdch">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.wrch_type">FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_wrch">Common_Clock_Block_RAM</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_wrch">Data_FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_wrch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_wrch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_wrch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_wrch">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_wrch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_wrch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_wrch">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_wrch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_wrch">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rach_type">FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_rach">Common_Clock_Block_RAM</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_rach">Data_FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_rach">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_rach">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_rach">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_rach">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_rach">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_rach">No_Programmable_Full_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rach">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_rach">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rach">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rdch_type">FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_rdch">Common_Clock_Block_RAM</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_rdch">Data_FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_rdch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_rdch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_rdch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_rdch">1024</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_rdch">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_rdch">No_Programmable_Full_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_rdch">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_rdch">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_rdch">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.axis_type">FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Implementation_axis">Common_Clock_Block_RAM</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FIFO_Application_Type_axis">Data_FIFO</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_ECC_axis">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Sbit_Error_axis">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Inject_Dbit_Error_axis">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Input_Depth_axis">1024</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Data_Counts_axis">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Full_Type_axis">No_Programmable_Full_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Full_Threshold_Assert_Value_axis">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Programmable_Empty_Type_axis">No_Programmable_Empty_Threshold</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Empty_Threshold_Assert_Value_axis">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wach">Fully_Registered</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wdch">Fully_Registered</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_wrch">Fully_Registered</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_rach">Fully_Registered</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_rdch">Fully_Registered</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_Slice_Mode_axis">Fully_Registered</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Flag_AXI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Underflow_Sense_AXI">Active_High</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Flag_AXI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Overflow_Sense_AXI">Active_High</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Timing_Violations_AXI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Add_NGC_Constraint_AXI">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Common_Underflow">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_Common_Overflow">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_read_pointer_increment_by2">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Embedded_Registers_axis">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.enable_low_latency">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.use_dout_register">false</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMMON_CLOCK">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_COUNT_WIDTH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEFAULT_VALUE">BlankString</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH">104</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_RST_VAL">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DOUT_WIDTH">104</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RLOCS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FULL_FLAGS_RST_VAL">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ALMOST_EMPTY">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ALMOST_FULL">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_BACKUP">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNT">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_INT_CLK">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEMINIT_FILE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_OVERFLOW">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RD_DATA_COUNT">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RD_RST">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RST">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SRST">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_UNDERFLOW">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_VALID">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_ACK">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_DATA_COUNT">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_WR_RST">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_WR_PNTR_VAL">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEMORY_TYPE">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MIF_FILE_NAME">BlankString</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZATION_MODE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OVERFLOW_LOW">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_LATENCY">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRELOAD_REGS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE">512x72</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_NEGATE_VAL">3</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL">8</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_NEGATE_VAL">7</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_DATA_COUNT_WIDTH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_DEPTH">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_FREQ">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RD_PNTR_WIDTH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_UNDERFLOW_LOW">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DOUT_RST">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_EMBEDDED_REG">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_PIPELINE_REG">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_POWER_SAVING_MODE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FIFO16_FLAGS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FWFT_DATA_COUNT">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_VALID_LOW">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_ACK_LOW">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DATA_COUNT_WIDTH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_FREQ">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_RESPONSE_LATENCY">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MSGON_VAL">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_RST_SYNC">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SYNCHRONIZER_STAGE">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_WR_CHANNEL">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_RD_CHANNEL">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SLAVE_CE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MASTER_CE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADD_NGC_CONSTRAINT">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_COMMON_OVERFLOW">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_COMMON_UNDERFLOW">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DEFAULT_SETTINGS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ADDR_WIDTH">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_DATA_WIDTH">64</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_LEN_WIDTH">8</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_LOCK_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_AWUSER">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_WUSER">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_BUSER">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ARUSER">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_RUSER">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ARUSER_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_AWUSER_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_WUSER_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_BUSER_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_RUSER_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TDATA">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TID">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TDEST">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TUSER">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TREADY">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TLAST">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TSTRB">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXIS_TKEEP">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDATA_WIDTH">8</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TID_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TDEST_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TUSER_WIDTH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TSTRB_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TKEEP_WIDTH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WACH_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WDCH_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRCH_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RACH_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RDCH_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXIS_TYPE">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WACH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WDCH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_WRCH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_RACH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_RDCH">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_IMPLEMENTATION_TYPE_AXIS">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_WRCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_RACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_RDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_APPLICATION_TYPE_AXIS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WACH">512x36</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WDCH">1kx36</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_WRCH">512x36</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RACH">512x36</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_RDCH">1kx36</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_FIFO_TYPE_AXIS">1kx18</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_WRCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_RACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_RDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC_AXIS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_WRCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_RACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_RDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ERROR_INJECTION_TYPE_AXIS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WACH">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WDCH">64</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_WRCH">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_RACH">32</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_RDCH">64</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DIN_WIDTH_AXIS">1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WACH">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WDCH">1024</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_WRCH">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_RACH">16</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_RDCH">1024</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_DEPTH_AXIS">1024</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WACH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WDCH">10</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_WRCH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_RACH">4</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_RDCH">10</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WR_PNTR_WIDTH_AXIS">10</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_WRCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_RACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_RDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DATA_COUNTS_AXIS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_WRCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_RACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_RDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_PROG_FLAGS_AXIS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_WRCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_RACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_RDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_TYPE_AXIS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WACH">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WDCH">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_WRCH">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_RACH">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_RDCH">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_FULL_THRESH_ASSERT_VAL_AXIS">1023</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_WRCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_RACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_RDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_TYPE_AXIS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS">1022</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_WRCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_RACH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_RDCH">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REG_SLICE_MODE_AXIS">0</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z020</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">clg484</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">C</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD">em.avnet.com:zed:part0:1.2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2014.3.1</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">2</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
|
||||
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
|
||||
</spirit:configurableElementValues>
|
||||
</spirit:componentInstance>
|
||||
</spirit:componentInstances>
|
||||
</spirit:design>
|
Binary file not shown.
@ -1,3 +1,66 @@
|
||||
Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2015.1 (lin64) Build 1215546 Mon Apr 27 19:07:21 MDT 2015
|
||||
| Date : Tue Nov 3 22:34:33 2015
|
||||
| Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
| Command : upgrade_ip
|
||||
| Device : xc7z015clg485-1
|
||||
------------------------------------------------------------------------------------
|
||||
|
||||
Upgrade Log for IP 'fifo_async_104x32'
|
||||
|
||||
1. Summary
|
||||
----------
|
||||
|
||||
SUCCESS in the update of fifo_async_104x32 (xilinx.com:ip:fifo_generator:12.0 (Rev. 4)) to current project options.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2015.1 (lin64) Build 1215546 Mon Apr 27 19:07:21 MDT 2015
|
||||
| Date : Tue Nov 3 22:22:06 2015
|
||||
| Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
| Command : upgrade_ip
|
||||
| Device : xc7z020clg400-1
|
||||
------------------------------------------------------------------------------------
|
||||
|
||||
Upgrade Log for IP 'fifo_async_104x32'
|
||||
|
||||
1. Summary
|
||||
----------
|
||||
|
||||
SUCCESS in the update of fifo_async_104x32 (xilinx.com:ip:fifo_generator:12.0 (Rev. 4)) to current project options.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2015.1 (lin64) Build 1215546 Mon Apr 27 19:07:21 MDT 2015
|
||||
| Date : Tue Nov 3 22:05:29 2015
|
||||
| Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
| Command : upgrade_ip
|
||||
| Device : xc7z030sbg485-1
|
||||
------------------------------------------------------------------------------------
|
||||
|
||||
Upgrade Log for IP 'fifo_async_104x32'
|
||||
|
||||
1. Summary
|
||||
----------
|
||||
|
||||
SUCCESS in the update of fifo_async_104x32 (xilinx.com:ip:fifo_generator:12.0 (Rev. 4)) to current project options.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
------------------------------------------------------------------------------------
|
||||
| Tool Version : Vivado v.2015.1 (lin64) Build 1215546 Mon Apr 27 19:07:21 MDT 2015
|
||||
|
@ -1271,7 +1271,7 @@
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>GENtimestamp</spirit:name>
|
||||
<spirit:value>Fri Sep 18 16:14:21 UTC 2015</spirit:value>
|
||||
<spirit:value>Wed Nov 04 03:34:33 UTC 2015</spirit:value>
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>StaleAtRelink</spirit:name>
|
||||
@ -1309,7 +1309,7 @@
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>GENtimestamp</spirit:name>
|
||||
<spirit:value>Fri Sep 18 16:14:28 UTC 2015</spirit:value>
|
||||
<spirit:value>Wed Nov 04 03:34:45 UTC 2015</spirit:value>
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>StaleAtRelink</spirit:name>
|
||||
@ -1343,7 +1343,7 @@
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>GENtimestamp</spirit:name>
|
||||
<spirit:value>Fri Sep 18 16:14:28 UTC 2015</spirit:value>
|
||||
<spirit:value>Wed Nov 04 03:34:45 UTC 2015</spirit:value>
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>StaleAtRelink</spirit:name>
|
||||
@ -1378,7 +1378,7 @@
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>GENtimestamp</spirit:name>
|
||||
<spirit:value>Fri Sep 18 16:14:28 UTC 2015</spirit:value>
|
||||
<spirit:value>Wed Nov 04 03:34:45 UTC 2015</spirit:value>
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>StaleAtRelink</spirit:name>
|
||||
@ -1412,7 +1412,7 @@
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>GENtimestamp</spirit:name>
|
||||
<spirit:value>Fri Sep 18 16:14:28 UTC 2015</spirit:value>
|
||||
<spirit:value>Wed Nov 04 03:34:45 UTC 2015</spirit:value>
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>StaleAtRelink</spirit:name>
|
||||
@ -1447,7 +1447,7 @@
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>GENtimestamp</spirit:name>
|
||||
<spirit:value>Fri Sep 18 16:14:28 UTC 2015</spirit:value>
|
||||
<spirit:value>Wed Nov 04 03:34:45 UTC 2015</spirit:value>
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>StaleAtRelink</spirit:name>
|
||||
@ -1481,7 +1481,7 @@
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>GENtimestamp</spirit:name>
|
||||
<spirit:value>Fri Sep 18 16:14:28 UTC 2015</spirit:value>
|
||||
<spirit:value>Wed Nov 04 03:34:45 UTC 2015</spirit:value>
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>StaleAtRelink</spirit:name>
|
||||
@ -1515,7 +1515,7 @@
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>GENtimestamp</spirit:name>
|
||||
<spirit:value>Thu Jan 01 00:00:00 UTC 1970</spirit:value>
|
||||
<spirit:value>Wed Nov 04 03:06:20 UTC 2015</spirit:value>
|
||||
</spirit:parameter>
|
||||
<spirit:parameter>
|
||||
<spirit:name>StaleAtRelink</spirit:name>
|
||||
|
@ -1,7 +1,7 @@
|
||||
// Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2015.1 (lin64) Build 1215546 Mon Apr 27 19:07:21 MDT 2015
|
||||
// Date : Fri Sep 18 12:15:17 2015
|
||||
// Date : Tue Nov 3 22:35:32 2015
|
||||
// Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
// Command : write_verilog -force -mode funcsim
|
||||
// /home/aolofsson/Work_all/oh/xilibs/ip/fifo_async_104x32/fifo_async_104x32_funcsim.v
|
||||
|
@ -1,7 +1,7 @@
|
||||
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2015.1 (lin64) Build 1215546 Mon Apr 27 19:07:21 MDT 2015
|
||||
-- Date : Fri Sep 18 12:15:17 2015
|
||||
-- Date : Tue Nov 3 22:35:32 2015
|
||||
-- Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
-- Command : write_vhdl -force -mode funcsim
|
||||
-- /home/aolofsson/Work_all/oh/xilibs/ip/fifo_async_104x32/fifo_async_104x32_funcsim.vhdl
|
||||
|
@ -1,7 +1,7 @@
|
||||
// Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
// --------------------------------------------------------------------------------
|
||||
// Tool Version: Vivado v.2015.1 (lin64) Build 1215546 Mon Apr 27 19:07:21 MDT 2015
|
||||
// Date : Fri Sep 18 12:15:17 2015
|
||||
// Date : Tue Nov 3 22:35:31 2015
|
||||
// Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
// Command : write_verilog -force -mode synth_stub
|
||||
// /home/aolofsson/Work_all/oh/xilibs/ip/fifo_async_104x32/fifo_async_104x32_stub.v
|
||||
|
@ -1,7 +1,7 @@
|
||||
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
|
||||
-- --------------------------------------------------------------------------------
|
||||
-- Tool Version: Vivado v.2015.1 (lin64) Build 1215546 Mon Apr 27 19:07:21 MDT 2015
|
||||
-- Date : Fri Sep 18 12:15:17 2015
|
||||
-- Date : Tue Nov 3 22:35:31 2015
|
||||
-- Host : parallella running 64-bit Ubuntu 14.04.3 LTS
|
||||
-- Command : write_vhdl -force -mode synth_stub
|
||||
-- /home/aolofsson/Work_all/oh/xilibs/ip/fifo_async_104x32/fifo_async_104x32_stub.vhdl
|
||||
|
Loading…
x
Reference in New Issue
Block a user