This website requires JavaScript.
Explore
Help
Sign In
FPGA
/
oh
Watch
1
Star
0
Fork
0
You've already forked oh
mirror of
https://github.com/aolofsson/oh.git
synced
2025-01-30 02:32:53 +08:00
Code
Issues
Projects
Releases
Wiki
Activity
oh
/
xilibs
/
hdl
History
Andreas Olofsson
3e74d68dcc
Both input and output models were wrong.
...
Should match datasheet now...
2015-05-04 22:35:55 -04:00
..
BUFG.v
Cleanup
2015-04-21 21:43:16 -04:00
BUFIO.v
Adding comments
2015-04-23 17:52:46 -04:00
BUFR.v
MILESTONE: Read/write works back and forth
2015-05-04 17:13:51 -04:00
IBUFDS.v
Adding comments
2015-04-23 17:52:46 -04:00
IBUFGDS.v
Adding comments
2015-04-23 17:52:46 -04:00
ISERDESE2.v
Both input and output models were wrong.
2015-05-04 22:35:55 -04:00
MMCME2_ADV.v
Adding PLL and MMCME2 primitives
2015-04-22 13:55:59 -04:00
OBUFDS.v
Comments
2015-04-23 17:52:06 -04:00
OBUFTDS.v
Cleanup
2015-04-21 21:43:16 -04:00
ODDR.v
Cleanup
2015-04-21 21:43:16 -04:00
ODELAYE2.v
Adding PLL and MMCME2 primitives
2015-04-22 13:55:59 -04:00
OSERDESE2.v
Both input and output models were wrong.
2015-05-04 22:35:55 -04:00
PLLE2_BASE.v
Cleanup
2015-04-21 21:43:16 -04:00
processing_system7.v
Adding a "max" ps7 system for reference
2015-04-22 13:54:52 -04:00
RAM32X1D.v
Cleanup
2015-04-21 21:43:16 -04:00