mirror of
https://github.com/aolofsson/oh.git
synced 2025-01-17 20:02:53 +08:00
d83efbdb8e
-Now generates bit stream -It won't work, but it's a start...
22 lines
679 B
Tcl
22 lines
679 B
Tcl
#PLL CLOCK
|
|
create_clock -name pll_clkin -period 10 [get_ports pll_clkin]
|
|
|
|
#SYS_CLK
|
|
create_clock -name sys_clk -period 8 [get_ports sys_clk]
|
|
|
|
#RECEIVER
|
|
create_clock -name rx_lclk -period 2 [get_ports rxi_lclk_p]
|
|
set_input_delay -clock rx_lclk 0.5 [get_ports rxi_data_*]
|
|
set_input_delay -clock rx_lclk 0.5 [get_ports rxi_frame_*]
|
|
|
|
#TRANSMITTER
|
|
create_clock -name tx_lclk -period 2 elink/eclocks/pll_lclk/CLKOUT0
|
|
create_clock -name tx_lclk90 -period 2 elink/eclocks/pll_lclk/CLKOUT1
|
|
create_clock -name tx_lclk_div4 -period 8 elink/eclocks/pll_lclk/CLKOUT2
|
|
set_output_delay -clock tx_lclk 0.5 [get_ports txo_data_*]
|
|
set_output_delay -clock tx_lclk 0.5 [get_ports txo_frame_*]
|
|
|
|
|
|
|
|
|