mirror of
https://github.com/KastnerRG/riffa.git
synced 2025-01-30 23:02:54 +08:00
The majority of this work can be summarized as: Makefiles have been added to generate all of the boards, boards for each vendor, board, and projects for each board. To make things cleaner I renamed a few of the Xilinx projects, and may rename the latera projects for consistency. I removed the de5_qsys directory, and moved all projects into the de5 directory, but those projects have a Q between DE5 and the PCIe specifications, ie DE5QGen... (haven't updated the documentation) Added c4dev board (untested) Apologies to those of you who recently switched onto the DEVEL branch.
130 lines
6.1 KiB
Tcl
130 lines
6.1 KiB
Tcl
# ----------------------------------------------------------------------
|
|
# Copyright (c) 2015, The Regents of the University of California All
|
|
# rights reserved.
|
|
#
|
|
# Redistribution and use in source and binary forms, with or without
|
|
# modification, are permitted provided that the following conditions are
|
|
# met:
|
|
#
|
|
# * Redistributions of source code must retain the above copyright
|
|
# notice, this list of conditions and the following disclaimer.
|
|
#
|
|
# * Redistributions in binary form must reproduce the above
|
|
# copyright notice, this list of conditions and the following
|
|
# disclaimer in the documentation and/or other materials provided
|
|
# with the distribution.
|
|
#
|
|
# * Neither the name of The Regents of the University of California
|
|
# nor the names of its contributors may be used to endorse or
|
|
# promote products derived from this software without specific
|
|
# prior written permission.
|
|
#
|
|
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
|
|
# "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
|
|
# LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
|
|
# A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL REGENTS OF THE
|
|
# UNIVERSITY OF CALIFORNIA BE LIABLE FOR ANY DIRECT, INDIRECT,
|
|
# INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
|
|
# BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS
|
|
# OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
|
|
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR
|
|
# TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE
|
|
# USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH
|
|
# DAMAGE.
|
|
# ----------------------------------------------------------------------
|
|
#----------------------------------------------------------------------------
|
|
# Filename: ZC706_Top.xdc
|
|
# Version: 1.00.a
|
|
# Verilog Standard: Verilog-2001
|
|
# Description: Xilinx Design Constraints for the ZC706 board.
|
|
# These constrain the PCIE_REFCLK, its DSBUF, LED Pins, and PCIE_RESET_N pin
|
|
#
|
|
# Author: Dustin Richmond (@darichmond)
|
|
#-----------------------------------------------------------------------------
|
|
##
|
|
#########################################################################################################################
|
|
# User Constraints
|
|
#########################################################################################################################
|
|
|
|
###############################################################################
|
|
# User Time Names / User Time Groups / Time Specs
|
|
###############################################################################
|
|
|
|
###############################################################################
|
|
# User Physical Constraints
|
|
###############################################################################
|
|
|
|
#
|
|
# LED Status Indicators for Example Design.
|
|
# LED 0-2 should be all ON if link is up and functioning correctly
|
|
# LED 3 should be blinking if user application is receiving valid clock
|
|
#
|
|
|
|
#System Reset, User Reset, User Link Up, User Clk Heartbeat
|
|
|
|
|
|
#########################################################################################################################
|
|
# End User Constraints
|
|
#########################################################################################################################
|
|
#
|
|
#
|
|
#
|
|
#########################################################################################################################
|
|
# PCIE Core Constraints
|
|
#########################################################################################################################
|
|
|
|
#
|
|
# SYS reset (input) signal. The sys_reset_n signal should be
|
|
# obtained from the PCI Express interface if possible. For
|
|
# slot based form factors, a system reset signal is usually
|
|
# present on the connector. For cable based form factors, a
|
|
# system reset signal may not be available. In this case, the
|
|
# system reset signal must be generated locally by some form of
|
|
# supervisory circuit. You may change the IOSTANDARD and LOC
|
|
# to suit your requirements and VCCO voltage banking rules.
|
|
# Some 7 series devices do not have 3.3 V I/Os available.
|
|
# Therefore the appropriate level shift is required to operate
|
|
# with these devices that contain only 1.8 V banks.
|
|
#
|
|
set_property IOSTANDARD LVCMOS15 [get_ports PCIE_RESET_N]
|
|
set_property PACKAGE_PIN AK23 [get_ports PCIE_RESET_N]
|
|
set_property PULLUP true [get_ports PCIE_RESET_N]
|
|
|
|
set_property IOSTANDARD LVCMOS15 [get_ports {LED[0]}]
|
|
set_property IOSTANDARD LVCMOS15 [get_ports {LED[1]}]
|
|
set_property IOSTANDARD LVCMOS15 [get_ports {LED[2]}]
|
|
set_property IOSTANDARD LVCMOS15 [get_ports {LED[3]}]
|
|
set_property PACKAGE_PIN W21 [get_ports {LED[0]}]
|
|
set_property PACKAGE_PIN G2 [get_ports {LED[1]}]
|
|
set_property PACKAGE_PIN Y21 [get_ports {LED[2]}]
|
|
set_property PACKAGE_PIN A17 [get_ports {LED[3]}]
|
|
set_false_path -to [get_ports -filter NAME=~LED*]
|
|
#
|
|
#
|
|
# SYS clock 100 MHz (input) signal. The sys_clk_p and sys_clk_n
|
|
# signals are the PCI Express reference clock. Virtex-7 GT
|
|
# Transceiver architecture requires the use of a dedicated clock
|
|
# resources (FPGA input pins) associated with each GT Transceiver.
|
|
# To use these pins an IBUFDS primitive (refclk_ibuf) is
|
|
# instantiated in user's design.
|
|
# Please refer to the Virtex-7 GT Transceiver User Guide
|
|
# (UG) for guidelines regarding clock resource selection.
|
|
#
|
|
|
|
set_property LOC IBUFDS_GTE2_X0Y6 [get_cells refclk_ibuf]
|
|
|
|
###############################################################################
|
|
# Timing Constraints
|
|
###############################################################################
|
|
create_clock -period 10.000 -name pcie_refclk [get_pins refclk_ibuf/O]
|
|
|
|
###############################################################################
|
|
# Physical Constraints
|
|
###############################################################################
|
|
|
|
set_false_path -from [get_ports PCIE_RESET_N]
|
|
###############################################################################
|
|
# End
|
|
###############################################################################
|
|
|